text
stringlengths 938
1.05M
|
---|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__A31O_SYMBOL_V
`define SKY130_FD_SC_HDLL__A31O_SYMBOL_V
/**
* a31o: 3-input AND into first input of 2-input OR.
*
* X = ((A1 & A2 & A3) | B1)
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__a31o (
//# {{data|Data Signals}}
input A1,
input A2,
input A3,
input B1,
output X
);
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__A31O_SYMBOL_V
|
/*
Execute Module (64-bit, Secondary)
The secondary execute units omit some features:
Can't access memory.
Dont have a multiply or shift.
...
*/
`include "CoreDefs.v"
module ExOp64_3B(
/* verilator lint_off UNUSED */
clock, reset,
opCmd, opStepPc,
regIdRs, regValRs,
regIdRt, regValRt,
regIdRn, regValRn,
immValRi, idInGenPc,
regOutId, regOutVal,
regOutOK, ctlInSr
);
input clock;
input reset;
input[7:0] opCmd; //command opcode
input[3:0] opStepPc;
input[63:0] idInGenPc; //ID's Next PC (Next Fetch)
input[6:0] regIdRs;
input[6:0] regIdRt;
input[6:0] regIdRn;
input[63:0] regValRs; //Rs input value
input[63:0] regValRt; //Rt input value
input[63:0] regValRn; //Rn input value
input[63:0] immValRi; //immediate/disp value
output[63:0] regOutVal; //Rn output value
output[6:0] regOutId; //Rn, value to write
output[1:0] regOutOK; //execute status
/* Special Registers */
input[63:0] ctlInSr; //SR in
// input[63:0] ctlInPr; //PR in
// input[63:0] ctlInPc; //PC in
// input[63:0] ctlInMach; //MACH:MACL in
// input[63:0] ctlInMacl; //MACH:MACL in
// output[63:0] ctlOutMach; //MACH:MACL out
// output[63:0] ctlOutMacl; //MACH:MACL out
/* Temporary */
reg[63:0] tRegOutVal; //Rn, output value
reg[6:0] tRegOutId; //Rn, output register
reg[1:0] tRegOutOK; //execute status
/* verilator lint_off UNOPTFLAT */
reg[63:0] tAguRtRi; //AGU Rt+Ri
reg[63:0] tAguRtRiSc; //AGU (Rt+Ri)*Sc
reg[63:0] tAguAddr; //AGU Address
/* verilator lint_on UNOPTFLAT */
assign regOutVal = tRegOutVal;
assign regOutId = tRegOutId;
assign regOutOK = tRegOutOK;
reg tInAluC;
reg tOutAluC;
reg tTriggerExc;
/*
reg[63:0] tShadValRs;
reg[ 7:0] tShadValRt;
wire[63:0] tShadValRn;
reg[ 2:0] tShadOp;
ExShad64 sh64(
clock, reset,
tShadValRs, tShadValRt,
tShadValRn, tShadOp);
*/
reg[64:0] tAluDn1;
reg[64:0] tAluDn2;
reg tAluQ0;
reg tAluM0;
reg tAluT0;
reg tAluQ1;
reg tAluM1;
reg tAluT1;
reg tAluQ2;
reg tAluM2;
reg tAluT2;
/* EX */
always @*
begin
tRegOutVal=0;
tRegOutId=UREG_ZZR;
tRegOutOK=UMEM_OK_OK;
tInAluC=1'bX;
tOutAluC=1'bX;
// tMacValRs=64'hX;
// tMacValRt=64'hX;
// tMacValRu=64'hX;
// tMacOp=0;
// tShadValRs=32'hXXXXXXXX;
// tShadValRt=8'hXX;
// tShadOp=0;
tTriggerExc=0;
// tCtlNxtPc=ctlInPc+{28'h0, opStepPc};
// tCtlPrPc=tCtlNxtPc + 2;
// tCtlBraPc=tCtlPrPc + (immValRi<<1);
/*
tAguRtRi=regValRt+immValRi;
if(regIdRt==UREG_R0)
begin
tAguAddr=opCmd[2]?
(regValRs+tAguRtRi):
(regValRn+tAguRtRi);
end
else
begin
case(opCmd[2:0])
3'h0: tAguAddr=regValRn+tAguRtRi;
3'h1: tAguAddr=regValRn+(tAguRtRi<<1);
3'h2: tAguAddr=regValRn+(tAguRtRi<<2);
3'h3: tAguAddr=regValRn+(tAguRtRi<<3);
3'h4: tAguAddr=regValRs+tAguRtRi;
3'h5: tAguAddr=regValRs+(tAguRtRi<<1);
3'h6: tAguAddr=regValRs+(tAguRtRi<<2);
3'h7: tAguAddr=regValRs+(tAguRtRi<<3);
endcase
end
*/
/*
tAguRtRi=regValRt+immValRi;
case(opCmd[1:0])
2'h0: tAguRtRiSc=tAguRtRi;
2'h1: tAguRtRiSc={tAguRtRi[62:0], 1'b0};
2'h2: tAguRtRiSc={tAguRtRi[61:0], 2'b0};
2'h3: tAguRtRiSc={tAguRtRi[60:0], 3'b0};
endcase
tAguAddr=(opCmd[2]?regValRs:regValRn)+
((regIdRt==UREG_R0)?tAguRtRi:tAguRtRiSc);
*/
casez(opCmd)
UCMD_NONE: begin
end
UCMD_MOV_RR: begin
tRegOutVal=regValRs;
tRegOutId=regIdRn;
end
UCMD_MOV_RI: begin
tRegOutVal=immValRi;
tRegOutId=regIdRn;
end
/*
UCMD_LEAB_MR: begin
tRegOutVal=tAguAddr;
tRegOutId=regIdRn;
end
UCMD_LEAW_MR: begin
tRegOutVal=tAguAddr;
tRegOutId=regIdRn;
end
UCMD_LEAL_MR: begin
tRegOutVal=tAguAddr;
tRegOutId=regIdRn;
end
UCMD_LEAQ_MR: begin
tRegOutVal=tAguAddr;
tRegOutId=regIdRn;
end
*/
UCMD_ALU_ADD: begin
tRegOutVal=regValRs+regValRt;
tRegOutId=regIdRn;
end
UCMD_ALU_SUB: begin
tRegOutVal=regValRs-regValRt;
tRegOutId=regIdRn;
end
UCMD_ALU_MUL: begin
// tRegOutVal=regValRs*regValRt;
tRegOutId=regIdRn;
// tMacValRs = {32'h0, regValRs};
// tMacValRt = {32'h0, regValRt};
// tMacOp = 2'h3;
end
UCMD_ALU_AND: begin
tRegOutVal=regValRs®ValRt;
tRegOutId=regIdRn;
end
UCMD_ALU_OR: begin
tRegOutVal=regValRs|regValRt;
tRegOutId=regIdRn;
end
UCMD_ALU_XOR: begin
tRegOutVal=regValRs^regValRt;
tRegOutId=regIdRn;
end
UCMD_ALU_ADDC: begin
tInAluC=ctlInSr[0];
{tOutAluC, tRegOutVal}=
{ 1'b0, regValRs+regValRt}+
{64'h0, tInAluC};
// tCtlOutSr[0]=tOutAluC;
tRegOutId=regIdRn;
end
UCMD_ALU_SUBC: begin
tInAluC=ctlInSr[0];
{tOutAluC, tRegOutVal}=
{ 1'b0, regValRs-regValRt}-
{64'h0, tInAluC};
// tCtlOutSr[0]=tOutAluC;
tRegOutId=regIdRn;
end
UCMD_ALU_ADDV: begin
{tOutAluC, tRegOutVal}=
{ regValRs[63], regValRs}+
{ regValRt[63], regValRt};
// tCtlOutSr[0]=tOutAluC^tRegOutVal[31];
tRegOutId=regIdRn;
end
UCMD_ALU_SUBV: begin
{tOutAluC, tRegOutVal}=
{ regValRs[63], regValRs}-
{ regValRt[63], regValRt};
// tCtlOutSr[0]=tOutAluC^tRegOutVal[31];
tRegOutId=regIdRn;
end
/*
UCMD_ALU_SHAD: begin
tShadValRs=regValRs;
tShadOp=2;
tShadValRt=regValRt[7:0];
tRegOutId=regIdRn;
end
UCMD_ALU_SHLD: begin
tShadValRs=regValRs;
tShadOp=1;
tShadValRt=regValRt[7:0];
tRegOutId=regIdRn;
end
UCMD_ALU_SHADR: begin
tShadValRs=regValRs;
tShadOp=4;
tShadValRt=regValRt[7:0];
tRegOutId=regIdRn;
end
UCMD_ALU_SHLDR: begin
tShadValRs=regValRs;
tShadOp=3;
tShadValRt=regValRt[7:0];
tRegOutId=regIdRn;
end
*/
UCMD_ALU_LDSH16: begin
tRegOutVal={regValRs[47:0], 16'h0} +
regValRt;
tRegOutId=regIdRn;
end
UCMD_ALU_NOT: begin
tRegOutVal=~regValRs;
tRegOutId=regIdRn;
end
UCMD_ALU_SWAPB: begin
tRegOutVal={
regValRs[55:48],
regValRs[63:56],
regValRs[39:32],
regValRs[47:40],
regValRs[23:16],
regValRs[31:24],
regValRs[ 7: 0],
regValRs[15: 8]
};
tRegOutId=regIdRn;
end
UCMD_ALU_SWAPW: begin
tRegOutVal={
regValRs[47:32],
regValRs[63:48],
regValRs[15: 0],
regValRs[31:16]};
tRegOutId=regIdRn;
end
UCMD_ALU_EXTUB: begin
tRegOutVal={56'h0, regValRs[7:0]};
tRegOutId=regIdRn;
end
UCMD_ALU_EXTUW: begin
tRegOutVal={48'h0, regValRs[15:0]};
tRegOutId=regIdRn;
end
UCMD_ALU_EXTSB: begin
tRegOutVal={regValRs[7]?56'hFF_FFFF_FFFF_FFFF:56'h0, regValRs[7:0]};
tRegOutId=regIdRn;
end
UCMD_ALU_EXTSW: begin
tRegOutVal={regValRs[15]?48'hFFFF_FFFF_FFFF:48'h0, regValRs[15:0]};
tRegOutId=regIdRn;
end
UCMD_ALU_NEG: begin
tRegOutVal=-regValRs;
tRegOutId=regIdRn;
end
UCMD_ALU_NEGC: begin
{tOutAluC, tRegOutVal}=
{1'b1, ~regValRs}+
(ctlInSr[0] ? 65'h0 : 65'h1);
tRegOutId=regIdRn;
end
default: begin end
endcase
end
endmodule
|
//Legal Notice: (C)2016 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module TimeHoldOver_Qsys_nios2_gen2_0_cpu_debug_slave_sysclk (
// inputs:
clk,
ir_in,
sr,
vs_udr,
vs_uir,
// outputs:
jdo,
take_action_break_a,
take_action_break_b,
take_action_break_c,
take_action_ocimem_a,
take_action_ocimem_b,
take_action_tracectrl,
take_no_action_break_a,
take_no_action_break_b,
take_no_action_break_c,
take_no_action_ocimem_a
)
;
output [ 37: 0] jdo;
output take_action_break_a;
output take_action_break_b;
output take_action_break_c;
output take_action_ocimem_a;
output take_action_ocimem_b;
output take_action_tracectrl;
output take_no_action_break_a;
output take_no_action_break_b;
output take_no_action_break_c;
output take_no_action_ocimem_a;
input clk;
input [ 1: 0] ir_in;
input [ 37: 0] sr;
input vs_udr;
input vs_uir;
reg enable_action_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg [ 1: 0] ir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
reg [ 37: 0] jdo /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
reg jxuir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg sync2_udr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg sync2_uir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
wire sync_udr;
wire sync_uir;
wire take_action_break_a;
wire take_action_break_b;
wire take_action_break_c;
wire take_action_ocimem_a;
wire take_action_ocimem_b;
wire take_action_tracectrl;
wire take_no_action_break_a;
wire take_no_action_break_b;
wire take_no_action_break_c;
wire take_no_action_ocimem_a;
wire unxunused_resetxx3;
wire unxunused_resetxx4;
reg update_jdo_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
assign unxunused_resetxx3 = 1'b1;
altera_std_synchronizer the_altera_std_synchronizer3
(
.clk (clk),
.din (vs_udr),
.dout (sync_udr),
.reset_n (unxunused_resetxx3)
);
defparam the_altera_std_synchronizer3.depth = 2;
assign unxunused_resetxx4 = 1'b1;
altera_std_synchronizer the_altera_std_synchronizer4
(
.clk (clk),
.din (vs_uir),
.dout (sync_uir),
.reset_n (unxunused_resetxx4)
);
defparam the_altera_std_synchronizer4.depth = 2;
always @(posedge clk)
begin
sync2_udr <= sync_udr;
update_jdo_strobe <= sync_udr & ~sync2_udr;
enable_action_strobe <= update_jdo_strobe;
sync2_uir <= sync_uir;
jxuir <= sync_uir & ~sync2_uir;
end
assign take_action_ocimem_a = enable_action_strobe && (ir == 2'b00) &&
~jdo[35] && jdo[34];
assign take_no_action_ocimem_a = enable_action_strobe && (ir == 2'b00) &&
~jdo[35] && ~jdo[34];
assign take_action_ocimem_b = enable_action_strobe && (ir == 2'b00) &&
jdo[35];
assign take_action_break_a = enable_action_strobe && (ir == 2'b10) &&
~jdo[36] &&
jdo[37];
assign take_no_action_break_a = enable_action_strobe && (ir == 2'b10) &&
~jdo[36] &&
~jdo[37];
assign take_action_break_b = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && ~jdo[35] &&
jdo[37];
assign take_no_action_break_b = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && ~jdo[35] &&
~jdo[37];
assign take_action_break_c = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && jdo[35] &&
jdo[37];
assign take_no_action_break_c = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && jdo[35] &&
~jdo[37];
assign take_action_tracectrl = enable_action_strobe && (ir == 2'b11) &&
jdo[15];
always @(posedge clk)
begin
if (jxuir)
ir <= ir_in;
if (update_jdo_strobe)
jdo <= sr;
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__SDFXBP_PP_BLACKBOX_V
`define SKY130_FD_SC_HS__SDFXBP_PP_BLACKBOX_V
/**
* sdfxbp: Scan delay flop, non-inverted clock, complementary outputs.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__sdfxbp (
CLK ,
D ,
Q ,
Q_N ,
SCD ,
SCE ,
VPWR,
VGND
);
input CLK ;
input D ;
output Q ;
output Q_N ;
input SCD ;
input SCE ;
input VPWR;
input VGND;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__SDFXBP_PP_BLACKBOX_V
|
`timescale 1ns / 1ns
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 12/06/2013 06:51:42 PM
// Design Name:
// Module Name: main
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module main (
// Clk Input
input clk_in,
// Data Pins
output reset,
output sclk, // Half the speed of data_clk, a 20,000th the speed of clk
output sdata,
output scmd,
// Power Pins
output vbat,
output vdd,
// LED String Data Pins
output status_led,
output light_clk,
output light_data
);
// GPIO OUT MAP
// 0 - VDD
// 1 - VBAT
// 2 - RESET
// 3 - SCLK
// 4 - SDATA
// 5 - SCMD
//wire [31 : 0] gpio;
zynq_1_wrapper cpu();
assign vdd = 0;
assign vbat = 0;
assign reset = 0;
assign sclk = 0;
assign sdata = 0;
assign scmd = 0;
//assign status_led = gpio[6];
assign light_clk = 0;
assign light_data = 0;
assign status_led = 1;
wire clk;
IBUFG clk_buf(.I(clk_in), .O(clk)); // Clock Buffer Conversion
endmodule
|
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company: California State University San Bernardino
// Engineer: Bogdan Kravtsov
// Tyler Clayton
//
// Create Date: 15:17:23 10/24/2016
// Module Name: ALU_CONTROL
// Project Name: MIPS
// Description: MIPS ALU_CONTROL module in the EXECUTE stage.
//
// Dependencies: None
//
////////////////////////////////////////////////////////////////////////////////
module ALU_CONTROL(input [5:0] funct, input [1:0] alu_op, output reg [2:0] select);
always @ *
begin
case (alu_op)
2'b00:
begin
select <= 3'b010; // LW / SW
end
2'b01:
begin
select <= 3'b110; // BRE
end
2'b10:
begin
case (funct)
6'b100000:
begin
select <= 3'b010; // R add
end
6'b100010:
begin
select <= 3'b110; // R sub
end
6'b100100:
begin
select <= 3'b000; // R and
end
6'b100101:
begin
select <= 3'b001; // R or
end
6'b101010:
begin
select <= 3'b111; // R slt
end
endcase
end
2'b11:
begin
select <= 3'b011; // Invalid input
end
endcase
end
endmodule
|
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
// Date : Thu Feb 09 23:35:14 2017
// Host : TheMosass-PC running 64-bit major release (build 9200)
// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_axi_gpio_2_0_sim_netlist.v
// Design : design_1_axi_gpio_2_0
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xc7z010clg400-1
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_GPIO_Core
(ip2bus_data,
GPIO_xferAck_i,
gpio_xferAck_Reg,
GPIO_intr,
Q,
gpio_io_o,
gpio_io_t,
Read_Reg_Rst,
\Not_Dual.gpio_OE_reg[7]_0 ,
s_axi_aclk,
\Not_Dual.gpio_OE_reg[6]_0 ,
\Not_Dual.gpio_OE_reg[5]_0 ,
\Not_Dual.gpio_OE_reg[4]_0 ,
\Not_Dual.gpio_OE_reg[3]_0 ,
\Not_Dual.gpio_OE_reg[2]_0 ,
\Not_Dual.gpio_OE_reg[1]_0 ,
GPIO_DBus_i,
bus2ip_reset,
bus2ip_cs,
gpio_io_i,
E,
D,
bus2ip_rnw_i_reg);
output [7:0]ip2bus_data;
output GPIO_xferAck_i;
output gpio_xferAck_Reg;
output GPIO_intr;
output [7:0]Q;
output [7:0]gpio_io_o;
output [7:0]gpio_io_t;
input Read_Reg_Rst;
input \Not_Dual.gpio_OE_reg[7]_0 ;
input s_axi_aclk;
input \Not_Dual.gpio_OE_reg[6]_0 ;
input \Not_Dual.gpio_OE_reg[5]_0 ;
input \Not_Dual.gpio_OE_reg[4]_0 ;
input \Not_Dual.gpio_OE_reg[3]_0 ;
input \Not_Dual.gpio_OE_reg[2]_0 ;
input \Not_Dual.gpio_OE_reg[1]_0 ;
input [0:0]GPIO_DBus_i;
input bus2ip_reset;
input [0:0]bus2ip_cs;
input [7:0]gpio_io_i;
input [0:0]E;
input [7:0]D;
input [0:0]bus2ip_rnw_i_reg;
wire [7:0]D;
wire [0:0]E;
wire [0:0]GPIO_DBus_i;
wire GPIO_intr;
wire GPIO_xferAck_i;
wire \Not_Dual.GEN_INTERRUPT.GPIO_intr_i_2_n_0 ;
wire \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[0] ;
wire \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[1] ;
wire \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[7] ;
wire \Not_Dual.gpio_OE_reg[1]_0 ;
wire \Not_Dual.gpio_OE_reg[2]_0 ;
wire \Not_Dual.gpio_OE_reg[3]_0 ;
wire \Not_Dual.gpio_OE_reg[4]_0 ;
wire \Not_Dual.gpio_OE_reg[5]_0 ;
wire \Not_Dual.gpio_OE_reg[6]_0 ;
wire \Not_Dual.gpio_OE_reg[7]_0 ;
wire [7:0]Q;
wire Read_Reg_Rst;
wire [0:0]bus2ip_cs;
wire bus2ip_reset;
wire [0:0]bus2ip_rnw_i_reg;
wire [0:7]gpio_data_in_xor;
wire [7:0]gpio_io_i;
wire [0:7]gpio_io_i_d2;
wire [7:0]gpio_io_o;
wire [7:0]gpio_io_t;
wire gpio_xferAck_Reg;
wire iGPIO_xferAck;
wire [7:0]ip2bus_data;
wire or_ints;
wire p_1_in;
wire p_2_in;
wire p_3_in;
wire p_4_in;
wire p_5_in;
wire s_axi_aclk;
LUT5 #(
.INIT(32'hFFFFFFFE))
\Not_Dual.GEN_INTERRUPT.GPIO_intr_i_1
(.I0(p_2_in),
.I1(p_3_in),
.I2(p_1_in),
.I3(\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[1] ),
.I4(\Not_Dual.GEN_INTERRUPT.GPIO_intr_i_2_n_0 ),
.O(or_ints));
LUT4 #(
.INIT(16'hFFFE))
\Not_Dual.GEN_INTERRUPT.GPIO_intr_i_2
(.I0(p_5_in),
.I1(p_4_in),
.I2(\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[0] ),
.I3(\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[7] ),
.O(\Not_Dual.GEN_INTERRUPT.GPIO_intr_i_2_n_0 ));
FDRE \Not_Dual.GEN_INTERRUPT.GPIO_intr_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(or_ints),
.Q(GPIO_intr),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[0]),
.Q(\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[0] ),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[1]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[1]),
.Q(\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[1] ),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[2]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[2]),
.Q(p_1_in),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[3]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[3]),
.Q(p_2_in),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[4]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[4]),
.Q(p_3_in),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[5]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[5]),
.Q(p_4_in),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[6]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[6]),
.Q(p_5_in),
.R(bus2ip_reset));
FDRE \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[7]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_data_in_xor[7]),
.Q(\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[7] ),
.R(bus2ip_reset));
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync \Not_Dual.INPUT_DOUBLE_REGS3
(.D({gpio_data_in_xor[0],gpio_data_in_xor[1],gpio_data_in_xor[2],gpio_data_in_xor[3],gpio_data_in_xor[4],gpio_data_in_xor[5],gpio_data_in_xor[6],gpio_data_in_xor[7]}),
.Q(Q),
.gpio_io_i(gpio_io_i),
.s_axi_aclk(s_axi_aclk),
.scndry_vect_out({gpio_io_i_d2[0],gpio_io_i_d2[1],gpio_io_i_d2[2],gpio_io_i_d2[3],gpio_io_i_d2[4],gpio_io_i_d2[5],gpio_io_i_d2[6],gpio_io_i_d2[7]}));
FDRE \Not_Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[24]
(.C(s_axi_aclk),
.CE(1'b1),
.D(GPIO_DBus_i),
.Q(ip2bus_data[7]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[1]_0 ),
.Q(ip2bus_data[6]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[2]_0 ),
.Q(ip2bus_data[5]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[3]_0 ),
.Q(ip2bus_data[4]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[4]_0 ),
.Q(ip2bus_data[3]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[5]_0 ),
.Q(ip2bus_data[2]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[6]_0 ),
.Q(ip2bus_data[1]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\Not_Dual.gpio_OE_reg[7]_0 ),
.Q(ip2bus_data[0]),
.R(Read_Reg_Rst));
FDRE \Not_Dual.gpio_Data_In_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[0]),
.Q(Q[7]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[1]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[1]),
.Q(Q[6]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[2]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[2]),
.Q(Q[5]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[3]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[3]),
.Q(Q[4]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[4]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[4]),
.Q(Q[3]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[5]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[5]),
.Q(Q[2]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[6]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[6]),
.Q(Q[1]),
.R(1'b0));
FDRE \Not_Dual.gpio_Data_In_reg[7]
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i_d2[7]),
.Q(Q[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[0]
(.C(s_axi_aclk),
.CE(E),
.D(D[7]),
.Q(gpio_io_o[7]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[1]
(.C(s_axi_aclk),
.CE(E),
.D(D[6]),
.Q(gpio_io_o[6]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[2]
(.C(s_axi_aclk),
.CE(E),
.D(D[5]),
.Q(gpio_io_o[5]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[3]
(.C(s_axi_aclk),
.CE(E),
.D(D[4]),
.Q(gpio_io_o[4]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[4]
(.C(s_axi_aclk),
.CE(E),
.D(D[3]),
.Q(gpio_io_o[3]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[5]
(.C(s_axi_aclk),
.CE(E),
.D(D[2]),
.Q(gpio_io_o[2]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[6]
(.C(s_axi_aclk),
.CE(E),
.D(D[1]),
.Q(gpio_io_o[1]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\Not_Dual.gpio_Data_Out_reg[7]
(.C(s_axi_aclk),
.CE(E),
.D(D[0]),
.Q(gpio_io_o[0]),
.R(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[0]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[7]),
.Q(gpio_io_t[7]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[1]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[6]),
.Q(gpio_io_t[6]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[2]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[5]),
.Q(gpio_io_t[5]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[3]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[4]),
.Q(gpio_io_t[4]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[4]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[3]),
.Q(gpio_io_t[3]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[5]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[2]),
.Q(gpio_io_t[2]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[6]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[1]),
.Q(gpio_io_t[1]),
.S(bus2ip_reset));
FDSE #(
.INIT(1'b1))
\Not_Dual.gpio_OE_reg[7]
(.C(s_axi_aclk),
.CE(bus2ip_rnw_i_reg),
.D(D[0]),
.Q(gpio_io_t[0]),
.S(bus2ip_reset));
FDRE gpio_xferAck_Reg_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(GPIO_xferAck_i),
.Q(gpio_xferAck_Reg),
.R(bus2ip_reset));
LUT3 #(
.INIT(8'h10))
iGPIO_xferAck_i_1
(.I0(gpio_xferAck_Reg),
.I1(GPIO_xferAck_i),
.I2(bus2ip_cs),
.O(iGPIO_xferAck));
FDRE iGPIO_xferAck_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(iGPIO_xferAck),
.Q(GPIO_xferAck_i),
.R(bus2ip_reset));
endmodule
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder
(\ip2bus_data_i_D1_reg[0] ,
\Not_Dual.gpio_Data_Out_reg[7] ,
\ip_irpt_enable_reg_reg[0] ,
s_axi_arready,
s_axi_wready,
D,
\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ,
\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ,
\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ,
\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ,
\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ,
\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ,
\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ,
GPIO_DBus_i,
E,
\Not_Dual.gpio_Data_Out_reg[0] ,
\ip2bus_data_i_D1_reg[0]_0 ,
intr2bus_rdack0,
irpt_rdack,
irpt_wrack,
interrupt_wrce_strb,
Read_Reg_Rst,
\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ,
intr_rd_ce_or_reduce,
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ,
intr_wr_ce_or_reduce,
\ip_irpt_enable_reg_reg[0]_0 ,
ipif_glbl_irpt_enable_reg_reg,
start2,
s_axi_aclk,
s_axi_aresetn,
Q,
is_read,
ip2bus_rdack_i_D1,
is_write_reg,
ip2bus_wrack_i_D1,
s_axi_wdata,
\bus2ip_addr_i_reg[8] ,
gpio_io_t,
\Not_Dual.gpio_Data_In_reg[0] ,
bus2ip_rnw_i_reg,
bus2ip_reset,
p_0_in,
irpt_rdack_d1,
irpt_wrack_d1,
ip2bus_data,
p_3_in,
p_1_in,
GPIO_xferAck_i,
gpio_xferAck_Reg,
ip2Bus_RdAck_intr_reg_hole_d1,
ip2Bus_WrAck_intr_reg_hole_d1);
output \ip2bus_data_i_D1_reg[0] ;
output \Not_Dual.gpio_Data_Out_reg[7] ;
output \ip_irpt_enable_reg_reg[0] ;
output s_axi_arready;
output s_axi_wready;
output [7:0]D;
output \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ;
output \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ;
output \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ;
output \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ;
output \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ;
output \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ;
output \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ;
output [0:0]GPIO_DBus_i;
output [0:0]E;
output [0:0]\Not_Dual.gpio_Data_Out_reg[0] ;
output [1:0]\ip2bus_data_i_D1_reg[0]_0 ;
output intr2bus_rdack0;
output irpt_rdack;
output irpt_wrack;
output interrupt_wrce_strb;
output Read_Reg_Rst;
output \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ;
output intr_rd_ce_or_reduce;
output \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ;
output intr_wr_ce_or_reduce;
output \ip_irpt_enable_reg_reg[0]_0 ;
output ipif_glbl_irpt_enable_reg_reg;
input start2;
input s_axi_aclk;
input s_axi_aresetn;
input [3:0]Q;
input is_read;
input ip2bus_rdack_i_D1;
input is_write_reg;
input ip2bus_wrack_i_D1;
input [15:0]s_axi_wdata;
input [6:0]\bus2ip_addr_i_reg[8] ;
input [7:0]gpio_io_t;
input [7:0]\Not_Dual.gpio_Data_In_reg[0] ;
input bus2ip_rnw_i_reg;
input bus2ip_reset;
input [0:0]p_0_in;
input irpt_rdack_d1;
input irpt_wrack_d1;
input [0:0]ip2bus_data;
input [0:0]p_3_in;
input [0:0]p_1_in;
input GPIO_xferAck_i;
input gpio_xferAck_Reg;
input ip2Bus_RdAck_intr_reg_hole_d1;
input ip2Bus_WrAck_intr_reg_hole_d1;
wire Bus_RNW_reg_i_1_n_0;
wire [7:0]D;
wire [0:0]E;
wire \GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1_n_0 ;
wire \GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1_n_0 ;
wire \GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1_n_0 ;
wire \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ;
wire \GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg_n_0_[19] ;
wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1_n_0 ;
wire [0:0]GPIO_DBus_i;
wire GPIO_xferAck_i;
wire \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ;
wire \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0 ;
wire \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0 ;
wire \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0 ;
wire \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ;
wire \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ;
wire \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ;
wire \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ;
wire \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ;
wire \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ;
wire \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ;
wire \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ;
wire [7:0]\Not_Dual.gpio_Data_In_reg[0] ;
wire [0:0]\Not_Dual.gpio_Data_Out_reg[0] ;
wire \Not_Dual.gpio_Data_Out_reg[7] ;
wire [3:0]Q;
wire Read_Reg_Rst;
wire [6:0]\bus2ip_addr_i_reg[8] ;
wire bus2ip_reset;
wire bus2ip_rnw_i_reg;
wire [7:0]gpio_io_t;
wire gpio_xferAck_Reg;
wire interrupt_wrce_strb;
wire intr2bus_rdack0;
wire intr_rd_ce_or_reduce;
wire intr_wr_ce_or_reduce;
wire ip2Bus_RdAck_intr_reg_hole_d1;
wire ip2Bus_WrAck_intr_reg_hole_d1;
wire [0:0]ip2bus_data;
wire \ip2bus_data_i_D1_reg[0] ;
wire [1:0]\ip2bus_data_i_D1_reg[0]_0 ;
wire ip2bus_rdack_i_D1;
wire ip2bus_wrack_i_D1;
wire \ip_irpt_enable_reg_reg[0] ;
wire \ip_irpt_enable_reg_reg[0]_0 ;
wire ipif_glbl_irpt_enable_reg_reg;
wire irpt_rdack;
wire irpt_rdack_d1;
wire irpt_wrack;
wire irpt_wrack_d1;
wire is_read;
wire is_write_reg;
wire [0:0]p_0_in;
wire p_10_in;
wire p_10_out;
wire p_11_in;
wire p_11_out;
wire p_12_in;
wire p_12_out;
wire p_13_in;
wire p_13_out;
wire p_14_in;
wire p_14_out;
wire p_15_in;
wire p_15_out;
wire p_16_in;
wire [0:0]p_1_in;
wire p_2_in;
wire [0:0]p_3_in;
wire p_3_in_0;
wire p_4_in;
wire p_4_out;
wire p_5_in;
wire p_5_out;
wire p_6_in;
wire p_6_out;
wire p_7_in;
wire p_7_out;
wire p_8_out;
wire p_9_in;
wire p_9_out;
wire pselect_hit_i_1;
wire s_axi_aclk;
wire s_axi_aresetn;
wire s_axi_arready;
wire [15:0]s_axi_wdata;
wire s_axi_wready;
wire start2;
LUT3 #(
.INIT(8'hB8))
Bus_RNW_reg_i_1
(.I0(bus2ip_rnw_i_reg),
.I1(start2),
.I2(\ip_irpt_enable_reg_reg[0] ),
.O(Bus_RNW_reg_i_1_n_0));
FDRE Bus_RNW_reg_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(Bus_RNW_reg_i_1_n_0),
.Q(\ip_irpt_enable_reg_reg[0] ),
.R(1'b0));
LUT6 #(
.INIT(64'h0040000000000000))
\GEN_BKEND_CE_REGISTERS[10].ce_out_i[10]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_9_out));
FDRE \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]
(.C(s_axi_aclk),
.CE(start2),
.D(p_9_out),
.Q(p_10_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h4000000000000000))
\GEN_BKEND_CE_REGISTERS[11].ce_out_i[11]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_8_out));
FDRE \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11]
(.C(s_axi_aclk),
.CE(start2),
.D(p_8_out),
.Q(p_9_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0004000000000000))
\GEN_BKEND_CE_REGISTERS[12].ce_out_i[12]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [3]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_7_out));
FDRE \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg[12]
(.C(s_axi_aclk),
.CE(start2),
.D(p_7_out),
.Q(\ip2bus_data_i_D1_reg[0] ),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0400000000000000))
\GEN_BKEND_CE_REGISTERS[13].ce_out_i[13]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [3]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_6_out));
FDRE \GEN_BKEND_CE_REGISTERS[13].ce_out_i_reg[13]
(.C(s_axi_aclk),
.CE(start2),
.D(p_6_out),
.Q(p_7_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0008000000000000))
\GEN_BKEND_CE_REGISTERS[14].ce_out_i[14]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [3]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_5_out));
FDRE \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14]
(.C(s_axi_aclk),
.CE(start2),
.D(p_5_out),
.Q(p_6_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0800000000000000))
\GEN_BKEND_CE_REGISTERS[15].ce_out_i[15]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [3]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_4_out));
FDRE \GEN_BKEND_CE_REGISTERS[15].ce_out_i_reg[15]
(.C(s_axi_aclk),
.CE(start2),
.D(p_4_out),
.Q(p_5_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0008000000000000))
\GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [1]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(\GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1_n_0 ));
FDRE \GEN_BKEND_CE_REGISTERS[16].ce_out_i_reg[16]
(.C(s_axi_aclk),
.CE(start2),
.D(\GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1_n_0 ),
.Q(p_4_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0800000000000000))
\GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [1]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(\GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1_n_0 ));
FDRE \GEN_BKEND_CE_REGISTERS[17].ce_out_i_reg[17]
(.C(s_axi_aclk),
.CE(start2),
.D(\GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1_n_0 ),
.Q(p_3_in_0),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0080000000000000))
\GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(\GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1_n_0 ));
FDRE \GEN_BKEND_CE_REGISTERS[18].ce_out_i_reg[18]
(.C(s_axi_aclk),
.CE(start2),
.D(\GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1_n_0 ),
.Q(p_2_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT3 #(
.INIT(8'hFD))
\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1
(.I0(s_axi_aresetn),
.I1(s_axi_arready),
.I2(s_axi_wready),
.O(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h8000000000000000))
\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_2
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\bus2ip_addr_i_reg[8] [2]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_15_out));
FDRE \GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg[19]
(.C(s_axi_aclk),
.CE(start2),
.D(p_15_out),
.Q(\GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg_n_0_[19] ),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0001000000000000))
\GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [3]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(\GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1_n_0 ));
FDRE \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]
(.C(s_axi_aclk),
.CE(start2),
.D(\GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1_n_0 ),
.Q(p_16_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0100000000000000))
\GEN_BKEND_CE_REGISTERS[5].ce_out_i[5]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [3]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_14_out));
FDRE \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]
(.C(s_axi_aclk),
.CE(start2),
.D(p_14_out),
.Q(p_15_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0002000000000000))
\GEN_BKEND_CE_REGISTERS[6].ce_out_i[6]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [3]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_13_out));
FDRE \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]
(.C(s_axi_aclk),
.CE(start2),
.D(p_13_out),
.Q(p_14_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0200000000000000))
\GEN_BKEND_CE_REGISTERS[7].ce_out_i[7]_i_1
(.I0(\bus2ip_addr_i_reg[8] [1]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [3]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_12_out));
FDRE \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg[7]
(.C(s_axi_aclk),
.CE(start2),
.D(p_12_out),
.Q(p_13_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0004000000000000))
\GEN_BKEND_CE_REGISTERS[8].ce_out_i[8]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [1]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_11_out));
FDRE \GEN_BKEND_CE_REGISTERS[8].ce_out_i_reg[8]
(.C(s_axi_aclk),
.CE(start2),
.D(p_11_out),
.Q(p_12_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0400000000000000))
\GEN_BKEND_CE_REGISTERS[9].ce_out_i[9]_i_1
(.I0(\bus2ip_addr_i_reg[8] [3]),
.I1(\bus2ip_addr_i_reg[8] [2]),
.I2(\bus2ip_addr_i_reg[8] [1]),
.I3(\bus2ip_addr_i_reg[8] [0]),
.I4(\bus2ip_addr_i_reg[8] [6]),
.I5(start2),
.O(p_10_out));
FDRE \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]
(.C(s_axi_aclk),
.CE(start2),
.D(p_10_out),
.Q(p_11_in),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair2" *)
LUT4 #(
.INIT(16'hFE00))
\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_d1_i_1
(.I0(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0 ),
.I1(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0 ),
.I2(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0 ),
.I3(\ip_irpt_enable_reg_reg[0] ),
.O(intr_rd_ce_or_reduce));
(* SOFT_HLUTNM = "soft_lutpair2" *)
LUT5 #(
.INIT(32'h00FE0000))
\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_i_1
(.I0(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0 ),
.I1(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0 ),
.I2(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0 ),
.I3(ip2Bus_RdAck_intr_reg_hole_d1),
.I4(\ip_irpt_enable_reg_reg[0] ),
.O(\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ));
(* SOFT_HLUTNM = "soft_lutpair3" *)
LUT4 #(
.INIT(16'h00FE))
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_1
(.I0(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0 ),
.I1(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0 ),
.I2(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0 ),
.I3(\ip_irpt_enable_reg_reg[0] ),
.O(intr_wr_ce_or_reduce));
LUT5 #(
.INIT(32'hFFFFFFFE))
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2
(.I0(p_16_in),
.I1(p_2_in),
.I2(\GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg_n_0_[19] ),
.I3(p_14_in),
.I4(p_15_in),
.O(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0 ));
LUT4 #(
.INIT(16'hFFFE))
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3
(.I0(p_12_in),
.I1(p_13_in),
.I2(p_10_in),
.I3(p_11_in),
.O(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0 ));
LUT4 #(
.INIT(16'hFFFE))
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4
(.I0(p_5_in),
.I1(p_7_in),
.I2(p_3_in_0),
.I3(p_4_in),
.O(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair3" *)
LUT5 #(
.INIT(32'h000000FE))
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_i_1
(.I0(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0 ),
.I1(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0 ),
.I2(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0 ),
.I3(\ip_irpt_enable_reg_reg[0] ),
.I4(ip2Bus_WrAck_intr_reg_hole_d1),
.O(\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ));
LUT6 #(
.INIT(64'h0000000000000002))
\MEM_DECODE_GEN[0].cs_out_i[0]_i_1
(.I0(start2),
.I1(\bus2ip_addr_i_reg[8] [6]),
.I2(\bus2ip_addr_i_reg[8] [4]),
.I3(\bus2ip_addr_i_reg[8] [5]),
.I4(\bus2ip_addr_i_reg[8] [3]),
.I5(\bus2ip_addr_i_reg[8] [2]),
.O(pselect_hit_i_1));
FDRE \MEM_DECODE_GEN[0].cs_out_i_reg[0]
(.C(s_axi_aclk),
.CE(start2),
.D(pselect_hit_i_1),
.Q(\Not_Dual.gpio_Data_Out_reg[7] ),
.R(\GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0 ));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[0].GPIO_DBus_i[24]_i_1
(.I0(gpio_io_t[7]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [7]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(GPIO_DBus_i));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i[25]_i_1
(.I0(gpio_io_t[6]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [6]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i[26]_i_1
(.I0(gpio_io_t[5]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [5]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i[27]_i_1
(.I0(gpio_io_t[4]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [4]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i[28]_i_1
(.I0(gpio_io_t[3]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [3]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i[29]_i_1
(.I0(gpio_io_t[2]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [2]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i[30]_i_1
(.I0(gpio_io_t[1]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [1]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ));
LUT4 #(
.INIT(16'hFFDF))
\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i[31]_i_1
(.I0(\Not_Dual.gpio_Data_Out_reg[7] ),
.I1(GPIO_xferAck_i),
.I2(bus2ip_rnw_i_reg),
.I3(gpio_xferAck_Reg),
.O(Read_Reg_Rst));
LUT6 #(
.INIT(64'h000A0000000C0000))
\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i[31]_i_2
(.I0(gpio_io_t[0]),
.I1(\Not_Dual.gpio_Data_In_reg[0] [0]),
.I2(\bus2ip_addr_i_reg[8] [6]),
.I3(\bus2ip_addr_i_reg[8] [1]),
.I4(\Not_Dual.gpio_Data_Out_reg[7] ),
.I5(\bus2ip_addr_i_reg[8] [0]),
.O(\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ));
LUT6 #(
.INIT(64'hFFFFFFFF00000100))
\Not_Dual.gpio_Data_Out[0]_i_1
(.I0(bus2ip_rnw_i_reg),
.I1(\bus2ip_addr_i_reg[8] [6]),
.I2(\bus2ip_addr_i_reg[8] [1]),
.I3(\Not_Dual.gpio_Data_Out_reg[7] ),
.I4(\bus2ip_addr_i_reg[8] [0]),
.I5(bus2ip_reset),
.O(\Not_Dual.gpio_Data_Out_reg[0] ));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[0]_i_2
(.I0(s_axi_wdata[15]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[7]),
.O(D[7]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[1]_i_1
(.I0(s_axi_wdata[14]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[6]),
.O(D[6]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[2]_i_1
(.I0(s_axi_wdata[13]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[5]),
.O(D[5]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[3]_i_1
(.I0(s_axi_wdata[12]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[4]),
.O(D[4]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[4]_i_1
(.I0(s_axi_wdata[11]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[3]),
.O(D[3]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[5]_i_1
(.I0(s_axi_wdata[10]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[2]),
.O(D[2]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[6]_i_1
(.I0(s_axi_wdata[9]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[1]),
.O(D[1]));
LUT4 #(
.INIT(16'hBA8A))
\Not_Dual.gpio_Data_Out[7]_i_1
(.I0(s_axi_wdata[8]),
.I1(\bus2ip_addr_i_reg[8] [1]),
.I2(\Not_Dual.gpio_Data_Out_reg[7] ),
.I3(s_axi_wdata[0]),
.O(D[0]));
LUT6 #(
.INIT(64'hFFFFFFFF01000000))
\Not_Dual.gpio_OE[0]_i_1
(.I0(bus2ip_rnw_i_reg),
.I1(\bus2ip_addr_i_reg[8] [6]),
.I2(\bus2ip_addr_i_reg[8] [1]),
.I3(\Not_Dual.gpio_Data_Out_reg[7] ),
.I4(\bus2ip_addr_i_reg[8] [0]),
.I5(bus2ip_reset),
.O(E));
LUT5 #(
.INIT(32'h44444440))
intr2bus_rdack_i_1
(.I0(irpt_rdack_d1),
.I1(\ip_irpt_enable_reg_reg[0] ),
.I2(p_9_in),
.I3(\ip2bus_data_i_D1_reg[0] ),
.I4(p_6_in),
.O(intr2bus_rdack0));
(* SOFT_HLUTNM = "soft_lutpair1" *)
LUT5 #(
.INIT(32'h000000FE))
intr2bus_wrack_i_1
(.I0(p_9_in),
.I1(\ip2bus_data_i_D1_reg[0] ),
.I2(p_6_in),
.I3(\ip_irpt_enable_reg_reg[0] ),
.I4(irpt_wrack_d1),
.O(interrupt_wrce_strb));
(* SOFT_HLUTNM = "soft_lutpair0" *)
LUT5 #(
.INIT(32'h00000080))
\ip2bus_data_i_D1[0]_i_1
(.I0(p_0_in),
.I1(p_9_in),
.I2(\ip_irpt_enable_reg_reg[0] ),
.I3(p_6_in),
.I4(\ip2bus_data_i_D1_reg[0] ),
.O(\ip2bus_data_i_D1_reg[0]_0 [1]));
LUT6 #(
.INIT(64'hEEEEAAAAFAAAAAAA))
\ip2bus_data_i_D1[31]_i_1
(.I0(ip2bus_data),
.I1(p_3_in),
.I2(p_1_in),
.I3(p_6_in),
.I4(\ip_irpt_enable_reg_reg[0] ),
.I5(\ip2bus_data_i_D1_reg[0] ),
.O(\ip2bus_data_i_D1_reg[0]_0 [0]));
LUT4 #(
.INIT(16'hFB08))
\ip_irpt_enable_reg[0]_i_1
(.I0(s_axi_wdata[0]),
.I1(p_6_in),
.I2(\ip_irpt_enable_reg_reg[0] ),
.I3(p_1_in),
.O(\ip_irpt_enable_reg_reg[0]_0 ));
LUT4 #(
.INIT(16'hFB08))
ipif_glbl_irpt_enable_reg_i_1
(.I0(s_axi_wdata[15]),
.I1(p_9_in),
.I2(\ip_irpt_enable_reg_reg[0] ),
.I3(p_0_in),
.O(ipif_glbl_irpt_enable_reg_reg));
(* SOFT_HLUTNM = "soft_lutpair0" *)
LUT4 #(
.INIT(16'hFE00))
irpt_rdack_d1_i_1
(.I0(p_9_in),
.I1(\ip2bus_data_i_D1_reg[0] ),
.I2(p_6_in),
.I3(\ip_irpt_enable_reg_reg[0] ),
.O(irpt_rdack));
(* SOFT_HLUTNM = "soft_lutpair1" *)
LUT4 #(
.INIT(16'h00FE))
irpt_wrack_d1_i_1
(.I0(p_9_in),
.I1(\ip2bus_data_i_D1_reg[0] ),
.I2(p_6_in),
.I3(\ip_irpt_enable_reg_reg[0] ),
.O(irpt_wrack));
LUT6 #(
.INIT(64'hFFFFFFFF00020000))
s_axi_arready_INST_0
(.I0(Q[3]),
.I1(Q[2]),
.I2(Q[1]),
.I3(Q[0]),
.I4(is_read),
.I5(ip2bus_rdack_i_D1),
.O(s_axi_arready));
LUT6 #(
.INIT(64'hFFFFFFFF00020000))
s_axi_wready_INST_0
(.I0(Q[3]),
.I1(Q[2]),
.I2(Q[1]),
.I3(Q[0]),
.I4(is_write_reg),
.I5(ip2bus_wrack_i_D1),
.O(s_axi_wready));
endmodule
(* C_ALL_INPUTS = "0" *) (* C_ALL_INPUTS_2 = "0" *) (* C_ALL_OUTPUTS = "0" *)
(* C_ALL_OUTPUTS_2 = "0" *) (* C_DOUT_DEFAULT = "0" *) (* C_DOUT_DEFAULT_2 = "0" *)
(* C_FAMILY = "zynq" *) (* C_GPIO2_WIDTH = "32" *) (* C_GPIO_WIDTH = "8" *)
(* C_INTERRUPT_PRESENT = "1" *) (* C_IS_DUAL = "0" *) (* C_S_AXI_ADDR_WIDTH = "9" *)
(* C_S_AXI_DATA_WIDTH = "32" *) (* C_TRI_DEFAULT = "-1" *) (* C_TRI_DEFAULT_2 = "-1" *)
(* downgradeipidentifiedwarnings = "yes" *) (* ip_group = "LOGICORE" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio
(s_axi_aclk,
s_axi_aresetn,
s_axi_awaddr,
s_axi_awvalid,
s_axi_awready,
s_axi_wdata,
s_axi_wstrb,
s_axi_wvalid,
s_axi_wready,
s_axi_bresp,
s_axi_bvalid,
s_axi_bready,
s_axi_araddr,
s_axi_arvalid,
s_axi_arready,
s_axi_rdata,
s_axi_rresp,
s_axi_rvalid,
s_axi_rready,
ip2intc_irpt,
gpio_io_i,
gpio_io_o,
gpio_io_t,
gpio2_io_i,
gpio2_io_o,
gpio2_io_t);
(* max_fanout = "10000" *) (* sigis = "Clk" *) input s_axi_aclk;
(* max_fanout = "10000" *) (* sigis = "Rst" *) input s_axi_aresetn;
input [8:0]s_axi_awaddr;
input s_axi_awvalid;
output s_axi_awready;
input [31:0]s_axi_wdata;
input [3:0]s_axi_wstrb;
input s_axi_wvalid;
output s_axi_wready;
output [1:0]s_axi_bresp;
output s_axi_bvalid;
input s_axi_bready;
input [8:0]s_axi_araddr;
input s_axi_arvalid;
output s_axi_arready;
output [31:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output s_axi_rvalid;
input s_axi_rready;
(* sigis = "INTR_LEVEL_HIGH" *) output ip2intc_irpt;
input [7:0]gpio_io_i;
output [7:0]gpio_io_o;
output [7:0]gpio_io_t;
input [31:0]gpio2_io_i;
output [31:0]gpio2_io_o;
output [31:0]gpio2_io_t;
wire \<const0> ;
wire \<const1> ;
wire AXI_LITE_IPIF_I_n_16;
wire AXI_LITE_IPIF_I_n_17;
wire AXI_LITE_IPIF_I_n_18;
wire AXI_LITE_IPIF_I_n_19;
wire AXI_LITE_IPIF_I_n_20;
wire AXI_LITE_IPIF_I_n_21;
wire AXI_LITE_IPIF_I_n_22;
wire AXI_LITE_IPIF_I_n_24;
wire AXI_LITE_IPIF_I_n_25;
wire AXI_LITE_IPIF_I_n_33;
wire AXI_LITE_IPIF_I_n_35;
wire AXI_LITE_IPIF_I_n_37;
wire AXI_LITE_IPIF_I_n_38;
wire [0:7]DBus_Reg;
wire [24:24]GPIO_DBus_i;
wire GPIO_intr;
wire GPIO_xferAck_i;
wire IP2INTC_Irpt_i;
wire \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ;
wire \I_SLAVE_ATTACHMENT/I_DECODER/p_8_in ;
wire Read_Reg_Rst;
wire [1:1]bus2ip_cs;
wire bus2ip_reset;
wire bus2ip_reset_i_1_n_0;
wire bus2ip_rnw;
wire [0:7]gpio_Data_In;
wire [7:0]gpio_io_i;
wire [7:0]gpio_io_o;
wire [7:0]gpio_io_t;
wire gpio_xferAck_Reg;
wire interrupt_wrce_strb;
wire intr2bus_rdack0;
wire intr_rd_ce_or_reduce;
wire intr_wr_ce_or_reduce;
wire ip2Bus_RdAck_intr_reg_hole;
wire ip2Bus_RdAck_intr_reg_hole_d1;
wire ip2Bus_WrAck_intr_reg_hole;
wire ip2Bus_WrAck_intr_reg_hole_d1;
wire [24:31]ip2bus_data;
wire [31:31]ip2bus_data_i;
wire [0:31]ip2bus_data_i_D1;
wire ip2bus_rdack_i;
wire ip2bus_rdack_i_D1;
wire ip2bus_wrack_i;
wire ip2bus_wrack_i_D1;
wire ip2intc_irpt;
wire irpt_rdack;
wire irpt_rdack_d1;
wire irpt_wrack;
wire irpt_wrack_d1;
wire [31:31]p_0_in;
wire [0:0]p_0_out;
wire [0:0]p_1_in;
wire [0:0]p_3_in;
(* MAX_FANOUT = "10000" *) (* RTL_MAX_FANOUT = "found" *) (* sigis = "Clk" *) wire s_axi_aclk;
wire [8:0]s_axi_araddr;
(* MAX_FANOUT = "10000" *) (* RTL_MAX_FANOUT = "found" *) (* sigis = "Rst" *) wire s_axi_aresetn;
wire s_axi_arready;
wire s_axi_arvalid;
wire [8:0]s_axi_awaddr;
wire s_axi_awvalid;
wire s_axi_bready;
wire s_axi_bvalid;
wire [31:0]\^s_axi_rdata ;
wire s_axi_rready;
wire s_axi_rvalid;
wire [31:0]s_axi_wdata;
wire s_axi_wready;
wire s_axi_wvalid;
assign gpio2_io_o[31] = \<const0> ;
assign gpio2_io_o[30] = \<const0> ;
assign gpio2_io_o[29] = \<const0> ;
assign gpio2_io_o[28] = \<const0> ;
assign gpio2_io_o[27] = \<const0> ;
assign gpio2_io_o[26] = \<const0> ;
assign gpio2_io_o[25] = \<const0> ;
assign gpio2_io_o[24] = \<const0> ;
assign gpio2_io_o[23] = \<const0> ;
assign gpio2_io_o[22] = \<const0> ;
assign gpio2_io_o[21] = \<const0> ;
assign gpio2_io_o[20] = \<const0> ;
assign gpio2_io_o[19] = \<const0> ;
assign gpio2_io_o[18] = \<const0> ;
assign gpio2_io_o[17] = \<const0> ;
assign gpio2_io_o[16] = \<const0> ;
assign gpio2_io_o[15] = \<const0> ;
assign gpio2_io_o[14] = \<const0> ;
assign gpio2_io_o[13] = \<const0> ;
assign gpio2_io_o[12] = \<const0> ;
assign gpio2_io_o[11] = \<const0> ;
assign gpio2_io_o[10] = \<const0> ;
assign gpio2_io_o[9] = \<const0> ;
assign gpio2_io_o[8] = \<const0> ;
assign gpio2_io_o[7] = \<const0> ;
assign gpio2_io_o[6] = \<const0> ;
assign gpio2_io_o[5] = \<const0> ;
assign gpio2_io_o[4] = \<const0> ;
assign gpio2_io_o[3] = \<const0> ;
assign gpio2_io_o[2] = \<const0> ;
assign gpio2_io_o[1] = \<const0> ;
assign gpio2_io_o[0] = \<const0> ;
assign gpio2_io_t[31] = \<const1> ;
assign gpio2_io_t[30] = \<const1> ;
assign gpio2_io_t[29] = \<const1> ;
assign gpio2_io_t[28] = \<const1> ;
assign gpio2_io_t[27] = \<const1> ;
assign gpio2_io_t[26] = \<const1> ;
assign gpio2_io_t[25] = \<const1> ;
assign gpio2_io_t[24] = \<const1> ;
assign gpio2_io_t[23] = \<const1> ;
assign gpio2_io_t[22] = \<const1> ;
assign gpio2_io_t[21] = \<const1> ;
assign gpio2_io_t[20] = \<const1> ;
assign gpio2_io_t[19] = \<const1> ;
assign gpio2_io_t[18] = \<const1> ;
assign gpio2_io_t[17] = \<const1> ;
assign gpio2_io_t[16] = \<const1> ;
assign gpio2_io_t[15] = \<const1> ;
assign gpio2_io_t[14] = \<const1> ;
assign gpio2_io_t[13] = \<const1> ;
assign gpio2_io_t[12] = \<const1> ;
assign gpio2_io_t[11] = \<const1> ;
assign gpio2_io_t[10] = \<const1> ;
assign gpio2_io_t[9] = \<const1> ;
assign gpio2_io_t[8] = \<const1> ;
assign gpio2_io_t[7] = \<const1> ;
assign gpio2_io_t[6] = \<const1> ;
assign gpio2_io_t[5] = \<const1> ;
assign gpio2_io_t[4] = \<const1> ;
assign gpio2_io_t[3] = \<const1> ;
assign gpio2_io_t[2] = \<const1> ;
assign gpio2_io_t[1] = \<const1> ;
assign gpio2_io_t[0] = \<const1> ;
assign s_axi_awready = s_axi_wready;
assign s_axi_bresp[1] = \<const0> ;
assign s_axi_bresp[0] = \<const0> ;
assign s_axi_rdata[31] = \^s_axi_rdata [31];
assign s_axi_rdata[30] = \<const0> ;
assign s_axi_rdata[29] = \<const0> ;
assign s_axi_rdata[28] = \<const0> ;
assign s_axi_rdata[27] = \<const0> ;
assign s_axi_rdata[26] = \<const0> ;
assign s_axi_rdata[25] = \<const0> ;
assign s_axi_rdata[24] = \<const0> ;
assign s_axi_rdata[23] = \<const0> ;
assign s_axi_rdata[22] = \<const0> ;
assign s_axi_rdata[21] = \<const0> ;
assign s_axi_rdata[20] = \<const0> ;
assign s_axi_rdata[19] = \<const0> ;
assign s_axi_rdata[18] = \<const0> ;
assign s_axi_rdata[17] = \<const0> ;
assign s_axi_rdata[16] = \<const0> ;
assign s_axi_rdata[15] = \<const0> ;
assign s_axi_rdata[14] = \<const0> ;
assign s_axi_rdata[13] = \<const0> ;
assign s_axi_rdata[12] = \<const0> ;
assign s_axi_rdata[11] = \<const0> ;
assign s_axi_rdata[10] = \<const0> ;
assign s_axi_rdata[9] = \<const0> ;
assign s_axi_rdata[8] = \<const0> ;
assign s_axi_rdata[7:0] = \^s_axi_rdata [7:0];
assign s_axi_rresp[1] = \<const0> ;
assign s_axi_rresp[0] = \<const0> ;
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif AXI_LITE_IPIF_I
(.Bus_RNW_reg(\I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ),
.D({DBus_Reg[0],DBus_Reg[1],DBus_Reg[2],DBus_Reg[3],DBus_Reg[4],DBus_Reg[5],DBus_Reg[6],DBus_Reg[7]}),
.E(AXI_LITE_IPIF_I_n_24),
.GPIO_DBus_i(GPIO_DBus_i),
.GPIO_xferAck_i(GPIO_xferAck_i),
.\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg (AXI_LITE_IPIF_I_n_33),
.\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg (AXI_LITE_IPIF_I_n_35),
.\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] (AXI_LITE_IPIF_I_n_22),
.\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] (AXI_LITE_IPIF_I_n_21),
.\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] (AXI_LITE_IPIF_I_n_20),
.\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] (AXI_LITE_IPIF_I_n_19),
.\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] (AXI_LITE_IPIF_I_n_18),
.\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] (AXI_LITE_IPIF_I_n_17),
.\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] (AXI_LITE_IPIF_I_n_16),
.\Not_Dual.gpio_Data_Out_reg[0] (AXI_LITE_IPIF_I_n_25),
.Q({gpio_Data_In[0],gpio_Data_In[1],gpio_Data_In[2],gpio_Data_In[3],gpio_Data_In[4],gpio_Data_In[5],gpio_Data_In[6],gpio_Data_In[7]}),
.Read_Reg_Rst(Read_Reg_Rst),
.bus2ip_cs(bus2ip_cs),
.bus2ip_reset(bus2ip_reset),
.bus2ip_rnw(bus2ip_rnw),
.gpio_io_t(gpio_io_t),
.gpio_xferAck_Reg(gpio_xferAck_Reg),
.interrupt_wrce_strb(interrupt_wrce_strb),
.intr2bus_rdack0(intr2bus_rdack0),
.intr_rd_ce_or_reduce(intr_rd_ce_or_reduce),
.intr_wr_ce_or_reduce(intr_wr_ce_or_reduce),
.ip2Bus_RdAck_intr_reg_hole_d1(ip2Bus_RdAck_intr_reg_hole_d1),
.ip2Bus_WrAck_intr_reg_hole_d1(ip2Bus_WrAck_intr_reg_hole_d1),
.ip2bus_data(ip2bus_data[31]),
.\ip2bus_data_i_D1_reg[0] ({p_0_out,ip2bus_data_i}),
.\ip2bus_data_i_D1_reg[0]_0 ({ip2bus_data_i_D1[0],ip2bus_data_i_D1[24],ip2bus_data_i_D1[25],ip2bus_data_i_D1[26],ip2bus_data_i_D1[27],ip2bus_data_i_D1[28],ip2bus_data_i_D1[29],ip2bus_data_i_D1[30],ip2bus_data_i_D1[31]}),
.ip2bus_rdack_i_D1(ip2bus_rdack_i_D1),
.ip2bus_wrack_i_D1(ip2bus_wrack_i_D1),
.\ip_irpt_enable_reg_reg[0] (AXI_LITE_IPIF_I_n_37),
.ipif_glbl_irpt_enable_reg_reg(AXI_LITE_IPIF_I_n_38),
.irpt_rdack(irpt_rdack),
.irpt_rdack_d1(irpt_rdack_d1),
.irpt_wrack(irpt_wrack),
.irpt_wrack_d1(irpt_wrack_d1),
.p_0_in(p_0_in),
.p_1_in(p_1_in),
.p_3_in(p_3_in),
.p_8_in(\I_SLAVE_ATTACHMENT/I_DECODER/p_8_in ),
.s_axi_aclk(s_axi_aclk),
.s_axi_araddr(s_axi_araddr[8:2]),
.s_axi_aresetn(s_axi_aresetn),
.s_axi_arready(s_axi_arready),
.s_axi_arvalid(s_axi_arvalid),
.s_axi_awaddr(s_axi_awaddr[8:2]),
.s_axi_awvalid(s_axi_awvalid),
.s_axi_bready(s_axi_bready),
.s_axi_bvalid(s_axi_bvalid),
.s_axi_rdata({\^s_axi_rdata [31],\^s_axi_rdata [7:0]}),
.s_axi_rready(s_axi_rready),
.s_axi_rvalid(s_axi_rvalid),
.s_axi_wdata({s_axi_wdata[31:24],s_axi_wdata[7:0]}),
.s_axi_wready(s_axi_wready),
.s_axi_wvalid(s_axi_wvalid));
GND GND
(.G(\<const0> ));
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_interrupt_control \INTR_CTRLR_GEN.INTERRUPT_CONTROL_I
(.Bus_RNW_reg(\I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ),
.\GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11] (AXI_LITE_IPIF_I_n_38),
.\GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14] (AXI_LITE_IPIF_I_n_37),
.GPIO_intr(GPIO_intr),
.GPIO_xferAck_i(GPIO_xferAck_i),
.IP2INTC_Irpt_i(IP2INTC_Irpt_i),
.bus2ip_reset(bus2ip_reset),
.bus2ip_rnw(bus2ip_rnw),
.interrupt_wrce_strb(interrupt_wrce_strb),
.intr2bus_rdack0(intr2bus_rdack0),
.ip2Bus_RdAck_intr_reg_hole(ip2Bus_RdAck_intr_reg_hole),
.ip2Bus_WrAck_intr_reg_hole(ip2Bus_WrAck_intr_reg_hole),
.ip2bus_rdack_i(ip2bus_rdack_i),
.ip2bus_wrack_i(ip2bus_wrack_i),
.irpt_rdack(irpt_rdack),
.irpt_rdack_d1(irpt_rdack_d1),
.irpt_wrack(irpt_wrack),
.irpt_wrack_d1(irpt_wrack_d1),
.p_0_in(p_0_in),
.p_1_in(p_1_in),
.p_3_in(p_3_in),
.p_8_in(\I_SLAVE_ATTACHMENT/I_DECODER/p_8_in ),
.s_axi_aclk(s_axi_aclk),
.s_axi_wdata(s_axi_wdata[0]));
FDRE \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_d1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(intr_rd_ce_or_reduce),
.Q(ip2Bus_RdAck_intr_reg_hole_d1),
.R(bus2ip_reset));
FDRE \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(AXI_LITE_IPIF_I_n_33),
.Q(ip2Bus_RdAck_intr_reg_hole),
.R(bus2ip_reset));
FDRE \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(intr_wr_ce_or_reduce),
.Q(ip2Bus_WrAck_intr_reg_hole_d1),
.R(bus2ip_reset));
FDRE \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(AXI_LITE_IPIF_I_n_35),
.Q(ip2Bus_WrAck_intr_reg_hole),
.R(bus2ip_reset));
(* sigis = "INTR_LEVEL_HIGH" *)
FDRE \INTR_CTRLR_GEN.ip2intc_irpt_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(IP2INTC_Irpt_i),
.Q(ip2intc_irpt),
.R(bus2ip_reset));
VCC VCC
(.P(\<const1> ));
LUT1 #(
.INIT(2'h1))
bus2ip_reset_i_1
(.I0(s_axi_aresetn),
.O(bus2ip_reset_i_1_n_0));
FDRE bus2ip_reset_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(bus2ip_reset_i_1_n_0),
.Q(bus2ip_reset),
.R(1'b0));
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_GPIO_Core gpio_core_1
(.D({DBus_Reg[0],DBus_Reg[1],DBus_Reg[2],DBus_Reg[3],DBus_Reg[4],DBus_Reg[5],DBus_Reg[6],DBus_Reg[7]}),
.E(AXI_LITE_IPIF_I_n_25),
.GPIO_DBus_i(GPIO_DBus_i),
.GPIO_intr(GPIO_intr),
.GPIO_xferAck_i(GPIO_xferAck_i),
.\Not_Dual.gpio_OE_reg[1]_0 (AXI_LITE_IPIF_I_n_22),
.\Not_Dual.gpio_OE_reg[2]_0 (AXI_LITE_IPIF_I_n_21),
.\Not_Dual.gpio_OE_reg[3]_0 (AXI_LITE_IPIF_I_n_20),
.\Not_Dual.gpio_OE_reg[4]_0 (AXI_LITE_IPIF_I_n_19),
.\Not_Dual.gpio_OE_reg[5]_0 (AXI_LITE_IPIF_I_n_18),
.\Not_Dual.gpio_OE_reg[6]_0 (AXI_LITE_IPIF_I_n_17),
.\Not_Dual.gpio_OE_reg[7]_0 (AXI_LITE_IPIF_I_n_16),
.Q({gpio_Data_In[0],gpio_Data_In[1],gpio_Data_In[2],gpio_Data_In[3],gpio_Data_In[4],gpio_Data_In[5],gpio_Data_In[6],gpio_Data_In[7]}),
.Read_Reg_Rst(Read_Reg_Rst),
.bus2ip_cs(bus2ip_cs),
.bus2ip_reset(bus2ip_reset),
.bus2ip_rnw_i_reg(AXI_LITE_IPIF_I_n_24),
.gpio_io_i(gpio_io_i),
.gpio_io_o(gpio_io_o),
.gpio_io_t(gpio_io_t),
.gpio_xferAck_Reg(gpio_xferAck_Reg),
.ip2bus_data({ip2bus_data[24],ip2bus_data[25],ip2bus_data[26],ip2bus_data[27],ip2bus_data[28],ip2bus_data[29],ip2bus_data[30],ip2bus_data[31]}),
.s_axi_aclk(s_axi_aclk));
FDRE \ip2bus_data_i_D1_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(p_0_out),
.Q(ip2bus_data_i_D1[0]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[24]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[24]),
.Q(ip2bus_data_i_D1[24]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[25]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[25]),
.Q(ip2bus_data_i_D1[25]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[26]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[26]),
.Q(ip2bus_data_i_D1[26]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[27]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[27]),
.Q(ip2bus_data_i_D1[27]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[28]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[28]),
.Q(ip2bus_data_i_D1[28]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[29]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[29]),
.Q(ip2bus_data_i_D1[29]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[30]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data[30]),
.Q(ip2bus_data_i_D1[30]),
.R(bus2ip_reset));
FDRE \ip2bus_data_i_D1_reg[31]
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_data_i),
.Q(ip2bus_data_i_D1[31]),
.R(bus2ip_reset));
FDRE ip2bus_rdack_i_D1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_rdack_i),
.Q(ip2bus_rdack_i_D1),
.R(bus2ip_reset));
FDRE ip2bus_wrack_i_D1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(ip2bus_wrack_i),
.Q(ip2bus_wrack_i_D1),
.R(bus2ip_reset));
endmodule
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif
(p_8_in,
bus2ip_rnw,
bus2ip_cs,
Bus_RNW_reg,
s_axi_rvalid,
s_axi_bvalid,
s_axi_arready,
s_axi_wready,
D,
\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ,
\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ,
\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ,
\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ,
\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ,
\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ,
\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ,
GPIO_DBus_i,
E,
\Not_Dual.gpio_Data_Out_reg[0] ,
\ip2bus_data_i_D1_reg[0] ,
intr2bus_rdack0,
irpt_rdack,
irpt_wrack,
interrupt_wrce_strb,
Read_Reg_Rst,
\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ,
intr_rd_ce_or_reduce,
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ,
intr_wr_ce_or_reduce,
\ip_irpt_enable_reg_reg[0] ,
ipif_glbl_irpt_enable_reg_reg,
s_axi_rdata,
bus2ip_reset,
s_axi_aclk,
s_axi_arvalid,
s_axi_aresetn,
ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1,
s_axi_bready,
s_axi_rready,
s_axi_awaddr,
s_axi_araddr,
s_axi_awvalid,
s_axi_wvalid,
s_axi_wdata,
gpio_io_t,
Q,
p_0_in,
irpt_rdack_d1,
irpt_wrack_d1,
ip2bus_data,
p_3_in,
p_1_in,
GPIO_xferAck_i,
gpio_xferAck_Reg,
ip2Bus_RdAck_intr_reg_hole_d1,
ip2Bus_WrAck_intr_reg_hole_d1,
\ip2bus_data_i_D1_reg[0]_0 );
output p_8_in;
output bus2ip_rnw;
output [0:0]bus2ip_cs;
output Bus_RNW_reg;
output s_axi_rvalid;
output s_axi_bvalid;
output s_axi_arready;
output s_axi_wready;
output [7:0]D;
output \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ;
output \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ;
output \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ;
output \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ;
output \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ;
output \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ;
output \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ;
output [0:0]GPIO_DBus_i;
output [0:0]E;
output [0:0]\Not_Dual.gpio_Data_Out_reg[0] ;
output [1:0]\ip2bus_data_i_D1_reg[0] ;
output intr2bus_rdack0;
output irpt_rdack;
output irpt_wrack;
output interrupt_wrce_strb;
output Read_Reg_Rst;
output \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ;
output intr_rd_ce_or_reduce;
output \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ;
output intr_wr_ce_or_reduce;
output \ip_irpt_enable_reg_reg[0] ;
output ipif_glbl_irpt_enable_reg_reg;
output [8:0]s_axi_rdata;
input bus2ip_reset;
input s_axi_aclk;
input s_axi_arvalid;
input s_axi_aresetn;
input ip2bus_rdack_i_D1;
input ip2bus_wrack_i_D1;
input s_axi_bready;
input s_axi_rready;
input [6:0]s_axi_awaddr;
input [6:0]s_axi_araddr;
input s_axi_awvalid;
input s_axi_wvalid;
input [15:0]s_axi_wdata;
input [7:0]gpio_io_t;
input [7:0]Q;
input [0:0]p_0_in;
input irpt_rdack_d1;
input irpt_wrack_d1;
input [0:0]ip2bus_data;
input [0:0]p_3_in;
input [0:0]p_1_in;
input GPIO_xferAck_i;
input gpio_xferAck_Reg;
input ip2Bus_RdAck_intr_reg_hole_d1;
input ip2Bus_WrAck_intr_reg_hole_d1;
input [8:0]\ip2bus_data_i_D1_reg[0]_0 ;
wire Bus_RNW_reg;
wire [7:0]D;
wire [0:0]E;
wire [0:0]GPIO_DBus_i;
wire GPIO_xferAck_i;
wire \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ;
wire \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ;
wire \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ;
wire \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ;
wire \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ;
wire \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ;
wire \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ;
wire \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ;
wire \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ;
wire [0:0]\Not_Dual.gpio_Data_Out_reg[0] ;
wire [7:0]Q;
wire Read_Reg_Rst;
wire [0:0]bus2ip_cs;
wire bus2ip_reset;
wire bus2ip_rnw;
wire [7:0]gpio_io_t;
wire gpio_xferAck_Reg;
wire interrupt_wrce_strb;
wire intr2bus_rdack0;
wire intr_rd_ce_or_reduce;
wire intr_wr_ce_or_reduce;
wire ip2Bus_RdAck_intr_reg_hole_d1;
wire ip2Bus_WrAck_intr_reg_hole_d1;
wire [0:0]ip2bus_data;
wire [1:0]\ip2bus_data_i_D1_reg[0] ;
wire [8:0]\ip2bus_data_i_D1_reg[0]_0 ;
wire ip2bus_rdack_i_D1;
wire ip2bus_wrack_i_D1;
wire \ip_irpt_enable_reg_reg[0] ;
wire ipif_glbl_irpt_enable_reg_reg;
wire irpt_rdack;
wire irpt_rdack_d1;
wire irpt_wrack;
wire irpt_wrack_d1;
wire [0:0]p_0_in;
wire [0:0]p_1_in;
wire [0:0]p_3_in;
wire p_8_in;
wire s_axi_aclk;
wire [6:0]s_axi_araddr;
wire s_axi_aresetn;
wire s_axi_arready;
wire s_axi_arvalid;
wire [6:0]s_axi_awaddr;
wire s_axi_awvalid;
wire s_axi_bready;
wire s_axi_bvalid;
wire [8:0]s_axi_rdata;
wire s_axi_rready;
wire s_axi_rvalid;
wire [15:0]s_axi_wdata;
wire s_axi_wready;
wire s_axi_wvalid;
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment I_SLAVE_ATTACHMENT
(.D(D),
.E(E),
.GPIO_DBus_i(GPIO_DBus_i),
.GPIO_xferAck_i(GPIO_xferAck_i),
.\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg (\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ),
.\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg (\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ),
.\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] (\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ),
.\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] (\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ),
.\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] (\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ),
.\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] (\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ),
.\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] (\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ),
.\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] (\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ),
.\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] (\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ),
.\Not_Dual.gpio_Data_Out_reg[0] (\Not_Dual.gpio_Data_Out_reg[0] ),
.\Not_Dual.gpio_Data_Out_reg[7] (bus2ip_cs),
.\Not_Dual.gpio_OE_reg[0] (bus2ip_rnw),
.Q(Q),
.Read_Reg_Rst(Read_Reg_Rst),
.bus2ip_reset(bus2ip_reset),
.gpio_io_t(gpio_io_t),
.gpio_xferAck_Reg(gpio_xferAck_Reg),
.interrupt_wrce_strb(interrupt_wrce_strb),
.intr2bus_rdack0(intr2bus_rdack0),
.intr_rd_ce_or_reduce(intr_rd_ce_or_reduce),
.intr_wr_ce_or_reduce(intr_wr_ce_or_reduce),
.ip2Bus_RdAck_intr_reg_hole_d1(ip2Bus_RdAck_intr_reg_hole_d1),
.ip2Bus_WrAck_intr_reg_hole_d1(ip2Bus_WrAck_intr_reg_hole_d1),
.ip2bus_data(ip2bus_data),
.\ip2bus_data_i_D1_reg[0] (p_8_in),
.\ip2bus_data_i_D1_reg[0]_0 (\ip2bus_data_i_D1_reg[0] ),
.\ip2bus_data_i_D1_reg[0]_1 (\ip2bus_data_i_D1_reg[0]_0 ),
.ip2bus_rdack_i_D1(ip2bus_rdack_i_D1),
.ip2bus_wrack_i_D1(ip2bus_wrack_i_D1),
.\ip_irpt_enable_reg_reg[0] (Bus_RNW_reg),
.\ip_irpt_enable_reg_reg[0]_0 (\ip_irpt_enable_reg_reg[0] ),
.ipif_glbl_irpt_enable_reg_reg(ipif_glbl_irpt_enable_reg_reg),
.irpt_rdack(irpt_rdack),
.irpt_rdack_d1(irpt_rdack_d1),
.irpt_wrack(irpt_wrack),
.irpt_wrack_d1(irpt_wrack_d1),
.p_0_in(p_0_in),
.p_1_in(p_1_in),
.p_3_in(p_3_in),
.s_axi_aclk(s_axi_aclk),
.s_axi_araddr(s_axi_araddr),
.s_axi_aresetn(s_axi_aresetn),
.s_axi_arready(s_axi_arready),
.s_axi_arvalid(s_axi_arvalid),
.s_axi_awaddr(s_axi_awaddr),
.s_axi_awvalid(s_axi_awvalid),
.s_axi_bready(s_axi_bready),
.s_axi_bvalid(s_axi_bvalid),
.s_axi_rdata(s_axi_rdata),
.s_axi_rready(s_axi_rready),
.s_axi_rvalid(s_axi_rvalid),
.s_axi_wdata(s_axi_wdata),
.s_axi_wready(s_axi_wready),
.s_axi_wvalid(s_axi_wvalid));
endmodule
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync
(D,
scndry_vect_out,
Q,
gpio_io_i,
s_axi_aclk);
output [7:0]D;
output [7:0]scndry_vect_out;
input [7:0]Q;
input [7:0]gpio_io_i;
input s_axi_aclk;
wire [7:0]D;
wire [7:0]Q;
wire [7:0]gpio_io_i;
wire s_axi_aclk;
wire s_level_out_bus_d1_cdc_to_0;
wire s_level_out_bus_d1_cdc_to_1;
wire s_level_out_bus_d1_cdc_to_2;
wire s_level_out_bus_d1_cdc_to_3;
wire s_level_out_bus_d1_cdc_to_4;
wire s_level_out_bus_d1_cdc_to_5;
wire s_level_out_bus_d1_cdc_to_6;
wire s_level_out_bus_d1_cdc_to_7;
wire s_level_out_bus_d2_0;
wire s_level_out_bus_d2_1;
wire s_level_out_bus_d2_2;
wire s_level_out_bus_d2_3;
wire s_level_out_bus_d2_4;
wire s_level_out_bus_d2_5;
wire s_level_out_bus_d2_6;
wire s_level_out_bus_d2_7;
wire s_level_out_bus_d3_0;
wire s_level_out_bus_d3_1;
wire s_level_out_bus_d3_2;
wire s_level_out_bus_d3_3;
wire s_level_out_bus_d3_4;
wire s_level_out_bus_d3_5;
wire s_level_out_bus_d3_6;
wire s_level_out_bus_d3_7;
wire [7:0]scndry_vect_out;
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_0),
.Q(s_level_out_bus_d2_0),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_1),
.Q(s_level_out_bus_d2_1),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_2),
.Q(s_level_out_bus_d2_2),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_3),
.Q(s_level_out_bus_d2_3),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_4),
.Q(s_level_out_bus_d2_4),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_5),
.Q(s_level_out_bus_d2_5),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_6),
.Q(s_level_out_bus_d2_6),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d1_cdc_to_7),
.Q(s_level_out_bus_d2_7),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_0),
.Q(s_level_out_bus_d3_0),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_1),
.Q(s_level_out_bus_d3_1),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_2),
.Q(s_level_out_bus_d3_2),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_3),
.Q(s_level_out_bus_d3_3),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_4),
.Q(s_level_out_bus_d3_4),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_5),
.Q(s_level_out_bus_d3_5),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_6),
.Q(s_level_out_bus_d3_6),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d2_7),
.Q(s_level_out_bus_d3_7),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_0),
.Q(scndry_vect_out[0]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_1),
.Q(scndry_vect_out[1]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_2),
.Q(scndry_vect_out[2]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_3),
.Q(scndry_vect_out[3]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_4),
.Q(scndry_vect_out[4]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_5),
.Q(scndry_vect_out[5]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_6),
.Q(scndry_vect_out[6]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_level_out_bus_d3_7),
.Q(scndry_vect_out[7]),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[0]),
.Q(s_level_out_bus_d1_cdc_to_0),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[1]),
.Q(s_level_out_bus_d1_cdc_to_1),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[2]),
.Q(s_level_out_bus_d1_cdc_to_2),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[3]),
.Q(s_level_out_bus_d1_cdc_to_3),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[4]),
.Q(s_level_out_bus_d1_cdc_to_4),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[5]),
.Q(s_level_out_bus_d1_cdc_to_5),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[6]),
.Q(s_level_out_bus_d1_cdc_to_6),
.R(1'b0));
(* ASYNC_REG *)
(* XILINX_LEGACY_PRIM = "FDR" *)
(* box_type = "PRIMITIVE" *)
FDRE #(
.INIT(1'b0))
\GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to
(.C(s_axi_aclk),
.CE(1'b1),
.D(gpio_io_i[7]),
.Q(s_level_out_bus_d1_cdc_to_7),
.R(1'b0));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[0]_i_1
(.I0(Q[7]),
.I1(scndry_vect_out[7]),
.O(D[7]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[1]_i_1
(.I0(Q[6]),
.I1(scndry_vect_out[6]),
.O(D[6]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[2]_i_1
(.I0(Q[5]),
.I1(scndry_vect_out[5]),
.O(D[5]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[3]_i_1
(.I0(Q[4]),
.I1(scndry_vect_out[4]),
.O(D[4]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[4]_i_1
(.I0(Q[3]),
.I1(scndry_vect_out[3]),
.O(D[3]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[5]_i_1
(.I0(Q[2]),
.I1(scndry_vect_out[2]),
.O(D[2]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[6]_i_1
(.I0(Q[1]),
.I1(scndry_vect_out[1]),
.O(D[1]));
LUT2 #(
.INIT(4'h6))
\Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[7]_i_1
(.I0(Q[0]),
.I1(scndry_vect_out[0]),
.O(D[0]));
endmodule
(* CHECK_LICENSE_TYPE = "design_1_axi_gpio_2_0,axi_gpio,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "axi_gpio,Vivado 2016.4" *)
(* NotValidForBitStream *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
(s_axi_aclk,
s_axi_aresetn,
s_axi_awaddr,
s_axi_awvalid,
s_axi_awready,
s_axi_wdata,
s_axi_wstrb,
s_axi_wvalid,
s_axi_wready,
s_axi_bresp,
s_axi_bvalid,
s_axi_bready,
s_axi_araddr,
s_axi_arvalid,
s_axi_arready,
s_axi_rdata,
s_axi_rresp,
s_axi_rvalid,
s_axi_rready,
ip2intc_irpt,
gpio_io_i,
gpio_io_o,
gpio_io_t);
(* x_interface_info = "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK" *) input s_axi_aclk;
(* x_interface_info = "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST" *) input s_axi_aresetn;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input [8:0]s_axi_awaddr;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input s_axi_awvalid;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output s_axi_awready;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input [31:0]s_axi_wdata;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input [3:0]s_axi_wstrb;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) input s_axi_wvalid;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) output s_axi_wready;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) output [1:0]s_axi_bresp;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) output s_axi_bvalid;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input s_axi_bready;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input [8:0]s_axi_araddr;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input s_axi_arvalid;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output s_axi_arready;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) output [31:0]s_axi_rdata;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) output [1:0]s_axi_rresp;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) output s_axi_rvalid;
(* x_interface_info = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) input s_axi_rready;
(* x_interface_info = "xilinx.com:signal:interrupt:1.0 IP2INTC_IRQ INTERRUPT" *) output ip2intc_irpt;
(* x_interface_info = "xilinx.com:interface:gpio:1.0 GPIO TRI_I" *) input [7:0]gpio_io_i;
(* x_interface_info = "xilinx.com:interface:gpio:1.0 GPIO TRI_O" *) output [7:0]gpio_io_o;
(* x_interface_info = "xilinx.com:interface:gpio:1.0 GPIO TRI_T" *) output [7:0]gpio_io_t;
wire [7:0]gpio_io_i;
wire [7:0]gpio_io_o;
wire [7:0]gpio_io_t;
wire ip2intc_irpt;
wire s_axi_aclk;
wire [8:0]s_axi_araddr;
wire s_axi_aresetn;
wire s_axi_arready;
wire s_axi_arvalid;
wire [8:0]s_axi_awaddr;
wire s_axi_awready;
wire s_axi_awvalid;
wire s_axi_bready;
wire [1:0]s_axi_bresp;
wire s_axi_bvalid;
wire [31:0]s_axi_rdata;
wire s_axi_rready;
wire [1:0]s_axi_rresp;
wire s_axi_rvalid;
wire [31:0]s_axi_wdata;
wire s_axi_wready;
wire [3:0]s_axi_wstrb;
wire s_axi_wvalid;
wire [31:0]NLW_U0_gpio2_io_o_UNCONNECTED;
wire [31:0]NLW_U0_gpio2_io_t_UNCONNECTED;
(* C_ALL_INPUTS = "0" *)
(* C_ALL_INPUTS_2 = "0" *)
(* C_ALL_OUTPUTS = "0" *)
(* C_ALL_OUTPUTS_2 = "0" *)
(* C_DOUT_DEFAULT = "0" *)
(* C_DOUT_DEFAULT_2 = "0" *)
(* C_FAMILY = "zynq" *)
(* C_GPIO2_WIDTH = "32" *)
(* C_GPIO_WIDTH = "8" *)
(* C_INTERRUPT_PRESENT = "1" *)
(* C_IS_DUAL = "0" *)
(* C_S_AXI_ADDR_WIDTH = "9" *)
(* C_S_AXI_DATA_WIDTH = "32" *)
(* C_TRI_DEFAULT = "-1" *)
(* C_TRI_DEFAULT_2 = "-1" *)
(* downgradeipidentifiedwarnings = "yes" *)
(* ip_group = "LOGICORE" *)
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio U0
(.gpio2_io_i({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.gpio2_io_o(NLW_U0_gpio2_io_o_UNCONNECTED[31:0]),
.gpio2_io_t(NLW_U0_gpio2_io_t_UNCONNECTED[31:0]),
.gpio_io_i(gpio_io_i),
.gpio_io_o(gpio_io_o),
.gpio_io_t(gpio_io_t),
.ip2intc_irpt(ip2intc_irpt),
.s_axi_aclk(s_axi_aclk),
.s_axi_araddr(s_axi_araddr),
.s_axi_aresetn(s_axi_aresetn),
.s_axi_arready(s_axi_arready),
.s_axi_arvalid(s_axi_arvalid),
.s_axi_awaddr(s_axi_awaddr),
.s_axi_awready(s_axi_awready),
.s_axi_awvalid(s_axi_awvalid),
.s_axi_bready(s_axi_bready),
.s_axi_bresp(s_axi_bresp),
.s_axi_bvalid(s_axi_bvalid),
.s_axi_rdata(s_axi_rdata),
.s_axi_rready(s_axi_rready),
.s_axi_rresp(s_axi_rresp),
.s_axi_rvalid(s_axi_rvalid),
.s_axi_wdata(s_axi_wdata),
.s_axi_wready(s_axi_wready),
.s_axi_wstrb(s_axi_wstrb),
.s_axi_wvalid(s_axi_wvalid));
endmodule
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_interrupt_control
(irpt_wrack_d1,
p_3_in,
irpt_rdack_d1,
p_1_in,
p_0_in,
IP2INTC_Irpt_i,
ip2bus_wrack_i,
ip2bus_rdack_i,
bus2ip_reset,
irpt_wrack,
s_axi_aclk,
GPIO_intr,
interrupt_wrce_strb,
irpt_rdack,
intr2bus_rdack0,
\GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14] ,
\GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11] ,
p_8_in,
s_axi_wdata,
Bus_RNW_reg,
ip2Bus_WrAck_intr_reg_hole,
bus2ip_rnw,
GPIO_xferAck_i,
ip2Bus_RdAck_intr_reg_hole);
output irpt_wrack_d1;
output [0:0]p_3_in;
output irpt_rdack_d1;
output [0:0]p_1_in;
output [0:0]p_0_in;
output IP2INTC_Irpt_i;
output ip2bus_wrack_i;
output ip2bus_rdack_i;
input bus2ip_reset;
input irpt_wrack;
input s_axi_aclk;
input GPIO_intr;
input interrupt_wrce_strb;
input irpt_rdack;
input intr2bus_rdack0;
input \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14] ;
input \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11] ;
input p_8_in;
input [0:0]s_axi_wdata;
input Bus_RNW_reg;
input ip2Bus_WrAck_intr_reg_hole;
input bus2ip_rnw;
input GPIO_xferAck_i;
input ip2Bus_RdAck_intr_reg_hole;
wire Bus_RNW_reg;
wire \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11] ;
wire \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14] ;
wire \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1_n_0 ;
wire \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2_n_0 ;
wire GPIO_intr;
wire GPIO_xferAck_i;
wire IP2INTC_Irpt_i;
wire bus2ip_reset;
wire bus2ip_rnw;
wire interrupt_wrce_strb;
wire intr2bus_rdack;
wire intr2bus_rdack0;
wire intr2bus_wrack;
wire ip2Bus_RdAck_intr_reg_hole;
wire ip2Bus_WrAck_intr_reg_hole;
wire ip2bus_rdack_i;
wire ip2bus_wrack_i;
wire irpt_dly1;
wire irpt_dly2;
wire irpt_rdack;
wire irpt_rdack_d1;
wire irpt_wrack;
wire irpt_wrack_d1;
wire [0:0]p_0_in;
wire [0:0]p_1_in;
wire [0:0]p_3_in;
wire p_8_in;
wire s_axi_aclk;
wire [0:0]s_axi_wdata;
FDSE \DO_IRPT_INPUT[0].GEN_POS_EDGE_DETECT.irpt_dly1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(GPIO_intr),
.Q(irpt_dly1),
.S(bus2ip_reset));
FDSE \DO_IRPT_INPUT[0].GEN_POS_EDGE_DETECT.irpt_dly2_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(irpt_dly1),
.Q(irpt_dly2),
.S(bus2ip_reset));
LUT6 #(
.INIT(64'hF4F4F4F44FF4F4F4))
\GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1
(.I0(irpt_dly2),
.I1(irpt_dly1),
.I2(p_3_in),
.I3(p_8_in),
.I4(s_axi_wdata),
.I5(\GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2_n_0 ),
.O(\GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1_n_0 ));
LUT2 #(
.INIT(4'hE))
\GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2
(.I0(irpt_wrack_d1),
.I1(Bus_RNW_reg),
.O(\GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2_n_0 ));
FDRE \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1_n_0 ),
.Q(p_3_in),
.R(bus2ip_reset));
LUT3 #(
.INIT(8'h80))
\INTR_CTRLR_GEN.ip2intc_irpt_i_1
(.I0(p_3_in),
.I1(p_1_in),
.I2(p_0_in),
.O(IP2INTC_Irpt_i));
FDRE intr2bus_rdack_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(intr2bus_rdack0),
.Q(intr2bus_rdack),
.R(bus2ip_reset));
FDRE intr2bus_wrack_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(interrupt_wrce_strb),
.Q(intr2bus_wrack),
.R(bus2ip_reset));
LUT4 #(
.INIT(16'hFEEE))
ip2bus_rdack_i_D1_i_1
(.I0(ip2Bus_RdAck_intr_reg_hole),
.I1(intr2bus_rdack),
.I2(bus2ip_rnw),
.I3(GPIO_xferAck_i),
.O(ip2bus_rdack_i));
LUT4 #(
.INIT(16'hEFEE))
ip2bus_wrack_i_D1_i_1
(.I0(ip2Bus_WrAck_intr_reg_hole),
.I1(intr2bus_wrack),
.I2(bus2ip_rnw),
.I3(GPIO_xferAck_i),
.O(ip2bus_wrack_i));
FDRE \ip_irpt_enable_reg_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(\GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14] ),
.Q(p_1_in),
.R(bus2ip_reset));
FDRE ipif_glbl_irpt_enable_reg_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(\GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11] ),
.Q(p_0_in),
.R(bus2ip_reset));
FDRE irpt_rdack_d1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(irpt_rdack),
.Q(irpt_rdack_d1),
.R(bus2ip_reset));
FDRE irpt_wrack_d1_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(irpt_wrack),
.Q(irpt_wrack_d1),
.R(bus2ip_reset));
endmodule
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment
(\ip2bus_data_i_D1_reg[0] ,
\Not_Dual.gpio_OE_reg[0] ,
\Not_Dual.gpio_Data_Out_reg[7] ,
\ip_irpt_enable_reg_reg[0] ,
s_axi_rvalid,
s_axi_bvalid,
s_axi_arready,
s_axi_wready,
D,
\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ,
\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ,
\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ,
\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ,
\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ,
\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ,
\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ,
GPIO_DBus_i,
E,
\Not_Dual.gpio_Data_Out_reg[0] ,
\ip2bus_data_i_D1_reg[0]_0 ,
intr2bus_rdack0,
irpt_rdack,
irpt_wrack,
interrupt_wrce_strb,
Read_Reg_Rst,
\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ,
intr_rd_ce_or_reduce,
\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ,
intr_wr_ce_or_reduce,
\ip_irpt_enable_reg_reg[0]_0 ,
ipif_glbl_irpt_enable_reg_reg,
s_axi_rdata,
bus2ip_reset,
s_axi_aclk,
s_axi_arvalid,
s_axi_aresetn,
ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1,
s_axi_bready,
s_axi_rready,
s_axi_awaddr,
s_axi_araddr,
s_axi_awvalid,
s_axi_wvalid,
s_axi_wdata,
gpio_io_t,
Q,
p_0_in,
irpt_rdack_d1,
irpt_wrack_d1,
ip2bus_data,
p_3_in,
p_1_in,
GPIO_xferAck_i,
gpio_xferAck_Reg,
ip2Bus_RdAck_intr_reg_hole_d1,
ip2Bus_WrAck_intr_reg_hole_d1,
\ip2bus_data_i_D1_reg[0]_1 );
output \ip2bus_data_i_D1_reg[0] ;
output \Not_Dual.gpio_OE_reg[0] ;
output \Not_Dual.gpio_Data_Out_reg[7] ;
output \ip_irpt_enable_reg_reg[0] ;
output s_axi_rvalid;
output s_axi_bvalid;
output s_axi_arready;
output s_axi_wready;
output [7:0]D;
output \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ;
output \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ;
output \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ;
output \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ;
output \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ;
output \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ;
output \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ;
output [0:0]GPIO_DBus_i;
output [0:0]E;
output [0:0]\Not_Dual.gpio_Data_Out_reg[0] ;
output [1:0]\ip2bus_data_i_D1_reg[0]_0 ;
output intr2bus_rdack0;
output irpt_rdack;
output irpt_wrack;
output interrupt_wrce_strb;
output Read_Reg_Rst;
output \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ;
output intr_rd_ce_or_reduce;
output \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ;
output intr_wr_ce_or_reduce;
output \ip_irpt_enable_reg_reg[0]_0 ;
output ipif_glbl_irpt_enable_reg_reg;
output [8:0]s_axi_rdata;
input bus2ip_reset;
input s_axi_aclk;
input s_axi_arvalid;
input s_axi_aresetn;
input ip2bus_rdack_i_D1;
input ip2bus_wrack_i_D1;
input s_axi_bready;
input s_axi_rready;
input [6:0]s_axi_awaddr;
input [6:0]s_axi_araddr;
input s_axi_awvalid;
input s_axi_wvalid;
input [15:0]s_axi_wdata;
input [7:0]gpio_io_t;
input [7:0]Q;
input [0:0]p_0_in;
input irpt_rdack_d1;
input irpt_wrack_d1;
input [0:0]ip2bus_data;
input [0:0]p_3_in;
input [0:0]p_1_in;
input GPIO_xferAck_i;
input gpio_xferAck_Reg;
input ip2Bus_RdAck_intr_reg_hole_d1;
input ip2Bus_WrAck_intr_reg_hole_d1;
input [8:0]\ip2bus_data_i_D1_reg[0]_1 ;
wire [7:0]D;
wire [0:0]E;
wire [0:0]GPIO_DBus_i;
wire GPIO_xferAck_i;
wire [3:0]\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 ;
wire \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ;
wire \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ;
wire \Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ;
wire \Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ;
wire \Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ;
wire \Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ;
wire \Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ;
wire \Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ;
wire \Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ;
wire [0:0]\Not_Dual.gpio_Data_Out_reg[0] ;
wire \Not_Dual.gpio_Data_Out_reg[7] ;
wire \Not_Dual.gpio_OE_reg[0] ;
wire [7:0]Q;
wire Read_Reg_Rst;
wire [0:6]bus2ip_addr;
wire bus2ip_reset;
wire bus2ip_rnw_i06_out;
wire clear;
wire [7:0]gpio_io_t;
wire gpio_xferAck_Reg;
wire interrupt_wrce_strb;
wire intr2bus_rdack0;
wire intr_rd_ce_or_reduce;
wire intr_wr_ce_or_reduce;
wire ip2Bus_RdAck_intr_reg_hole_d1;
wire ip2Bus_WrAck_intr_reg_hole_d1;
wire [0:0]ip2bus_data;
wire \ip2bus_data_i_D1_reg[0] ;
wire [1:0]\ip2bus_data_i_D1_reg[0]_0 ;
wire [8:0]\ip2bus_data_i_D1_reg[0]_1 ;
wire ip2bus_rdack_i_D1;
wire ip2bus_wrack_i_D1;
wire \ip_irpt_enable_reg_reg[0] ;
wire \ip_irpt_enable_reg_reg[0]_0 ;
wire ipif_glbl_irpt_enable_reg_reg;
wire irpt_rdack;
wire irpt_rdack_d1;
wire irpt_wrack;
wire irpt_wrack_d1;
wire is_read;
wire is_read_i_1_n_0;
wire is_write;
wire is_write_i_1_n_0;
wire is_write_reg_n_0;
wire [0:0]p_0_in;
wire [1:0]p_0_out__0;
wire [0:0]p_1_in;
wire [8:2]p_1_in__0;
wire [0:0]p_3_in;
wire [3:0]plusOp;
wire s_axi_aclk;
wire [6:0]s_axi_araddr;
wire s_axi_aresetn;
wire s_axi_arready;
wire s_axi_arvalid;
wire [6:0]s_axi_awaddr;
wire s_axi_awvalid;
wire s_axi_bready;
wire s_axi_bvalid;
wire s_axi_bvalid_i_i_1_n_0;
wire [8:0]s_axi_rdata;
wire s_axi_rdata_i;
wire s_axi_rready;
wire s_axi_rvalid;
wire s_axi_rvalid_i_i_1_n_0;
wire [15:0]s_axi_wdata;
wire s_axi_wready;
wire s_axi_wvalid;
wire start2;
wire start2_i_1_n_0;
wire [1:0]state;
wire \state[1]_i_2_n_0 ;
wire \state[1]_i_3_n_0 ;
(* SOFT_HLUTNM = "soft_lutpair6" *)
LUT1 #(
.INIT(2'h1))
\INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1
(.I0(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [0]),
.O(plusOp[0]));
(* SOFT_HLUTNM = "soft_lutpair6" *)
LUT2 #(
.INIT(4'h6))
\INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1
(.I0(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [0]),
.I1(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [1]),
.O(plusOp[1]));
(* SOFT_HLUTNM = "soft_lutpair5" *)
LUT3 #(
.INIT(8'h78))
\INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1
(.I0(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [1]),
.I1(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [0]),
.I2(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [2]),
.O(plusOp[2]));
LUT2 #(
.INIT(4'h9))
\INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1
(.I0(state[1]),
.I1(state[0]),
.O(clear));
(* SOFT_HLUTNM = "soft_lutpair5" *)
LUT4 #(
.INIT(16'h7F80))
\INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2
(.I0(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [2]),
.I1(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [0]),
.I2(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [1]),
.I3(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [3]),
.O(plusOp[3]));
FDRE \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(plusOp[0]),
.Q(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [0]),
.R(clear));
FDRE \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]
(.C(s_axi_aclk),
.CE(1'b1),
.D(plusOp[1]),
.Q(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [1]),
.R(clear));
FDRE \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]
(.C(s_axi_aclk),
.CE(1'b1),
.D(plusOp[2]),
.Q(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [2]),
.R(clear));
FDRE \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]
(.C(s_axi_aclk),
.CE(1'b1),
.D(plusOp[3]),
.Q(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 [3]),
.R(clear));
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder I_DECODER
(.D(D),
.E(E),
.GPIO_DBus_i(GPIO_DBus_i),
.GPIO_xferAck_i(GPIO_xferAck_i),
.\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg (\INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg ),
.\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg (\INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg ),
.\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] (\Not_Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[25] ),
.\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] (\Not_Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[26] ),
.\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] (\Not_Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[27] ),
.\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] (\Not_Dual.READ_REG_GEN[4].GPIO_DBus_i_reg[28] ),
.\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] (\Not_Dual.READ_REG_GEN[5].GPIO_DBus_i_reg[29] ),
.\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] (\Not_Dual.READ_REG_GEN[6].GPIO_DBus_i_reg[30] ),
.\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] (\Not_Dual.READ_REG_GEN[7].GPIO_DBus_i_reg[31] ),
.\Not_Dual.gpio_Data_In_reg[0] (Q),
.\Not_Dual.gpio_Data_Out_reg[0] (\Not_Dual.gpio_Data_Out_reg[0] ),
.\Not_Dual.gpio_Data_Out_reg[7] (\Not_Dual.gpio_Data_Out_reg[7] ),
.Q(\INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0 ),
.Read_Reg_Rst(Read_Reg_Rst),
.\bus2ip_addr_i_reg[8] ({bus2ip_addr[0],bus2ip_addr[1],bus2ip_addr[2],bus2ip_addr[3],bus2ip_addr[4],bus2ip_addr[5],bus2ip_addr[6]}),
.bus2ip_reset(bus2ip_reset),
.bus2ip_rnw_i_reg(\Not_Dual.gpio_OE_reg[0] ),
.gpio_io_t(gpio_io_t),
.gpio_xferAck_Reg(gpio_xferAck_Reg),
.interrupt_wrce_strb(interrupt_wrce_strb),
.intr2bus_rdack0(intr2bus_rdack0),
.intr_rd_ce_or_reduce(intr_rd_ce_or_reduce),
.intr_wr_ce_or_reduce(intr_wr_ce_or_reduce),
.ip2Bus_RdAck_intr_reg_hole_d1(ip2Bus_RdAck_intr_reg_hole_d1),
.ip2Bus_WrAck_intr_reg_hole_d1(ip2Bus_WrAck_intr_reg_hole_d1),
.ip2bus_data(ip2bus_data),
.\ip2bus_data_i_D1_reg[0] (\ip2bus_data_i_D1_reg[0] ),
.\ip2bus_data_i_D1_reg[0]_0 (\ip2bus_data_i_D1_reg[0]_0 ),
.ip2bus_rdack_i_D1(ip2bus_rdack_i_D1),
.ip2bus_wrack_i_D1(ip2bus_wrack_i_D1),
.\ip_irpt_enable_reg_reg[0] (\ip_irpt_enable_reg_reg[0] ),
.\ip_irpt_enable_reg_reg[0]_0 (\ip_irpt_enable_reg_reg[0]_0 ),
.ipif_glbl_irpt_enable_reg_reg(ipif_glbl_irpt_enable_reg_reg),
.irpt_rdack(irpt_rdack),
.irpt_rdack_d1(irpt_rdack_d1),
.irpt_wrack(irpt_wrack),
.irpt_wrack_d1(irpt_wrack_d1),
.is_read(is_read),
.is_write_reg(is_write_reg_n_0),
.p_0_in(p_0_in),
.p_1_in(p_1_in),
.p_3_in(p_3_in),
.s_axi_aclk(s_axi_aclk),
.s_axi_aresetn(s_axi_aresetn),
.s_axi_arready(s_axi_arready),
.s_axi_wdata(s_axi_wdata),
.s_axi_wready(s_axi_wready),
.start2(start2));
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[2]_i_1
(.I0(s_axi_awaddr[0]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[0]),
.O(p_1_in__0[2]));
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[3]_i_1
(.I0(s_axi_awaddr[1]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[1]),
.O(p_1_in__0[3]));
(* SOFT_HLUTNM = "soft_lutpair4" *)
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[4]_i_1
(.I0(s_axi_awaddr[2]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[2]),
.O(p_1_in__0[4]));
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[5]_i_1
(.I0(s_axi_awaddr[3]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[3]),
.O(p_1_in__0[5]));
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[6]_i_1
(.I0(s_axi_awaddr[4]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[4]),
.O(p_1_in__0[6]));
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[7]_i_1
(.I0(s_axi_awaddr[5]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[5]),
.O(p_1_in__0[7]));
LUT5 #(
.INIT(32'hABAAA8AA))
\bus2ip_addr_i[8]_i_1
(.I0(s_axi_awaddr[6]),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_arvalid),
.I4(s_axi_araddr[6]),
.O(p_1_in__0[8]));
FDRE \bus2ip_addr_i_reg[2]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[2]),
.Q(bus2ip_addr[6]),
.R(bus2ip_reset));
FDRE \bus2ip_addr_i_reg[3]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[3]),
.Q(bus2ip_addr[5]),
.R(bus2ip_reset));
FDRE \bus2ip_addr_i_reg[4]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[4]),
.Q(bus2ip_addr[4]),
.R(bus2ip_reset));
FDRE \bus2ip_addr_i_reg[5]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[5]),
.Q(bus2ip_addr[3]),
.R(bus2ip_reset));
FDRE \bus2ip_addr_i_reg[6]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[6]),
.Q(bus2ip_addr[2]),
.R(bus2ip_reset));
FDRE \bus2ip_addr_i_reg[7]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[7]),
.Q(bus2ip_addr[1]),
.R(bus2ip_reset));
FDRE \bus2ip_addr_i_reg[8]
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(p_1_in__0[8]),
.Q(bus2ip_addr[0]),
.R(bus2ip_reset));
(* SOFT_HLUTNM = "soft_lutpair4" *)
LUT3 #(
.INIT(8'h02))
bus2ip_rnw_i_i_1
(.I0(s_axi_arvalid),
.I1(state[0]),
.I2(state[1]),
.O(bus2ip_rnw_i06_out));
FDRE bus2ip_rnw_i_reg
(.C(s_axi_aclk),
.CE(start2_i_1_n_0),
.D(bus2ip_rnw_i06_out),
.Q(\Not_Dual.gpio_OE_reg[0] ),
.R(bus2ip_reset));
LUT5 #(
.INIT(32'h3FFA000A))
is_read_i_1
(.I0(s_axi_arvalid),
.I1(\state[1]_i_2_n_0 ),
.I2(state[1]),
.I3(state[0]),
.I4(is_read),
.O(is_read_i_1_n_0));
FDRE is_read_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(is_read_i_1_n_0),
.Q(is_read),
.R(bus2ip_reset));
LUT6 #(
.INIT(64'h1000FFFF10000000))
is_write_i_1
(.I0(state[1]),
.I1(s_axi_arvalid),
.I2(s_axi_wvalid),
.I3(s_axi_awvalid),
.I4(is_write),
.I5(is_write_reg_n_0),
.O(is_write_i_1_n_0));
LUT6 #(
.INIT(64'hF88800000000FFFF))
is_write_i_2
(.I0(s_axi_bready),
.I1(s_axi_bvalid),
.I2(s_axi_rready),
.I3(s_axi_rvalid),
.I4(state[1]),
.I5(state[0]),
.O(is_write));
FDRE is_write_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(is_write_i_1_n_0),
.Q(is_write_reg_n_0),
.R(bus2ip_reset));
LUT5 #(
.INIT(32'h08FF0808))
s_axi_bvalid_i_i_1
(.I0(s_axi_wready),
.I1(state[1]),
.I2(state[0]),
.I3(s_axi_bready),
.I4(s_axi_bvalid),
.O(s_axi_bvalid_i_i_1_n_0));
FDRE #(
.INIT(1'b0))
s_axi_bvalid_i_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_axi_bvalid_i_i_1_n_0),
.Q(s_axi_bvalid),
.R(bus2ip_reset));
LUT2 #(
.INIT(4'h2))
\s_axi_rdata_i[31]_i_1
(.I0(state[0]),
.I1(state[1]),
.O(s_axi_rdata_i));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[0]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [0]),
.Q(s_axi_rdata[0]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[1]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [1]),
.Q(s_axi_rdata[1]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[2]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [2]),
.Q(s_axi_rdata[2]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[31]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [8]),
.Q(s_axi_rdata[8]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[3]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [3]),
.Q(s_axi_rdata[3]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[4]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [4]),
.Q(s_axi_rdata[4]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[5]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [5]),
.Q(s_axi_rdata[5]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[6]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [6]),
.Q(s_axi_rdata[6]),
.R(bus2ip_reset));
FDRE #(
.INIT(1'b0))
\s_axi_rdata_i_reg[7]
(.C(s_axi_aclk),
.CE(s_axi_rdata_i),
.D(\ip2bus_data_i_D1_reg[0]_1 [7]),
.Q(s_axi_rdata[7]),
.R(bus2ip_reset));
LUT5 #(
.INIT(32'h08FF0808))
s_axi_rvalid_i_i_1
(.I0(s_axi_arready),
.I1(state[0]),
.I2(state[1]),
.I3(s_axi_rready),
.I4(s_axi_rvalid),
.O(s_axi_rvalid_i_i_1_n_0));
FDRE #(
.INIT(1'b0))
s_axi_rvalid_i_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(s_axi_rvalid_i_i_1_n_0),
.Q(s_axi_rvalid),
.R(bus2ip_reset));
LUT5 #(
.INIT(32'h000000F8))
start2_i_1
(.I0(s_axi_awvalid),
.I1(s_axi_wvalid),
.I2(s_axi_arvalid),
.I3(state[0]),
.I4(state[1]),
.O(start2_i_1_n_0));
FDRE start2_reg
(.C(s_axi_aclk),
.CE(1'b1),
.D(start2_i_1_n_0),
.Q(start2),
.R(bus2ip_reset));
LUT5 #(
.INIT(32'h0FFFAACC))
\state[0]_i_1
(.I0(s_axi_wready),
.I1(s_axi_arvalid),
.I2(\state[1]_i_2_n_0 ),
.I3(state[1]),
.I4(state[0]),
.O(p_0_out__0[0]));
LUT6 #(
.INIT(64'h2E2E2E2ECCCCFFCC))
\state[1]_i_1
(.I0(s_axi_arready),
.I1(state[1]),
.I2(\state[1]_i_2_n_0 ),
.I3(\state[1]_i_3_n_0 ),
.I4(s_axi_arvalid),
.I5(state[0]),
.O(p_0_out__0[1]));
LUT4 #(
.INIT(16'hF888))
\state[1]_i_2
(.I0(s_axi_bready),
.I1(s_axi_bvalid),
.I2(s_axi_rready),
.I3(s_axi_rvalid),
.O(\state[1]_i_2_n_0 ));
LUT2 #(
.INIT(4'h8))
\state[1]_i_3
(.I0(s_axi_awvalid),
.I1(s_axi_wvalid),
.O(\state[1]_i_3_n_0 ));
FDRE \state_reg[0]
(.C(s_axi_aclk),
.CE(1'b1),
.D(p_0_out__0[0]),
.Q(state[0]),
.R(bus2ip_reset));
FDRE \state_reg[1]
(.C(s_axi_aclk),
.CE(1'b1),
.D(p_0_out__0[1]),
.Q(state[1]),
.R(bus2ip_reset));
endmodule
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (weak1, weak0) GSR = GSR_int;
assign (weak1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
endmodule
`endif
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__INPUTISO0P_SYMBOL_V
`define SKY130_FD_SC_LP__INPUTISO0P_SYMBOL_V
/**
* inputiso0p: Input isolator with non-inverted enable.
*
* X = (A & !SLEEP_B)
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_lp__inputiso0p (
//# {{data|Data Signals}}
input A ,
output X ,
//# {{power|Power}}
input SLEEP
);
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__INPUTISO0P_SYMBOL_V
|
module sdDualMagnitude #(
parameter WIDTH = 16,
parameter GAIN = 6
)
(
input clk, ///< System Clock
input rst, ///< Reset, active high & synchronous
input en, ///< Enable (use to clock at slower rate)
input inSin, ///< Sigma-delta input, sine channel
input inCos, ///< Sigma-delta input, cosine channel
output wire [WIDTH-1:0] out ///< Magnitude of signal
);
localparam WIDTH_WORD = 16;
reg [WIDTH+GAIN-1:0] acc;
reg [2:0] sinC;
reg [2:0] cosC;
reg [3:0] sums;
reg inCosD1;
reg inSinD1;
always @(posedge clk) begin
if (rst) begin
acc <= 'd0;
inCosD1 <= 1'b0;
inSinD1 <= 1'b0;
sinC <= 3'b000;
cosC <= 3'b000;
sums <= 4'd0;
end
else if (en) begin
inSinD1 <= inSin;
inCosD1 <= inCos;
sums <= {4{sinC[2]&cosC[2]}} | {1'b0, sinC[2]^cosC[2], sinC[1]&cosC[1], sinC[1]^cosC[1]};
sinC <= (inSin ^ inSinD1) ? 3'b001 : {|sinC[2:1], sinC[0], 1'b0};
cosC <= (inCos ^ inCosD1) ? 3'b001 : {|cosC[2:1], cosC[0], 1'b0};
acc <= acc - (acc >>> GAIN) + {sums[2], {10{sums[3]}}, sums[1], sums[0], {3{sums[3]}}};
end
end
assign out = (acc >>> GAIN);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__XOR3_TB_V
`define SKY130_FD_SC_HD__XOR3_TB_V
/**
* xor3: 3-input exclusive OR.
*
* X = A ^ B ^ C
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__xor3.v"
module top();
// Inputs are registered
reg A;
reg B;
reg C;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire X;
initial
begin
// Initial state is x for all inputs.
A = 1'bX;
B = 1'bX;
C = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 A = 1'b0;
#40 B = 1'b0;
#60 C = 1'b0;
#80 VGND = 1'b0;
#100 VNB = 1'b0;
#120 VPB = 1'b0;
#140 VPWR = 1'b0;
#160 A = 1'b1;
#180 B = 1'b1;
#200 C = 1'b1;
#220 VGND = 1'b1;
#240 VNB = 1'b1;
#260 VPB = 1'b1;
#280 VPWR = 1'b1;
#300 A = 1'b0;
#320 B = 1'b0;
#340 C = 1'b0;
#360 VGND = 1'b0;
#380 VNB = 1'b0;
#400 VPB = 1'b0;
#420 VPWR = 1'b0;
#440 VPWR = 1'b1;
#460 VPB = 1'b1;
#480 VNB = 1'b1;
#500 VGND = 1'b1;
#520 C = 1'b1;
#540 B = 1'b1;
#560 A = 1'b1;
#580 VPWR = 1'bx;
#600 VPB = 1'bx;
#620 VNB = 1'bx;
#640 VGND = 1'bx;
#660 C = 1'bx;
#680 B = 1'bx;
#700 A = 1'bx;
end
sky130_fd_sc_hd__xor3 dut (.A(A), .B(B), .C(C), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__XOR3_TB_V
|
/*!
* <b>Module:</b>axi_hp_abort
* @file axi_hp_abort.v
* @date 2016-02-07
* @author Andrey Filippov
*
* @brief Trying to gracefully reset AXI HP after aborted transmission
* For read channel - just keep afi_rready on until RD FIFO is empty (afi_rcount ==0)
* For write - keep track aof all what was sent so far, assuming aw is always ahead of w
* Reset only by global reset (system POR) - probably it is not possible to just
* reset PL or relaod bitfile,
*
* @copyright Copyright (c) 2016 Elphel, Inc .
*
* <b>License:</b>
*
* axi_hp_abort.v is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* axi_hp_abort.v is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/> .
*/
`timescale 1ns/1ps
module axi_hp_abort(
input hclk,
input hrst, // just disables processing inputs
input abort,
output busy, // should disable control of afi_wvalid, afi_awid
output reg done,
input afi_awvalid, // afi_awready is supposed to be always on when afi_awvalid (caller uses fifo counetrs) ?
input afi_awready, //
input [ 5:0] afi_awid,
input [3:0] afi_awlen,
input afi_wvalid_in,
input afi_wready,
output afi_wvalid,
output reg [ 5:0] afi_wid,
input afi_arvalid,
input afi_arready,
input [ 3:0] afi_arlen,
input afi_rready_in,
input afi_rvalid,
output afi_rready,
output afi_wlast,
// TODO: Try to resolve problems when afi_racount, afi_wacount afi_wcount do not match expected
input [ 2:0] afi_racount,
input [ 7:0] afi_rcount,
input [ 5:0] afi_wacount,
input [ 7:0] afi_wcount,
output reg dirty, // single bit to be sampled in different clock domain to see if flushing is needed
output reg axi_mismatch, // calculated as 'dirty' but axi hp counters are 0
output [21:0] debug
);
reg busy_r;
wire done_w = busy_r && !dirty ;
reg [3:0] aw_lengths_ram[0:31];
reg [4:0] aw_lengths_waddr = 0;
reg [4:0] aw_lengths_raddr = 0;
reg [5:0] aw_count = 0;
reg [7:0] w_count = 0;
reg [7:0] r_count = 0;
reg adav = 0;
wire arwr = !hrst && afi_arvalid && afi_arready;
wire drd = !hrst && afi_rvalid && afi_rready_in;
wire awr = !hrst && afi_awvalid && afi_awready;
reg ard_r = 0; // additional length read if not much data
wire ard = adav && ((|w_count[7:4]) || ard_r);
wire wwr = !hrst && afi_wready && afi_wvalid_in;
reg afi_rready_r;
reg afi_wlast_r; // wait one cycle after last in each burst (just to ease timing)
reg busy_aborting; // actually aborting
wire reset_counters = busy_r && !busy_aborting;
assign busy = busy_r;
assign afi_rready = busy_aborting && (|r_count) && ((|afi_rcount[7:1]) || (!afi_rready_r && afi_rcount[0]));
assign afi_wlast = busy_aborting && adav && (w_count[3:0] == aw_lengths_ram[aw_lengths_raddr]);
assign afi_wvalid = busy_aborting && adav && !afi_wlast_r;
assign debug = {aw_count[5:0], w_count[7:0], r_count[7:0]};
// Watch for transactios performed by others (and this one too)
always @ (posedge hclk) begin
// read channel
if (reset_counters) r_count <= 0;
else if (drd)
if (arwr) r_count <= r_count + {4'b0, afi_arlen};
else r_count <= r_count - 1;
else
if (arwr) r_count <= w_count + {4'b0, afi_arlen} + 1;
// write channel
if (awr) afi_wid <= afi_awid; // one command is supposed to use just one awid/wid
if (awr) aw_lengths_ram [aw_lengths_waddr] <= afi_awlen;
if (reset_counters) aw_lengths_waddr <= 0;
else if (awr) aw_lengths_waddr <= aw_lengths_waddr + 1;
if (reset_counters) aw_lengths_raddr <= 0;
else if (ard) aw_lengths_raddr <= aw_lengths_raddr + 1;
if (reset_counters) aw_count <= 0;
else if ( awr && !ard) aw_count <= aw_count + 1;
else if (!awr && ard) aw_count <= aw_count - 1;
adav <= !reset_counters && (|aw_count[5:1]) || ((awr || aw_count[0]) && !ard) || (awr && aw_count[0]);
ard_r <= !ard && adav && (w_count[3:0] > aw_lengths_ram[aw_lengths_raddr]);
if (reset_counters) w_count <= 0;
else if (wwr)
if (ard) w_count <= w_count - {4'b0, aw_lengths_ram[aw_lengths_raddr]};
else w_count <= w_count + 1;
else
if (ard) w_count <= w_count - {4'b0, aw_lengths_ram[aw_lengths_raddr]} - 1;
dirty <= (|r_count) || (|aw_count); // assuming w_count can never be non-zero? - no
end
// flushing part
always @ (posedge hclk) begin
if (abort) busy_r <= 1;
else if (done_w) busy_r <= 0;
if (abort && ((|afi_racount) || (|afi_rcount) || (|afi_wacount) || (|afi_wcount))) busy_aborting <= 1;
else if (done_w) busy_aborting <= 0;
done <= done_w;
afi_rready_r <= afi_rready;
afi_wlast_r <= afi_wlast;
axi_mismatch <= busy && !busy_aborting && dirty; //
end
endmodule
|
extern "C" int Initialize(int num_of_cu, int iter);
extern "C" int getTotalWavefronts();
extern "C" int ScheduleWavefront();
extern "C" void DescheduleWavefront(int cuid, int wfTag);
extern "C" int getCuId();
//extern "C" int getWgId();
//extern "C" int getWfId();
extern "C" int getWfTag();
extern "C" int getWfCnt();
extern "C" int getWfNumThrds();
extern "C" int getVregBase();
extern "C" int getVregSize();
extern "C" int getSregBase();
extern "C" int getSregSize();
extern "C" int getLdsBase();
extern "C" int getLdsSize();
extern "C" int getSetVregs();
extern "C" int getVregKey(int index, int thrd);
extern "C" int getVregValue(int index, int thrd);
extern "C" int getSetSregs();
extern "C" int getSregKey(int index);
extern "C" int getSregValue(int index);
extern "C" void setVregValue(int cuid, int thrd, int vreg, int bitnum, int value);
extern "C" void setSregValue(int cuid, int sreg, int bitnum, int value);
extern "C" int getPC();
module gpu_tb();
parameter NUMOFCU = 1;
//parameter NUM_OF_ENTRIES_IMEM = 20; // number of entries to print
reg clk;
reg rst;
reg [15:0] ldssize;
reg [9:0] vregsize;
reg [8:0] sregsize;
reg[31:0] sregVal, vregVal;
integer cycle_count;
integer killtime;
integer clockperiod;
integer half_clockperiod;
integer deassert_reset;
integer m, n;
integer x, y, z;
integer thrds, setVregs, setSregs;
integer sregKey, vregKey;
integer cuid;
integer a;
integer wf_rem, iter, kern;
reg [(NUMOFCU-1):0] dispatch2cu_wf_dispatch;
reg [3:0] dispatch2cu_wg_wf_count;
reg [5:0] dispatch2cu_wf_size_dispatch;
reg [8:0] dispatch2cu_sgpr_base_dispatch;
reg [9:0] dispatch2cu_vgpr_base_dispatch;
reg [14:0] dispatch2cu_wf_tag_dispatch;
reg [15:0] dispatch2cu_lds_base_dispatch;
reg [31:0] dispatch2cu_start_pc_dispatch;
wire [(NUMOFCU - 1):0] mem2lsu_ack, buff2fetchwave_ack;
wire [(NUMOFCU*7 - 1):0] mem2lsu_tag_resp;
wire [(NUMOFCU*32 - 1):0] buff2wave_instr;
wire [(NUMOFCU*39 - 1):0] buff2wave_tag;
wire [(NUMOFCU*8192 - 1):0] mem2lsu_rd_data;
wire [NUMOFCU-1:0] cu2dispatch_wf_done, lsu2mem_gm_or_lds, fetch2buff_rd_en, issue2tracemon_barrier_retire_en,
issue2tracemon_waitcnt_retire_en, wave2decode_instr_valid, salu2sgpr_instr_done,
salu2exec_wr_exec_en, salu2exec_wr_vcc_en, salu2exec_wr_scc_en, salu2exec_wr_scc_value,
simd0_2vgpr_instr_done, simd1_2vgpr_instr_done, simd2_2vgpr_instr_done,
simd3_2vgpr_instr_done, simd0_2exec_wr_vcc_en, simd0_2vgpr_wr_en, simd1_2exec_wr_vcc_en,
simd1_2vgpr_wr_en, simd2_2exec_wr_vcc_en, simd2_2vgpr_wr_en, simd3_2exec_wr_vcc_en,
simd3_2vgpr_wr_en, simf0_2vgpr_instr_done, simf1_2vgpr_instr_done, simf2_2vgpr_instr_done,
simf3_2vgpr_instr_done, simf0_2exec_wr_vcc_en, simf0_2vgpr_wr_en, simf1_2exec_wr_vcc_en,
simf1_2vgpr_wr_en, simf2_2exec_wr_vcc_en, simf2_2vgpr_wr_en, simf3_2exec_wr_vcc_en,
simf3_2vgpr_wr_en, simd0_2sgpr_wr_en, simd1_2sgpr_wr_en, simd2_2sgpr_wr_en,
simd3_2sgpr_wr_en, simf0_2sgpr_wr_en, simf1_2sgpr_wr_en, simf2_2sgpr_wr_en,
simf3_2sgpr_wr_en, lsu2sgpr_instr_done, lsu2vgpr_instr_done, issue2fetchwave_wf_done_en,
salu2fetchwaveissue_branch_en, salu2fetchwaveissue_branch_taken, decode2tracemon_colldone,
decode2issue_valid, lsu2tracemon_gm_or_lds, fetch2tracemon_dispatch,
salu2exec_wr_m0_en, decode2issue_barrier;
wire [NUMOFCU*2 - 1:0] salu2tracemon_exec_word_sel, salu2tracemon_vcc_word_sel,
salu2sgpr_dest_wr_en;
wire [NUMOFCU*4 - 1:0] lsu2mem_rd_en, lsu2mem_wr_en, lsu2sgpr_dest_wr_en, lsu2vgpr_dest_wr_en;
wire [NUMOFCU*6 - 1:0] issue2tracemon_waitcnt_retire_wfid, wave2decode_wfid, salu2sgpr_instr_done_wfid,
simd0_2vgpr_instr_done_wfid, simd1_2vgpr_instr_done_wfid, simd2_2vgpr_instr_done_wfid,
simd3_2vgpr_instr_done_wfid, simf0_2vgpr_instr_done_wfid, simf1_2vgpr_instr_done_wfid,
simf2_2vgpr_instr_done_wfid, simf3_2vgpr_instr_done_wfid, lsu2sgpr_instr_done_wfid,
lsu2vgpr_instr_done_wfid, issue2fetchwave_wf_done_wf_id, salu2fetchwaveissue_branch_wfid,
decode2issue_wfid, fetch2tracemon_new_wfid;
wire [NUMOFCU*7 - 1:0] lsu2mem_tag_req;
wire [NUMOFCU*9 - 1:0] wave2decode_sgpr_base, salu2sgpr_dest_addr, simd0_2sgpr_wr_addr,
simd1_2sgpr_wr_addr, simd2_2sgpr_wr_addr, simd3_2sgpr_wr_addr, simf0_2sgpr_wr_addr,
simf1_2sgpr_wr_addr, simf2_2sgpr_wr_addr, simf3_2sgpr_wr_addr, lsu2sgpr_dest_addr;
wire [NUMOFCU*10 - 1:0] wave2decode_vgpr_base, simd0_2vgpr_dest_addr, simd1_2vgpr_dest_addr,
simd2_2vgpr_dest_addr, simd3_2vgpr_dest_addr, simf0_2vgpr_dest_addr,
simf1_2vgpr_dest_addr, simf2_2vgpr_dest_addr, simf3_2vgpr_dest_addr,
lsu2vgpr_dest_addr;
wire [NUMOFCU*15 - 1:0] cu2dispatch_wf_tag_done, fetch2tracemon_wf_tag;
wire [NUMOFCU*16 - 1:0] wave2decode_lds_base, rfa2execvgprsgpr_select_fu;
wire [NUMOFCU*32 - 1:0] fetch2buff_addr, simd0_2tracemon_retire_pc, simd1_2tracemon_retire_pc,
simd2_2tracemon_retire_pc, simd3_2tracemon_retire_pc, simf0_2tracemon_retire_pc,
simf1_2tracemon_retire_pc, simf2_2tracemon_retire_pc, simf3_2tracemon_retire_pc,
salu2tracemon_retire_pc, lsu2tracemon_retire_pc, issue2tracemon_barrier_retire_pc,
issue2tracemon_waitcnt_retire_pc, salu2fetch_branch_pc_value, decode2issue_instr_pc,
salu2exec_wr_m0_value;
wire [NUMOFCU*39 - 1:0] fetch2buff_tag;
wire [NUMOFCU*40 - 1:0] issue2tracemon_barrier_retire_wf_bitmap;
wire [NUMOFCU*64 - 1:0] lsu2mem_wr_mask, salu2exec_wr_exec_value, salu2exec_wr_vcc_value,
salu2sgpr_dest_data, simd0_2exec_wr_vcc_value, simd0_2vgpr_wr_mask,
simd1_2exec_wr_vcc_value, simd1_2vgpr_wr_mask, simd2_2exec_wr_vcc_value,
simd2_2vgpr_wr_mask, simd3_2exec_wr_vcc_value, simd3_2vgpr_wr_mask,
simf0_2exec_wr_vcc_value, simf0_2vgpr_wr_mask, simf1_2exec_wr_vcc_value,
simf1_2vgpr_wr_mask, simf2_2exec_wr_vcc_value, simf2_2vgpr_wr_mask,
simf3_2exec_wr_vcc_value, simf3_2vgpr_wr_mask, simd0_2sgpr_wr_data,
simd1_2sgpr_wr_data, simd2_2sgpr_wr_data, simd3_2sgpr_wr_data, simf0_2sgpr_wr_data,
simf1_2sgpr_wr_data, simf2_2sgpr_wr_data, simf3_2sgpr_wr_data, lsu2vgpr_dest_wr_mask,
decode2tracemon_collinstr;
wire [NUMOFCU*128 - 1:0] lsu2sgpr_dest_data;
wire [NUMOFCU*2048 - 1:0] lsu2mem_addr, simd0_2vgpr_dest_data, simd1_2vgpr_dest_data,
simd2_2vgpr_dest_data, simd3_2vgpr_dest_data, simf0_2vgpr_dest_data,
simf1_2vgpr_dest_data, simf2_2vgpr_dest_data, simf3_2vgpr_dest_data;
wire [NUMOFCU*8192 - 1:0] lsu2mem_wr_data, lsu2vgpr_dest_data;
// signals not a part of compute unit
// from memory to tracemon
wire [NUMOFCU*4 - 1:0] mem2tracemon_store_en;
wire [NUMOFCU*2048 - 1:0] mem2tracemon_addr;
wire [NUMOFCU*8192 - 1:0] mem2tracemon_store_data;
compute_unit DUT[(NUMOFCU-1):0] (
.dispatch2cu_wf_dispatch(dispatch2cu_wf_dispatch),
.dispatch2cu_wf_tag_dispatch(dispatch2cu_wf_tag_dispatch),
.dispatch2cu_start_pc_dispatch(dispatch2cu_start_pc_dispatch),
.dispatch2cu_vgpr_base_dispatch(dispatch2cu_vgpr_base_dispatch),
.dispatch2cu_sgpr_base_dispatch(dispatch2cu_sgpr_base_dispatch),
.dispatch2cu_lds_base_dispatch(dispatch2cu_lds_base_dispatch),
.dispatch2cu_wf_size_dispatch(dispatch2cu_wf_size_dispatch),
.dispatch2cu_wg_wf_count(dispatch2cu_wg_wf_count),
.mem2lsu_rd_data(mem2lsu_rd_data),
.mem2lsu_tag_resp(mem2lsu_tag_resp),
.mem2lsu_ack(mem2lsu_ack),
.buff2fetchwave_ack(buff2fetchwave_ack),
.buff2wave_instr(buff2wave_instr),
.buff2wave_tag(buff2wave_tag),
.cu2dispatch_wf_tag_done(cu2dispatch_wf_tag_done),
.cu2dispatch_wf_done(cu2dispatch_wf_done),
.lsu2mem_rd_en(lsu2mem_rd_en),
.lsu2mem_wr_en(lsu2mem_wr_en),
.lsu2mem_addr(lsu2mem_addr),
.lsu2mem_wr_data(lsu2mem_wr_data),
.lsu2mem_tag_req(lsu2mem_tag_req),
.lsu2mem_wr_mask(lsu2mem_wr_mask),
.lsu2mem_gm_or_lds(lsu2mem_gm_or_lds),
.fetch2buff_rd_en(fetch2buff_rd_en),
.fetch2buff_addr(fetch2buff_addr),
.fetch2buff_tag(fetch2buff_tag),
.simd0_2tracemon_retire_pc(simd0_2tracemon_retire_pc),
.simd1_2tracemon_retire_pc(simd1_2tracemon_retire_pc),
.simd2_2tracemon_retire_pc(simd2_2tracemon_retire_pc),
.simd3_2tracemon_retire_pc(simd3_2tracemon_retire_pc),
.simf0_2tracemon_retire_pc(simf0_2tracemon_retire_pc),
.simf1_2tracemon_retire_pc(simf1_2tracemon_retire_pc),
.simf2_2tracemon_retire_pc(simf2_2tracemon_retire_pc),
.simf3_2tracemon_retire_pc(simf3_2tracemon_retire_pc),
.salu2tracemon_retire_pc(salu2tracemon_retire_pc),
.salu2tracemon_exec_word_sel(salu2tracemon_exec_word_sel),
.salu2tracemon_vcc_word_sel(salu2tracemon_vcc_word_sel),
.lsu2tracemon_retire_pc(lsu2tracemon_retire_pc),
.issue2tracemon_barrier_retire_en(issue2tracemon_barrier_retire_en),
.issue2tracemon_barrier_retire_wf_bitmap(issue2tracemon_barrier_retire_wf_bitmap),
.issue2tracemon_barrier_retire_pc(issue2tracemon_barrier_retire_pc),
.issue2tracemon_waitcnt_retire_en(issue2tracemon_waitcnt_retire_en),
.issue2tracemon_waitcnt_retire_wfid(issue2tracemon_waitcnt_retire_wfid),
.issue2tracemon_waitcnt_retire_pc(issue2tracemon_waitcnt_retire_pc),
.wave2decode_instr_valid(wave2decode_instr_valid),
.wave2decode_sgpr_base(wave2decode_sgpr_base),
.wave2decode_vgpr_base(wave2decode_vgpr_base),
.wave2decode_lds_base(wave2decode_lds_base),
.wave2decode_wfid(wave2decode_wfid),
.salu2sgpr_instr_done(salu2sgpr_instr_done),
.salu2sgpr_instr_done_wfid(salu2sgpr_instr_done_wfid),
.salu2exec_wr_exec_en(salu2exec_wr_exec_en),
.salu2exec_wr_exec_value(salu2exec_wr_exec_value),
.salu2exec_wr_vcc_en(salu2exec_wr_vcc_en),
.salu2exec_wr_vcc_value(salu2exec_wr_vcc_value),
.salu2exec_wr_scc_en(salu2exec_wr_scc_en),
.salu2exec_wr_scc_value(salu2exec_wr_scc_value),
.salu2sgpr_dest_wr_en(salu2sgpr_dest_wr_en),
.salu2sgpr_dest_addr(salu2sgpr_dest_addr),
.salu2sgpr_dest_data(salu2sgpr_dest_data),
.simd0_2vgpr_instr_done(simd0_2vgpr_instr_done),
.simd0_2vgpr_instr_done_wfid(simd0_2vgpr_instr_done_wfid),
.simd1_2vgpr_instr_done(simd1_2vgpr_instr_done),
.simd1_2vgpr_instr_done_wfid(simd1_2vgpr_instr_done_wfid),
.simd2_2vgpr_instr_done(simd2_2vgpr_instr_done),
.simd2_2vgpr_instr_done_wfid(simd2_2vgpr_instr_done_wfid),
.simd3_2vgpr_instr_done(simd3_2vgpr_instr_done),
.simd3_2vgpr_instr_done_wfid(simd3_2vgpr_instr_done_wfid),
.simd0_2exec_wr_vcc_en(simd0_2exec_wr_vcc_en),
.simd0_2exec_wr_vcc_value(simd0_2exec_wr_vcc_value),
.simd0_2vgpr_wr_en(simd0_2vgpr_wr_en),
.simd0_2vgpr_dest_addr(simd0_2vgpr_dest_addr),
.simd0_2vgpr_dest_data(simd0_2vgpr_dest_data),
.simd0_2vgpr_wr_mask(simd0_2vgpr_wr_mask),
.simd1_2exec_wr_vcc_en(simd1_2exec_wr_vcc_en),
.simd1_2exec_wr_vcc_value(simd1_2exec_wr_vcc_value),
.simd1_2vgpr_wr_en(simd1_2vgpr_wr_en),
.simd1_2vgpr_dest_addr(simd1_2vgpr_dest_addr),
.simd1_2vgpr_dest_data(simd1_2vgpr_dest_data),
.simd1_2vgpr_wr_mask(simd1_2vgpr_wr_mask),
.simd2_2exec_wr_vcc_en(simd2_2exec_wr_vcc_en),
.simd2_2exec_wr_vcc_value(simd2_2exec_wr_vcc_value),
.simd2_2vgpr_wr_en(simd2_2vgpr_wr_en),
.simd2_2vgpr_dest_addr(simd2_2vgpr_dest_addr),
.simd2_2vgpr_dest_data(simd2_2vgpr_dest_data),
.simd2_2vgpr_wr_mask(simd2_2vgpr_wr_mask),
.simd3_2exec_wr_vcc_en(simd3_2exec_wr_vcc_en),
.simd3_2exec_wr_vcc_value(simd3_2exec_wr_vcc_value),
.simd3_2vgpr_wr_en(simd3_2vgpr_wr_en),
.simd3_2vgpr_dest_addr(simd3_2vgpr_dest_addr),
.simd3_2vgpr_dest_data(simd3_2vgpr_dest_data),
.simd3_2vgpr_wr_mask(simd3_2vgpr_wr_mask),
.simf0_2vgpr_instr_done(simf0_2vgpr_instr_done),
.simf0_2vgpr_instr_done_wfid(simf0_2vgpr_instr_done_wfid),
.simf1_2vgpr_instr_done(simf1_2vgpr_instr_done),
.simf1_2vgpr_instr_done_wfid(simf1_2vgpr_instr_done_wfid),
.simf2_2vgpr_instr_done(simf2_2vgpr_instr_done),
.simf2_2vgpr_instr_done_wfid(simf2_2vgpr_instr_done_wfid),
.simf3_2vgpr_instr_done(simf3_2vgpr_instr_done),
.simf3_2vgpr_instr_done_wfid(simf3_2vgpr_instr_done_wfid),
.simf0_2exec_wr_vcc_en(simf0_2exec_wr_vcc_en),
.simf0_2exec_wr_vcc_value(simf0_2exec_wr_vcc_value),
.simf0_2vgpr_wr_en(simf0_2vgpr_wr_en),
.simf0_2vgpr_dest_addr(simf0_2vgpr_dest_addr),
.simf0_2vgpr_dest_data(simf0_2vgpr_dest_data),
.simf0_2vgpr_wr_mask(simf0_2vgpr_wr_mask),
.simf1_2exec_wr_vcc_en(simf1_2exec_wr_vcc_en),
.simf1_2exec_wr_vcc_value(simf1_2exec_wr_vcc_value),
.simf1_2vgpr_wr_en(simf1_2vgpr_wr_en),
.simf1_2vgpr_dest_addr(simf1_2vgpr_dest_addr),
.simf1_2vgpr_dest_data(simf1_2vgpr_dest_data),
.simf1_2vgpr_wr_mask(simf1_2vgpr_wr_mask),
.simf2_2exec_wr_vcc_en(simf2_2exec_wr_vcc_en),
.simf2_2exec_wr_vcc_value(simf2_2exec_wr_vcc_value),
.simf2_2vgpr_wr_en(simf2_2vgpr_wr_en),
.simf2_2vgpr_dest_addr(simf2_2vgpr_dest_addr),
.simf2_2vgpr_dest_data(simf2_2vgpr_dest_data),
.simf2_2vgpr_wr_mask(simf2_2vgpr_wr_mask),
.simf3_2exec_wr_vcc_en(simf3_2exec_wr_vcc_en),
.simf3_2exec_wr_vcc_value(simf3_2exec_wr_vcc_value),
.simf3_2vgpr_wr_en(simf3_2vgpr_wr_en),
.simf3_2vgpr_dest_addr(simf3_2vgpr_dest_addr),
.simf3_2vgpr_dest_data(simf3_2vgpr_dest_data),
.simf3_2vgpr_wr_mask(simf3_2vgpr_wr_mask),
.simd0_2sgpr_wr_addr(simd0_2sgpr_wr_addr),
.simd0_2sgpr_wr_en(simd0_2sgpr_wr_en),
.simd0_2sgpr_wr_data(simd0_2sgpr_wr_data),
.simd1_2sgpr_wr_addr(simd1_2sgpr_wr_addr),
.simd1_2sgpr_wr_en(simd1_2sgpr_wr_en),
.simd1_2sgpr_wr_data(simd1_2sgpr_wr_data),
.simd2_2sgpr_wr_addr(simd2_2sgpr_wr_addr),
.simd2_2sgpr_wr_en(simd2_2sgpr_wr_en),
.simd2_2sgpr_wr_data(simd2_2sgpr_wr_data),
.simd3_2sgpr_wr_addr(simd3_2sgpr_wr_addr),
.simd3_2sgpr_wr_en(simd3_2sgpr_wr_en),
.simd3_2sgpr_wr_data(simd3_2sgpr_wr_data),
.simf0_2sgpr_wr_addr(simf0_2sgpr_wr_addr),
.simf0_2sgpr_wr_en(simf0_2sgpr_wr_en),
.simf0_2sgpr_wr_data(simf0_2sgpr_wr_data),
.simf1_2sgpr_wr_addr(simf1_2sgpr_wr_addr),
.simf1_2sgpr_wr_en(simf1_2sgpr_wr_en),
.simf1_2sgpr_wr_data(simf1_2sgpr_wr_data),
.simf2_2sgpr_wr_addr(simf2_2sgpr_wr_addr),
.simf2_2sgpr_wr_en(simf2_2sgpr_wr_en),
.simf2_2sgpr_wr_data(simf2_2sgpr_wr_data),
.simf3_2sgpr_wr_addr(simf3_2sgpr_wr_addr),
.simf3_2sgpr_wr_en(simf3_2sgpr_wr_en),
.simf3_2sgpr_wr_data(simf3_2sgpr_wr_data),
.lsu2sgpr_instr_done(lsu2sgpr_instr_done),
.lsu2sgpr_instr_done_wfid(lsu2sgpr_instr_done_wfid),
.lsu2sgpr_dest_wr_en(lsu2sgpr_dest_wr_en),
.lsu2sgpr_dest_addr(lsu2sgpr_dest_addr),
.lsu2sgpr_dest_data(lsu2sgpr_dest_data),
.lsu2vgpr_instr_done(lsu2vgpr_instr_done),
.lsu2vgpr_dest_data(lsu2vgpr_dest_data),
.lsu2vgpr_dest_addr(lsu2vgpr_dest_addr),
.lsu2vgpr_dest_wr_mask(lsu2vgpr_dest_wr_mask),
.lsu2vgpr_instr_done_wfid(lsu2vgpr_instr_done_wfid),
.lsu2vgpr_dest_wr_en(lsu2vgpr_dest_wr_en),
.issue2fetchwave_wf_done_en(issue2fetchwave_wf_done_en),
.issue2fetchwave_wf_done_wf_id(issue2fetchwave_wf_done_wf_id),
.salu2fetchwaveissue_branch_wfid(salu2fetchwaveissue_branch_wfid),
.salu2fetchwaveissue_branch_en(salu2fetchwaveissue_branch_en),
.salu2fetchwaveissue_branch_taken(salu2fetchwaveissue_branch_taken),
.salu2fetch_branch_pc_value(salu2fetch_branch_pc_value),
.rfa2execvgprsgpr_select_fu(rfa2execvgprsgpr_select_fu),
.decode2tracemon_collinstr(decode2tracemon_collinstr),
.decode2tracemon_colldone(decode2tracemon_colldone),
.decode2issue_valid(decode2issue_valid),
.decode2issue_instr_pc(decode2issue_instr_pc),
.decode2issue_wfid(decode2issue_wfid),
.lsu2tracemon_gm_or_lds(lsu2tracemon_gm_or_lds),
.fetch2tracemon_dispatch(fetch2tracemon_dispatch),
.fetch2tracemon_wf_tag(fetch2tracemon_wf_tag),
.fetch2tracemon_new_wfid(fetch2tracemon_new_wfid),
.salu2exec_wr_m0_en(salu2exec_wr_m0_en),
.salu2exec_wr_m0_value(salu2exec_wr_m0_value),
.decode2issue_barrier(decode2issue_barrier),
.clk(clk),
.rst(rst)
);
instr_buffer #(.NUMOFCU(NUMOFCU)) instr_buffer0 (
// Instruction buffer - modeled by the testbench.
.clk(clk),
.rst(rst),
// Inputs
.fetch_rd_en(fetch2buff_rd_en),
.fetch_addr(fetch2buff_addr),
.fetch_tag(fetch2buff_tag),
// Outputs
.fetchwave_ack(buff2fetchwave_ack),
.wave_instr(buff2wave_instr),
.wave_tag(buff2wave_tag)
);
memory #(.NUMOFCU(NUMOFCU)) memory0 (
// Memory module - will be implemented by the testbench
.clk(clk),
.rst(rst),
// Inputs
.gm_or_lds(lsu2mem_gm_or_lds),
.rd_en(lsu2mem_rd_en),
.wr_en(lsu2mem_wr_en),
.addresses(lsu2mem_addr),
.wr_data(lsu2mem_wr_data),
.input_tag(lsu2mem_tag_req),
.wr_mask(lsu2mem_wr_mask),
// Outputs
.rd_data(mem2lsu_rd_data),
.output_tag(mem2lsu_tag_resp),
.ack(mem2lsu_ack),
.tracemon_addr(mem2tracemon_addr),
.tracemon_store_data(mem2tracemon_store_data),
.tracemon_store_en(mem2tracemon_store_en)
);
//SAIF flow
`ifdef SAIF
initial begin
$set_gate_level_monitoring("rtl_on", "mda");
$set_toggle_region(gpu_tb.DUT[0]);
#0;
$toggle_start;
end
`endif
//waveforms
initial begin
if ($test$plusargs("dump_waveforms")) begin
$vcdpluson(0,gpu_tb);
if ($test$plusargs("dump_glitches")) begin
$vcdplusdeltacycleon;
$vcdplusglitchon;
end
end
end
genvar tg;
generate
for (tg=0; tg < NUMOFCU; tg=tg+1) begin : TT
tracemon #(.CUID(tg)) tracemon0 (
// Dummy unit to aid testbench
.issue2tracemon_barrier_retire_en(issue2tracemon_barrier_retire_en),
.issue2tracemon_barrier_retire_wf_bitmap(issue2tracemon_barrier_retire_wf_bitmap),
.issue2tracemon_barrier_retire_pc(issue2tracemon_barrier_retire_pc),
.issue2tracemon_waitcnt_retire_en(issue2tracemon_waitcnt_retire_en),
.issue2tracemon_waitcnt_retire_wfid(issue2tracemon_waitcnt_retire_wfid),
.issue2tracemon_waitcnt_retire_pc(issue2tracemon_waitcnt_retire_pc),
.simd0_2tracemon_retire_pc(simd0_2tracemon_retire_pc),
.simd1_2tracemon_retire_pc(simd1_2tracemon_retire_pc),
.simd2_2tracemon_retire_pc(simd2_2tracemon_retire_pc),
.simd3_2tracemon_retire_pc(simd3_2tracemon_retire_pc),
.simf0_2tracemon_retire_pc(simf0_2tracemon_retire_pc),
.simf1_2tracemon_retire_pc(simf1_2tracemon_retire_pc),
.simf2_2tracemon_retire_pc(simf2_2tracemon_retire_pc),
.simf3_2tracemon_retire_pc(simf3_2tracemon_retire_pc),
.lsu2tracemon_retire_pc(lsu2tracemon_retire_pc),
.salu2tracemon_retire_pc(salu2tracemon_retire_pc),
.salu2tracemon_exec_word_sel(salu2tracemon_exec_word_sel),
.salu2tracemon_vcc_word_sel(salu2tracemon_vcc_word_sel),
.wave2decode_instr_valid(wave2decode_instr_valid),
.wave2decode_sgpr_base(wave2decode_sgpr_base),
.wave2decode_vgpr_base(wave2decode_vgpr_base),
.wave2decode_lds_base(wave2decode_lds_base),
.wave2decode_wfid(wave2decode_wfid),
.salu2sgpr_instr_done(salu2sgpr_instr_done),
.salu2sgpr_instr_done_wfid(salu2sgpr_instr_done_wfid),
.salu2exec_wr_exec_en(salu2exec_wr_exec_en),
.salu2exec_wr_exec_value(salu2exec_wr_exec_value),
.salu2exec_wr_vcc_en(salu2exec_wr_vcc_en),
.salu2exec_wr_vcc_value(salu2exec_wr_vcc_value),
.salu2exec_wr_scc_en(salu2exec_wr_scc_en),
.salu2exec_wr_scc_value(salu2exec_wr_scc_value),
.salu2sgpr_dest_wr_en(salu2sgpr_dest_wr_en),
.salu2sgpr_dest_addr(salu2sgpr_dest_addr),
.salu2sgpr_dest_data(salu2sgpr_dest_data),
.salu2fetchwaveissue_branch_wfid(salu2fetchwaveissue_branch_wfid),
.salu2fetchwaveissue_branch_en(salu2fetchwaveissue_branch_en),
.salu2fetchwaveissue_branch_taken(salu2fetchwaveissue_branch_taken),
.salu2fetch_branch_pc_value(salu2fetch_branch_pc_value),
.simd0_2vgpr_instr_done(simd0_2vgpr_instr_done),
.simd0_2vgpr_instr_done_wfid(simd0_2vgpr_instr_done_wfid),
.simd1_2vgpr_instr_done(simd1_2vgpr_instr_done),
.simd1_2vgpr_instr_done_wfid(simd1_2vgpr_instr_done_wfid),
.simd2_2vgpr_instr_done(simd2_2vgpr_instr_done),
.simd2_2vgpr_instr_done_wfid(simd2_2vgpr_instr_done_wfid),
.simd3_2vgpr_instr_done(simd3_2vgpr_instr_done),
.simd3_2vgpr_instr_done_wfid(simd3_2vgpr_instr_done_wfid),
.simd0_2exec_wr_vcc_en(simd0_2exec_wr_vcc_en),
.simd0_2exec_wr_vcc_value(simd0_2exec_wr_vcc_value),
.simd0_2vgpr_wr_en(simd0_2vgpr_wr_en),
.simd0_2vgpr_dest_addr(simd0_2vgpr_dest_addr),
.simd0_2vgpr_dest_data(simd0_2vgpr_dest_data),
.simd0_2vgpr_wr_mask(simd0_2vgpr_wr_mask),
.simd1_2exec_wr_vcc_en(simd1_2exec_wr_vcc_en),
.simd1_2exec_wr_vcc_value(simd1_2exec_wr_vcc_value),
.simd1_2vgpr_wr_en(simd1_2vgpr_wr_en),
.simd1_2vgpr_dest_addr(simd1_2vgpr_dest_addr),
.simd1_2vgpr_dest_data(simd1_2vgpr_dest_data),
.simd1_2vgpr_wr_mask(simd1_2vgpr_wr_mask),
.simd2_2exec_wr_vcc_en(simd2_2exec_wr_vcc_en),
.simd2_2exec_wr_vcc_value(simd2_2exec_wr_vcc_value),
.simd2_2vgpr_wr_en(simd2_2vgpr_wr_en),
.simd2_2vgpr_dest_addr(simd2_2vgpr_dest_addr),
.simd2_2vgpr_dest_data(simd2_2vgpr_dest_data),
.simd2_2vgpr_wr_mask(simd2_2vgpr_wr_mask),
.simd3_2exec_wr_vcc_en(simd3_2exec_wr_vcc_en),
.simd3_2exec_wr_vcc_value(simd3_2exec_wr_vcc_value),
.simd3_2vgpr_wr_en(simd3_2vgpr_wr_en),
.simd3_2vgpr_dest_addr(simd3_2vgpr_dest_addr),
.simd3_2vgpr_dest_data(simd3_2vgpr_dest_data),
.simd3_2vgpr_wr_mask(simd3_2vgpr_wr_mask),
.simf0_2vgpr_instr_done(simf0_2vgpr_instr_done),
.simf0_2vgpr_instr_done_wfid(simf0_2vgpr_instr_done_wfid),
.simf1_2vgpr_instr_done(simf1_2vgpr_instr_done),
.simf1_2vgpr_instr_done_wfid(simf1_2vgpr_instr_done_wfid),
.simf2_2vgpr_instr_done(simf2_2vgpr_instr_done),
.simf2_2vgpr_instr_done_wfid(simf2_2vgpr_instr_done_wfid),
.simf3_2vgpr_instr_done(simf3_2vgpr_instr_done),
.simf3_2vgpr_instr_done_wfid(simf3_2vgpr_instr_done_wfid),
.simf0_2exec_wr_vcc_en(simf0_2exec_wr_vcc_en),
.simf0_2exec_wr_vcc_value(simf0_2exec_wr_vcc_value),
.simf0_2vgpr_wr_en(simf0_2vgpr_wr_en),
.simf0_2vgpr_dest_addr(simf0_2vgpr_dest_addr),
.simf0_2vgpr_dest_data(simf0_2vgpr_dest_data),
.simf0_2vgpr_wr_mask(simf0_2vgpr_wr_mask),
.simf1_2exec_wr_vcc_en(simf1_2exec_wr_vcc_en),
.simf1_2exec_wr_vcc_value(simf1_2exec_wr_vcc_value),
.simf1_2vgpr_wr_en(simf1_2vgpr_wr_en),
.simf1_2vgpr_dest_addr(simf1_2vgpr_dest_addr),
.simf1_2vgpr_dest_data(simf1_2vgpr_dest_data),
.simf1_2vgpr_wr_mask(simf1_2vgpr_wr_mask),
.simf2_2exec_wr_vcc_en(simf2_2exec_wr_vcc_en),
.simf2_2exec_wr_vcc_value(simf2_2exec_wr_vcc_value),
.simf2_2vgpr_wr_en(simf2_2vgpr_wr_en),
.simf2_2vgpr_dest_addr(simf2_2vgpr_dest_addr),
.simf2_2vgpr_dest_data(simf2_2vgpr_dest_data),
.simf2_2vgpr_wr_mask(simf2_2vgpr_wr_mask),
.simf3_2exec_wr_vcc_en(simf3_2exec_wr_vcc_en),
.simf3_2exec_wr_vcc_value(simf3_2exec_wr_vcc_value),
.simf3_2vgpr_wr_en(simf3_2vgpr_wr_en),
.simf3_2vgpr_dest_addr(simf3_2vgpr_dest_addr),
.simf3_2vgpr_dest_data(simf3_2vgpr_dest_data),
.simf3_2vgpr_wr_mask(simf3_2vgpr_wr_mask),
.simd0_2sgpr_wr_addr(simd0_2sgpr_wr_addr),
.simd0_2sgpr_wr_en(simd0_2sgpr_wr_en),
.simd0_2sgpr_wr_data(simd0_2sgpr_wr_data),
.simd1_2sgpr_wr_addr(simd1_2sgpr_wr_addr),
.simd1_2sgpr_wr_en(simd1_2sgpr_wr_en),
.simd1_2sgpr_wr_data(simd1_2sgpr_wr_data),
.simd2_2sgpr_wr_addr(simd2_2sgpr_wr_addr),
.simd2_2sgpr_wr_en(simd2_2sgpr_wr_en),
.simd2_2sgpr_wr_data(simd2_2sgpr_wr_data),
.simd3_2sgpr_wr_addr(simd3_2sgpr_wr_addr),
.simd3_2sgpr_wr_en(simd3_2sgpr_wr_en),
.simd3_2sgpr_wr_data(simd3_2sgpr_wr_data),
.simf0_2sgpr_wr_addr(simf0_2sgpr_wr_addr),
.simf0_2sgpr_wr_en(simf0_2sgpr_wr_en),
.simf0_2sgpr_wr_data(simf0_2sgpr_wr_data),
.simf1_2sgpr_wr_addr(simf1_2sgpr_wr_addr),
.simf1_2sgpr_wr_en(simf1_2sgpr_wr_en),
.simf1_2sgpr_wr_data(simf1_2sgpr_wr_data),
.simf2_2sgpr_wr_addr(simf2_2sgpr_wr_addr),
.simf2_2sgpr_wr_en(simf2_2sgpr_wr_en),
.simf2_2sgpr_wr_data(simf2_2sgpr_wr_data),
.simf3_2sgpr_wr_addr(simf3_2sgpr_wr_addr),
.simf3_2sgpr_wr_en(simf3_2sgpr_wr_en),
.simf3_2sgpr_wr_data(simf3_2sgpr_wr_data),
.lsu2sgpr_instr_done(lsu2sgpr_instr_done),
.lsu2sgpr_instr_done_wfid(lsu2sgpr_instr_done_wfid),
.lsu2sgpr_dest_wr_en(lsu2sgpr_dest_wr_en),
.lsu2sgpr_dest_addr(lsu2sgpr_dest_addr),
.lsu2sgpr_dest_data(lsu2sgpr_dest_data),
.lsu2vgpr_instr_done(lsu2vgpr_instr_done),
.lsu2vgpr_dest_data(lsu2vgpr_dest_data),
.lsu2vgpr_dest_addr(lsu2vgpr_dest_addr),
.lsu2vgpr_dest_wr_mask(lsu2vgpr_dest_wr_mask),
.lsu2vgpr_instr_done_wfid(lsu2vgpr_instr_done_wfid),
.lsu2vgpr_dest_wr_en(lsu2vgpr_dest_wr_en),
.issue2fetchwave_wf_done_en(issue2fetchwave_wf_done_en),
.issue2fetchwave_wf_done_wf_id(issue2fetchwave_wf_done_wf_id),
.mem2tracemon_addr(mem2tracemon_addr),
.mem2tracemon_store_data(mem2tracemon_store_data),
.mem2tracemon_store_en(mem2tracemon_store_en),
.decode2tracemon_collinstr(decode2tracemon_collinstr),
.decode2tracemon_colldone(decode2tracemon_colldone),
.decode2issue_instr_pc(decode2issue_instr_pc),
.decode2issue_valid(decode2issue_valid),
.decode2issue_wfid(decode2issue_wfid),
.rfa2execvgprsgpr_select_fu(rfa2execvgprsgpr_select_fu),
.lsu2tracemon_gm_or_lds(lsu2tracemon_gm_or_lds),
.fetch2tracemon_dispatch(fetch2tracemon_dispatch),
.fetch2tracemon_wf_tag(fetch2tracemon_wf_tag),
.fetch2tracemon_new_wfid(fetch2tracemon_new_wfid),
.salu2exec_wr_m0_en(salu2exec_wr_m0_en),
.salu2exec_wr_m0_value(salu2exec_wr_m0_value),
.decode2issue_barrier(decode2issue_barrier),
.clk(clk),
.rst(rst),
.kernel_id(iter-1)
);
end
endgenerate
genvar pg;
generate
for (pg=0; pg < NUMOFCU; pg=pg+1) begin : PT
profiler #(.CUID(pg)) profiler0 (
// unit to aid in profiling
.salu2sgpr_instr_done(salu2sgpr_instr_done),
.salu2fetchwaveissue_branch_en(salu2fetchwaveissue_branch_en),
.simd0_2vgpr_instr_done(DUT[pg].simd0_2rfa_queue_entry_valid),
.simd1_2vgpr_instr_done(DUT[pg].simd1_2rfa_queue_entry_valid),
.simd2_2vgpr_instr_done(DUT[pg].simd2_2rfa_queue_entry_valid),
.simd3_2vgpr_instr_done(DUT[pg].simd3_2rfa_queue_entry_valid),
.simf0_2vgpr_instr_done(DUT[pg].simf0_2rfa_queue_entry_valid),
.simf1_2vgpr_instr_done(DUT[pg].simf1_2rfa_queue_entry_valid),
.simf2_2vgpr_instr_done(DUT[pg].simf2_2rfa_queue_entry_valid),
.simf3_2vgpr_instr_done(DUT[pg].simf3_2rfa_queue_entry_valid),
.rfa2execvgprsgpr_select_fu(rfa2execvgprsgpr_select_fu),
.lsu2vgpr_instr_done(lsu2vgpr_instr_done),
.lsu2sgpr_instr_done(lsu2sgpr_instr_done),
.salu_alu_select(DUT[pg].issue2salu_alu_select),
.simd0_alu_select(DUT[pg].issue2simd0_alu_select),
.simd1_alu_select(DUT[pg].issue2simd1_alu_select),
.simd2_alu_select(DUT[pg].issue2simd2_alu_select),
.simd3_alu_select(DUT[pg].issue2simd3_alu_select),
.simf0_alu_select(DUT[pg].issue2simf0_alu_select),
.simf1_alu_select(DUT[pg].issue2simf1_alu_select),
.simf2_alu_select(DUT[pg].issue2simf2_alu_select),
.simf3_alu_select(DUT[pg].issue2simf3_alu_select),
.lsu_select(DUT[pg].issue2lsu_lsu_select),
.clk(clk)
);
end
endgenerate
initial begin
$display("Starting");
cycle_count = 0;
//instr_count = 0;
end
initial begin
clk = 0;
while (1) begin
`ifdef GATES
$value$plusargs("CLOCKPERIOD=%d",clockperiod);
half_clockperiod = clockperiod / 2;
deassert_reset = (clockperiod * 12) + half_clockperiod + (half_clockperiod / 2);
#half_clockperiod;
if(clk == 1'b0)
begin
$display("GATES MONITOR %m : Posedge of CLK at time %t", $time);
end
`else
#2; //Period is 4 clock ticks or 4ns for rtl
`endif
clk = ~clk;
end
end
initial begin
rst = 1;
`ifdef GATES
#1;
#(deassert_reset-1);
`else
#51; //Period is 4 clock ticks; So reset is deasserted after 12.75 clock periods
`endif
rst = 0;
end
initial begin
iter = 0;
wf_rem = 0;
// maximum simulation time
$value$plusargs("KILLTIME=%d",killtime);
$display("gpu_tb.v: Setting simulation time limit of #%d", killtime);
#killtime;
$display("gpu_tb.v: Simulation terminated. Maximum simulation time of #%d reached!", killtime);
terminate();
end
always @(posedge clk) begin
if (wf_rem <= 0) begin
kern = Initialize(NUMOFCU, iter);
if (kern <= 0) terminate();
#0;
wf_rem = getTotalWavefronts();
$readmemh("instr.mem", instr_buffer0.instr_memory);
$readmemh("data.mem", memory0.data_memory);
iter = iter + 1;
end
end
always @ (posedge clk) begin
if (rst) begin
// check <= 1'b0;
dispatch2cu_wf_dispatch <= 'b0;
end
end
always @ (posedge clk) begin
cycle_count = cycle_count + 1;
if (!rst) begin
if (ScheduleWavefront()==1'b1) begin
#1
thrds = getWfNumThrds();
setVregs = getSetVregs();
setSregs = getSetSregs();
cuid = getCuId();
// set vregs
for (x = 0; x < setVregs; x++) begin
vregKey = getVregKey(x, 0);
for (y = 0; y < thrds; y++) begin
vregVal = getVregValue(x, y);
// set the vregister
for(a = 0; a < 32; a++) begin
setVregValue(cuid, y, vregKey, a, vregVal[a]);
//DUT[cuid].vgpr0.reg_file.bank[y].word[vregKey].bits[a].dff_0.state = vregVal[a];
end
end
end
// set sregs
for (z = 0; z < setSregs; z++) begin
sregKey = getSregKey(z);
sregVal = getSregValue(z);
// set the sregister
for(a = 0; a < 32; a++) begin
setSregValue(cuid, sregKey, a, sregVal[a]);
//DUT[cuid].sgpr0.sgpr_reg_file.word[sregKey].bits[a].dff_0.state = sregVal[a];
end
end
dispatch2cu_vgpr_base_dispatch <= getVregBase();
dispatch2cu_sgpr_base_dispatch <= getSregBase();
dispatch2cu_lds_base_dispatch <= getLdsBase();
vregsize <= getVregSize();
sregsize <= getSregSize();
ldssize <= getLdsSize();
dispatch2cu_start_pc_dispatch <= getPC();
dispatch2cu_wf_size_dispatch <= getWfNumThrds() - 1;
dispatch2cu_wf_tag_dispatch <= getWfTag();
dispatch2cu_wg_wf_count <= getWfCnt();
for (m = 0; m < NUMOFCU; m++) begin
// $display("CUID: %d",getCuId());
if(m==getCuId()) dispatch2cu_wf_dispatch[m] <= 1'b1;
else dispatch2cu_wf_dispatch[m] <= 1'b0;
// $display("m: %d dispatch2cu_wf_dispatch: %d",m,dispatch2cu_wf_dispatch[m]);
end
end
else begin
for ( m = 0; m < NUMOFCU; m++) begin
dispatch2cu_vgpr_base_dispatch <= 10'bx;
dispatch2cu_sgpr_base_dispatch <= 9'bx;
dispatch2cu_lds_base_dispatch <= 16'bx;
vregsize <= 10'bx;
sregsize <= 9'bx;
ldssize <= 16'bx;
dispatch2cu_start_pc_dispatch <= 32'bx;
dispatch2cu_wf_size_dispatch <= 6'bx;
dispatch2cu_wf_tag_dispatch <= 11'bx;
dispatch2cu_wf_dispatch[m] <= 1'b0;
end
end
if(|{dispatch2cu_wf_dispatch, cu2dispatch_wf_done})
$display ("--------------------------------------");
for(n=0; n<NUMOFCU; n++) begin
if(|{dispatch2cu_wf_dispatch[n], cu2dispatch_wf_done[n]})
$display ("Time: %g CU: %d Dispatch: %b cu2dispatch_wf_done: %b", $time, n, dispatch2cu_wf_dispatch[n], cu2dispatch_wf_done[n]);
end
if(|dispatch2cu_wf_dispatch) begin
$display ("VGPR_Size value: %d", vregsize);
$display ("SREG_Size value: %d", sregsize);
$display ("LDS_Size value: %d", ldssize);
$display ("PC value: %d", dispatch2cu_start_pc_dispatch);
$display ("WFID: %d", dispatch2cu_wf_tag_dispatch);
end
end
end
always @ (posedge clk) begin
for(n=0; n<NUMOFCU; n++) begin
if (cu2dispatch_wf_done[n]) begin
DescheduleWavefront(n, cu2dispatch_wf_tag_done[((n * 15) + 14)-:15]); // cuid
$display("Descheduled WFID: %d from CU: %d", cu2dispatch_wf_tag_done[((n * 15) + 14)-:15], n);
$display ("--------------------------------------");
wf_rem = wf_rem - 1;
$display("Wavefronts remaining : %d", wf_rem);
end
end
end
fault_injection fault_injector(.clk(clk));
task terminate;
begin
`ifdef SAIF
$toggle_stop;
$toggle_report("backward.saif",1.0e-9,"gpu_tb.DUT[0]");
`endif
$finish;
end
endtask
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__DLXBP_PP_BLACKBOX_V
`define SKY130_FD_SC_HD__DLXBP_PP_BLACKBOX_V
/**
* dlxbp: Delay latch, non-inverted enable, complementary outputs.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__dlxbp (
Q ,
Q_N ,
D ,
GATE,
VPWR,
VGND,
VPB ,
VNB
);
output Q ;
output Q_N ;
input D ;
input GATE;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__DLXBP_PP_BLACKBOX_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HVL__DFSBP_FUNCTIONAL_PP_V
`define SKY130_FD_SC_HVL__DFSBP_FUNCTIONAL_PP_V
/**
* dfsbp: Delay flop, inverted set, complementary outputs.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hvl__udp_pwrgood_pp_pg.v"
`include "../../models/udp_dff_ps_pp_pg_n/sky130_fd_sc_hvl__udp_dff_ps_pp_pg_n.v"
`celldefine
module sky130_fd_sc_hvl__dfsbp (
Q ,
Q_N ,
CLK ,
D ,
SET_B,
VPWR ,
VGND ,
VPB ,
VNB
);
// Module ports
output Q ;
output Q_N ;
input CLK ;
input D ;
input SET_B;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
// Local signals
wire buf_Q ;
wire SET ;
wire buf0_out_Q ;
wire not1_out_qn;
// Delay Name Output Other arguments
not not0 (SET , SET_B );
sky130_fd_sc_hvl__udp_dff$PS_pp$PG$N `UNIT_DELAY dff0 (buf_Q , D, CLK, SET, , VPWR, VGND);
buf buf0 (buf0_out_Q , buf_Q );
sky130_fd_sc_hvl__udp_pwrgood_pp$PG pwrgood_pp0 (Q , buf0_out_Q, VPWR, VGND );
not not1 (not1_out_qn, buf_Q );
sky130_fd_sc_hvl__udp_pwrgood_pp$PG pwrgood_pp1 (Q_N , not1_out_qn, VPWR, VGND );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HVL__DFSBP_FUNCTIONAL_PP_V |
// -------------------------------------------------------------------------
// -------------------------------------------------------------------------
//
// ALTERA Confidential and Proprietary
// Copyright 2006 (c) Altera Corporation
// All rights reserved
// -------------------------------------------------------------------------
// -------------------------------------------------------------------------
module altera_tse_lvds_reset_sequencer (
clk,
reset,
rx_locked,
rx_channel_data_align,
pll_areset,
rx_reset,
rx_cda_reset
);
input clk;
input reset;
input rx_locked;
output rx_channel_data_align;
output pll_areset;
output rx_reset;
output rx_cda_reset;
reg rx_channel_data_align;
reg pll_areset;
reg rx_reset;
reg rx_cda_reset;
wire rx_locked_sync;
reg rx_locked_sync_d1;
reg rx_locked_sync_d2;
reg rx_locked_sync_d3;
reg rx_locked_stable;
reg [2:0] pulse_count;
reg [2:0] state;
reg [2:0] nextstate;
// State Definitions
parameter [2:0] stm_idle = 3'b000; //0
parameter [2:0] stm_pll_areset = 3'b001; //1
parameter [2:0] stm_rx_reset = 3'b010; //2
parameter [2:0] stm_rx_cda_reset = 3'b011; //3
parameter [2:0] stm_word_alignment = 3'b100; //4
altera_std_synchronizer #(2) rx_locked_altera_std_synchronizer (
.clk ( clk ),
.reset_n ( ~reset ),
.din ( rx_locked ),
.dout ( rx_locked_sync )
);
always @ (posedge clk or posedge reset)
begin
if (reset == 1'b1) begin
rx_locked_sync_d1 <= 1'b0;
rx_locked_sync_d2 <= 1'b0;
rx_locked_sync_d3 <= 1'b0;
end
else begin
rx_locked_sync_d1 <= rx_locked_sync;
rx_locked_sync_d2 <= rx_locked_sync_d1;
rx_locked_sync_d3 <= rx_locked_sync_d2;
end
end
always @ (posedge clk or posedge reset)
begin
if (reset == 1'b1) begin
rx_locked_stable <= 1'b0;
end
else begin
rx_locked_stable <= rx_locked_sync & rx_locked_sync_d1 & rx_locked_sync_d2 & rx_locked_sync_d3;
end
end
// FSM
always @ (posedge clk or posedge reset)
begin
if (reset == 1'b1) begin
state <= stm_pll_areset;
end
else begin
state <= nextstate;
end
end
always @ (*)
begin
case (state)
stm_idle:
if (reset == 1'b1) begin
nextstate = stm_pll_areset;
end
else begin
nextstate = stm_idle;
end
stm_pll_areset:
begin
nextstate = stm_rx_reset;
end
stm_rx_reset:
if (rx_locked_stable == 1'b0) begin
nextstate = stm_rx_reset;
end
else begin
nextstate = stm_rx_cda_reset;
end
stm_rx_cda_reset:
begin
nextstate = stm_word_alignment;
end
stm_word_alignment:
if (pulse_count == 4) begin
nextstate = stm_idle;
end
else begin
nextstate = stm_word_alignment;
end
default:
begin
nextstate = stm_idle;
end
endcase
end
always @ (posedge clk or posedge reset)
begin
if (reset == 1'b1) begin
pll_areset <= 1'b1;
rx_reset <= 1'b1;
rx_cda_reset <= 1'b0;
rx_channel_data_align <= 1'b0;
pulse_count <= 3'b000;
end
else begin
case (nextstate)
stm_idle:
begin
pll_areset <= 1'b0;
rx_reset <= 1'b0;
rx_cda_reset <= 1'b0;
rx_channel_data_align <= 1'b0;
pulse_count <= 3'b000;
end
stm_pll_areset:
begin
pll_areset <= 1'b1;
rx_reset <= 1'b1;
rx_cda_reset <= 1'b0;
rx_channel_data_align <= 1'b0;
pulse_count <= 3'b000;
end
stm_rx_reset:
begin
pll_areset <= 1'b0;
rx_cda_reset <= 1'b0;
rx_channel_data_align <= 1'b0;
pulse_count <= 3'b000;
end
stm_rx_cda_reset:
begin
pll_areset <= 1'b0;
rx_reset <= 1'b0;
rx_cda_reset <= 1'b1;
rx_channel_data_align <= 1'b0;
pulse_count <= 3'b000;
end
stm_word_alignment:
begin
pll_areset <= 1'b0;
rx_reset <= 1'b0;
rx_cda_reset <= 1'b0;
rx_channel_data_align <= ~rx_channel_data_align;
pulse_count <= pulse_count +1'b1;
end
default:
begin
pll_areset <= 1'b0;
rx_reset <= 1'b0;
rx_cda_reset <= 1'b0;
rx_channel_data_align <= 1'b0;
pulse_count <= 3'b000;
end
endcase
end
end
endmodule
|
/**
* ------------------------------------------------------------
* Copyright (c) All rights reserved
* SiLab, Institute of Physics, University of Bonn
* ------------------------------------------------------------
*/
`timescale 1ps/1ps
`default_nettype none
module bin_to_gray7 (
input wire [7:0] gray_input,
output reg [7:0] bin_out
);
always@(*) begin
bin_out[7] <= gray_input[7];
bin_out[6] <= bin_out[7] ^ gray_input[6];
bin_out[5] <= bin_out[6] ^ gray_input[5];
bin_out[4] <= bin_out[5] ^ gray_input[4];
bin_out[3] <= bin_out[4] ^ gray_input[3];
bin_out[2] <= bin_out[3] ^ gray_input[2];
bin_out[1] <= bin_out[2] ^ gray_input[1];
bin_out[0] <= bin_out[1] ^ gray_input[0];
end
endmodule
module mono_data_rx_core
#(
parameter ABUSWIDTH = 16,
parameter IDENTYFIER = 2'b00
)(
input wire CLK_BX,
input wire RX_TOKEN, RX_DATA, RX_CLK,
output reg RX_READ, RX_FREEZE,
output wire READY,
input wire [63:0] TIMESTAMP,
input wire FIFO_READ,
output wire FIFO_EMPTY,
output wire [31:0] FIFO_DATA,
input wire BUS_CLK,
input wire [ABUSWIDTH-1:0] BUS_ADD,
input wire [7:0] BUS_DATA_IN,
output reg [7:0] BUS_DATA_OUT,
input wire BUS_RST,
input wire BUS_WR,
input wire BUS_RD,
output wire LOST_ERROR
);
localparam VERSION = 2;
wire SOFT_RST;
assign SOFT_RST = (BUS_ADD==0 && BUS_WR);
wire RST;
assign RST = BUS_RST | SOFT_RST;
reg CONF_EN;
reg CONF_DISSABLE_GRAY_DEC;
reg [7:0] CONF_START_FREEZE;
reg [7:0] CONF_STOP_FREEZE;
reg [7:0] CONF_START_READ;
reg [7:0] CONF_STOP_READ;
reg [7:0] CONF_STOP;
reg [7:0] CONF_READ_SHIFT;
always @(posedge BUS_CLK) begin
if(RST) begin
CONF_EN <= 0;
CONF_DISSABLE_GRAY_DEC <= 0;
CONF_START_FREEZE <= 3;
CONF_START_READ <= 6;
CONF_STOP_READ <= 7;
CONF_STOP_FREEZE <= 15;
CONF_STOP <= 45;
CONF_READ_SHIFT <=59; // (29<<2+1)
end
else if(BUS_WR) begin
if(BUS_ADD == 2) begin
CONF_EN <= BUS_DATA_IN[0];
CONF_DISSABLE_GRAY_DEC <= BUS_DATA_IN[1];
end
else if(BUS_ADD == 4)
CONF_START_FREEZE <= BUS_DATA_IN;
else if(BUS_ADD == 5)
CONF_STOP_FREEZE <= BUS_DATA_IN;
else if(BUS_ADD == 6)
CONF_START_READ <= BUS_DATA_IN;
else if(BUS_ADD == 7)
CONF_STOP_READ <= BUS_DATA_IN;
else if(BUS_ADD == 8)
CONF_STOP <= BUS_DATA_IN;
else if(BUS_ADD == 9)
CONF_READ_SHIFT <= BUS_DATA_IN;
end
end
reg [7:0] LOST_DATA_CNT;
reg[51:0] token_timestamp;
reg[27:0] token_cnt;
always @(posedge BUS_CLK) begin
if(BUS_RD) begin
if(BUS_ADD == 0)
BUS_DATA_OUT <= VERSION;
else if(BUS_ADD == 2)
BUS_DATA_OUT <= {6'b0, CONF_DISSABLE_GRAY_DEC, CONF_EN};
else if(BUS_ADD == 3)
BUS_DATA_OUT <= LOST_DATA_CNT;
else if(BUS_ADD == 4)
BUS_DATA_OUT <= CONF_START_FREEZE;
else if(BUS_ADD == 5)
BUS_DATA_OUT <= CONF_STOP_FREEZE;
else if(BUS_ADD == 6)
BUS_DATA_OUT <= CONF_START_READ;
else if(BUS_ADD == 7)
BUS_DATA_OUT <= CONF_STOP_READ;
else if(BUS_ADD == 8)
BUS_DATA_OUT <= CONF_STOP;
else if(BUS_ADD == 9)
BUS_DATA_OUT <= CONF_READ_SHIFT[7:0];
else if(BUS_ADD == 17)
BUS_DATA_OUT <= {7'b0,READY};
else if (BUS_ADD ==18) ///debug
BUS_DATA_OUT <= TIMESTAMP[8:0];
else
BUS_DATA_OUT <= 8'b0;
end
end
wire RST_SYNC;
wire RST_SOFT_SYNC;
cdc_reset_sync rst_pulse_sync (.clk_in(BUS_CLK), .pulse_in(RST), .clk_out(RX_CLK), .pulse_out(RST_SOFT_SYNC));
assign RST_SYNC = RST_SOFT_SYNC;
wire CONF_EN_SYNC;
assign CONF_EN_SYNC = CONF_EN;
assign READY = ~RX_FREEZE & CONF_EN;
reg [3:0] TOKEN_FF;
always@(posedge RX_CLK)
if (RST_SYNC)
TOKEN_FF <= 4'b0;
else
TOKEN_FF <= {TOKEN_FF[2:0],RX_TOKEN};
wire TOKEN_SYNC;
assign TOKEN_SYNC = ~TOKEN_FF[1] & TOKEN_FF[0];
reg TOKEN_NEXT;
always@(posedge RX_CLK)
if (RST_SYNC) begin
token_timestamp <= 52'b0;
token_cnt <= 28'b0;
end
else if ( TOKEN_SYNC ) begin
token_timestamp <= TIMESTAMP[51:0];
token_cnt <= token_cnt+1;
end
parameter NOP=5'd0, WAIT_ONE = 5'd1, NOP_NEXT=5'd2, WAIT_NEXT = 5'd3, WAIT_TWO = 5'd4, WAIT_TWO_NEXT = 5'd5;
reg [4:0] state, next_state;
always@(posedge CLK_BX)
if(RST_SYNC)
state <= NOP;
else
state <= next_state;
reg [7:0] DelayCnt;
always@(*) begin : set_next_state
next_state = state; //default
case (state)
NOP:
if(TOKEN_FF[0] & CONF_EN)
next_state = WAIT_ONE;
WAIT_ONE:
if ( (DelayCnt == CONF_STOP_FREEZE - 2 ) & TOKEN_FF[0])
next_state = WAIT_TWO;
else if (DelayCnt == CONF_STOP) begin
if(!RX_FREEZE & TOKEN_FF[0])
next_state = NOP_NEXT;
else
next_state = NOP;
end
WAIT_TWO:
next_state =WAIT_ONE;
NOP_NEXT:
if(TOKEN_FF[0] & CONF_EN)
next_state = WAIT_NEXT;
WAIT_NEXT:
if ( (DelayCnt == CONF_STOP_FREEZE - 2 ) & TOKEN_FF[0])
next_state = WAIT_TWO_NEXT;
else if(DelayCnt == CONF_STOP) begin
if(TOKEN_FF[0])
next_state = NOP_NEXT;
else
next_state = NOP;
end
WAIT_TWO_NEXT:
next_state =WAIT_NEXT;
endcase
end
always@(posedge CLK_BX)
if(RST_SYNC || state == NOP || state == NOP_NEXT)
DelayCnt <= 0;
else if (state == WAIT_TWO || state == WAIT_TWO_NEXT )
DelayCnt <= CONF_START_READ - 2;
else if(DelayCnt != 8'hff)
DelayCnt <= DelayCnt + 1;
always@(posedge CLK_BX)
if(RST_SYNC)
TOKEN_NEXT <= 1'b0;
else if(DelayCnt == CONF_STOP_READ + 4) //should be +1
TOKEN_NEXT <= TOKEN_FF[0];
always@(posedge CLK_BX)
//always@(negedge CLK_BX)
RX_READ <= (DelayCnt >= CONF_START_READ && DelayCnt < CONF_STOP_READ);
always@(posedge CLK_BX) begin
if(RST_SYNC)
RX_FREEZE <= 1'b0;
else if(DelayCnt == CONF_START_FREEZE)
RX_FREEZE <= 1'b1;
else if(DelayCnt == CONF_STOP_FREEZE && !TOKEN_FF[0])
RX_FREEZE <= 1'b0;
end
reg [1:0] read_dly;
always@(posedge CLK_BX)
read_dly[1:0] <= {read_dly[0], RX_READ};
reg [1:0] read_out_dly;
always@(posedge RX_CLK)
read_out_dly <= {read_out_dly[0], read_dly[1]};
reg load;
always@(posedge RX_CLK)
load <= read_out_dly[0] & !read_out_dly[1];
reg [6:0] cnt;
always@(posedge RX_CLK)
if(RST_SYNC)
cnt <= -1;
else if(load)
cnt <= 0;
else if(cnt != 7'hff)
cnt <= cnt + 1;
reg [29:0] ser_neg;
always@(negedge RX_CLK)
ser_neg <= {ser_neg[28:0], RX_DATA};
reg [29:0] ser;
always@(posedge RX_CLK)
ser <= {ser[28:0], RX_DATA};
wire store_data;
assign store_data = (cnt == CONF_READ_SHIFT[7:1]);
reg [29:0] data_out;
wire [110:0] data_to_cdc; // [82:0] data_to_cdc;
always@(posedge RX_CLK) begin
if(RST_SYNC)
data_out <= 0;
else if(store_data) begin
if (CONF_READ_SHIFT[0]==1)
data_out <= ser_neg;
else
data_out <= ser;
end
end
reg data_out_strobe;
always@(posedge RX_CLK) begin
if(store_data)
data_out_strobe <= 1;
else
data_out_strobe <= 0;
end
//
wire cdc_fifo_write;
assign cdc_fifo_write = data_out_strobe;
wire wfull;
always@(posedge RX_CLK) begin
if(RST_SYNC)
LOST_DATA_CNT <= 0;
else if (wfull && cdc_fifo_write && LOST_DATA_CNT != -1)
LOST_DATA_CNT <= LOST_DATA_CNT +1;
end
wire posssible_noise;
assign posssible_noise = (state == WAIT_NEXT || state == WAIT_TWO_NEXT);
wire [5:0] col;
wire [7:0] row, te_gray, le_gray, te, le;
assign {le_gray, te_gray, row, col} = data_out;
bin_to_gray7 bin_to_gray_te(.gray_input(te_gray), .bin_out(te) );
bin_to_gray7 bin_to_gray_le(.gray_input(le_gray), .bin_out(le) );
assign data_to_cdc = CONF_DISSABLE_GRAY_DEC ? {token_cnt,token_timestamp,posssible_noise, data_out} : {token_cnt,token_timestamp,posssible_noise, le, te, row, col};
wire [110:0] cdc_data_out; //[82:0] cdc_data_out;
wire cdc_fifo_empty, fifo_full, fifo_write;
wire cdc_fifo_read;
//cdc_syncfifo #(.DSIZE(83), .ASIZE(8)) cdc_syncfifo_i
cdc_syncfifo #(.DSIZE(111), .ASIZE(8)) cdc_syncfifo_i
(
.rdata(cdc_data_out),
.wfull(wfull),
.rempty(cdc_fifo_empty),
.wdata(data_to_cdc),
.winc(cdc_fifo_write), .wclk(RX_CLK), .wrst(RST_SYNC),
.rinc(cdc_fifo_read), .rclk(BUS_CLK), .rrst(RST)
);
reg [2:0] byte2_cnt, byte2_cnt_prev;
always@(posedge BUS_CLK)
byte2_cnt_prev <= byte2_cnt;
assign cdc_fifo_read = (byte2_cnt_prev==0 & byte2_cnt!=0);
assign fifo_write = byte2_cnt_prev != 0;
always@(posedge BUS_CLK)
if(RST)
byte2_cnt <= 0;
else if(!cdc_fifo_empty && !fifo_full && byte2_cnt == 0 )
//byte2_cnt <= 3;
byte2_cnt <= 4;
else if (!fifo_full & byte2_cnt != 0)
byte2_cnt <= byte2_cnt - 1;
//reg [82:0] data_buf;
reg [110:0] data_buf;
always@(posedge BUS_CLK)
if(cdc_fifo_read)
data_buf <= cdc_data_out;
wire [29:0] fifo_write_data_byte [4:0];
assign fifo_write_data_byte[4]=29'b0;
assign fifo_write_data_byte[3]={2'b01,data_buf[42:31],data_buf[13:6],1'b0,data_buf[30],data_buf[5:0]};
assign fifo_write_data_byte[2]={2'b10,data_buf[54:43],data_buf[29:22],data_buf[21:14]};
assign fifo_write_data_byte[1]={2'b11,data_buf[82:55]};
assign fifo_write_data_byte[0]={2'b00,data_buf[110:83]}; //last data is dummy
wire [31:0] fifo_data_in;
assign fifo_data_in = fifo_write_data_byte[byte2_cnt];
gerneric_fifo #(.DATA_SIZE(30), .DEPTH(1023)) fifo_i
( .clk(BUS_CLK), .reset(RST),
.write(fifo_write),
.read(FIFO_READ),
.data_in(fifo_data_in),
.full(fifo_full),
.empty(FIFO_EMPTY),
.data_out(FIFO_DATA[29:0]), .size()
);
assign FIFO_DATA[31:30] = IDENTYFIER;
assign LOST_ERROR = LOST_DATA_CNT != 0;
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__SDFRTP_PP_SYMBOL_V
`define SKY130_FD_SC_MS__SDFRTP_PP_SYMBOL_V
/**
* sdfrtp: Scan delay flop, inverted reset, non-inverted clock,
* single output.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ms__sdfrtp (
//# {{data|Data Signals}}
input D ,
output Q ,
//# {{control|Control Signals}}
input RESET_B,
//# {{scanchain|Scan Chain}}
input SCD ,
input SCE ,
//# {{clocks|Clocking}}
input CLK ,
//# {{power|Power}}
input VPB ,
input VPWR ,
input VGND ,
input VNB
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_MS__SDFRTP_PP_SYMBOL_V
|
//altera message_off 10036
`include "alt_mem_ddrx_define.iv"
`timescale 1 ps / 1 ps
module alt_mem_ddrx_odt_gen
#( parameter
CFG_DWIDTH_RATIO = 2,
CFG_ODT_ENABLED = 1,
CFG_MEM_IF_CHIP = 2, //one_hot
CFG_MEM_IF_ODT_WIDTH = 2,
CFG_PORT_WIDTH_OUTPUT_REGD = 1,
CFG_PORT_WIDTH_CAS_WR_LAT = 4,
CFG_PORT_WIDTH_TCL = 4,
CFG_PORT_WIDTH_ADD_LAT = 3,
CFG_PORT_WIDTH_TYPE = 3,
CFG_PORT_WIDTH_WRITE_ODT_CHIP = 4,
CFG_PORT_WIDTH_READ_ODT_CHIP = 4
)
(
ctl_clk,
ctl_reset_n,
//Configuration Interface
cfg_type,
cfg_tcl,
cfg_cas_wr_lat,
cfg_add_lat,
cfg_write_odt_chip,
cfg_read_odt_chip,
cfg_burst_length,
cfg_output_regd,
//Arbiter Interface
bg_do_read,
bg_do_write,
bg_do_burst_chop,
bg_to_chip, //one_hot
//AFI Interface
afi_odt
);
//=================================================================================================//
// input/output declaration //
//=================================================================================================//
input ctl_clk;
input ctl_reset_n;
//Input from Configuration Interface
input [CFG_PORT_WIDTH_TYPE -1:0] cfg_type;
input [CFG_PORT_WIDTH_TCL -1:0] cfg_tcl;
input [CFG_PORT_WIDTH_CAS_WR_LAT -1:0] cfg_cas_wr_lat;
input [CFG_PORT_WIDTH_ADD_LAT -1:0] cfg_add_lat;
input [CFG_PORT_WIDTH_WRITE_ODT_CHIP -1:0] cfg_write_odt_chip;
input [CFG_PORT_WIDTH_READ_ODT_CHIP -1:0] cfg_read_odt_chip;
input [4:0] cfg_burst_length;
input [CFG_PORT_WIDTH_OUTPUT_REGD -1:0] cfg_output_regd;
//Inputs from Arbiter Interface
input bg_do_read;
input bg_do_write;
input bg_do_burst_chop;
input [CFG_MEM_IF_CHIP -1:0] bg_to_chip;
//Output to AFI Interface
output [(CFG_MEM_IF_ODT_WIDTH*(CFG_DWIDTH_RATIO/2))-1:0] afi_odt;
//=================================================================================================//
// reg/wire declaration //
//=================================================================================================//
wire [CFG_MEM_IF_ODT_WIDTH-1:0] write_odt_chip [CFG_MEM_IF_CHIP-1:0];
wire [CFG_MEM_IF_ODT_WIDTH-1:0] read_odt_chip [CFG_MEM_IF_CHIP-1:0];
wire [CFG_MEM_IF_ODT_WIDTH-1:0] ddr2_odt_l;
wire [CFG_MEM_IF_ODT_WIDTH-1:0] ddr2_odt_h;
wire [CFG_MEM_IF_ODT_WIDTH-1:0] ddr3_odt_l;
wire [CFG_MEM_IF_ODT_WIDTH-1:0] ddr3_odt_h;
wire [CFG_MEM_IF_ODT_WIDTH-1:0] ddr3_odt_i;
reg [CFG_MEM_IF_ODT_WIDTH-1:0] int_odt_l;
reg [CFG_MEM_IF_ODT_WIDTH-1:0] int_odt_h;
reg [CFG_MEM_IF_ODT_WIDTH-1:0] int_odt_i;
reg [CFG_MEM_IF_ODT_WIDTH-1:0] int_write_odt_chip;
reg [CFG_MEM_IF_ODT_WIDTH-1:0] int_read_odt_chip;
integer i;
//=================================================================================================//
// cfg_write_odt_chip & cfg_read_odt_chip definition //
//=================================================================================================//
/*
DDR3
four chip selects odt scheme, for two ranks per dimm configuration
.---------------------------------------++---------------------------------------.
| write to || odt to |
+---------+---------+---------+---------++---------+---------+---------+---------+
| chip 0 | chip 1 | chip 2 | chip 3 || chip 0 | chip 1 | chip 2 | chip 3 |
|=--------+---------+---------+---------++---------+---------+---------+--------=|
| 1 | | | || 1 | | 1 | | //cfg_write_odt_chip[0] = 4'b0101; //chip[3] -> chip[0]
+---------+---------+---------+---------++---------+---------+---------+---------+
| | 1 | | || | 1 | | 1 | //cfg_write_odt_chip[1] = 4'b1010; //chip[3] -> chip[0]
+---------+---------+---------+---------++---------+---------+---------+---------+
| | | 1 | || 1 | | 1 | | //cfg_write_odt_chip[2] = 4'b0101; //chip[3] -> chip[0]
+---------+---------+---------+---------++---------+---------+---------+---------+
| | | | 1 || | 1 | | 1 | //cfg_write_odt_chip[3] = 4'b1010; //chip[3] -> chip[0]
'---------+---------+---------+---------++---------+---------+---------+---------'
.---------------------------------------++---------------------------------------.
| read to || odt to |
+---------+---------+---------+---------++---------+---------+---------+---------+
| chip 0 | chip 1 | chip 2 | chip 3 || chip 0 | chip 1 | chip 2 | chip 3 |
|=--------+---------+---------+---------++---------+---------+---------+--------=|
| 1 | | | || | | 1 | | //cfg_read_odt_chip[0] = 4'b0100; //chip[3] -> chip[0]
+---------+---------+---------+---------++---------+---------+---------+---------+
| | 1 | | || | | | 1 | //cfg_read_odt_chip[1] = 4'b1000; //chip[3] -> chip[0]
+---------+---------+---------+---------++---------+---------+---------+---------+
| | | 1 | || 1 | | | | //cfg_read_odt_chip[2] = 4'b0001; //chip[3] -> chip[0]
+---------+---------+---------+---------++---------+---------+---------+---------+
| | | | 1 || | 1 | | | //cfg_read_odt_chip[3] = 4'b0010; //chip[3] -> chip[0]
'---------+---------+---------+---------++---------+---------+---------+---------'
*/
/*
DDR2
four or more chip selects odt scheme, assumes two ranks per dimm
.---------------------------------------++---------------------------------------.
| write/read to || odt to |
+---------+---------+---------+---------++---------+---------+---------+---------+
| chipJ+0 | chipJ+1 | chipJ+2 | chipJ+3 || chipJ+0 | chipJ+1 | chipJ+2 | chipJ+3 |
|=--------+---------+---------+---------++---------+---------+---------+--------=|
| 1 | | | || | | 1 | |
+---------+---------+---------+---------++---------+---------+---------+---------+
| | 1 | | || | | | 1 |
+---------+---------+---------+---------++---------+---------+---------+---------+
| | | 1 | || 1 | | | |
+---------+---------+---------+---------++---------+---------+---------+---------+
| | | | 1 || | 1 | | |
'---------+---------+---------+---------++---------+---------+---------+---------'
*/
//Unpack read/write_odt_chip array into per chip array
generate
genvar a;
begin : unpack_odt_config
for (a=0; a<CFG_MEM_IF_CHIP; a=a+1)
begin : unpack_odt_config_per_chip
assign write_odt_chip[a] = cfg_write_odt_chip [(a*CFG_MEM_IF_ODT_WIDTH)+CFG_MEM_IF_ODT_WIDTH-1:a*CFG_MEM_IF_ODT_WIDTH];
assign read_odt_chip[a] = cfg_read_odt_chip [(a*CFG_MEM_IF_ODT_WIDTH)+CFG_MEM_IF_ODT_WIDTH-1:a*CFG_MEM_IF_ODT_WIDTH];
end
end
endgenerate
always @(*)
begin
int_write_odt_chip = {(CFG_MEM_IF_ODT_WIDTH){1'b0}};
int_read_odt_chip = {(CFG_MEM_IF_ODT_WIDTH){1'b0}};
for (i=0; i<CFG_MEM_IF_CHIP; i=i+1)
begin
if (bg_to_chip[i])
begin
int_write_odt_chip = write_odt_chip[i];
int_read_odt_chip = read_odt_chip[i];
end
end
end
//=================================================================================================//
// Instantiate DDR2 ODT generation Block //
//=================================================================================================//
generate
genvar b;
for (b=0; b<CFG_MEM_IF_ODT_WIDTH; b=b+1)
begin : ddr2_odt_gen
alt_mem_ddrx_ddr2_odt_gen
# (
.CFG_DWIDTH_RATIO (CFG_DWIDTH_RATIO),
.CFG_PORT_WIDTH_ADD_LAT (CFG_PORT_WIDTH_ADD_LAT),
.CFG_PORT_WIDTH_OUTPUT_REGD (CFG_PORT_WIDTH_OUTPUT_REGD),
.CFG_PORT_WIDTH_TCL (CFG_PORT_WIDTH_TCL)
)
alt_mem_ddrx_ddr2_odt_gen_inst
(
.ctl_clk (ctl_clk),
.ctl_reset_n (ctl_reset_n),
.cfg_tcl (cfg_tcl),
.cfg_add_lat (cfg_add_lat),
.cfg_burst_length (cfg_burst_length),
.cfg_output_regd (cfg_output_regd),
.bg_do_write (bg_do_write & int_write_odt_chip[b]),
.bg_do_read (bg_do_read & int_read_odt_chip[b]),
.int_odt_l (ddr2_odt_l[b]),
.int_odt_h (ddr2_odt_h[b])
);
end
endgenerate
//=================================================================================================//
// Instantiate DDR3 ODT generation Block //
//=================================================================================================//
generate
genvar c;
for (c=0; c<CFG_MEM_IF_ODT_WIDTH; c=c+1)
begin : ddr3_odt_gen
alt_mem_ddrx_ddr3_odt_gen
# (
.CFG_DWIDTH_RATIO (CFG_DWIDTH_RATIO),
.CFG_PORT_WIDTH_OUTPUT_REGD (CFG_PORT_WIDTH_OUTPUT_REGD),
.CFG_PORT_WIDTH_TCL (CFG_PORT_WIDTH_TCL),
.CFG_PORT_WIDTH_CAS_WR_LAT (CFG_PORT_WIDTH_CAS_WR_LAT)
)
alt_mem_ddrx_ddr3_odt_gen_inst
(
.ctl_clk (ctl_clk),
.ctl_reset_n (ctl_reset_n),
.cfg_tcl (cfg_tcl),
.cfg_cas_wr_lat (cfg_cas_wr_lat),
.cfg_output_regd (cfg_output_regd),
.bg_do_write (bg_do_write & int_write_odt_chip[c]),
.bg_do_read (bg_do_read & int_read_odt_chip[c]),
.bg_do_burst_chop (bg_do_burst_chop),
.int_odt_l (ddr3_odt_l[c]),
.int_odt_h (ddr3_odt_h[c]),
.int_odt_i (ddr3_odt_i[c])
);
end
endgenerate
//=================================================================================================//
// ODT Output generation based on memory type and ODT feature turned ON or not //
//=================================================================================================//
always @(*)
begin
if (cfg_type == `MMR_TYPE_DDR2)
begin
int_odt_l = ddr2_odt_l;
int_odt_h = ddr2_odt_h;
int_odt_i = {(CFG_MEM_IF_ODT_WIDTH){1'b0}};
end
else if (cfg_type == `MMR_TYPE_DDR3)
begin
int_odt_l = ddr3_odt_l;
int_odt_h = ddr3_odt_h;
int_odt_i = ddr3_odt_i;
end
else
begin
int_odt_l = {(CFG_MEM_IF_ODT_WIDTH){1'b0}};
int_odt_h = {(CFG_MEM_IF_ODT_WIDTH){1'b0}};
int_odt_i = {(CFG_MEM_IF_ODT_WIDTH){1'b0}};
end
end
generate
if (CFG_ODT_ENABLED == 1)
begin
if (CFG_DWIDTH_RATIO == 2) // quarter rate
assign afi_odt = int_odt_l;
else if (CFG_DWIDTH_RATIO == 4) // half rate
assign afi_odt = {int_odt_h,int_odt_l};
else if (CFG_DWIDTH_RATIO == 8) // quarter rate
assign afi_odt = {int_odt_h,int_odt_i, int_odt_i, int_odt_l};
end
else
assign afi_odt = {(CFG_MEM_IF_ODT_WIDTH * (CFG_DWIDTH_RATIO/2)){1'b0}};
endgenerate
endmodule
|
/*
* Copyright (C) 2016 Harmon Instruments, LLC
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/
*
* One wire UART least significant bit and byte first
*
* Set baud rate: write with a=0, wd = cpb | 0x80000000
* where cpb = (16 * clock) / baud
*
* Send 4 bytes: wd = data, a = 3
* Send 3 bytes: wd[23:0] = data, a = 2
* Send 2 bytes: wd[16:0] = data, a = 1
* Send 1 byte : wd[ 7:0] = data, a = 0, wd[31:30] must be 0
* Send break : wd = 0x40000000, a = 0
*
*/
`timescale 1ns / 1ps
module uart_1wire
(
input c, // clock
input w, // write enable
input [1:0] a, // address
input [31:0] wd, // write data
output reg [31:0] rd = 0, // read data
inout uart // IO pin
);
reg [3:0] rx_state = 0;
reg [6:0] rx_cur_time = 0;
reg [6:0] rx_next_event = 0;
reg [6:0] cpb = 100; // clocks per bit
reg ireg = 1;
reg oe = 0;
reg od = 0;
reg [5:0] tx_bits = 0; // tx bits remaining
reg [6:0] tx_cur_time = 0;
reg [6:0] tx_next_event = 0;
reg [38:0] tx_sr = 39'h7FFFFFFFF;
assign uart = oe ? od : 1'bz;
always @ (posedge c)
begin
// receive
ireg <= uart;
if(rx_state == 0)
begin
rx_cur_time <= 1'b0;
if (!ireg)
begin
rx_state <= 1'b1;
rx_next_event <= cpb[6:1];
end
end
else
begin
rx_cur_time <= rx_cur_time + 1'b1;
if(rx_next_event == rx_cur_time)
begin
rx_next_event <= rx_next_event + cpb;
rx_state <= rx_state == 10 ? 1'b0 : rx_state + 1'b1;
if((rx_state > 1) && (rx_state < 10))
rd <= {ireg, rd[31:1]};
end
end
// transmit
od <= tx_sr[0];
oe <= tx_bits != 0;
if(w)
begin
if((a == 0) && wd[31])
cpb <= wd[6:0];
oe <= 1'b1;
tx_bits <= 6'd40;
tx_cur_time <= 1'b0;
tx_next_event <= cpb;
tx_sr[38:30] <= a > 2 ? {wd[31:24], 1'b0} : 9'h1FF;
tx_sr[29:20] <= a > 1 ? {1'b1, wd[23:16], 1'b0} : 10'h3FF;
tx_sr[19:10] <= a > 0 ? {1'b1, wd[15: 8], 1'b0} : 10'h3FF;
tx_sr[ 9: 0] <= (a > 0) || (wd[31:30] == 0) ? {1'b1, wd[ 7: 0], 1'b0} :
wd[30] == 1 ? 10'h000 : 10'h3FF;
end
else
begin
tx_cur_time <= tx_cur_time + 1'b1;
if(tx_next_event == tx_cur_time)
begin
tx_next_event <= tx_next_event + cpb;
tx_sr <= {1'b1, tx_sr[38:1]};
tx_bits <= tx_bits == 0 ? 1'b0 : tx_bits - 1'b1;
end
end
end
endmodule
`ifdef SIM
module tb (input c);
reg w = 0;
reg [2:0] a = 0;
reg [31:0] wd = 0;
wand uart = 1;
wire [31:0] rd0, rd1;
uart_1wire uart0 (.c(c), .w(w & ~a[2]), .a(a[1:0]), .wd(wd), .rd(rd0), .uart(uart));
uart_1wire uart1 (.c(c), .w(w & a[2]), .a(a[1:0]), .wd(wd), .rd(rd1), .uart(uart));
initial
begin
$dumpfile("dump.vcd");
$dumpvars(0);
end
endmodule
`endif
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__OR3_PP_BLACKBOX_V
`define SKY130_FD_SC_HS__OR3_PP_BLACKBOX_V
/**
* or3: 3-input OR.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__or3 (
X ,
A ,
B ,
C ,
VPWR,
VGND
);
output X ;
input A ;
input B ;
input C ;
input VPWR;
input VGND;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__OR3_PP_BLACKBOX_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__BUFBUF_FUNCTIONAL_V
`define SKY130_FD_SC_LP__BUFBUF_FUNCTIONAL_V
/**
* bufbuf: Double buffer.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_lp__bufbuf (
X,
A
);
// Module ports
output X;
input A;
// Local signals
wire buf0_out_X;
// Name Output Other arguments
buf buf0 (buf0_out_X, A );
buf buf1 (X , buf0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__BUFBUF_FUNCTIONAL_V |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__EBUFN_TB_V
`define SKY130_FD_SC_LP__EBUFN_TB_V
/**
* ebufn: Tri-state buffer, negative enable.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__ebufn.v"
module top();
// Inputs are registered
reg A;
reg TE_B;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire Z;
initial
begin
// Initial state is x for all inputs.
A = 1'bX;
TE_B = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 A = 1'b0;
#40 TE_B = 1'b0;
#60 VGND = 1'b0;
#80 VNB = 1'b0;
#100 VPB = 1'b0;
#120 VPWR = 1'b0;
#140 A = 1'b1;
#160 TE_B = 1'b1;
#180 VGND = 1'b1;
#200 VNB = 1'b1;
#220 VPB = 1'b1;
#240 VPWR = 1'b1;
#260 A = 1'b0;
#280 TE_B = 1'b0;
#300 VGND = 1'b0;
#320 VNB = 1'b0;
#340 VPB = 1'b0;
#360 VPWR = 1'b0;
#380 VPWR = 1'b1;
#400 VPB = 1'b1;
#420 VNB = 1'b1;
#440 VGND = 1'b1;
#460 TE_B = 1'b1;
#480 A = 1'b1;
#500 VPWR = 1'bx;
#520 VPB = 1'bx;
#540 VNB = 1'bx;
#560 VGND = 1'bx;
#580 TE_B = 1'bx;
#600 A = 1'bx;
end
sky130_fd_sc_lp__ebufn dut (.A(A), .TE_B(TE_B), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .Z(Z));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__EBUFN_TB_V
|
// soc_system_mm_interconnect_0_avalon_st_adapter_001.v
// This file was auto-generated from altera_avalon_st_adapter_hw.tcl. If you edit it your changes
// will probably be lost.
//
// Generated using ACDS version 15.0 145
`timescale 1 ps / 1 ps
module soc_system_mm_interconnect_0_avalon_st_adapter_001 #(
parameter inBitsPerSymbol = 34,
parameter inUsePackets = 0,
parameter inDataWidth = 34,
parameter inChannelWidth = 0,
parameter inErrorWidth = 0,
parameter inUseEmptyPort = 0,
parameter inUseValid = 1,
parameter inUseReady = 1,
parameter inReadyLatency = 0,
parameter outDataWidth = 34,
parameter outChannelWidth = 0,
parameter outErrorWidth = 1,
parameter outUseEmptyPort = 0,
parameter outUseValid = 1,
parameter outUseReady = 1,
parameter outReadyLatency = 0
) (
input wire in_clk_0_clk, // in_clk_0.clk
input wire in_rst_0_reset, // in_rst_0.reset
input wire [33:0] in_0_data, // in_0.data
input wire in_0_valid, // .valid
output wire in_0_ready, // .ready
output wire [33:0] out_0_data, // out_0.data
output wire out_0_valid, // .valid
input wire out_0_ready, // .ready
output wire [0:0] out_0_error // .error
);
generate
// If any of the display statements (or deliberately broken
// instantiations) within this generate block triggers then this module
// has been instantiated this module with a set of parameters different
// from those it was generated for. This will usually result in a
// non-functioning system.
if (inBitsPerSymbol != 34)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inbitspersymbol_check ( .error(1'b1) );
end
if (inUsePackets != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inusepackets_check ( .error(1'b1) );
end
if (inDataWidth != 34)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
indatawidth_check ( .error(1'b1) );
end
if (inChannelWidth != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inchannelwidth_check ( .error(1'b1) );
end
if (inErrorWidth != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inerrorwidth_check ( .error(1'b1) );
end
if (inUseEmptyPort != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inuseemptyport_check ( .error(1'b1) );
end
if (inUseValid != 1)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inusevalid_check ( .error(1'b1) );
end
if (inUseReady != 1)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inuseready_check ( .error(1'b1) );
end
if (inReadyLatency != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
inreadylatency_check ( .error(1'b1) );
end
if (outDataWidth != 34)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outdatawidth_check ( .error(1'b1) );
end
if (outChannelWidth != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outchannelwidth_check ( .error(1'b1) );
end
if (outErrorWidth != 1)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outerrorwidth_check ( .error(1'b1) );
end
if (outUseEmptyPort != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outuseemptyport_check ( .error(1'b1) );
end
if (outUseValid != 1)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outusevalid_check ( .error(1'b1) );
end
if (outUseReady != 1)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outuseready_check ( .error(1'b1) );
end
if (outReadyLatency != 0)
begin
initial begin
$display("Generated module instantiated with wrong parameters");
$stop;
end
instantiated_with_wrong_parameters_error_see_comment_above
outreadylatency_check ( .error(1'b1) );
end
endgenerate
soc_system_mm_interconnect_0_avalon_st_adapter_001_error_adapter_0 error_adapter_0 (
.clk (in_clk_0_clk), // clk.clk
.reset_n (~in_rst_0_reset), // reset.reset_n
.in_data (in_0_data), // in.data
.in_valid (in_0_valid), // .valid
.in_ready (in_0_ready), // .ready
.out_data (out_0_data), // out.data
.out_valid (out_0_valid), // .valid
.out_ready (out_0_ready), // .ready
.out_error (out_0_error) // .error
);
endmodule
|
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed into the Public Domain, for any use,
// without warranty, 2008 by Wilson Snyder.
module t (/*AUTOARG*/
// Inputs
clk
);
input clk;
integer cyc=0;
reg [63:0] crc;
reg [63:0] sum;
// Take CRC data and apply to testblock inputs
wire [1:0] in = crc[1:0];
/*AUTOWIRE*/
// Beginning of automatic wires (for undeclared instantiated-module outputs)
wire [1:0] out; // From test of Test.v
// End of automatics
Test test (/*AUTOINST*/
// Outputs
.out (out[1:0]),
// Inputs
.in (in[1:0]));
// Aggregate outputs into a single result vector
wire [63:0] result = {62'h0, out};
// What checksum will we end up with
`define EXPECTED_SUM 64'hbb2d9709592f64bd
// Test loop
always @ (posedge clk) begin
`ifdef TEST_VERBOSE
$write("[%0t] cyc==%0d crc=%x result=%x\n",$time, cyc, crc, result);
`endif
cyc <= cyc + 1;
crc <= {crc[62:0], crc[63]^crc[2]^crc[0]};
sum <= result ^ {sum[62:0],sum[63]^sum[2]^sum[0]};
if (cyc==0) begin
// Setup
crc <= 64'h5aef0c8d_d70a4497;
end
else if (cyc<10) begin
sum <= 64'h0;
end
else if (cyc<90) begin
end
else if (cyc==99) begin
$write("[%0t] cyc==%0d crc=%x sum=%x\n",$time, cyc, crc, sum);
if (crc !== 64'hc77bb9b3784ea091) $stop;
if (sum !== `EXPECTED_SUM) $stop;
$write("*-* All Finished *-*\n");
$finish;
end
end
endmodule
module Test (/*AUTOARG*/
// Outputs
out,
// Inputs
in
);
input [1:0] in;
output reg [1:0] out;
always @* begin
// bug99: Internal Error: ../V3Ast.cpp:495: New node already linked?
case (in[1:0])
2'd0, 2'd1, 2'd2, 2'd3: begin
out = in;
end
endcase
end
endmodule
|
// ========== Copyright Header Begin ==========================================
//
// OpenSPARC T1 Processor File: ccx_arb_atomq.v
// Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved.
// DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
//
// The above named program is free software; you can redistribute it and/or
// modify it under the terms of the GNU General Public
// License version 2 as published by the Free Software Foundation.
//
// The above named program is distributed in the hope that it will be
// useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
// General Public License for more details.
//
// You should have received a copy of the GNU General Public
// License along with this work; if not, write to the Free Software
// Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
//
// ========== Copyright Header End ============================================
////////////////////////////////////////////////////////////////////////
// Global header file includes
////////////////////////////////////////////////////////////////////////
`include "sys.h" // system level definition file which contains the
// time scale definition
`include "iop.h"
// USES srcq select signals; ignore qcount code in this file
////////////////////////////////////////////////////////////////////////
// Local header file includes / local defines
////////////////////////////////////////////////////////////////////////
module ccx_arb_atomq(/*AUTOARG*/
// Outputs
q0_dataout, scan_out,
// Inputs
ctl_qsel1_a_l, ctl_qsel0_a, ctl_shift_a, atom_a, rclk, reset_d1
);
output q0_dataout; // pcx to destination pkt
output scan_out;
input ctl_qsel1_a_l; // queue write sel
input ctl_qsel0_a; // queue write sel
input ctl_shift_a;//grant signal
input atom_a; // spache to pcx data
input rclk;
//input tmb_l;
input reset_d1;
wire q0_datain_pa;
wire q1_datain_pa;
wire q1_dataout;
wire q1_data_out;
wire q0_data_out;
//HEADER SECTION
//DATAPATH SECTION
assign q1_datain_pa = ~ctl_qsel1_a_l ? atom_a : q1_dataout;
dff_s #(1) dff_pcx_atomin_q1(
.din (q1_datain_pa),
.q (q1_data_out),
.clk (rclk),
.se (1'b0),
.si (),
.so ());
assign q1_dataout = ~reset_d1 ? q1_data_out : 1'b0;
assign q0_datain_pa =
ctl_qsel0_a ? atom_a :
ctl_shift_a ? q1_dataout :
q0_dataout;
dff_s #(1) dff_pcx_atomin_q0(
.din (q0_datain_pa),
.q (q0_data_out),
.clk (rclk),
.se (1'b0),
.si (),
.so ());
assign q0_dataout = ~reset_d1 ? q0_data_out : 1'b0;
// Global Variables:
// verilog-library-directories:("." "../../../../../common/rtl" "../rtl")
// End:
// Code start here
//
endmodule
|
// megafunction wizard: %ALTLVDS_TX%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: ALTLVDS_TX
// ============================================================
// File Name: altera_tse_pma_lvds_tx.v
// Megafunction Name(s):
// ALTLVDS_TX
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 11.0 Internal Build 151 04/02/2011 PN Full Version
// ************************************************************
//Copyright (C) 1991-2011 Altera Corporation
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, Altera MegaCore Function License
//Agreement, or other applicable license agreement, including,
//without limitation, that your use is for the sole purpose of
//programming logic devices manufactured by Altera and sold by
//Altera or its authorized distributors. Please refer to the
//applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module altera_tse_pma_lvds_tx (
pll_areset,
tx_in,
tx_inclock,
tx_out);
input pll_areset;
input [9:0] tx_in;
input tx_inclock;
output [0:0] tx_out;
wire [0:0] sub_wire0;
wire [0:0] tx_out = sub_wire0[0:0];
altlvds_tx ALTLVDS_TX_component (
.pll_areset (pll_areset),
.tx_in (tx_in),
.tx_inclock (tx_inclock),
.tx_out (sub_wire0),
.sync_inclock (1'b0),
.tx_coreclock (),
.tx_data_reset (1'b0),
.tx_enable (1'b1),
.tx_locked (),
.tx_outclock (),
.tx_pll_enable (1'b1),
.tx_syncclock (1'b0));
defparam
ALTLVDS_TX_component.center_align_msb = "UNUSED",
ALTLVDS_TX_component.common_rx_tx_pll = "ON",
ALTLVDS_TX_component.coreclock_divide_by = 1,
ALTLVDS_TX_component.data_rate = "1250.0 Mbps",
ALTLVDS_TX_component.deserialization_factor = 10,
ALTLVDS_TX_component.differential_drive = 0,
ALTLVDS_TX_component.implement_in_les = "OFF",
ALTLVDS_TX_component.inclock_boost = 0,
ALTLVDS_TX_component.inclock_data_alignment = "EDGE_ALIGNED",
ALTLVDS_TX_component.inclock_period = 8000,
ALTLVDS_TX_component.inclock_phase_shift = 0,
ALTLVDS_TX_component.intended_device_family = "Stratix III",
ALTLVDS_TX_component.lpm_hint = "UNUSED",
ALTLVDS_TX_component.lpm_type = "altlvds_tx",
ALTLVDS_TX_component.multi_clock = "OFF",
ALTLVDS_TX_component.number_of_channels = 1,
ALTLVDS_TX_component.outclock_alignment = "EDGE_ALIGNED",
ALTLVDS_TX_component.outclock_divide_by = 10,
ALTLVDS_TX_component.outclock_duty_cycle = 50,
ALTLVDS_TX_component.outclock_multiply_by = 1,
ALTLVDS_TX_component.outclock_phase_shift = 0,
ALTLVDS_TX_component.outclock_resource = "AUTO",
ALTLVDS_TX_component.output_data_rate = 1250,
ALTLVDS_TX_component.pll_self_reset_on_loss_lock = "OFF",
ALTLVDS_TX_component.preemphasis_setting = 0,
ALTLVDS_TX_component.refclk_frequency = "125.00 MHz",
ALTLVDS_TX_component.registered_input = "TX_CLKIN",
ALTLVDS_TX_component.use_external_pll = "OFF",
ALTLVDS_TX_component.use_no_phase_shift = "ON",
ALTLVDS_TX_component.vod_setting = 0,
ALTLVDS_TX_component.clk_src_is_pll = "off";
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: PRIVATE: CNX_CLOCK_CHOICES STRING "none"
// Retrieval info: PRIVATE: CNX_CLOCK_MODE NUMERIC "1"
// Retrieval info: PRIVATE: CNX_COMMON_PLL NUMERIC "1"
// Retrieval info: PRIVATE: CNX_DATA_RATE STRING "1250.0"
// Retrieval info: PRIVATE: CNX_DESER_FACTOR NUMERIC "10"
// Retrieval info: PRIVATE: CNX_EXT_PLL STRING "OFF"
// Retrieval info: PRIVATE: CNX_LE_SERDES STRING "OFF"
// Retrieval info: PRIVATE: CNX_NUM_CHANNEL NUMERIC "1"
// Retrieval info: PRIVATE: CNX_OUTCLOCK_DIVIDE_BY NUMERIC "10"
// Retrieval info: PRIVATE: CNX_PLL_ARESET NUMERIC "1"
// Retrieval info: PRIVATE: CNX_PLL_FREQ STRING "125.00"
// Retrieval info: PRIVATE: CNX_PLL_PERIOD STRING "8.000"
// Retrieval info: PRIVATE: CNX_REG_INOUT NUMERIC "1"
// Retrieval info: PRIVATE: CNX_TX_CORECLOCK STRING "OFF"
// Retrieval info: PRIVATE: CNX_TX_LOCKED STRING "OFF"
// Retrieval info: PRIVATE: CNX_TX_OUTCLOCK STRING "OFF"
// Retrieval info: PRIVATE: CNX_USE_CLOCK_RESC STRING "Auto selection"
// Retrieval info: PRIVATE: CNX_USE_PLL_ENABLE NUMERIC "0"
// Retrieval info: PRIVATE: CNX_USE_TX_OUT_PHASE NUMERIC "1"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix III"
// Retrieval info: PRIVATE: pCNX_OUTCLK_ALIGN STRING "UNUSED"
// Retrieval info: PRIVATE: pINCLOCK_PHASE_SHIFT STRING "0.00"
// Retrieval info: PRIVATE: pOUTCLOCK_PHASE_SHIFT STRING "0.00"
// Retrieval info: CONSTANT: CENTER_ALIGN_MSB STRING "UNUSED"
// Retrieval info: CONSTANT: COMMON_RX_TX_PLL STRING "ON"
// Retrieval info: CONSTANT: CORECLOCK_DIVIDE_BY NUMERIC "1"
// Retrieval info: CONSTANT: clk_src_is_pll STRING "off"
// Retrieval info: CONSTANT: DATA_RATE STRING "1250.0 Mbps"
// Retrieval info: CONSTANT: DESERIALIZATION_FACTOR NUMERIC "10"
// Retrieval info: CONSTANT: DIFFERENTIAL_DRIVE NUMERIC "0"
// Retrieval info: CONSTANT: IMPLEMENT_IN_LES STRING "OFF"
// Retrieval info: CONSTANT: INCLOCK_BOOST NUMERIC "0"
// Retrieval info: CONSTANT: INCLOCK_DATA_ALIGNMENT STRING "EDGE_ALIGNED"
// Retrieval info: CONSTANT: INCLOCK_PERIOD NUMERIC "8000"
// Retrieval info: CONSTANT: INCLOCK_PHASE_SHIFT NUMERIC "0"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix III"
// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altlvds_tx"
// Retrieval info: CONSTANT: MULTI_CLOCK STRING "OFF"
// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
// Retrieval info: CONSTANT: OUTCLOCK_ALIGNMENT STRING "EDGE_ALIGNED"
// Retrieval info: CONSTANT: OUTCLOCK_DIVIDE_BY NUMERIC "10"
// Retrieval info: CONSTANT: OUTCLOCK_DUTY_CYCLE NUMERIC "50"
// Retrieval info: CONSTANT: OUTCLOCK_MULTIPLY_BY NUMERIC "1"
// Retrieval info: CONSTANT: OUTCLOCK_PHASE_SHIFT NUMERIC "0"
// Retrieval info: CONSTANT: OUTCLOCK_RESOURCE STRING "AUTO"
// Retrieval info: CONSTANT: OUTPUT_DATA_RATE NUMERIC "1250"
// Retrieval info: CONSTANT: PLL_SELF_RESET_ON_LOSS_LOCK STRING "OFF"
// Retrieval info: CONSTANT: PREEMPHASIS_SETTING NUMERIC "0"
// Retrieval info: CONSTANT: REFCLK_FREQUENCY STRING "125.00 MHz"
// Retrieval info: CONSTANT: REGISTERED_INPUT STRING "TX_CLKIN"
// Retrieval info: CONSTANT: USE_EXTERNAL_PLL STRING "OFF"
// Retrieval info: CONSTANT: USE_NO_PHASE_SHIFT STRING "ON"
// Retrieval info: CONSTANT: VOD_SETTING NUMERIC "0"
// Retrieval info: USED_PORT: pll_areset 0 0 0 0 INPUT NODEFVAL "pll_areset"
// Retrieval info: CONNECT: @pll_areset 0 0 0 0 pll_areset 0 0 0 0
// Retrieval info: USED_PORT: tx_in 0 0 10 0 INPUT NODEFVAL "tx_in[9..0]"
// Retrieval info: CONNECT: @tx_in 0 0 10 0 tx_in 0 0 10 0
// Retrieval info: USED_PORT: tx_inclock 0 0 0 0 INPUT NODEFVAL "tx_inclock"
// Retrieval info: CONNECT: @tx_inclock 0 0 0 0 tx_inclock 0 0 0 0
// Retrieval info: USED_PORT: tx_out 0 0 1 0 OUTPUT NODEFVAL "tx_out[0..0]"
// Retrieval info: CONNECT: tx_out 0 0 1 0 @tx_out 0 0 1 0
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.v TRUE FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.qip TRUE FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.bsf FALSE TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx_inst.v FALSE TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx_bb.v FALSE TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.inc FALSE TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.cmp FALSE TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.ppf TRUE FALSE
// Retrieval info: LIB_FILE: altera_mf
|
// ==============================================================
// File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
// Version: 2017.4
// Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
//
// ==============================================================
`timescale 1 ns / 1 ps
module start_for_Mat2AXIlbW_shiftReg (
clk,
data,
ce,
a,
q);
parameter DATA_WIDTH = 32'd1;
parameter ADDR_WIDTH = 32'd3;
parameter DEPTH = 32'd6;
input clk;
input [DATA_WIDTH-1:0] data;
input ce;
input [ADDR_WIDTH-1:0] a;
output [DATA_WIDTH-1:0] q;
reg[DATA_WIDTH-1:0] SRL_SIG [0:DEPTH-1];
integer i;
always @ (posedge clk)
begin
if (ce)
begin
for (i=0;i<DEPTH-1;i=i+1)
SRL_SIG[i+1] <= SRL_SIG[i];
SRL_SIG[0] <= data;
end
end
assign q = SRL_SIG[a];
endmodule
module start_for_Mat2AXIlbW (
clk,
reset,
if_empty_n,
if_read_ce,
if_read,
if_dout,
if_full_n,
if_write_ce,
if_write,
if_din);
parameter MEM_STYLE = "shiftreg";
parameter DATA_WIDTH = 32'd1;
parameter ADDR_WIDTH = 32'd3;
parameter DEPTH = 32'd6;
input clk;
input reset;
output if_empty_n;
input if_read_ce;
input if_read;
output[DATA_WIDTH - 1:0] if_dout;
output if_full_n;
input if_write_ce;
input if_write;
input[DATA_WIDTH - 1:0] if_din;
wire[ADDR_WIDTH - 1:0] shiftReg_addr ;
wire[DATA_WIDTH - 1:0] shiftReg_data, shiftReg_q;
wire shiftReg_ce;
reg[ADDR_WIDTH:0] mOutPtr = {(ADDR_WIDTH+1){1'b1}};
reg internal_empty_n = 0, internal_full_n = 1;
assign if_empty_n = internal_empty_n;
assign if_full_n = internal_full_n;
assign shiftReg_data = if_din;
assign if_dout = shiftReg_q;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
mOutPtr <= ~{ADDR_WIDTH+1{1'b0}};
internal_empty_n <= 1'b0;
internal_full_n <= 1'b1;
end
else begin
if (((if_read & if_read_ce) == 1 & internal_empty_n == 1) &&
((if_write & if_write_ce) == 0 | internal_full_n == 0))
begin
mOutPtr <= mOutPtr - 1;
if (mOutPtr == 0)
internal_empty_n <= 1'b0;
internal_full_n <= 1'b1;
end
else if (((if_read & if_read_ce) == 0 | internal_empty_n == 0) &&
((if_write & if_write_ce) == 1 & internal_full_n == 1))
begin
mOutPtr <= mOutPtr + 1;
internal_empty_n <= 1'b1;
if (mOutPtr == DEPTH - 2)
internal_full_n <= 1'b0;
end
end
end
assign shiftReg_addr = mOutPtr[ADDR_WIDTH] == 1'b0 ? mOutPtr[ADDR_WIDTH-1:0]:{ADDR_WIDTH{1'b0}};
assign shiftReg_ce = (if_write & if_write_ce) & internal_full_n;
start_for_Mat2AXIlbW_shiftReg
#(
.DATA_WIDTH(DATA_WIDTH),
.ADDR_WIDTH(ADDR_WIDTH),
.DEPTH(DEPTH))
U_start_for_Mat2AXIlbW_ram (
.clk(clk),
.data(shiftReg_data),
.ce(shiftReg_ce),
.a(shiftReg_addr),
.q(shiftReg_q));
endmodule
|
/**
* ------------------------------------------------------------
* Copyright (c) All rights reserved
* SiLab, Institute of Physics, University of Bonn
* ------------------------------------------------------------
*/
`timescale 1ps/1ps
`default_nettype none
module bram_fifo_core
#(
parameter DEPTH = 32'h8000,
parameter FIFO_ALMOST_FULL_THRESHOLD = 95, // in percent
parameter FIFO_ALMOST_EMPTY_THRESHOLD = 5, // in percent
parameter ABUSWIDTH = 32
) (
input wire BUS_CLK,
input wire BUS_RST,
input wire [ABUSWIDTH-1:0] BUS_ADD,
input wire [7:0] BUS_DATA_IN,
input wire BUS_RD,
input wire BUS_WR,
output reg [7:0] BUS_DATA_OUT,
input wire BUS_RD_DATA,
output reg [31:0] BUS_DATA_OUT_DATA,
input wire BUS_WR_DATA,
input wire [31:0] BUS_DATA_IN_DATA,
output wire FIFO_READ_NEXT_OUT,
input wire FIFO_EMPTY_IN,
input wire [31:0] FIFO_DATA,
output wire FIFO_NOT_EMPTY,
output wire FIFO_FULL,
output reg FIFO_NEAR_FULL,
output wire FIFO_READ_ERROR
);
localparam VERSION = 2;
wire SOFT_RST; //0
assign SOFT_RST = (BUS_ADD==0 && BUS_WR);
wire RST;
assign RST = BUS_RST | SOFT_RST;
reg [7:0] status_regs[7:0];
// reg 0 for SOFT_RST
wire [7:0] FIFO_ALMOST_FULL_VALUE;
assign FIFO_ALMOST_FULL_VALUE = status_regs[1];
wire [7:0] FIFO_ALMOST_EMPTY_VALUE;
assign FIFO_ALMOST_EMPTY_VALUE = status_regs[2];
always @(posedge BUS_CLK)
begin
if(RST)
begin
status_regs[0] <= 8'b0;
status_regs[1] <= 255*FIFO_ALMOST_FULL_THRESHOLD/100;
status_regs[2] <= 255*FIFO_ALMOST_EMPTY_THRESHOLD/100;
status_regs[3] <= 8'b0;
status_regs[4] <= 8'b0;
status_regs[5] <= 8'b0;
status_regs[6] <= 8'b0;
status_regs[7] <= 8'b0;
end
else if(BUS_WR && BUS_ADD < 8)
begin
status_regs[BUS_ADD[2:0]] <= BUS_DATA_IN;
end
end
// read reg
wire [31:0] CONF_SIZE_BYTE; // write data count, 1 - 2 - 3, in units of byte
reg [31:0] CONF_SIZE_BYTE_BUF;
reg [7:0] CONF_READ_ERROR; // read error count (read attempts when FIFO is empty), 4
wire [31:0] CONF_SIZE; // in units of int
assign CONF_SIZE_BYTE = CONF_SIZE * 4;
always @ (posedge BUS_CLK) begin
if(BUS_RD) begin
if(BUS_ADD == 0)
BUS_DATA_OUT <= VERSION;
else if(BUS_ADD == 1)
BUS_DATA_OUT <= FIFO_ALMOST_FULL_VALUE;
else if(BUS_ADD == 2)
BUS_DATA_OUT <= FIFO_ALMOST_EMPTY_VALUE;
else if(BUS_ADD == 3)
BUS_DATA_OUT <= CONF_READ_ERROR;
else if(BUS_ADD == 4)
BUS_DATA_OUT <= CONF_SIZE_BYTE[7:0]; // in units of bytes
else if(BUS_ADD == 5)
BUS_DATA_OUT <= CONF_SIZE_BYTE_BUF[15:8];
else if(BUS_ADD == 6)
BUS_DATA_OUT <= CONF_SIZE_BYTE_BUF[23:16];
else if(BUS_ADD == 7)
BUS_DATA_OUT <= CONF_SIZE_BYTE_BUF[31:24];
else
BUS_DATA_OUT <= 8'b0;
end
end
always @ (posedge BUS_CLK)
begin
if (BUS_ADD == 4 && BUS_RD)
CONF_SIZE_BYTE_BUF <= CONF_SIZE_BYTE;
end
//reg FIFO_READ_NEXT_OUT_BUF;
wire FIFO_EMPTY_IN_BUF;
wire [31:0] FIFO_DATA_BUF;
wire FULL_BUF;
assign FIFO_READ_NEXT_OUT = !FULL_BUF;
`include "../includes/log2func.v"
localparam POINTER_SIZE = `CLOG2(DEPTH);
gerneric_fifo #(.DATA_SIZE(32), .DEPTH(DEPTH)) i_buf_fifo
( .clk(BUS_CLK), .reset(RST),
.write(!FIFO_EMPTY_IN || BUS_WR_DATA),
.read(BUS_RD_DATA),
.data_in(BUS_WR_DATA ? BUS_DATA_IN_DATA : FIFO_DATA),
.full(FULL_BUF),
.empty(FIFO_EMPTY_IN_BUF),
.data_out(FIFO_DATA_BUF[31:0]), .size(CONF_SIZE[POINTER_SIZE-1:0])
);
assign CONF_SIZE[31:POINTER_SIZE] = 0;
always@(posedge BUS_CLK)
BUS_DATA_OUT_DATA <= FIFO_DATA_BUF;
assign FIFO_NOT_EMPTY = !FIFO_EMPTY_IN_BUF;
assign FIFO_FULL = FULL_BUF;
assign FIFO_READ_ERROR = (CONF_READ_ERROR != 0);
always@(posedge BUS_CLK) begin
if(RST)
CONF_READ_ERROR <= 0;
else if(FIFO_EMPTY_IN_BUF && BUS_RD_DATA && CONF_READ_ERROR != 8'hff)
CONF_READ_ERROR <= CONF_READ_ERROR +1;
end
always @(posedge BUS_CLK) begin
if(RST)
FIFO_NEAR_FULL <= 1'b0;
else if (((((FIFO_ALMOST_FULL_VALUE+1)*DEPTH)>>8) <= CONF_SIZE) || (FIFO_ALMOST_FULL_VALUE == 8'b0 && CONF_SIZE >= 0))
FIFO_NEAR_FULL <= 1'b1;
else if (((((FIFO_ALMOST_EMPTY_VALUE+1)*DEPTH)>>8) >= CONF_SIZE && FIFO_ALMOST_EMPTY_VALUE != 8'b0) || CONF_SIZE == 0)
FIFO_NEAR_FULL <= 1'b0;
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__SDFBBN_BLACKBOX_V
`define SKY130_FD_SC_MS__SDFBBN_BLACKBOX_V
/**
* sdfbbn: Scan delay flop, inverted set, inverted reset, inverted
* clock, complementary outputs.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ms__sdfbbn (
Q ,
Q_N ,
D ,
SCD ,
SCE ,
CLK_N ,
SET_B ,
RESET_B
);
output Q ;
output Q_N ;
input D ;
input SCD ;
input SCE ;
input CLK_N ;
input SET_B ;
input RESET_B;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_MS__SDFBBN_BLACKBOX_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__A2111OI_BEHAVIORAL_V
`define SKY130_FD_SC_LS__A2111OI_BEHAVIORAL_V
/**
* a2111oi: 2-input AND into first input of 4-input NOR.
*
* Y = !((A1 & A2) | B1 | C1 | D1)
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_ls__a2111oi (
Y ,
A1,
A2,
B1,
C1,
D1
);
// Module ports
output Y ;
input A1;
input A2;
input B1;
input C1;
input D1;
// Module supplies
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
// Local signals
wire and0_out ;
wire nor0_out_Y;
// Name Output Other arguments
and and0 (and0_out , A1, A2 );
nor nor0 (nor0_out_Y, B1, C1, D1, and0_out);
buf buf0 (Y , nor0_out_Y );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LS__A2111OI_BEHAVIORAL_V |
module cache_wb(clk,we,we_2,we2,we2_2,we3,we3_2,re,re2,address,address2,writedata,writedata2,writeback,hit,hit2,miss,miss2,readdatacache,readdatacache2,readdatamemory,writetag,readmisswritetomemory,readmisswritetocache,dirty);
input clk, we,we_2,we2,we2_2,we3,we3_2,re,re2;
input [31:0] address, address2, writedata,writedata2;
input [127:0] readdatamemory; // data from Main Memory
input [127:0] readmisswritetocache;
output reg hit,hit2, miss,miss2, dirty; // hit is for read, miss is for write
output reg [31:0] readdatacache,readdatacache2;
output reg [127:0] writeback; // Miss is called and sends out old data
output reg [19:0] writetag;
output reg [127:0] readmisswritetomemory;
//2-way associative, 2048 4-word block, 8192 slots, 1024 sets, in total 32KB Bytes
//address: 20 bits tag, 10bits index, 2 bits offset
// Each set in cache contains:
// 2 dirty bit(change only if written to save 20-cycle time) (first one is way 1, second is way 2), 1 least recently used bit
// Way 1: 1 valid bit, 18 tag bits, 128 bits data (4 blocks of 32 bits)
// Way 2: 1 valid bit, 18 tag bits, 128 bits data (4 blocks of 32 bits)
reg [300:0] set[1023:0];
reg [300:0] index;
reg [300:0] index2;
reg valid1,valid2,comparator1,comparator2, and1, and2, used;
reg [19:0] tag1,tag2;
reg [31:0] data1_0,data1_1, data1_2, data1_3, data2_0,data2_1, data2_2, data2_3;
reg [31:0] mux_in0,mux_in1,mux_in2,mux_in3;
integer i;
initial begin
hit = 1'b0;
miss = 1'b0;
hit2 = 1'b0;
miss2= 1'b0;
dirty = 1'b0;
for (i=0; i<1024; i=i+1) begin
set[i] = 301'b0;
end
end
always @(*) begin
index = set[address[11:2]];
index2 = set[address2[11:2]];
end
//read
always @(posedge clk) begin
if (re) begin
//dirty1 = index[300];
//dirty2 = index[299];
//used = index[298];
valid1 = index[297];
valid2 = index[148];
tag1 = index[296:277];
tag2 = index[147:128];
data1_0 = index[276:245];
data1_1 = index[244:213];
data1_2 = index[212:181];
data1_3 = index[180:149];
data2_0 = index[127:96];
data2_1 = index[95:64];
data2_2 = index[63:32];
data2_3 = index[31:0];
comparator1 = (tag1 == address[31:12]) ? 1:0;
comparator2 = (tag2 == address[31:12]) ? 1:0;
and1 = comparator1 & valid1;
and2 = comparator2 & valid2;
if (and1) begin
mux_in0 = data1_0;
mux_in1 = data1_1;
mux_in2 = data1_2;
mux_in3 = data1_3;
end
if (and2) begin
mux_in0 = data2_0;
mux_in1 = data2_1;
mux_in2 = data2_2;
mux_in3 = data2_3;
end
case(address[1:0])
2'b00: readdatacache <= mux_in0;
2'b01: readdatacache <= mux_in1;
2'b10: readdatacache <= mux_in2;
2'b11: readdatacache <= mux_in3;
endcase
hit = and1 | and2;
// if hit, change used bit accordingly
if (hit) begin
if (comparator1 == 1'b1)
set[address[11:2]][298] = 1'b1;
else if (comparator2 == 1'b1)
set[address[11:2]][298] = 1'b0;
end
// if not hit, ask data from memory
if (!hit) begin
dirty = set[address[11:2]][299] | set[address[11:2]][300];
if (index[298]==1'b1) begin
readmisswritetomemory=set[address[11:2]][127:0];
end
else begin
readmisswritetomemory=set[address[11:2]][276:149];
end
end
end
if (re2) begin
//dirty1 = index[300];
//dirty2 = index[299];
//used = index[298];
valid1 = index2[297];
valid2 = index2[148];
tag1 = index2[296:277];
tag2 = index2[147:128];
data1_0 = index2[276:245];
data1_1 = index2[244:213];
data1_2 = index2[212:181];
data1_3 = index2[180:149];
data2_0 = index2[127:96];
data2_1 = index2[95:64];
data2_2 = index2[63:32];
data2_3 = index2[31:0];
comparator1 = (tag1 == address2[31:12]) ? 1:0;
comparator2 = (tag2 == address2[31:12]) ? 1:0;
and1 = comparator1 & valid1;
and2 = comparator2 & valid2;
if (and1) begin
mux_in0 = data1_0;
mux_in1 = data1_1;
mux_in2 = data1_2;
mux_in3 = data1_3;
end
if (and2) begin
mux_in0 = data2_0;
mux_in1 = data2_1;
mux_in2 = data2_2;
mux_in3 = data2_3;
end
case(address2[1:0])
2'b00: readdatacache2 <= mux_in0;
2'b01: readdatacache2 <= mux_in1;
2'b10: readdatacache2 <= mux_in2;
2'b11: readdatacache2 <= mux_in3;
endcase
hit2 = and1 | and2;
// if hit, change used bit accordingly
if (hit2) begin
if (comparator1 == 1'b1)
set[address2[11:2]][298] = 1'b1;
else if (comparator2 == 1'b1)
set[address2[11:2]][298] = 1'b0;
end
// if not hit, ask data from memory
if (!hit2) begin
dirty = set[address2[11:2]][299] | set[address2[11:2]][300];
if (index[298]==1'b1) begin
readmisswritetomemory=set[address2[11:2]][127:0];
end
else begin
readmisswritetomemory=set[address2[11:2]][276:149];
end
end
end
end
//write
always @(negedge clk) begin
miss = 1'b0;
tag1 = index[296:277];
tag2 = index[147:128];
if (we) begin
if (tag1==address[31:12]) begin
set[address[11:2]][300] = 1'b1;
set[address[11:2]][298] = 1'b1;
set[address[11:2]][297] = 1'b1;
case(address[1:0])
2'b00 : set[address[11:2]][276:245] = writedata;
2'b01 : set[address[11:2]][244:213] = writedata;
2'b10 : set[address[11:2]][212:181] = writedata;
2'b11 : set[address[11:2]][180:149] = writedata;
endcase
end
else if (tag2==address[31:12]) begin
set[address[11:2]][299] = 1'b1;
set[address[11:2]][298] = 1'b0;
set[address[11:2]][148] = 1'b1;
case(address[1:0])
2'b00 : set[address[11:2]][127:96] = writedata;
2'b01 : set[address[11:2]][95:64] = writedata;
2'b10 : set[address[11:2]][63:32] = writedata;
2'b11 : set[address[11:2]][31:0] = writedata;
endcase
end
else begin
dirty = set[address[11:2]][299] | set[address[11:2]][300];
if (index[298]==1'b0) begin // Change way 1
miss = 1'b1;
writeback = set[address[11:2]][276:149];
writetag = set[address[11:2]][296:277];
end
else begin // Change way 2
miss = 1'b1;
writeback = set[address[11:2]][127:0];
writetag = set[address[11:2]][147:128];
end
end
end
if (we_2) begin
if (tag1==address2[31:12]) begin
set[address2[11:2]][300] = 1'b1;
set[address2[11:2]][298] = 1'b1;
set[address2[11:2]][297] = 1'b1;
case(address2[1:0])
2'b00 : set[address2[11:2]][276:245] = writedata2;
2'b01 : set[address2[11:2]][244:213] = writedata2;
2'b10 : set[address2[11:2]][212:181] = writedata2;
2'b11 : set[address2[11:2]][180:149] = writedata2;
endcase
end
else if (tag2==address2[31:12]) begin
set[address2[11:2]][299] = 1'b1;
set[address2[11:2]][298] = 1'b0;
set[address2[11:2]][148] = 1'b1;
case(address2[1:0])
2'b00 : set[address2[11:2]][127:96] = writedata2;
2'b01 : set[address2[11:2]][95:64] = writedata2;
2'b10 : set[address2[11:2]][63:32] = writedata2;
2'b11 : set[address2[11:2]][31:0] = writedata2;
endcase
end
else begin
dirty = set[address2[11:2]][299] | set[address2[11:2]][300];
if (index[298]==1'b0) begin // Change way 1
miss2 = 1'b1;
writeback = set[address2[11:2]][276:149];
writetag = set[address2[11:2]][296:277];
end
else begin // Change way 2
miss2 = 1'b1;
writeback = set[address2[11:2]][127:0];
writetag = set[address2[11:2]][147:128];
end
end
end
end
//writeback
always @(posedge we2) begin
if (index[294]==0) begin
set[address[11:2]][300] = 1'b1;
set[address[11:2]][298] = 1'b1;
set[address[11:2]][297] = 1'b1;
set[address[11:2]][276:149] = readdatamemory;
set[address[11:2]][296:277] = address[31:12];
case(address[1:0])
2'b00 : set[address[11:2]][276:245] = writedata;
2'b01 : set[address[11:2]][244:213] = writedata;
2'b10 : set[address[11:2]][212:181] = writedata;
2'b11 : set[address[11:2]][180:149] = writedata;
endcase
end
else begin
set[address[11:2]][299] = 1'b1;
set[address[11:2]][298] = 1'b0;
set[address[11:2]][148] = 1'b1;
set[address[11:2]][127:0] = readdatamemory;
set[address[11:2]][147:128] = address[31:12];
case(address[1:0])
2'b00 : set[address[11:2]][127:96] = writedata;
2'b01 : set[address[11:2]][95:64] = writedata;
2'b10 : set[address[11:2]][63:32] = writedata;
2'b11 : set[address[11:2]][31:0] = writedata;
endcase
end
end
always @(posedge we2_2) begin
if (index[294]==0) begin
set[address2[11:2]][300] = 1'b1;
set[address2[11:2]][298] = 1'b1;
set[address2[11:2]][297] = 1'b1;
set[address2[11:2]][276:149] = readdatamemory;
set[address2[11:2]][296:277] = address[31:12];
case(address2[1:0])
2'b00 : set[address2[11:2]][276:245] = writedata2;
2'b01 : set[address2[11:2]][244:213] = writedata2;
2'b10 : set[address2[11:2]][212:181] = writedata2;
2'b11 : set[address2[11:2]][180:149] = writedata2;
endcase
end
else begin
set[address2[11:2]][299] = 1'b1;
set[address2[11:2]][298] = 1'b0;
set[address2[11:2]][148] = 1'b1;
set[address2[11:2]][127:0] = readdatamemory;
set[address2[11:2]][147:128] = address2[31:12];
case(address2[1:0])
2'b00 : set[address2[11:2]][127:96] = writedata2;
2'b01 : set[address2[11:2]][95:64] = writedata2;
2'b10 : set[address2[11:2]][63:32] = writedata2;
2'b11 : set[address2[11:2]][31:0] = writedata2;
endcase
end
end
// readmissdata
always @(posedge we3) begin
if (index[294]==1'b0) begin
set[address[11:2]][299] = 1'b0;
set[address[11:2]][298] = 1'b0;
set[address[11:2]][148] = 1'b1;
set[address[11:2]][127:0]=readmisswritetocache;
set[address[11:2]][147:128]=address[31:12];
end
else begin
set[address[11:2]][300] = 1'b0;
set[address[11:2]][298] = 1'b1;
set[address[11:2]][297] = 1'b1;
set[address[11:2]][276:149]=readmisswritetocache;
set[address[11:2]][296:277]=address[31:12];
end
end
always @(posedge we3_2) begin
if (index[294]==1'b0) begin
set[address2[11:2]][299] = 1'b0;
set[address2[11:2]][298] = 1'b0;
set[address2[11:2]][148] = 1'b1;
set[address2[11:2]][127:0]=readmisswritetocache;
set[address2[11:2]][147:128]=address2[31:12];
end
else begin
set[address2[11:2]][300] = 1'b0;
set[address2[11:2]][298] = 1'b1;
set[address2[11:2]][297] = 1'b1;
set[address2[11:2]][276:149]=readmisswritetocache;
set[address2[11:2]][296:277]=address2[31:12];
end
end
always @(negedge we3) begin
case(address[1:0])
2'b00 : #5 readdatacache= readmisswritetocache[127:96];
2'b01 : #5 readdatacache= readmisswritetocache[95:64];
2'b10 : #5 readdatacache= readmisswritetocache[63:32];
2'b11 : #5 readdatacache= readmisswritetocache[31:0];
endcase
end
always @(negedge we3_2) begin
case(address2[1:0])
2'b00 : #5 readdatacache2= readmisswritetocache[127:96];
2'b01 : #5 readdatacache2= readmisswritetocache[95:64];
2'b10 : #5 readdatacache2= readmisswritetocache[63:32];
2'b11 : #5 readdatacache2= readmisswritetocache[31:0];
endcase
end
endmodule |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__DLRTN_PP_BLACKBOX_V
`define SKY130_FD_SC_MS__DLRTN_PP_BLACKBOX_V
/**
* dlrtn: Delay latch, inverted reset, inverted enable, single output.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ms__dlrtn (
Q ,
RESET_B,
D ,
GATE_N ,
VPWR ,
VGND ,
VPB ,
VNB
);
output Q ;
input RESET_B;
input D ;
input GATE_N ;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_MS__DLRTN_PP_BLACKBOX_V
|
/*
Copyright (c) 2021 Alex Forencich
Permission is hereby granted, free of charge, to any person obtaining a copy
of this software and associated documentation files (the "Software"), to deal
in the Software without restriction, including without limitation the rights
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
copies of the Software, and to permit persons to whom the Software is
furnished to do so, subject to the following conditions:
The above copyright notice and this permission notice shall be included in
all copies or substantial portions of the Software.
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
THE SOFTWARE.
*/
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
*/
module eth_xcvr_phy_wrapper #
(
parameter HAS_COMMON = 1,
parameter DATA_WIDTH = 64,
parameter CTRL_WIDTH = (DATA_WIDTH/8),
parameter HDR_WIDTH = 2,
parameter PRBS31_ENABLE = 0,
parameter TX_SERDES_PIPELINE = 0,
parameter RX_SERDES_PIPELINE = 0,
parameter BITSLIP_HIGH_CYCLES = 1,
parameter BITSLIP_LOW_CYCLES = 8,
parameter COUNT_125US = 125000/6.4
)
(
input wire xcvr_ctrl_clk,
input wire xcvr_ctrl_rst,
/*
* Common
*/
output wire xcvr_gtpowergood_out,
/*
* PLL out
*/
input wire xcvr_gtrefclk00_in,
output wire xcvr_qpll0lock_out,
output wire xcvr_qpll0outclk_out,
output wire xcvr_qpll0outrefclk_out,
/*
* PLL in
*/
input wire xcvr_qpll0lock_in,
output wire xcvr_qpll0reset_out,
input wire xcvr_qpll0clk_in,
input wire xcvr_qpll0refclk_in,
/*
* Serial data
*/
output wire xcvr_txp,
output wire xcvr_txn,
input wire xcvr_rxp,
input wire xcvr_rxn,
/*
* PHY connections
*/
output wire phy_tx_clk,
output wire phy_tx_rst,
input wire [DATA_WIDTH-1:0] phy_xgmii_txd,
input wire [CTRL_WIDTH-1:0] phy_xgmii_txc,
output wire phy_rx_clk,
output wire phy_rx_rst,
output wire [DATA_WIDTH-1:0] phy_xgmii_rxd,
output wire [CTRL_WIDTH-1:0] phy_xgmii_rxc,
output wire phy_tx_bad_block,
output wire [6:0] phy_rx_error_count,
output wire phy_rx_bad_block,
output wire phy_rx_sequence_error,
output wire phy_rx_block_lock,
output wire phy_rx_high_ber,
input wire phy_tx_prbs31_enable,
input wire phy_rx_prbs31_enable
);
wire phy_rx_reset_req;
wire gt_reset_tx_datapath = 1'b0;
wire gt_reset_rx_datapath = phy_rx_reset_req;
wire gt_reset_tx_done;
wire gt_reset_rx_done;
wire [5:0] gt_txheader;
wire [63:0] gt_txdata;
wire gt_rxgearboxslip;
wire [5:0] gt_rxheader;
wire [1:0] gt_rxheadervalid;
wire [63:0] gt_rxdata;
wire [1:0] gt_rxdatavalid;
generate
if (HAS_COMMON) begin : xcvr
eth_xcvr_gt_full
eth_xcvr_gt_full_inst (
// Common
.gtwiz_reset_clk_freerun_in(xcvr_ctrl_clk),
.gtwiz_reset_all_in(xcvr_ctrl_rst),
.gtpowergood_out(xcvr_gtpowergood_out),
// PLL
.gtrefclk00_in(xcvr_gtrefclk00_in),
.qpll0lock_out(xcvr_qpll0lock_out),
.qpll0outclk_out(xcvr_qpll0outclk_out),
.qpll0outrefclk_out(xcvr_qpll0outrefclk_out),
// Serial data
.gthtxp_out(xcvr_txp),
.gthtxn_out(xcvr_txn),
.gthrxp_in(xcvr_rxp),
.gthrxn_in(xcvr_rxn),
// Transmit
.gtwiz_userclk_tx_reset_in(1'b0),
.gtwiz_userclk_tx_srcclk_out(),
.gtwiz_userclk_tx_usrclk_out(),
.gtwiz_userclk_tx_usrclk2_out(phy_tx_clk),
.gtwiz_userclk_tx_active_out(),
.gtwiz_reset_tx_pll_and_datapath_in(1'b0),
.gtwiz_reset_tx_datapath_in(gt_reset_tx_datapath),
.gtwiz_reset_tx_done_out(gt_reset_tx_done),
.txpmaresetdone_out(),
.txprgdivresetdone_out(),
.txpolarity_in(1'b1),
.gtwiz_userdata_tx_in(gt_txdata),
.txheader_in(gt_txheader),
.txsequence_in(7'b0),
// Receive
.gtwiz_userclk_rx_reset_in(1'b0),
.gtwiz_userclk_rx_srcclk_out(),
.gtwiz_userclk_rx_usrclk_out(),
.gtwiz_userclk_rx_usrclk2_out(phy_rx_clk),
.gtwiz_userclk_rx_active_out(),
.gtwiz_reset_rx_pll_and_datapath_in(1'b0),
.gtwiz_reset_rx_datapath_in(gt_reset_rx_datapath),
.gtwiz_reset_rx_cdr_stable_out(),
.gtwiz_reset_rx_done_out(gt_reset_rx_done),
.rxpmaresetdone_out(),
.rxprgdivresetdone_out(),
.rxpolarity_in(1'b0),
.rxgearboxslip_in(gt_rxgearboxslip),
.gtwiz_userdata_rx_out(gt_rxdata),
.rxdatavalid_out(gt_rxdatavalid),
.rxheader_out(gt_rxheader),
.rxheadervalid_out(gt_rxheadervalid),
.rxstartofseq_out()
);
end else begin : xcvr
eth_xcvr_gt_channel
eth_xcvr_gt_channel_inst (
// Common
.gtwiz_reset_clk_freerun_in(xcvr_ctrl_clk),
.gtwiz_reset_all_in(xcvr_ctrl_rst),
.gtpowergood_out(xcvr_gtpowergood_out),
// PLL
.gtwiz_reset_qpll0lock_in(xcvr_qpll0lock_in),
.gtwiz_reset_qpll0reset_out(xcvr_qpll0reset_out),
.qpll0clk_in(xcvr_qpll0clk_in),
.qpll0refclk_in(xcvr_qpll0refclk_in),
.qpll1clk_in(1'b0),
.qpll1refclk_in(1'b0),
// Serial data
.gthtxp_out(xcvr_txp),
.gthtxn_out(xcvr_txn),
.gthrxp_in(xcvr_rxp),
.gthrxn_in(xcvr_rxn),
// Transmit
.gtwiz_userclk_tx_reset_in(1'b0),
.gtwiz_userclk_tx_srcclk_out(),
.gtwiz_userclk_tx_usrclk_out(),
.gtwiz_userclk_tx_usrclk2_out(phy_tx_clk),
.gtwiz_userclk_tx_active_out(),
.gtwiz_reset_tx_pll_and_datapath_in(1'b0),
.gtwiz_reset_tx_datapath_in(gt_reset_tx_datapath),
.gtwiz_reset_tx_done_out(gt_reset_tx_done),
.txpmaresetdone_out(),
.txprgdivresetdone_out(),
.txpolarity_in(1'b1),
.gtwiz_userdata_tx_in(gt_txdata),
.txheader_in(gt_txheader),
.txsequence_in(7'b0),
// Receive
.gtwiz_userclk_rx_reset_in(1'b0),
.gtwiz_userclk_rx_srcclk_out(),
.gtwiz_userclk_rx_usrclk_out(),
.gtwiz_userclk_rx_usrclk2_out(phy_rx_clk),
.gtwiz_userclk_rx_active_out(),
.gtwiz_reset_rx_pll_and_datapath_in(1'b0),
.gtwiz_reset_rx_datapath_in(gt_reset_rx_datapath),
.gtwiz_reset_rx_cdr_stable_out(),
.gtwiz_reset_rx_done_out(gt_reset_rx_done),
.rxpmaresetdone_out(),
.rxprgdivresetdone_out(),
.rxpolarity_in(1'b0),
.rxgearboxslip_in(gt_rxgearboxslip),
.gtwiz_userdata_rx_out(gt_rxdata),
.rxdatavalid_out(gt_rxdatavalid),
.rxheader_out(gt_rxheader),
.rxheadervalid_out(gt_rxheadervalid),
.rxstartofseq_out()
);
end
endgenerate
sync_reset #(
.N(4)
)
tx_reset_sync_inst (
.clk(phy_tx_clk),
.rst(!gt_reset_tx_done),
.out(phy_tx_rst)
);
sync_reset #(
.N(4)
)
rx_reset_sync_inst (
.clk(phy_rx_clk),
.rst(!gt_reset_rx_done),
.out(phy_rx_rst)
);
eth_phy_10g #(
.DATA_WIDTH(DATA_WIDTH),
.CTRL_WIDTH(CTRL_WIDTH),
.HDR_WIDTH(HDR_WIDTH),
.BIT_REVERSE(1),
.SCRAMBLER_DISABLE(0),
.PRBS31_ENABLE(PRBS31_ENABLE),
.TX_SERDES_PIPELINE(TX_SERDES_PIPELINE),
.RX_SERDES_PIPELINE(RX_SERDES_PIPELINE),
.BITSLIP_HIGH_CYCLES(BITSLIP_HIGH_CYCLES),
.BITSLIP_LOW_CYCLES(BITSLIP_LOW_CYCLES),
.COUNT_125US(COUNT_125US)
)
phy_inst (
.tx_clk(phy_tx_clk),
.tx_rst(phy_tx_rst),
.rx_clk(phy_rx_clk),
.rx_rst(phy_rx_rst),
.xgmii_txd(phy_xgmii_txd),
.xgmii_txc(phy_xgmii_txc),
.xgmii_rxd(phy_xgmii_rxd),
.xgmii_rxc(phy_xgmii_rxc),
.serdes_tx_data(gt_txdata),
.serdes_tx_hdr(gt_txheader),
.serdes_rx_data(gt_rxdata),
.serdes_rx_hdr(gt_rxheader),
.serdes_rx_bitslip(gt_rxgearboxslip),
.serdes_rx_reset_req(phy_rx_reset_req),
.tx_bad_block(phy_tx_bad_block),
.rx_error_count(phy_rx_error_count),
.rx_bad_block(phy_rx_bad_block),
.rx_sequence_error(phy_rx_sequence_error),
.rx_block_lock(phy_rx_block_lock),
.rx_high_ber(phy_rx_high_ber),
.tx_prbs31_enable(phy_tx_prbs31_enable),
.rx_prbs31_enable(phy_rx_prbs31_enable)
);
endmodule
`resetall
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__A2BB2O_PP_BLACKBOX_V
`define SKY130_FD_SC_HDLL__A2BB2O_PP_BLACKBOX_V
/**
* a2bb2o: 2-input AND, both inputs inverted, into first input, and
* 2-input AND into 2nd input of 2-input OR.
*
* X = ((!A1 & !A2) | (B1 & B2))
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__a2bb2o (
X ,
A1_N,
A2_N,
B1 ,
B2 ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A1_N;
input A2_N;
input B1 ;
input B2 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__A2BB2O_PP_BLACKBOX_V
|
//////////////////////////////////////////////////////////////////////////////
// Copyright (c) 2011, Andrew "bunnie" Huang
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without modification,
// are permitted provided that the following conditions are met:
//
// * Redistributions of source code must retain the above copyright notice,
// this list of conditions and the following disclaimer.
// * Redistributions in binary form must reproduce the above copyright notice,
// this list of conditions and the following disclaimer in the documentation and/or
// other materials provided with the distribution.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY
// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT
// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
// POSSIBILITY OF SUCH DAMAGE.
//
//////////////////////////////////////////////////////////////////////////////
// A simple slave implementation. Oversampled for robustness.
// The slave is extended into the snoop & surpress version for the DDC bus;
// this is just a starting point for basic testing and also simple comms
// with the CPU.
//
// i2c slave module requires the top level module to implement the IOBs
// This is just to keep the tri-state easy to implemen across the hierarchy
//
// The code required on the top level is:
// IOBUF #(.DRIVE(12), .SLEW("SLOW")) IOBUF_sda (.IO(SDA), .I(1'b0), .T(!SDA_pd));
//
///////////
`timescale 1 ns / 1 ps
module i2c_slave (
// external host interface
input wire SCL, // the SCL pin state
input wire SDA,
output reg SDA_pd,
input wire clk, // internal FPGA clock
input wire glbl_reset, // internal FPGA reset
// i2c configuration
input wire [7:0] i2c_device_addr,
output reg reg_46_trig,
// internal slave interface
output wire [7:0] reg_0, // 00-3F are write-only (from the host perspective)
output wire [7:0] reg_2,
output wire [7:0] reg_4,
output wire [7:0] reg_5,
output wire [7:0] reg_6,
output wire [7:0] reg_7,
input wire [7:0] reg_40, // 40-7F are read-only (from the host perspective)
input wire [7:0] reg_41,
input wire [7:0] reg_42,
input wire [7:0] reg_44,
input wire [7:0] reg_45,
input wire [7:0] reg_46, // special case with read trigger
input wire [7:0] reg_fc, // this is for version coding
input wire [7:0] reg_fd,
input wire [7:0] reg_fe,
input wire [7:0] reg_ff
);
// internal reset
wire reset;
sync_reset i2c_slave_reset(
.clk(clk),
.glbl_reset(glbl_reset),
.reset(reset) );
/////// I2C physical layer components
/// SDA is stable when SCL is high.
/// If SDA moves while SCL is high, this is considered a start or stop condition.
///
/// Otherwise, SDA can move around when SCL is low (this is where we suppress bits or
/// overdrive as needed). SDA is a wired-AND bus, so you only "drive" zero.
///
/// In an oversampled implementation, a rising and falling edge de-glitcher is needed
/// for SCL and SDA.
///
// rise fall time cycles computation:
// At 400kHz operation, 2.5us is a cycle. "chatter" from transition should be about
// 5% of total cycle time max (just rule of thumb), so 0.125us should be the equiv
// number of cycles.
// For the demo board, a 25 MHz clock is provided, and 0.125us ~ 4 cycles
// At 100kHz operation, 10us is a cycle, so 0.5us ~ 12 cycles
parameter TRF_CYCLES = 5'd4; // number of cycles for rise/fall time
////////////////
///// protocol-level state machine
////////////////
parameter I2C_START = 14'b1 << 0; // should only pass through this state for one cycle
parameter I2C_RESTART = 14'b1 << 1;
parameter I2C_DADDR = 14'b1 << 2;
parameter I2C_ACK_DADDR = 14'b1 << 3;
parameter I2C_ADDR = 14'b1 << 4;
parameter I2C_ACK_ADDR = 14'b1 << 5;
parameter I2C_WR_DATA = 14'b1 << 6;
parameter I2C_ACK_WR = 14'b1 << 7;
parameter I2C_END_WR = 14'b1 << 8;
parameter I2C_RD_DATA = 14'b1 << 9;
parameter I2C_ACK_RD = 14'b1 << 10;
parameter I2C_END_RD = 14'b1 << 11;
parameter I2C_END_RD2 = 14'b1 << 12;
parameter I2C_WAITSTOP = 14'b1 << 13;
parameter I2C_nSTATES = 14;
reg [(I2C_nSTATES-1):0] I2C_cstate = {{(I2C_nSTATES-1){1'b0}}, 1'b1}; //current and next states
reg [(I2C_nSTATES-1):0] I2C_nstate;
//`define SIMULATION
`ifdef SIMULATION
// synthesis translate_off
reg [8*20:1] I2C_state_ascii = "I2C_START ";
always @(I2C_cstate) begin
if (I2C_cstate == I2C_START) I2C_state_ascii <= "I2C_START ";
else if (I2C_cstate == I2C_RESTART) I2C_state_ascii <= "I2C_RESTART ";
else if (I2C_cstate == I2C_DADDR) I2C_state_ascii <= "I2C_DADDR ";
else if (I2C_cstate == I2C_ACK_DADDR) I2C_state_ascii <= "I2C_ACK_DADDR ";
else if (I2C_cstate == I2C_ADDR) I2C_state_ascii <= "I2C_ADDR ";
else if (I2C_cstate == I2C_ACK_ADDR) I2C_state_ascii <= "I2C_ACK_ADDR ";
else if (I2C_cstate == I2C_WR_DATA) I2C_state_ascii <= "I2C_WR_DATA ";
else if (I2C_cstate == I2C_ACK_WR) I2C_state_ascii <= "I2C_ACK_WR ";
else if (I2C_cstate == I2C_END_WR) I2C_state_ascii <= "I2C_END_WR ";
else if (I2C_cstate == I2C_RD_DATA) I2C_state_ascii <= "I2C_RD_DATA ";
else if (I2C_cstate == I2C_ACK_RD) I2C_state_ascii <= "I2C_ACK_RD ";
else if (I2C_cstate == I2C_END_RD) I2C_state_ascii <= "I2C_END_RD ";
else if (I2C_cstate == I2C_END_RD2) I2C_state_ascii <= "I2C_END_RD2 ";
else if (I2C_cstate == I2C_WAITSTOP) I2C_state_ascii <= "I2C_WAITSTOP ";
else I2C_state_ascii <= "WTF ";
end
// synthesis translate_on
`endif
reg [3:0] I2C_bitcnt;
reg [7:0] I2C_addr;
reg [7:0] I2C_daddr;
reg [7:0] I2C_wdata;
reg [7:0] I2C_rdata;
reg I2C_reg_update;
///// register block definitions
parameter RAM_WIDTH = 8;
parameter RAM_ADDR_BITS = 6; // note parameter width exception in reg_a* assign block below
reg [RAM_WIDTH-1:0] I2C_regblock [(2**RAM_ADDR_BITS)-1:0];
reg [RAM_WIDTH-1:0] I2C_regread_async;
wire [RAM_ADDR_BITS-1:0] I2C_ramaddr;
////////// code begins here
always @ (posedge clk) begin
if (reset || ((SCL_cstate == SCL_HIGH) && (SDA_cstate == SDA_RISE))) // stop condition always resets
I2C_cstate <= I2C_START;
else
I2C_cstate <= I2C_nstate;
end
always @ (*) begin
case (I2C_cstate) //synthesis parallel_case full_case
I2C_START: begin // wait for the start condition
I2C_nstate = ((SDA_cstate == SDA_FALL) && (SCL_cstate == SCL_HIGH)) ? I2C_DADDR : I2C_START;
end
I2C_RESTART: begin // repeated start moves immediately to DADDR
I2C_nstate = I2C_DADDR;
end
I2C_DADDR: begin // 8 bits to get the address
I2C_nstate = ((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_DADDR : I2C_DADDR;
end
I2C_ACK_DADDR: begin // depending upon W/R bit state, go to one of two branches
I2C_nstate = (SCL_cstate == SCL_FALL) ?
(I2C_daddr[7:1] == i2c_device_addr[7:1]) ?
(I2C_daddr[0] == 1'b0 ? I2C_ADDR : I2C_RD_DATA) :
I2C_WAITSTOP : // !I2C_daddr match
I2C_ACK_DADDR; // !SCL_FALL
end
// device address branch
I2C_ADDR: begin
I2C_nstate = ((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_ADDR : I2C_ADDR;
end
I2C_ACK_ADDR: begin
I2C_nstate = (SCL_cstate == SCL_FALL) ? I2C_WR_DATA : I2C_ACK_ADDR;
end
// write branch
I2C_WR_DATA: begin // 8 bits to get the write data
I2C_nstate = ((SDA_cstate == SDA_FALL) && (SCL_cstate == SCL_HIGH)) ? I2C_RESTART : // repeated start
((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_WR : I2C_WR_DATA;
end
I2C_ACK_WR: begin // trigger the ack response (pull SDA low until next falling edge)
// and stay in this state until the next falling edge of SCL
I2C_nstate = (SCL_cstate == SCL_FALL) ? I2C_END_WR : I2C_ACK_WR;
end
I2C_END_WR: begin // one-cycle state to update address+1, reset SDA pulldown
I2C_nstate = I2C_WR_DATA; // SCL is now low
end
// read branch
I2C_RD_DATA: begin // 8 bits to get the read data
I2C_nstate = ((SDA_cstate == SDA_FALL) && (SCL_cstate == SCL_HIGH)) ? I2C_RESTART : // repeated start
((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_RD : I2C_RD_DATA;
end
I2C_ACK_RD: begin // wait for an (n)ack response
// need to sample (n)ack on a rising edge
I2C_nstate = (SCL_cstate == SCL_RISE) ? I2C_END_RD : I2C_ACK_RD;
end
I2C_END_RD: begin // if nack, just go to start state (don't explicitly check stop event)
// single cycle state for adr+1 update
I2C_nstate = (SDA_cstate == SDA_LOW) ? I2C_END_RD2 : I2C_START;
end
I2C_END_RD2: begin // before entering I2C_RD_DATA, we need to have seen a falling edge.
I2C_nstate = (SCL_cstate == SCL_FALL) ? I2C_RD_DATA : I2C_END_RD2;
end
// we're not the addressed device, so we just idle until we see a stop
I2C_WAITSTOP: begin
I2C_nstate = (((SCL_cstate == SCL_HIGH) && (SDA_cstate == SDA_RISE))) ? // stop
I2C_START :
(((SCL_cstate == SCL_HIGH) && (SDA_cstate == SDA_FALL))) ? // or start
I2C_RESTART :
I2C_WAITSTOP;
end
endcase // case (cstate)
end
always @ (posedge clk) begin
if( reset ) begin
I2C_bitcnt <= 4'b0;
I2C_daddr <= 8'b0;
I2C_wdata <= 8'b0;
SDA_pd <= 1'b0;
I2C_reg_update <= 1'b0;
I2C_rdata <= 8'b0;
I2C_addr <= 8'b0; // this persists across transactions
end else begin
case (I2C_cstate) // synthesis parallel_case full_case
I2C_START: begin // everything in reset
I2C_bitcnt <= 4'b0;
I2C_daddr <= 8'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= 8'b0;
SDA_pd <= 1'b0;
I2C_reg_update <= 1'b0;
I2C_addr <= I2C_addr;
end
I2C_RESTART: begin
I2C_bitcnt <= 4'b0;
I2C_daddr <= 8'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= 8'b0;
SDA_pd <= 1'b0;
I2C_reg_update <= 1'b0;
I2C_addr <= I2C_addr;
end
// get my i2c device address (am I being talked to?)
I2C_DADDR: begin // shift in the address on rising edges of clock
if( SCL_cstate == SCL_RISE ) begin
I2C_bitcnt <= I2C_bitcnt + 4'b1;
I2C_daddr[7] <= I2C_daddr[6];
I2C_daddr[6] <= I2C_daddr[5];
I2C_daddr[5] <= I2C_daddr[4];
I2C_daddr[4] <= I2C_daddr[3];
I2C_daddr[3] <= I2C_daddr[2];
I2C_daddr[2] <= I2C_daddr[1];
I2C_daddr[1] <= I2C_daddr[0];
I2C_daddr[0] <= (SDA_cstate == SDA_HIGH) ? 1'b1 : 1'b0;
end else begin // we're oversampled so we need a hold-state gutter
I2C_bitcnt <= I2C_bitcnt;
I2C_daddr <= I2C_daddr;
end // else: !if( SCL_cstate == SCL_RISE )
SDA_pd <= 1'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= 8'b0;
I2C_reg_update <= 1'b0;
I2C_addr <= I2C_addr;
end // case: I2C_DADDR
I2C_ACK_DADDR: begin
SDA_pd <= 1'b1; // active pull down ACK
I2C_daddr <= I2C_daddr;
I2C_bitcnt <= 4'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= I2C_regread_async;
I2C_reg_update <= 1'b0;
I2C_addr <= I2C_addr;
end
// get my i2c "write" address (what we want to access inside me)
I2C_ADDR: begin
if( SCL_cstate == SCL_RISE ) begin
I2C_bitcnt <= I2C_bitcnt + 4'b1;
I2C_addr[7] <= I2C_addr[6];
I2C_addr[6] <= I2C_addr[5];
I2C_addr[5] <= I2C_addr[4];
I2C_addr[4] <= I2C_addr[3];
I2C_addr[3] <= I2C_addr[2];
I2C_addr[2] <= I2C_addr[1];
I2C_addr[1] <= I2C_addr[0];
I2C_addr[0] <= (SDA_cstate == SDA_HIGH) ? 1'b1 : 1'b0;
end else begin // we're oversampled so we need a hold-state gutter
I2C_bitcnt <= I2C_bitcnt;
I2C_addr <= I2C_addr;
end // else: !if( SCL_cstate == SCL_RISE )
SDA_pd <= 1'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= 8'b0;
I2C_reg_update <= 1'b0;
I2C_daddr <= I2C_daddr;
end // case: I2C_ADDR
I2C_ACK_ADDR: begin
SDA_pd <= 1'b1; // active pull down ACK
I2C_daddr <= I2C_daddr;
I2C_bitcnt <= 4'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= I2C_regread_async; // update my read data here
I2C_reg_update <= 1'b0;
I2C_addr <= I2C_addr;
end
// write branch
I2C_WR_DATA: begin // shift in data on rising edges of clock
if( SCL_cstate == SCL_RISE ) begin
I2C_bitcnt <= I2C_bitcnt + 4'b1;
I2C_wdata[7] <= I2C_wdata[6];
I2C_wdata[6] <= I2C_wdata[5];
I2C_wdata[5] <= I2C_wdata[4];
I2C_wdata[4] <= I2C_wdata[3];
I2C_wdata[3] <= I2C_wdata[2];
I2C_wdata[2] <= I2C_wdata[1];
I2C_wdata[1] <= I2C_wdata[0];
I2C_wdata[0] <= (SDA_cstate == SDA_HIGH) ? 1'b1 : 1'b0;
end else begin
I2C_bitcnt <= I2C_bitcnt; // hold state gutter
I2C_wdata <= I2C_wdata;
end // else: !if( SCL_cstate == SCL_RISE )
SDA_pd <= 1'b0;
I2C_daddr <= I2C_daddr;
I2C_reg_update <= 1'b0;
I2C_rdata <= I2C_rdata;
I2C_addr <= I2C_addr;
end // case: I2C_WR_DATA
I2C_ACK_WR: begin
SDA_pd <= 1'b1; // active pull down ACK
I2C_daddr <= I2C_daddr;
I2C_bitcnt <= 4'b0;
I2C_wdata <= I2C_wdata;
I2C_reg_update <= 1'b1; // write the data now (over and over again while in state)
I2C_rdata <= I2C_rdata;
I2C_addr <= I2C_addr;
end
I2C_END_WR: begin
SDA_pd <= 1'b0; // let SDA rise (host may look for this to know ack is done
I2C_addr <= I2C_addr + 8'b1; // this is a one-cycle state so this is safe
I2C_bitcnt <= 4'b0;
I2C_wdata <= 8'b0;
I2C_rdata <= I2C_rdata;
I2C_reg_update <= 1'b0;
I2C_daddr <= I2C_daddr;
end
// read branch
I2C_RD_DATA: begin // shift out data on falling edges of clock
SDA_pd <= I2C_rdata[7] ? 1'b0 : 1'b1;
if( SCL_cstate == SCL_RISE ) begin
I2C_bitcnt <= I2C_bitcnt + 4'b1;
end else begin
I2C_bitcnt <= I2C_bitcnt; // hold state gutter
end
if( SCL_cstate == SCL_FALL ) begin
I2C_rdata[7] <= I2C_rdata[6];
I2C_rdata[6] <= I2C_rdata[5];
I2C_rdata[5] <= I2C_rdata[4];
I2C_rdata[4] <= I2C_rdata[3];
I2C_rdata[3] <= I2C_rdata[2];
I2C_rdata[2] <= I2C_rdata[1];
I2C_rdata[1] <= I2C_rdata[0];
I2C_rdata[0] <= 1'b0;
end else begin
I2C_rdata <= I2C_rdata;
end // else: !if( SCL_cstate == SCL_RISE )
I2C_daddr <= I2C_daddr;
I2C_reg_update <= 1'b0;
I2C_wdata <= I2C_wdata;
I2C_addr <= I2C_addr;
end // case: I2C_RD_DATA
I2C_ACK_RD: begin
SDA_pd <= 1'b0; // in ack state don't pull down, we are listening to host
I2C_daddr <= I2C_daddr;
I2C_bitcnt <= 4'b0;
I2C_rdata <= I2C_rdata;
I2C_reg_update <= 1'b0;
I2C_wdata <= I2C_wdata;
I2C_addr <= I2C_addr;
end
I2C_END_RD: begin
SDA_pd <= 1'b0; // let SDA rise (host may look for this to know ack is done
I2C_addr <= I2C_addr + 8'b1; // this is a one-cycle state so this is safe
I2C_bitcnt <= 4'b0;
I2C_rdata <= I2C_rdata;
I2C_reg_update <= 1'b0;
I2C_wdata <= I2C_wdata;
I2C_daddr <= I2C_daddr;
end
I2C_END_RD2: begin
SDA_pd <= 1'b0;
I2C_daddr <= 8'b0;
I2C_bitcnt <= 4'b0;
I2C_rdata <= I2C_regread_async; // update my read data here
I2C_reg_update <= 1'b0;
I2C_wdata <= I2C_wdata;
I2C_addr <= I2C_addr;
end
I2C_WAITSTOP: begin
SDA_pd <= 1'b0;
I2C_daddr <= 8'b0;
I2C_bitcnt <= 4'b0;
I2C_rdata <= I2C_rdata;
I2C_reg_update <= 1'b0;
I2C_wdata <= I2C_wdata;
I2C_addr <= I2C_addr;
end
endcase // case (cstate)
end // else: !if( reset )
end // always @ (posedge clk or posedge reset)
////////////////////////////////////
///// register bank management /////
////////////////////////////////////
// main block update (write from I2C)
always @(posedge clk) begin
if (I2C_reg_update && ((I2C_addr[6] == 1'b0) && (I2C_addr[7] == 1'b0))) begin // I2C_addr < 8'h40
I2C_regblock[I2C_ramaddr] <= I2C_wdata;
end
end
assign I2C_ramaddr = I2C_addr[RAM_ADDR_BITS-1:0];
///////// ick, had to hard-code the width against RAM_ADDR_BITS which is parameterized
assign reg_0 = I2C_regblock[6'h0];
assign reg_2 = I2C_regblock[6'h2];
assign reg_4 = I2C_regblock[6'h4];
assign reg_5 = I2C_regblock[6'h5];
assign reg_6 = I2C_regblock[6'h6];
assign reg_7 = I2C_regblock[6'h7];
always @(posedge clk) begin
if( (I2C_addr[7:0] == 8'h46) & ((I2C_cstate == I2C_ACK_ADDR) ||
(I2C_cstate == I2C_END_RD2)) ) begin
reg_46_trig <= 1'b1;
end else begin
reg_46_trig <= 1'b0;
end
end
always @(*) begin
case (I2C_addr[7:0])
8'h40: begin
I2C_regread_async = reg_40;
end
8'h41: begin
I2C_regread_async = reg_41;
end
8'h42: begin
I2C_regread_async = reg_42;
end
8'h44: begin
I2C_regread_async = reg_44;
end
8'h45: begin
I2C_regread_async = reg_45;
end
8'h46: begin
I2C_regread_async = reg_46;
end
8'hfc: begin
I2C_regread_async = reg_fc;
end
8'hfd: begin
I2C_regread_async = reg_fd;
end
8'hfe: begin
I2C_regread_async = reg_fe;
end
8'hff: begin
I2C_regread_async = reg_ff;
end
default: begin
I2C_regread_async = I2C_regblock[I2C_ramaddr];
end
endcase // case I2C_ramaddr
end // always @ (*)
///////////////////////////////////////////////////////////////
/////////// low level state machines //////////////////////////
///////////////////////////////////////////////////////////////
////////////////
///// SCL low-level sampling state machine
////////////////
parameter SCL_HIGH = 4'b1 << 0; // should only pass through this state for one cycle
parameter SCL_FALL = 4'b1 << 1;
parameter SCL_LOW = 4'b1 << 2;
parameter SCL_RISE = 4'b1 << 3;
parameter SCL_nSTATES = 4;
reg [(SCL_nSTATES-1):0] SCL_cstate = {{(SCL_nSTATES-1){1'b0}}, 1'b1}; //current and next states
reg [(SCL_nSTATES-1):0] SCL_nstate;
//`define SIMULATION
`ifdef SIMULATION
// synthesis translate_off
reg [8*20:1] SCL_state_ascii = "SCL_HIGH ";
always @(SCL_cstate) begin
if (SCL_cstate == SCL_HIGH) SCL_state_ascii <= "SCL_HIGH ";
else if (SCL_cstate == SCL_FALL) SCL_state_ascii <= "SCL_FALL ";
else if (SCL_cstate == SCL_LOW ) SCL_state_ascii <= "SCL_LOW ";
else if (SCL_cstate == SCL_RISE) SCL_state_ascii <= "SCL_RISE ";
else SCL_state_ascii <= "WTF ";
end
// synthesis translate_on
`endif
reg [4:0] SCL_rfcnt;
reg SCL_s, SCL_sync;
reg SDA_s, SDA_sync;
always @ (posedge clk) begin
if (reset)
SCL_cstate <= SCL_HIGH; // always start here even if it's wrong -- easier to test
else
SCL_cstate <= SCL_nstate;
end
always @ (*) begin
case (SCL_cstate) //synthesis parallel_case full_case
SCL_HIGH: begin
SCL_nstate = ((SCL_rfcnt > TRF_CYCLES) && (SCL_sync == 1'b0)) ? SCL_FALL : SCL_HIGH;
end
SCL_FALL: begin
SCL_nstate = SCL_LOW;
end
SCL_LOW: begin
SCL_nstate = ((SCL_rfcnt > TRF_CYCLES) && (SCL_sync == 1'b1)) ? SCL_RISE : SCL_LOW;
end
SCL_RISE: begin
SCL_nstate = SCL_HIGH;
end
endcase // case (cstate)
end // always @ (*)
always @ (posedge clk) begin
if( reset ) begin
SCL_rfcnt <= 5'b0;
end else begin
case (SCL_cstate) // synthesis parallel_case full_case
SCL_HIGH: begin
if( SCL_sync == 1'b1 ) begin
SCL_rfcnt <= 5'b0;
end else begin
SCL_rfcnt <= SCL_rfcnt + 5'b1;
end
end
SCL_FALL: begin
SCL_rfcnt <= 5'b0;
end
SCL_LOW: begin
if( SCL_sync == 1'b0 ) begin
SCL_rfcnt <= 5'b0;
end else begin
SCL_rfcnt <= SCL_rfcnt + 5'b1;
end
end
SCL_RISE: begin
SCL_rfcnt <= 5'b0;
end
endcase // case (cstate)
end // else: !if( reset )
end // always @ (posedge clk or posedge reset)
////////////////
///// SDA low-level sampling state machine
////////////////
parameter SDA_HIGH = 4'b1 << 0; // should only pass through this state for one cycle
parameter SDA_FALL = 4'b1 << 1;
parameter SDA_LOW = 4'b1 << 2;
parameter SDA_RISE = 4'b1 << 3;
parameter SDA_nSTATES = 4;
reg [(SDA_nSTATES-1):0] SDA_cstate = {{(SDA_nSTATES-1){1'b0}}, 1'b1}; //current and next states
reg [(SDA_nSTATES-1):0] SDA_nstate;
//`define SIMULATION
`ifdef SIMULATION
// synthesis translate_off
reg [8*20:1] SDA_state_ascii = "SDA_HIGH ";
always @(SDA_cstate) begin
if (SDA_cstate == SDA_HIGH) SDA_state_ascii <= "SDA_HIGH ";
else if (SDA_cstate == SDA_FALL) SDA_state_ascii <= "SDA_FALL ";
else if (SDA_cstate == SDA_LOW ) SDA_state_ascii <= "SDA_LOW ";
else if (SDA_cstate == SDA_RISE) SDA_state_ascii <= "SDA_RISE ";
else SDA_state_ascii <= "WTF ";
end
// synthesis translate_on
`endif
reg [4:0] SDA_rfcnt;
always @ (posedge clk) begin
if (reset)
SDA_cstate <= SDA_HIGH; // always start here even if it's wrong -- easier to test
else
SDA_cstate <= SDA_nstate;
end
always @ (*) begin
case (SDA_cstate) //synthesis parallel_case full_case
SDA_HIGH: begin
SDA_nstate = ((SDA_rfcnt > TRF_CYCLES) && (SDA_sync == 1'b0)) ? SDA_FALL : SDA_HIGH;
end
SDA_FALL: begin
SDA_nstate = SDA_LOW;
end
SDA_LOW: begin
SDA_nstate = ((SDA_rfcnt > TRF_CYCLES) && (SDA_sync == 1'b1)) ? SDA_RISE : SDA_LOW;
end
SDA_RISE: begin
SDA_nstate = SDA_HIGH;
end
endcase // case (cstate)
end // always @ (*)
always @ (posedge clk) begin
if( reset ) begin
SDA_rfcnt <= 5'b0;
end else begin
case (SDA_cstate) // synthesis parallel_case full_case
SDA_HIGH: begin
if( SDA_sync == 1'b1 ) begin
SDA_rfcnt <= 5'b0;
end else begin
SDA_rfcnt <= SDA_rfcnt + 5'b1;
end
end
SDA_FALL: begin
SDA_rfcnt <= 5'b0;
end
SDA_LOW: begin
if( SDA_sync == 1'b0 ) begin
SDA_rfcnt <= 5'b0;
end else begin
SDA_rfcnt <= SDA_rfcnt + 5'b1;
end
end
SDA_RISE: begin
SDA_rfcnt <= 5'b0;
end
endcase // case (cstate)
end // else: !if( reset )
end // always @ (posedge clk or posedge reset)
/////////////////////
/////// synchronizers
/////////////////////
always @ (posedge clk) begin
SCL_s <= SCL;
SCL_sync <= SCL_s;
SDA_s <= SDA;
SDA_sync <= SDA_s;
end // always @ (posedge clk or posedge reset)
endmodule // i2c_slave
|
//
// Avalon MM Slave for parallel input/output camera registers
//
module avalon_camera (
// Avalon clock interface signals
input clk,
input reset_n,
// Signals for Avalon-MM slave port
input [4:0] avs_s1_address,
input avs_s1_read,
output reg [31:0] avs_s1_readdata,
input avs_s1_write,
input [31:0] avs_s1_writedata,
// Control signals to export to the image_capture
output avs_export_start_capture,
output [15:0] avs_export_capture_width,
output [15:0] avs_export_capture_height,
output [31:0] avs_export_buff0,
output [31:0] avs_export_buff1,
input avs_export_buff0full,
input avs_export_buff1full,
input avs_export_capture_standby,
// Registers to export to the camera_config
output [15:0] avs_export_width,
output [15:0] avs_export_height,
output [15:0] avs_export_start_row,
output [15:0] avs_export_start_column,
output [15:0] avs_export_row_size,
output [15:0] avs_export_column_size,
output [15:0] avs_export_row_mode,
output [15:0] avs_export_column_mode,
output [15:0] avs_export_exposure,
//soft reset
output avs_export_cam_soft_reset_n
);
// Addresses of the registers to control image_capture
`define ADDR_START_CAPTURE 5'h00
`define ADDR_CAPTURE_WIDTH 5'h01
`define ADDR_CAPTURE_HEIGHT 5'h02
`define ADDR_BUFF0 5'h03
`define ADDR_BUFF1 5'h04
`define ADDR_BUFF0FULL 5'h05
`define ADDR_BUFF1FULL 5'h06
`define ADDR_CAPTURE_STANDBY 5'h07
// Addresses of the registers to control camera_config
`define ADDR_WIDTH 5'h09
`define ADDR_HEIGHT 5'h0a
`define ADDR_START_ROW 5'h0b
`define ADDR_START_COLUMN 5'h0c
`define ADDR_ROW_SIZE 5'h0d
`define ADDR_COLUMN_SIZE 5'h0e
`define ADDR_ROW_MODE 5'h0f
`define ADDR_COLUMN_MODE 5'h10
`define ADDR_EXPOSURE 5'h11
// Address of the soft reset
`define SOFT_RESET_N 5'h1F //last address
// Camera config registers default values
parameter WIDTH = 16'd320;
parameter HEIGHT = 16'd240;
parameter START_ROW = 16'h0036;
parameter START_COLUMN = 16'h0010;
parameter ROW_SIZE = 16'h059f;
parameter COLUMN_SIZE = 16'h077f;
parameter ROW_MODE = 16'h0002;
parameter COLUMN_MODE = 16'h0002;
parameter EXPOSURE = 16'h07c0;
// image_capture regs
reg start_capture;
reg [15:0] capture_width;
reg [15:0] capture_height;
reg [31:0] buff0;
reg [31:0] buff1;
reg buff0full;
reg buff1full;
wire standby;
// camera_config regs
reg [15:0] data_width;
reg [15:0] data_height;
reg [15:0] data_start_row;
reg [15:0] data_start_column;
reg [15:0] data_row_size;
reg [15:0] data_column_size;
reg [15:0] data_row_mode;
reg [15:0] data_column_mode;
reg [15:0] data_exposure;
//soft_reset reg
reg cam_soft_reset_n;
// Read/Write registers
always @(posedge clk or negedge reset_n)
begin
if (!reset_n) begin
start_capture <= 1'b0;
capture_width <= 16'd0;
capture_height <= 16'd0;
buff0[31:0] <= 32'd0;
buff1[31:0] <= 32'd0;
data_width[15:0] <= WIDTH[15:0];
data_height[15:0] <= HEIGHT[15:0];
data_start_row[15:0] <= START_ROW[15:0];
data_start_column[15:0] <= START_COLUMN[15:0];
data_row_size[15:0] <= ROW_SIZE[15:0];
data_column_size[15:0] <= COLUMN_SIZE[15:0];
data_row_mode[15:0] <= ROW_MODE[15:0];
data_column_mode[15:0] <= COLUMN_MODE[15:0];
data_exposure[15:0] <= EXPOSURE[15:0];
cam_soft_reset_n <= 1;
end
else begin
if (avs_s1_read) begin
case (avs_s1_address)
//image_capture
`ADDR_START_CAPTURE:
avs_s1_readdata[31:0] <= {31'b0, start_capture};
`ADDR_CAPTURE_WIDTH:
avs_s1_readdata[31:0] <= {16'b0, capture_width};
`ADDR_CAPTURE_HEIGHT:
avs_s1_readdata[31:0] <= {16'b0, capture_height};
`ADDR_BUFF0:
avs_s1_readdata[31:0] <= buff0;
`ADDR_BUFF1:
avs_s1_readdata[31:0] <= buff1;
`ADDR_BUFF0FULL:
avs_s1_readdata[31:0] <= {31'b0, buff0full};
`ADDR_BUFF1FULL:
avs_s1_readdata[31:0] <= {31'b0, buff1full};
`ADDR_CAPTURE_STANDBY:
avs_s1_readdata[31:0] <= {31'b0, standby};
//camera_config
`ADDR_WIDTH:
avs_s1_readdata[15:0] <= data_width[15:0];
`ADDR_HEIGHT:
avs_s1_readdata[15:0] <= data_height[15:0];
`ADDR_START_ROW:
avs_s1_readdata[15:0] <= data_start_row[15:0];
`ADDR_START_COLUMN:
avs_s1_readdata[15:0] <= data_start_column[15:0];
`ADDR_ROW_SIZE:
avs_s1_readdata[15:0] <= data_row_size[15:0];
`ADDR_COLUMN_SIZE:
avs_s1_readdata[15:0] <= data_column_size[15:0];
`ADDR_ROW_MODE:
avs_s1_readdata[15:0] <= data_row_mode[15:0];
`ADDR_COLUMN_MODE:
avs_s1_readdata[15:0] <= data_column_mode[15:0];
`ADDR_EXPOSURE:
avs_s1_readdata[15:0] <= data_exposure[15:0];
//soft reset
`SOFT_RESET_N:
avs_s1_readdata[31:0] <= {31'b0, cam_soft_reset_n};
default:
avs_s1_readdata <= {32'd0};
endcase
end
// if avs_s1_read is FALSE...
else begin
if (avs_s1_write) begin
case (avs_s1_address)
//image_capture
`ADDR_START_CAPTURE:
start_capture <= avs_s1_writedata[0];
`ADDR_CAPTURE_WIDTH:
capture_width <= avs_s1_writedata[16:0];
`ADDR_CAPTURE_HEIGHT:
capture_height <= avs_s1_writedata[16:0];
`ADDR_BUFF0:
buff0 <= avs_s1_writedata[31:0];
`ADDR_BUFF1:
buff1 <= avs_s1_writedata[31:0];
//`ADDR_CAPTURE_STANDBY://not writable
`ADDR_WIDTH:
data_width[15:0] <= avs_s1_writedata[15:0];
`ADDR_HEIGHT:
data_height[15:0] <= avs_s1_writedata[15:0];
`ADDR_START_ROW:
data_start_row[15:0] <= avs_s1_writedata[15:0];
`ADDR_START_COLUMN:
data_start_column[15:0] <= avs_s1_writedata[15:0];
`ADDR_ROW_SIZE:
data_row_size[15:0] <= avs_s1_writedata[15:0];
`ADDR_COLUMN_SIZE:
data_column_size[15:0] <= avs_s1_writedata[15:0];
`ADDR_ROW_MODE:
data_row_mode[15:0] <= avs_s1_writedata[15:0];
`ADDR_COLUMN_MODE:
data_column_mode[15:0] <= avs_s1_writedata[15:0];
`ADDR_EXPOSURE:
data_exposure[15:0] <= avs_s1_writedata[15:0];
`SOFT_RESET_N:
cam_soft_reset_n <= avs_s1_writedata[0];
endcase
end
end
end
end
//buff0full and buff1full registers
//this signals are coming from the capture_image and may be clocked
//by a different clock. Thats why asynchronous set is done here
//to set this signals. The processor uses this signals to know that
//one line has finished and can read the buffer. The processor is
//in charge of erase this signals through the avalon bus.
always @(posedge clk or negedge reset_n or posedge avs_export_buff0full)
begin
if (avs_export_buff0full) buff0full <= 1'b1;
else if (!reset_n) buff0full <= 1'b0;
else begin
if (avs_s1_write == 1) begin
case (avs_s1_address)
`ADDR_BUFF0FULL: buff0full <= avs_s1_writedata[0];
endcase
end
end
end
always @(posedge clk or negedge reset_n or posedge avs_export_buff1full)
begin
if (avs_export_buff1full) buff1full <= 1'b1;
else if (!reset_n) buff1full <= 1'b0;
else begin
if (avs_s1_write == 1) begin
case (avs_s1_address)
`ADDR_BUFF1FULL: buff1full <= avs_s1_writedata[0];
endcase
end
end
end
// Control signals to export to the image capture
assign avs_export_capture_width = capture_width;
assign avs_export_capture_height = capture_height;
assign avs_export_start_capture = start_capture;
assign avs_export_buff0 = buff0;
assign avs_export_buff1 = buff1;
assign standby = avs_export_capture_standby;
// Registers to export to the camera_config
assign avs_export_start_row[15:0] = data_start_row[15:0];
assign avs_export_start_column[15:0] = data_start_column[15:0];
assign avs_export_row_size[15:0] = data_row_size[15:0];
assign avs_export_column_size[15:0] = data_column_size[15:0];
assign avs_export_row_mode[15:0] = data_row_mode[15:0];
assign avs_export_column_mode[15:0] = data_column_mode[15:0];
assign avs_export_exposure[15:0] = data_exposure[15:0];
// Registers to export to the camera_config an
assign avs_export_width[15:0] = data_width[15:0];
assign avs_export_height[15:0] = data_height[15:0];
//soft reset
assign avs_export_cam_soft_reset_n = cam_soft_reset_n;
endmodule
|
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
// Date : Tue Jun 06 02:47:09 2017
// Host : GILAMONSTER running 64-bit major release (build 9200)
// Command : write_verilog -force -mode funcsim
// C:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_hessian_1_0/system_vga_hessian_1_0_sim_netlist.v
// Design : system_vga_hessian_1_0
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xc7z020clg484-1
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
(* CHECK_LICENSE_TYPE = "system_vga_hessian_1_0,vga_hessian,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "vga_hessian,Vivado 2016.4" *)
(* NotValidForBitStream *)
module system_vga_hessian_1_0
(clk_x16,
active,
rst,
x_addr,
y_addr,
g_in,
hessian_out);
input clk_x16;
input active;
(* x_interface_info = "xilinx.com:signal:reset:1.0 rst RST" *) input rst;
input [9:0]x_addr;
input [9:0]y_addr;
input [7:0]g_in;
output [31:0]hessian_out;
wire active;
wire clk_x16;
wire [7:0]g_in;
wire [31:0]hessian_out;
wire rst;
wire [9:0]x_addr;
wire [9:0]y_addr;
system_vga_hessian_1_0_vga_hessian U0
(.active(active),
.clk_x16(clk_x16),
.g_in(g_in),
.hessian_out(hessian_out),
.rst(rst),
.x_addr(x_addr),
.y_addr(y_addr));
endmodule
(* CHECK_LICENSE_TYPE = "blk_mem_gen_0,blk_mem_gen_v8_3_5,{}" *) (* ORIG_REF_NAME = "blk_mem_gen_0" *) (* downgradeipidentifiedwarnings = "yes" *)
(* x_core_info = "blk_mem_gen_v8_3_5,Vivado 2016.4" *)
module system_vga_hessian_1_0_blk_mem_gen_0
(clka,
ena,
wea,
addra,
dina,
douta,
clkb,
enb,
web,
addrb,
dinb,
doutb);
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK" *) input clka;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA EN" *) input ena;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA WE" *) input [0:0]wea;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR" *) input [13:0]addra;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN" *) input [15:0]dina;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT" *) output [15:0]douta;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK" *) input clkb;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTB EN" *) input enb;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTB WE" *) input [0:0]web;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR" *) input [13:0]addrb;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN" *) input [15:0]dinb;
(* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT" *) output [15:0]doutb;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [15:0]dina;
wire [15:0]dinb;
wire [15:0]douta;
wire [15:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
wire NLW_U0_dbiterr_UNCONNECTED;
wire NLW_U0_rsta_busy_UNCONNECTED;
wire NLW_U0_rstb_busy_UNCONNECTED;
wire NLW_U0_s_axi_arready_UNCONNECTED;
wire NLW_U0_s_axi_awready_UNCONNECTED;
wire NLW_U0_s_axi_bvalid_UNCONNECTED;
wire NLW_U0_s_axi_dbiterr_UNCONNECTED;
wire NLW_U0_s_axi_rlast_UNCONNECTED;
wire NLW_U0_s_axi_rvalid_UNCONNECTED;
wire NLW_U0_s_axi_sbiterr_UNCONNECTED;
wire NLW_U0_s_axi_wready_UNCONNECTED;
wire NLW_U0_sbiterr_UNCONNECTED;
wire [13:0]NLW_U0_rdaddrecc_UNCONNECTED;
wire [3:0]NLW_U0_s_axi_bid_UNCONNECTED;
wire [1:0]NLW_U0_s_axi_bresp_UNCONNECTED;
wire [13:0]NLW_U0_s_axi_rdaddrecc_UNCONNECTED;
wire [15:0]NLW_U0_s_axi_rdata_UNCONNECTED;
wire [3:0]NLW_U0_s_axi_rid_UNCONNECTED;
wire [1:0]NLW_U0_s_axi_rresp_UNCONNECTED;
(* C_ADDRA_WIDTH = "14" *)
(* C_ADDRB_WIDTH = "14" *)
(* C_ALGORITHM = "1" *)
(* C_AXI_ID_WIDTH = "4" *)
(* C_AXI_SLAVE_TYPE = "0" *)
(* C_AXI_TYPE = "1" *)
(* C_BYTE_SIZE = "9" *)
(* C_COMMON_CLK = "0" *)
(* C_COUNT_18K_BRAM = "1" *)
(* C_COUNT_36K_BRAM = "7" *)
(* C_CTRL_ECC_ALGO = "NONE" *)
(* C_DEFAULT_DATA = "0" *)
(* C_DISABLE_WARN_BHV_COLL = "0" *)
(* C_DISABLE_WARN_BHV_RANGE = "0" *)
(* C_ELABORATION_DIR = "./" *)
(* C_ENABLE_32BIT_ADDRESS = "0" *)
(* C_EN_DEEPSLEEP_PIN = "0" *)
(* C_EN_ECC_PIPE = "0" *)
(* C_EN_RDADDRA_CHG = "0" *)
(* C_EN_RDADDRB_CHG = "0" *)
(* C_EN_SAFETY_CKT = "0" *)
(* C_EN_SHUTDOWN_PIN = "0" *)
(* C_EN_SLEEP_PIN = "0" *)
(* C_EST_POWER_SUMMARY = "Estimated Power for IP : 22.148499999999999 mW" *)
(* C_FAMILY = "zynq" *)
(* C_HAS_AXI_ID = "0" *)
(* C_HAS_ENA = "1" *)
(* C_HAS_ENB = "1" *)
(* C_HAS_INJECTERR = "0" *)
(* C_HAS_MEM_OUTPUT_REGS_A = "1" *)
(* C_HAS_MEM_OUTPUT_REGS_B = "1" *)
(* C_HAS_MUX_OUTPUT_REGS_A = "0" *)
(* C_HAS_MUX_OUTPUT_REGS_B = "0" *)
(* C_HAS_REGCEA = "0" *)
(* C_HAS_REGCEB = "0" *)
(* C_HAS_RSTA = "0" *)
(* C_HAS_RSTB = "0" *)
(* C_HAS_SOFTECC_INPUT_REGS_A = "0" *)
(* C_HAS_SOFTECC_OUTPUT_REGS_B = "0" *)
(* C_INITA_VAL = "0" *)
(* C_INITB_VAL = "0" *)
(* C_INIT_FILE = "blk_mem_gen_0.mem" *)
(* C_INIT_FILE_NAME = "no_coe_file_loaded" *)
(* C_INTERFACE_TYPE = "0" *)
(* C_LOAD_INIT_FILE = "0" *)
(* C_MEM_TYPE = "2" *)
(* C_MUX_PIPELINE_STAGES = "0" *)
(* C_PRIM_TYPE = "1" *)
(* C_READ_DEPTH_A = "16384" *)
(* C_READ_DEPTH_B = "16384" *)
(* C_READ_WIDTH_A = "16" *)
(* C_READ_WIDTH_B = "16" *)
(* C_RSTRAM_A = "0" *)
(* C_RSTRAM_B = "0" *)
(* C_RST_PRIORITY_A = "CE" *)
(* C_RST_PRIORITY_B = "CE" *)
(* C_SIM_COLLISION_CHECK = "ALL" *)
(* C_USE_BRAM_BLOCK = "0" *)
(* C_USE_BYTE_WEA = "0" *)
(* C_USE_BYTE_WEB = "0" *)
(* C_USE_DEFAULT_DATA = "0" *)
(* C_USE_ECC = "0" *)
(* C_USE_SOFTECC = "0" *)
(* C_USE_URAM = "0" *)
(* C_WEA_WIDTH = "1" *)
(* C_WEB_WIDTH = "1" *)
(* C_WRITE_DEPTH_A = "16384" *)
(* C_WRITE_DEPTH_B = "16384" *)
(* C_WRITE_MODE_A = "WRITE_FIRST" *)
(* C_WRITE_MODE_B = "WRITE_FIRST" *)
(* C_WRITE_WIDTH_A = "16" *)
(* C_WRITE_WIDTH_B = "16" *)
(* C_XDEVICEFAMILY = "zynq" *)
(* downgradeipidentifiedwarnings = "yes" *)
system_vga_hessian_1_0_blk_mem_gen_v8_3_5 U0
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dbiterr(NLW_U0_dbiterr_UNCONNECTED),
.deepsleep(1'b0),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.eccpipece(1'b0),
.ena(ena),
.enb(enb),
.injectdbiterr(1'b0),
.injectsbiterr(1'b0),
.rdaddrecc(NLW_U0_rdaddrecc_UNCONNECTED[13:0]),
.regcea(1'b0),
.regceb(1'b0),
.rsta(1'b0),
.rsta_busy(NLW_U0_rsta_busy_UNCONNECTED),
.rstb(1'b0),
.rstb_busy(NLW_U0_rstb_busy_UNCONNECTED),
.s_aclk(1'b0),
.s_aresetn(1'b0),
.s_axi_araddr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.s_axi_arburst({1'b0,1'b0}),
.s_axi_arid({1'b0,1'b0,1'b0,1'b0}),
.s_axi_arlen({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.s_axi_arready(NLW_U0_s_axi_arready_UNCONNECTED),
.s_axi_arsize({1'b0,1'b0,1'b0}),
.s_axi_arvalid(1'b0),
.s_axi_awaddr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.s_axi_awburst({1'b0,1'b0}),
.s_axi_awid({1'b0,1'b0,1'b0,1'b0}),
.s_axi_awlen({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.s_axi_awready(NLW_U0_s_axi_awready_UNCONNECTED),
.s_axi_awsize({1'b0,1'b0,1'b0}),
.s_axi_awvalid(1'b0),
.s_axi_bid(NLW_U0_s_axi_bid_UNCONNECTED[3:0]),
.s_axi_bready(1'b0),
.s_axi_bresp(NLW_U0_s_axi_bresp_UNCONNECTED[1:0]),
.s_axi_bvalid(NLW_U0_s_axi_bvalid_UNCONNECTED),
.s_axi_dbiterr(NLW_U0_s_axi_dbiterr_UNCONNECTED),
.s_axi_injectdbiterr(1'b0),
.s_axi_injectsbiterr(1'b0),
.s_axi_rdaddrecc(NLW_U0_s_axi_rdaddrecc_UNCONNECTED[13:0]),
.s_axi_rdata(NLW_U0_s_axi_rdata_UNCONNECTED[15:0]),
.s_axi_rid(NLW_U0_s_axi_rid_UNCONNECTED[3:0]),
.s_axi_rlast(NLW_U0_s_axi_rlast_UNCONNECTED),
.s_axi_rready(1'b0),
.s_axi_rresp(NLW_U0_s_axi_rresp_UNCONNECTED[1:0]),
.s_axi_rvalid(NLW_U0_s_axi_rvalid_UNCONNECTED),
.s_axi_sbiterr(NLW_U0_s_axi_sbiterr_UNCONNECTED),
.s_axi_wdata({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.s_axi_wlast(1'b0),
.s_axi_wready(NLW_U0_s_axi_wready_UNCONNECTED),
.s_axi_wstrb(1'b0),
.s_axi_wvalid(1'b0),
.sbiterr(NLW_U0_sbiterr_UNCONNECTED),
.shutdown(1'b0),
.sleep(1'b0),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "vga_hessian" *)
module system_vga_hessian_1_0_vga_hessian
(hessian_out,
clk_x16,
rst,
active,
x_addr,
y_addr,
g_in);
output [31:0]hessian_out;
input clk_x16;
input rst;
input active;
input [9:0]x_addr;
input [9:0]y_addr;
input [7:0]g_in;
wire [15:0]A;
wire [15:0]B;
wire Lxx;
wire Lxx0_carry__0_i_1_n_0;
wire Lxx0_carry__0_i_2_n_0;
wire Lxx0_carry__0_i_3_n_0;
wire Lxx0_carry__0_i_4_n_0;
wire Lxx0_carry__0_i_5_n_0;
wire Lxx0_carry__0_i_6_n_0;
wire Lxx0_carry__0_i_7_n_0;
wire Lxx0_carry__0_i_8_n_0;
wire Lxx0_carry__0_n_0;
wire Lxx0_carry__0_n_1;
wire Lxx0_carry__0_n_2;
wire Lxx0_carry__0_n_3;
wire Lxx0_carry__1_i_1_n_0;
wire Lxx0_carry__1_i_2_n_0;
wire Lxx0_carry__1_i_3_n_0;
wire Lxx0_carry__1_i_4_n_0;
wire Lxx0_carry__1_i_5_n_0;
wire Lxx0_carry__1_i_6_n_0;
wire Lxx0_carry__1_i_7_n_0;
wire Lxx0_carry__1_i_8_n_0;
wire Lxx0_carry__1_n_0;
wire Lxx0_carry__1_n_1;
wire Lxx0_carry__1_n_2;
wire Lxx0_carry__1_n_3;
wire Lxx0_carry__2_i_1_n_0;
wire Lxx0_carry__2_i_2_n_0;
wire Lxx0_carry__2_i_3_n_0;
wire Lxx0_carry__2_i_4_n_0;
wire Lxx0_carry__2_i_5_n_0;
wire Lxx0_carry__2_i_6_n_0;
wire Lxx0_carry__2_i_7_n_0;
wire Lxx0_carry__2_n_1;
wire Lxx0_carry__2_n_2;
wire Lxx0_carry__2_n_3;
wire Lxx0_carry_i_1_n_0;
wire Lxx0_carry_i_2_n_0;
wire Lxx0_carry_i_3_n_0;
wire Lxx0_carry_i_4_n_0;
wire Lxx0_carry_i_5_n_0;
wire Lxx0_carry_i_6_n_0;
wire Lxx0_carry_n_0;
wire Lxx0_carry_n_1;
wire Lxx0_carry_n_2;
wire Lxx0_carry_n_3;
wire [15:0]Lxx_0;
wire [15:0]Lxx_00;
wire Lxx_00__1_carry__0_i_10_n_0;
wire Lxx_00__1_carry__0_i_11_n_0;
wire Lxx_00__1_carry__0_i_12_n_0;
wire Lxx_00__1_carry__0_i_1_n_0;
wire Lxx_00__1_carry__0_i_2_n_0;
wire Lxx_00__1_carry__0_i_3_n_0;
wire Lxx_00__1_carry__0_i_4_n_0;
wire Lxx_00__1_carry__0_i_5_n_0;
wire Lxx_00__1_carry__0_i_6_n_0;
wire Lxx_00__1_carry__0_i_7_n_0;
wire Lxx_00__1_carry__0_i_8_n_0;
wire Lxx_00__1_carry__0_i_9_n_0;
wire Lxx_00__1_carry__0_n_0;
wire Lxx_00__1_carry__0_n_1;
wire Lxx_00__1_carry__0_n_2;
wire Lxx_00__1_carry__0_n_3;
wire Lxx_00__1_carry__1_i_10_n_0;
wire Lxx_00__1_carry__1_i_11_n_0;
wire Lxx_00__1_carry__1_i_12_n_0;
wire Lxx_00__1_carry__1_i_1_n_0;
wire Lxx_00__1_carry__1_i_2_n_0;
wire Lxx_00__1_carry__1_i_3_n_0;
wire Lxx_00__1_carry__1_i_4_n_0;
wire Lxx_00__1_carry__1_i_5_n_0;
wire Lxx_00__1_carry__1_i_6_n_0;
wire Lxx_00__1_carry__1_i_7_n_0;
wire Lxx_00__1_carry__1_i_8_n_0;
wire Lxx_00__1_carry__1_i_9_n_0;
wire Lxx_00__1_carry__1_n_0;
wire Lxx_00__1_carry__1_n_1;
wire Lxx_00__1_carry__1_n_2;
wire Lxx_00__1_carry__1_n_3;
wire Lxx_00__1_carry__2_i_10_n_0;
wire Lxx_00__1_carry__2_i_11_n_0;
wire Lxx_00__1_carry__2_i_12_n_0;
wire Lxx_00__1_carry__2_i_1_n_0;
wire Lxx_00__1_carry__2_i_2_n_0;
wire Lxx_00__1_carry__2_i_3_n_0;
wire Lxx_00__1_carry__2_i_4_n_0;
wire Lxx_00__1_carry__2_i_5_n_0;
wire Lxx_00__1_carry__2_i_6_n_0;
wire Lxx_00__1_carry__2_i_7_n_0;
wire Lxx_00__1_carry__2_i_8_n_0;
wire Lxx_00__1_carry__2_i_9_n_0;
wire Lxx_00__1_carry__2_n_1;
wire Lxx_00__1_carry__2_n_2;
wire Lxx_00__1_carry__2_n_3;
wire Lxx_00__1_carry_i_1_n_0;
wire Lxx_00__1_carry_i_2_n_0;
wire Lxx_00__1_carry_i_3_n_0;
wire Lxx_00__1_carry_i_4_n_0;
wire Lxx_00__1_carry_i_5_n_0;
wire Lxx_00__1_carry_i_6_n_0;
wire Lxx_00__1_carry_i_7_n_0;
wire Lxx_00__1_carry_i_8_n_0;
wire Lxx_00__1_carry_i_9_n_0;
wire Lxx_00__1_carry_n_0;
wire Lxx_00__1_carry_n_1;
wire Lxx_00__1_carry_n_2;
wire Lxx_00__1_carry_n_3;
wire [15:1]Lxx_1;
wire [15:0]Lxx_11;
wire Lxx_11__1_carry__0_i_10_n_0;
wire Lxx_11__1_carry__0_i_11_n_0;
wire Lxx_11__1_carry__0_i_12_n_0;
wire Lxx_11__1_carry__0_i_1_n_0;
wire Lxx_11__1_carry__0_i_2_n_0;
wire Lxx_11__1_carry__0_i_3_n_0;
wire Lxx_11__1_carry__0_i_4_n_0;
wire Lxx_11__1_carry__0_i_5_n_0;
wire Lxx_11__1_carry__0_i_6_n_0;
wire Lxx_11__1_carry__0_i_7_n_0;
wire Lxx_11__1_carry__0_i_8_n_0;
wire Lxx_11__1_carry__0_i_9_n_0;
wire Lxx_11__1_carry__0_n_0;
wire Lxx_11__1_carry__0_n_1;
wire Lxx_11__1_carry__0_n_2;
wire Lxx_11__1_carry__0_n_3;
wire Lxx_11__1_carry__1_i_10_n_0;
wire Lxx_11__1_carry__1_i_11_n_0;
wire Lxx_11__1_carry__1_i_12_n_0;
wire Lxx_11__1_carry__1_i_1_n_0;
wire Lxx_11__1_carry__1_i_2_n_0;
wire Lxx_11__1_carry__1_i_3_n_0;
wire Lxx_11__1_carry__1_i_4_n_0;
wire Lxx_11__1_carry__1_i_5_n_0;
wire Lxx_11__1_carry__1_i_6_n_0;
wire Lxx_11__1_carry__1_i_7_n_0;
wire Lxx_11__1_carry__1_i_8_n_0;
wire Lxx_11__1_carry__1_i_9_n_0;
wire Lxx_11__1_carry__1_n_0;
wire Lxx_11__1_carry__1_n_1;
wire Lxx_11__1_carry__1_n_2;
wire Lxx_11__1_carry__1_n_3;
wire Lxx_11__1_carry__2_i_10_n_0;
wire Lxx_11__1_carry__2_i_11_n_0;
wire Lxx_11__1_carry__2_i_12_n_0;
wire Lxx_11__1_carry__2_i_1_n_0;
wire Lxx_11__1_carry__2_i_2_n_0;
wire Lxx_11__1_carry__2_i_3_n_0;
wire Lxx_11__1_carry__2_i_4_n_0;
wire Lxx_11__1_carry__2_i_5_n_0;
wire Lxx_11__1_carry__2_i_6_n_0;
wire Lxx_11__1_carry__2_i_7_n_0;
wire Lxx_11__1_carry__2_i_8_n_0;
wire Lxx_11__1_carry__2_i_9_n_0;
wire Lxx_11__1_carry__2_n_1;
wire Lxx_11__1_carry__2_n_2;
wire Lxx_11__1_carry__2_n_3;
wire Lxx_11__1_carry_i_1_n_0;
wire Lxx_11__1_carry_i_2_n_0;
wire Lxx_11__1_carry_i_3_n_0;
wire Lxx_11__1_carry_i_4_n_0;
wire Lxx_11__1_carry_i_5_n_0;
wire Lxx_11__1_carry_i_6_n_0;
wire Lxx_11__1_carry_i_7_n_0;
wire Lxx_11__1_carry_i_8_n_0;
wire Lxx_11__1_carry_i_9_n_0;
wire Lxx_11__1_carry_n_0;
wire Lxx_11__1_carry_n_1;
wire Lxx_11__1_carry_n_2;
wire Lxx_11__1_carry_n_3;
wire \Lxx_2[15]_i_1_n_0 ;
wire \Lxx_2_reg_n_0_[0] ;
wire \Lxx_2_reg_n_0_[10] ;
wire \Lxx_2_reg_n_0_[11] ;
wire \Lxx_2_reg_n_0_[12] ;
wire \Lxx_2_reg_n_0_[13] ;
wire \Lxx_2_reg_n_0_[14] ;
wire \Lxx_2_reg_n_0_[15] ;
wire \Lxx_2_reg_n_0_[1] ;
wire \Lxx_2_reg_n_0_[2] ;
wire \Lxx_2_reg_n_0_[3] ;
wire \Lxx_2_reg_n_0_[4] ;
wire \Lxx_2_reg_n_0_[5] ;
wire \Lxx_2_reg_n_0_[6] ;
wire \Lxx_2_reg_n_0_[7] ;
wire \Lxx_2_reg_n_0_[8] ;
wire \Lxx_2_reg_n_0_[9] ;
wire Lxy0__1_carry__0_i_10_n_0;
wire Lxy0__1_carry__0_i_11_n_0;
wire Lxy0__1_carry__0_i_12_n_0;
wire Lxy0__1_carry__0_i_1_n_0;
wire Lxy0__1_carry__0_i_2_n_0;
wire Lxy0__1_carry__0_i_3_n_0;
wire Lxy0__1_carry__0_i_4_n_0;
wire Lxy0__1_carry__0_i_5_n_0;
wire Lxy0__1_carry__0_i_6_n_0;
wire Lxy0__1_carry__0_i_7_n_0;
wire Lxy0__1_carry__0_i_8_n_0;
wire Lxy0__1_carry__0_i_9_n_0;
wire Lxy0__1_carry__0_n_0;
wire Lxy0__1_carry__0_n_1;
wire Lxy0__1_carry__0_n_2;
wire Lxy0__1_carry__0_n_3;
wire Lxy0__1_carry__0_n_4;
wire Lxy0__1_carry__0_n_5;
wire Lxy0__1_carry__0_n_6;
wire Lxy0__1_carry__0_n_7;
wire Lxy0__1_carry__1_i_10_n_0;
wire Lxy0__1_carry__1_i_11_n_0;
wire Lxy0__1_carry__1_i_12_n_0;
wire Lxy0__1_carry__1_i_1_n_0;
wire Lxy0__1_carry__1_i_2_n_0;
wire Lxy0__1_carry__1_i_3_n_0;
wire Lxy0__1_carry__1_i_4_n_0;
wire Lxy0__1_carry__1_i_5_n_0;
wire Lxy0__1_carry__1_i_6_n_0;
wire Lxy0__1_carry__1_i_7_n_0;
wire Lxy0__1_carry__1_i_8_n_0;
wire Lxy0__1_carry__1_i_9_n_0;
wire Lxy0__1_carry__1_n_0;
wire Lxy0__1_carry__1_n_1;
wire Lxy0__1_carry__1_n_2;
wire Lxy0__1_carry__1_n_3;
wire Lxy0__1_carry__1_n_4;
wire Lxy0__1_carry__1_n_5;
wire Lxy0__1_carry__1_n_6;
wire Lxy0__1_carry__1_n_7;
wire Lxy0__1_carry__2_i_10_n_0;
wire Lxy0__1_carry__2_i_11_n_0;
wire Lxy0__1_carry__2_i_12_n_0;
wire Lxy0__1_carry__2_i_1_n_0;
wire Lxy0__1_carry__2_i_2_n_0;
wire Lxy0__1_carry__2_i_3_n_0;
wire Lxy0__1_carry__2_i_4_n_0;
wire Lxy0__1_carry__2_i_5_n_0;
wire Lxy0__1_carry__2_i_6_n_0;
wire Lxy0__1_carry__2_i_7_n_0;
wire Lxy0__1_carry__2_i_8_n_0;
wire Lxy0__1_carry__2_i_9_n_0;
wire Lxy0__1_carry__2_n_1;
wire Lxy0__1_carry__2_n_2;
wire Lxy0__1_carry__2_n_3;
wire Lxy0__1_carry__2_n_4;
wire Lxy0__1_carry__2_n_5;
wire Lxy0__1_carry__2_n_6;
wire Lxy0__1_carry__2_n_7;
wire Lxy0__1_carry_i_10_n_0;
wire Lxy0__1_carry_i_1_n_0;
wire Lxy0__1_carry_i_2_n_0;
wire Lxy0__1_carry_i_3_n_0;
wire Lxy0__1_carry_i_4_n_0;
wire Lxy0__1_carry_i_5_n_0;
wire Lxy0__1_carry_i_6_n_0;
wire Lxy0__1_carry_i_7_n_0;
wire Lxy0__1_carry_i_8_n_0;
wire Lxy0__1_carry_i_9_n_0;
wire Lxy0__1_carry_n_0;
wire Lxy0__1_carry_n_1;
wire Lxy0__1_carry_n_2;
wire Lxy0__1_carry_n_3;
wire Lxy0__1_carry_n_4;
wire Lxy0__1_carry_n_5;
wire Lxy0__1_carry_n_6;
wire Lxy0__1_carry_n_7;
wire \Lxy_0[15]_i_1_n_0 ;
wire \Lxy_0_reg_n_0_[0] ;
wire \Lxy_0_reg_n_0_[10] ;
wire \Lxy_0_reg_n_0_[11] ;
wire \Lxy_0_reg_n_0_[12] ;
wire \Lxy_0_reg_n_0_[13] ;
wire \Lxy_0_reg_n_0_[14] ;
wire \Lxy_0_reg_n_0_[15] ;
wire \Lxy_0_reg_n_0_[1] ;
wire \Lxy_0_reg_n_0_[2] ;
wire \Lxy_0_reg_n_0_[3] ;
wire \Lxy_0_reg_n_0_[4] ;
wire \Lxy_0_reg_n_0_[5] ;
wire \Lxy_0_reg_n_0_[6] ;
wire \Lxy_0_reg_n_0_[7] ;
wire \Lxy_0_reg_n_0_[8] ;
wire \Lxy_0_reg_n_0_[9] ;
wire Lxy_1;
wire \Lxy_1_reg_n_0_[0] ;
wire \Lxy_1_reg_n_0_[10] ;
wire \Lxy_1_reg_n_0_[11] ;
wire \Lxy_1_reg_n_0_[12] ;
wire \Lxy_1_reg_n_0_[13] ;
wire \Lxy_1_reg_n_0_[14] ;
wire \Lxy_1_reg_n_0_[15] ;
wire \Lxy_1_reg_n_0_[1] ;
wire \Lxy_1_reg_n_0_[2] ;
wire \Lxy_1_reg_n_0_[3] ;
wire \Lxy_1_reg_n_0_[4] ;
wire \Lxy_1_reg_n_0_[5] ;
wire \Lxy_1_reg_n_0_[6] ;
wire \Lxy_1_reg_n_0_[7] ;
wire \Lxy_1_reg_n_0_[8] ;
wire \Lxy_1_reg_n_0_[9] ;
wire [15:0]Lxy_2;
wire [15:0]Lxy_3;
wire Lyy0_carry__0_i_1_n_0;
wire Lyy0_carry__0_i_2_n_0;
wire Lyy0_carry__0_i_3_n_0;
wire Lyy0_carry__0_i_4_n_0;
wire Lyy0_carry__0_i_5_n_0;
wire Lyy0_carry__0_i_6_n_0;
wire Lyy0_carry__0_i_7_n_0;
wire Lyy0_carry__0_i_8_n_0;
wire Lyy0_carry__0_n_0;
wire Lyy0_carry__0_n_1;
wire Lyy0_carry__0_n_2;
wire Lyy0_carry__0_n_3;
wire Lyy0_carry__1_i_1_n_0;
wire Lyy0_carry__1_i_2_n_0;
wire Lyy0_carry__1_i_3_n_0;
wire Lyy0_carry__1_i_4_n_0;
wire Lyy0_carry__1_i_5_n_0;
wire Lyy0_carry__1_i_6_n_0;
wire Lyy0_carry__1_i_7_n_0;
wire Lyy0_carry__1_i_8_n_0;
wire Lyy0_carry__1_n_0;
wire Lyy0_carry__1_n_1;
wire Lyy0_carry__1_n_2;
wire Lyy0_carry__1_n_3;
wire Lyy0_carry__2_i_1_n_0;
wire Lyy0_carry__2_i_2_n_0;
wire Lyy0_carry__2_i_3_n_0;
wire Lyy0_carry__2_i_4_n_0;
wire Lyy0_carry__2_i_5_n_0;
wire Lyy0_carry__2_i_6_n_0;
wire Lyy0_carry__2_i_7_n_0;
wire Lyy0_carry__2_n_1;
wire Lyy0_carry__2_n_2;
wire Lyy0_carry__2_n_3;
wire Lyy0_carry_i_1_n_0;
wire Lyy0_carry_i_2_n_0;
wire Lyy0_carry_i_3_n_0;
wire Lyy0_carry_i_4_n_0;
wire Lyy0_carry_i_5_n_0;
wire Lyy0_carry_i_6_n_0;
wire Lyy0_carry_n_0;
wire Lyy0_carry_n_1;
wire Lyy0_carry_n_2;
wire Lyy0_carry_n_3;
wire Lyy_0;
wire \Lyy_0_reg_n_0_[0] ;
wire \Lyy_0_reg_n_0_[10] ;
wire \Lyy_0_reg_n_0_[11] ;
wire \Lyy_0_reg_n_0_[12] ;
wire \Lyy_0_reg_n_0_[13] ;
wire \Lyy_0_reg_n_0_[14] ;
wire \Lyy_0_reg_n_0_[15] ;
wire \Lyy_0_reg_n_0_[1] ;
wire \Lyy_0_reg_n_0_[2] ;
wire \Lyy_0_reg_n_0_[3] ;
wire \Lyy_0_reg_n_0_[4] ;
wire \Lyy_0_reg_n_0_[5] ;
wire \Lyy_0_reg_n_0_[6] ;
wire \Lyy_0_reg_n_0_[7] ;
wire \Lyy_0_reg_n_0_[8] ;
wire \Lyy_0_reg_n_0_[9] ;
wire [15:1]Lyy_1;
wire [15:0]Lyy_20;
wire Lyy_20__1_carry__0_i_10_n_0;
wire Lyy_20__1_carry__0_i_11_n_0;
wire Lyy_20__1_carry__0_i_12_n_0;
wire Lyy_20__1_carry__0_i_1_n_0;
wire Lyy_20__1_carry__0_i_2_n_0;
wire Lyy_20__1_carry__0_i_3_n_0;
wire Lyy_20__1_carry__0_i_4_n_0;
wire Lyy_20__1_carry__0_i_5_n_0;
wire Lyy_20__1_carry__0_i_6_n_0;
wire Lyy_20__1_carry__0_i_7_n_0;
wire Lyy_20__1_carry__0_i_8_n_0;
wire Lyy_20__1_carry__0_i_9_n_0;
wire Lyy_20__1_carry__0_n_0;
wire Lyy_20__1_carry__0_n_1;
wire Lyy_20__1_carry__0_n_2;
wire Lyy_20__1_carry__0_n_3;
wire Lyy_20__1_carry__1_i_10_n_0;
wire Lyy_20__1_carry__1_i_11_n_0;
wire Lyy_20__1_carry__1_i_12_n_0;
wire Lyy_20__1_carry__1_i_1_n_0;
wire Lyy_20__1_carry__1_i_2_n_0;
wire Lyy_20__1_carry__1_i_3_n_0;
wire Lyy_20__1_carry__1_i_4_n_0;
wire Lyy_20__1_carry__1_i_5_n_0;
wire Lyy_20__1_carry__1_i_6_n_0;
wire Lyy_20__1_carry__1_i_7_n_0;
wire Lyy_20__1_carry__1_i_8_n_0;
wire Lyy_20__1_carry__1_i_9_n_0;
wire Lyy_20__1_carry__1_n_0;
wire Lyy_20__1_carry__1_n_1;
wire Lyy_20__1_carry__1_n_2;
wire Lyy_20__1_carry__1_n_3;
wire Lyy_20__1_carry__2_i_10_n_0;
wire Lyy_20__1_carry__2_i_11_n_0;
wire Lyy_20__1_carry__2_i_1_n_0;
wire Lyy_20__1_carry__2_i_2_n_0;
wire Lyy_20__1_carry__2_i_3_n_0;
wire Lyy_20__1_carry__2_i_4_n_0;
wire Lyy_20__1_carry__2_i_5_n_0;
wire Lyy_20__1_carry__2_i_6_n_0;
wire Lyy_20__1_carry__2_i_7_n_0;
wire Lyy_20__1_carry__2_i_8_n_0;
wire Lyy_20__1_carry__2_i_9_n_0;
wire Lyy_20__1_carry__2_n_1;
wire Lyy_20__1_carry__2_n_2;
wire Lyy_20__1_carry__2_n_3;
wire Lyy_20__1_carry_i_1_n_0;
wire Lyy_20__1_carry_i_2_n_0;
wire Lyy_20__1_carry_i_3_n_0;
wire Lyy_20__1_carry_i_4_n_0;
wire Lyy_20__1_carry_i_5_n_0;
wire Lyy_20__1_carry_i_6_n_0;
wire Lyy_20__1_carry_i_7_n_0;
wire Lyy_20__1_carry_i_8_n_0;
wire Lyy_20__1_carry_i_9_n_0;
wire Lyy_20__1_carry_n_0;
wire Lyy_20__1_carry_n_1;
wire Lyy_20__1_carry_n_2;
wire Lyy_20__1_carry_n_3;
wire \Lyy_2[15]_i_1_n_0 ;
wire [15:0]Lyy_2_bottom_left;
wire [15:0]Lyy_2_bottom_right;
wire [15:0]Lyy_2_bottom_right01_out;
wire Lyy_2_bottom_right0__0_carry__0_i_10_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_11_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_12_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_1_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_2_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_3_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_4_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_5_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_6_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_7_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_8_n_0;
wire Lyy_2_bottom_right0__0_carry__0_i_9_n_0;
wire Lyy_2_bottom_right0__0_carry__0_n_0;
wire Lyy_2_bottom_right0__0_carry__0_n_1;
wire Lyy_2_bottom_right0__0_carry__0_n_2;
wire Lyy_2_bottom_right0__0_carry__0_n_3;
wire Lyy_2_bottom_right0__0_carry__1_i_10_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_11_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_12_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_1_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_2_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_3_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_4_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_5_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_6_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_7_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_8_n_0;
wire Lyy_2_bottom_right0__0_carry__1_i_9_n_0;
wire Lyy_2_bottom_right0__0_carry__1_n_0;
wire Lyy_2_bottom_right0__0_carry__1_n_1;
wire Lyy_2_bottom_right0__0_carry__1_n_2;
wire Lyy_2_bottom_right0__0_carry__1_n_3;
wire Lyy_2_bottom_right0__0_carry__2_i_10_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_11_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_12_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_1_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_2_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_3_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_4_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_5_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_6_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_7_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_8_n_0;
wire Lyy_2_bottom_right0__0_carry__2_i_9_n_0;
wire Lyy_2_bottom_right0__0_carry__2_n_1;
wire Lyy_2_bottom_right0__0_carry__2_n_2;
wire Lyy_2_bottom_right0__0_carry__2_n_3;
wire Lyy_2_bottom_right0__0_carry_i_10_n_0;
wire Lyy_2_bottom_right0__0_carry_i_11_n_0;
wire Lyy_2_bottom_right0__0_carry_i_1_n_0;
wire Lyy_2_bottom_right0__0_carry_i_2_n_0;
wire Lyy_2_bottom_right0__0_carry_i_3_n_0;
wire Lyy_2_bottom_right0__0_carry_i_4_n_0;
wire Lyy_2_bottom_right0__0_carry_i_5_n_0;
wire Lyy_2_bottom_right0__0_carry_i_6_n_0;
wire Lyy_2_bottom_right0__0_carry_i_7_n_0;
wire Lyy_2_bottom_right0__0_carry_i_8_n_0;
wire Lyy_2_bottom_right0__0_carry_i_9_n_0;
wire Lyy_2_bottom_right0__0_carry_n_0;
wire Lyy_2_bottom_right0__0_carry_n_1;
wire Lyy_2_bottom_right0__0_carry_n_2;
wire Lyy_2_bottom_right0__0_carry_n_3;
wire \Lyy_2_reg_n_0_[0] ;
wire \Lyy_2_reg_n_0_[10] ;
wire \Lyy_2_reg_n_0_[11] ;
wire \Lyy_2_reg_n_0_[12] ;
wire \Lyy_2_reg_n_0_[13] ;
wire \Lyy_2_reg_n_0_[14] ;
wire \Lyy_2_reg_n_0_[15] ;
wire \Lyy_2_reg_n_0_[1] ;
wire \Lyy_2_reg_n_0_[2] ;
wire \Lyy_2_reg_n_0_[3] ;
wire \Lyy_2_reg_n_0_[4] ;
wire \Lyy_2_reg_n_0_[5] ;
wire \Lyy_2_reg_n_0_[6] ;
wire \Lyy_2_reg_n_0_[7] ;
wire \Lyy_2_reg_n_0_[8] ;
wire \Lyy_2_reg_n_0_[9] ;
wire [15:0]Lyy_2_top_left;
wire [15:0]Lyy_2_top_right;
wire active;
wire addr_0;
wire \addr_0[0]_i_1_n_0 ;
wire \addr_0[10]_i_1_n_0 ;
wire \addr_0[11]_i_1_n_0 ;
wire \addr_0[12]_i_1_n_0 ;
wire \addr_0[13]_i_2_n_0 ;
wire \addr_0[1]_i_1_n_0 ;
wire \addr_0[2]_i_1_n_0 ;
wire \addr_0[3]_i_1_n_0 ;
wire \addr_0[4]_i_1_n_0 ;
wire \addr_0[5]_i_1_n_0 ;
wire \addr_0[6]_i_1_n_0 ;
wire \addr_0[7]_i_1_n_0 ;
wire \addr_0[8]_i_1_n_0 ;
wire \addr_0[9]_i_1_n_0 ;
wire \addr_0_reg_n_0_[0] ;
wire \addr_0_reg_n_0_[10] ;
wire \addr_0_reg_n_0_[11] ;
wire \addr_0_reg_n_0_[12] ;
wire \addr_0_reg_n_0_[13] ;
wire \addr_0_reg_n_0_[1] ;
wire \addr_0_reg_n_0_[2] ;
wire \addr_0_reg_n_0_[3] ;
wire \addr_0_reg_n_0_[4] ;
wire \addr_0_reg_n_0_[5] ;
wire \addr_0_reg_n_0_[6] ;
wire \addr_0_reg_n_0_[7] ;
wire \addr_0_reg_n_0_[8] ;
wire \addr_0_reg_n_0_[9] ;
wire [13:0]addr_1;
wire \addr_1[0]_i_1_n_0 ;
wire \addr_1[10]_i_1_n_0 ;
wire \addr_1[11]_i_1_n_0 ;
wire \addr_1[12]_i_1_n_0 ;
wire \addr_1[13]_i_1_n_0 ;
wire \addr_1[1]_i_1_n_0 ;
wire \addr_1[2]_i_1_n_0 ;
wire \addr_1[3]_i_1_n_0 ;
wire \addr_1[4]_i_1_n_0 ;
wire \addr_1[5]_i_1_n_0 ;
wire \addr_1[6]_i_1_n_0 ;
wire \addr_1[7]_i_1_n_0 ;
wire \addr_1[8]_i_1_n_0 ;
wire \addr_1[9]_i_1_n_0 ;
wire bottom_left_0;
wire \bottom_left_0_reg_n_0_[0] ;
wire \bottom_left_0_reg_n_0_[10] ;
wire \bottom_left_0_reg_n_0_[11] ;
wire \bottom_left_0_reg_n_0_[12] ;
wire \bottom_left_0_reg_n_0_[13] ;
wire \bottom_left_0_reg_n_0_[14] ;
wire \bottom_left_0_reg_n_0_[15] ;
wire \bottom_left_0_reg_n_0_[1] ;
wire \bottom_left_0_reg_n_0_[2] ;
wire \bottom_left_0_reg_n_0_[3] ;
wire \bottom_left_0_reg_n_0_[4] ;
wire \bottom_left_0_reg_n_0_[5] ;
wire \bottom_left_0_reg_n_0_[6] ;
wire \bottom_left_0_reg_n_0_[7] ;
wire \bottom_left_0_reg_n_0_[8] ;
wire \bottom_left_0_reg_n_0_[9] ;
wire [15:0]bottom_left_1;
wire \bottom_right_0[0]_i_2_n_0 ;
wire \bottom_right_0[10]_i_2_n_0 ;
wire \bottom_right_0[11]_i_2_n_0 ;
wire \bottom_right_0[12]_i_2_n_0 ;
wire \bottom_right_0[13]_i_2_n_0 ;
wire \bottom_right_0[14]_i_2_n_0 ;
wire \bottom_right_0[15]_i_1_n_0 ;
wire \bottom_right_0[15]_i_3_n_0 ;
wire \bottom_right_0[15]_i_4_n_0 ;
wire \bottom_right_0[15]_i_5_n_0 ;
wire \bottom_right_0[1]_i_2_n_0 ;
wire \bottom_right_0[2]_i_2_n_0 ;
wire \bottom_right_0[3]_i_2_n_0 ;
wire \bottom_right_0[4]_i_2_n_0 ;
wire \bottom_right_0[5]_i_2_n_0 ;
wire \bottom_right_0[6]_i_2_n_0 ;
wire \bottom_right_0[7]_i_2_n_0 ;
wire \bottom_right_0[8]_i_2_n_0 ;
wire \bottom_right_0[9]_i_2_n_0 ;
wire \bottom_right_0_reg_n_0_[0] ;
wire \bottom_right_0_reg_n_0_[10] ;
wire \bottom_right_0_reg_n_0_[11] ;
wire \bottom_right_0_reg_n_0_[12] ;
wire \bottom_right_0_reg_n_0_[13] ;
wire \bottom_right_0_reg_n_0_[14] ;
wire \bottom_right_0_reg_n_0_[15] ;
wire \bottom_right_0_reg_n_0_[1] ;
wire \bottom_right_0_reg_n_0_[2] ;
wire \bottom_right_0_reg_n_0_[3] ;
wire \bottom_right_0_reg_n_0_[4] ;
wire \bottom_right_0_reg_n_0_[5] ;
wire \bottom_right_0_reg_n_0_[6] ;
wire \bottom_right_0_reg_n_0_[7] ;
wire \bottom_right_0_reg_n_0_[8] ;
wire \bottom_right_0_reg_n_0_[9] ;
wire bottom_right_1;
wire \bottom_right_1[0]_i_1_n_0 ;
wire \bottom_right_1[10]_i_1_n_0 ;
wire \bottom_right_1[11]_i_1_n_0 ;
wire \bottom_right_1[12]_i_1_n_0 ;
wire \bottom_right_1[13]_i_1_n_0 ;
wire \bottom_right_1[14]_i_1_n_0 ;
wire \bottom_right_1[15]_i_1_n_0 ;
wire \bottom_right_1[1]_i_1_n_0 ;
wire \bottom_right_1[2]_i_1_n_0 ;
wire \bottom_right_1[3]_i_1_n_0 ;
wire \bottom_right_1[4]_i_1_n_0 ;
wire \bottom_right_1[5]_i_1_n_0 ;
wire \bottom_right_1[6]_i_1_n_0 ;
wire \bottom_right_1[7]_i_1_n_0 ;
wire \bottom_right_1[8]_i_1_n_0 ;
wire \bottom_right_1[9]_i_1_n_0 ;
wire \bottom_right_1_reg_n_0_[0] ;
wire \bottom_right_1_reg_n_0_[10] ;
wire \bottom_right_1_reg_n_0_[11] ;
wire \bottom_right_1_reg_n_0_[12] ;
wire \bottom_right_1_reg_n_0_[13] ;
wire \bottom_right_1_reg_n_0_[14] ;
wire \bottom_right_1_reg_n_0_[15] ;
wire \bottom_right_1_reg_n_0_[1] ;
wire \bottom_right_1_reg_n_0_[2] ;
wire \bottom_right_1_reg_n_0_[3] ;
wire \bottom_right_1_reg_n_0_[4] ;
wire \bottom_right_1_reg_n_0_[5] ;
wire \bottom_right_1_reg_n_0_[6] ;
wire \bottom_right_1_reg_n_0_[7] ;
wire \bottom_right_1_reg_n_0_[8] ;
wire \bottom_right_1_reg_n_0_[9] ;
wire \cache[10]_5 ;
wire \cache[9][15]_i_1_n_0 ;
wire [15:0]\cache_reg[0]_4 ;
wire [15:0]\cache_reg[10]_3 ;
wire \cache_reg[2][0]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][10]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][11]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][12]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][13]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][14]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][15]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][1]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][2]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][3]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][4]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][5]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][6]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][7]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][8]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[2][9]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[3][0]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][10]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][11]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][12]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][13]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][14]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][15]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][1]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][2]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][3]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][4]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][5]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][6]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][7]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][8]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[3][9]_U0_cache_reg_r_1_n_0 ;
wire [15:0]\cache_reg[4]_0 ;
wire \cache_reg[6][0]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][10]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][11]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][12]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][13]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][14]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][15]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][1]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][2]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][3]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][4]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][5]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][6]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][7]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][8]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[6][9]_srl2___U0_cache_reg_r_0_n_0 ;
wire \cache_reg[7][0]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][10]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][11]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][12]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][13]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][14]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][15]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][1]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][2]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][3]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][4]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][5]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][6]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][7]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][8]_U0_cache_reg_r_1_n_0 ;
wire \cache_reg[7][9]_U0_cache_reg_r_1_n_0 ;
wire [15:0]\cache_reg[8]_1 ;
wire [15:0]\cache_reg[9]_2 ;
wire cache_reg_gate__0_n_0;
wire cache_reg_gate__10_n_0;
wire cache_reg_gate__11_n_0;
wire cache_reg_gate__12_n_0;
wire cache_reg_gate__13_n_0;
wire cache_reg_gate__14_n_0;
wire cache_reg_gate__15_n_0;
wire cache_reg_gate__16_n_0;
wire cache_reg_gate__17_n_0;
wire cache_reg_gate__18_n_0;
wire cache_reg_gate__19_n_0;
wire cache_reg_gate__1_n_0;
wire cache_reg_gate__20_n_0;
wire cache_reg_gate__21_n_0;
wire cache_reg_gate__22_n_0;
wire cache_reg_gate__23_n_0;
wire cache_reg_gate__24_n_0;
wire cache_reg_gate__25_n_0;
wire cache_reg_gate__26_n_0;
wire cache_reg_gate__27_n_0;
wire cache_reg_gate__28_n_0;
wire cache_reg_gate__29_n_0;
wire cache_reg_gate__2_n_0;
wire cache_reg_gate__30_n_0;
wire cache_reg_gate__3_n_0;
wire cache_reg_gate__4_n_0;
wire cache_reg_gate__5_n_0;
wire cache_reg_gate__6_n_0;
wire cache_reg_gate__7_n_0;
wire cache_reg_gate__8_n_0;
wire cache_reg_gate__9_n_0;
wire cache_reg_gate_n_0;
wire cache_reg_r_0_n_0;
wire cache_reg_r_1_n_0;
wire cache_reg_r_n_0;
wire clk_x16;
wire compute_addr_0;
wire \compute_addr_0[0]_i_1_n_0 ;
wire \compute_addr_0[10]_i_1_n_0 ;
wire \compute_addr_0[10]_i_2_n_0 ;
wire \compute_addr_0[11]_i_1_n_0 ;
wire \compute_addr_0[11]_i_2_n_0 ;
wire \compute_addr_0[11]_i_3_n_0 ;
wire \compute_addr_0[12]_i_1_n_0 ;
wire \compute_addr_0[12]_i_2_n_0 ;
wire \compute_addr_0[13]_i_2_n_0 ;
wire \compute_addr_0[13]_i_3_n_0 ;
wire \compute_addr_0[1]_i_1_n_0 ;
wire \compute_addr_0[2]_i_1_n_0 ;
wire \compute_addr_0[3]_i_1_n_0 ;
wire \compute_addr_0[4]_i_1_n_0 ;
wire \compute_addr_0[5]_i_1_n_0 ;
wire \compute_addr_0[6]_i_1_n_0 ;
wire \compute_addr_0[7]_i_1_n_0 ;
wire \compute_addr_0[8]_i_1_n_0 ;
wire \compute_addr_0[9]_i_1_n_0 ;
wire \compute_addr_0_reg_n_0_[0] ;
wire \compute_addr_0_reg_n_0_[10] ;
wire \compute_addr_0_reg_n_0_[11] ;
wire \compute_addr_0_reg_n_0_[12] ;
wire \compute_addr_0_reg_n_0_[13] ;
wire \compute_addr_0_reg_n_0_[1] ;
wire \compute_addr_0_reg_n_0_[2] ;
wire \compute_addr_0_reg_n_0_[3] ;
wire \compute_addr_0_reg_n_0_[4] ;
wire \compute_addr_0_reg_n_0_[5] ;
wire \compute_addr_0_reg_n_0_[6] ;
wire \compute_addr_0_reg_n_0_[7] ;
wire \compute_addr_0_reg_n_0_[8] ;
wire \compute_addr_0_reg_n_0_[9] ;
wire [13:0]compute_addr_1;
wire \compute_addr_1[0]_i_1_n_0 ;
wire \compute_addr_1[10]_i_1_n_0 ;
wire \compute_addr_1[10]_i_2_n_0 ;
wire \compute_addr_1[11]_i_1_n_0 ;
wire \compute_addr_1[11]_i_2_n_0 ;
wire \compute_addr_1[12]_i_1_n_0 ;
wire \compute_addr_1[12]_i_2_n_0 ;
wire \compute_addr_1[13]_i_1_n_0 ;
wire \compute_addr_1[13]_i_2_n_0 ;
wire \compute_addr_1[1]_i_1_n_0 ;
wire \compute_addr_1[2]_i_1_n_0 ;
wire \compute_addr_1[3]_i_1_n_0 ;
wire \compute_addr_1[4]_i_1_n_0 ;
wire \compute_addr_1[5]_i_1_n_0 ;
wire \compute_addr_1[6]_i_1_n_0 ;
wire \compute_addr_1[7]_i_1_n_0 ;
wire \compute_addr_1[8]_i_1_n_0 ;
wire \compute_addr_1[9]_i_1_n_0 ;
wire compute_addr_2;
wire \compute_addr_2[10]_i_1_n_0 ;
wire \compute_addr_2[10]_i_2_n_0 ;
wire \compute_addr_2[11]_i_1_n_0 ;
wire \compute_addr_2[11]_i_2_n_0 ;
wire \compute_addr_2[12]_i_1_n_0 ;
wire \compute_addr_2[12]_i_2_n_0 ;
wire \compute_addr_2[13]_i_2_n_0 ;
wire \compute_addr_2[13]_i_3_n_0 ;
wire \compute_addr_2[13]_i_4_n_0 ;
wire \compute_addr_2_reg_n_0_[0] ;
wire \compute_addr_2_reg_n_0_[10] ;
wire \compute_addr_2_reg_n_0_[11] ;
wire \compute_addr_2_reg_n_0_[12] ;
wire \compute_addr_2_reg_n_0_[13] ;
wire \compute_addr_2_reg_n_0_[1] ;
wire \compute_addr_2_reg_n_0_[2] ;
wire \compute_addr_2_reg_n_0_[3] ;
wire \compute_addr_2_reg_n_0_[4] ;
wire \compute_addr_2_reg_n_0_[5] ;
wire \compute_addr_2_reg_n_0_[6] ;
wire \compute_addr_2_reg_n_0_[7] ;
wire \compute_addr_2_reg_n_0_[8] ;
wire \compute_addr_2_reg_n_0_[9] ;
wire [13:0]compute_addr_3;
wire \compute_addr_3[0]_i_1_n_0 ;
wire \compute_addr_3[10]_i_1_n_0 ;
wire \compute_addr_3[10]_i_2_n_0 ;
wire \compute_addr_3[11]_i_1_n_0 ;
wire \compute_addr_3[11]_i_2_n_0 ;
wire \compute_addr_3[12]_i_1_n_0 ;
wire \compute_addr_3[12]_i_2_n_0 ;
wire \compute_addr_3[13]_i_1_n_0 ;
wire \compute_addr_3[13]_i_2_n_0 ;
wire \compute_addr_3[1]_i_1_n_0 ;
wire \compute_addr_3[2]_i_1_n_0 ;
wire \compute_addr_3[3]_i_1_n_0 ;
wire \compute_addr_3[4]_i_1_n_0 ;
wire \compute_addr_3[5]_i_1_n_0 ;
wire \compute_addr_3[6]_i_1_n_0 ;
wire \compute_addr_3[7]_i_1_n_0 ;
wire \compute_addr_3[8]_i_1_n_0 ;
wire \compute_addr_3[9]_i_1_n_0 ;
wire corner;
wire \corner_reg_n_0_[0] ;
wire \corner_reg_n_0_[10] ;
wire \corner_reg_n_0_[11] ;
wire \corner_reg_n_0_[12] ;
wire \corner_reg_n_0_[13] ;
wire \corner_reg_n_0_[14] ;
wire \corner_reg_n_0_[15] ;
wire \corner_reg_n_0_[1] ;
wire \corner_reg_n_0_[2] ;
wire \corner_reg_n_0_[3] ;
wire \corner_reg_n_0_[4] ;
wire \corner_reg_n_0_[5] ;
wire \corner_reg_n_0_[6] ;
wire \corner_reg_n_0_[7] ;
wire \corner_reg_n_0_[8] ;
wire \corner_reg_n_0_[9] ;
wire [3:0]cycle;
wire \cycle[0]_i_1_n_0 ;
wire \cycle[0]_rep_i_1_n_0 ;
wire \cycle[1]_i_1_n_0 ;
wire \cycle[1]_rep_i_1__0_n_0 ;
wire \cycle[1]_rep_i_1_n_0 ;
wire \cycle[2]_i_1_n_0 ;
wire \cycle[2]_rep_i_1_n_0 ;
wire \cycle[3]_i_1_n_0 ;
wire \cycle[3]_i_2_n_0 ;
wire \cycle_reg[0]_rep_n_0 ;
wire \cycle_reg[1]_rep__0_n_0 ;
wire \cycle_reg[1]_rep_n_0 ;
wire \cycle_reg[2]_rep_n_0 ;
wire [13:0]data1;
wire [13:0]data2;
wire [13:10]data5;
wire det_0;
wire det_0_reg_i_2_n_0;
wire det_0_reg_n_106;
wire det_0_reg_n_107;
wire det_0_reg_n_108;
wire det_0_reg_n_109;
wire det_0_reg_n_110;
wire det_0_reg_n_111;
wire det_0_reg_n_112;
wire det_0_reg_n_113;
wire det_0_reg_n_114;
wire det_0_reg_n_115;
wire det_0_reg_n_116;
wire det_0_reg_n_117;
wire det_0_reg_n_118;
wire det_0_reg_n_119;
wire det_0_reg_n_120;
wire det_0_reg_n_121;
wire det_0_reg_n_122;
wire det_0_reg_n_123;
wire det_0_reg_n_124;
wire det_0_reg_n_125;
wire det_0_reg_n_126;
wire det_0_reg_n_127;
wire det_0_reg_n_128;
wire det_0_reg_n_129;
wire det_0_reg_n_130;
wire det_0_reg_n_131;
wire det_0_reg_n_132;
wire det_0_reg_n_133;
wire det_0_reg_n_134;
wire det_0_reg_n_135;
wire det_0_reg_n_136;
wire det_0_reg_n_137;
wire det_0_reg_n_138;
wire det_0_reg_n_139;
wire det_0_reg_n_140;
wire det_0_reg_n_141;
wire det_0_reg_n_142;
wire det_0_reg_n_143;
wire det_0_reg_n_144;
wire det_0_reg_n_145;
wire det_0_reg_n_146;
wire det_0_reg_n_147;
wire det_0_reg_n_148;
wire det_0_reg_n_149;
wire det_0_reg_n_150;
wire det_0_reg_n_151;
wire det_0_reg_n_152;
wire det_0_reg_n_153;
wire [31:0]det_abs;
wire [31:1]det_abs0;
wire \det_abs[10]_i_1_n_0 ;
wire \det_abs[11]_i_1_n_0 ;
wire \det_abs[12]_i_1_n_0 ;
wire \det_abs[12]_i_3_n_0 ;
wire \det_abs[12]_i_4_n_0 ;
wire \det_abs[12]_i_5_n_0 ;
wire \det_abs[12]_i_6_n_0 ;
wire \det_abs[13]_i_1_n_0 ;
wire \det_abs[14]_i_1_n_0 ;
wire \det_abs[15]_i_1_n_0 ;
wire \det_abs[16]_i_1_n_0 ;
wire \det_abs[16]_i_3_n_0 ;
wire \det_abs[16]_i_4_n_0 ;
wire \det_abs[16]_i_5_n_0 ;
wire \det_abs[16]_i_6_n_0 ;
wire \det_abs[17]_i_1_n_0 ;
wire \det_abs[18]_i_1_n_0 ;
wire \det_abs[19]_i_1_n_0 ;
wire \det_abs[1]_i_1_n_0 ;
wire \det_abs[20]_i_1_n_0 ;
wire \det_abs[20]_i_3_n_0 ;
wire \det_abs[20]_i_4_n_0 ;
wire \det_abs[20]_i_5_n_0 ;
wire \det_abs[20]_i_6_n_0 ;
wire \det_abs[21]_i_1_n_0 ;
wire \det_abs[22]_i_1_n_0 ;
wire \det_abs[23]_i_1_n_0 ;
wire \det_abs[24]_i_1_n_0 ;
wire \det_abs[24]_i_3_n_0 ;
wire \det_abs[24]_i_4_n_0 ;
wire \det_abs[24]_i_5_n_0 ;
wire \det_abs[24]_i_6_n_0 ;
wire \det_abs[25]_i_1_n_0 ;
wire \det_abs[26]_i_1_n_0 ;
wire \det_abs[27]_i_1_n_0 ;
wire \det_abs[28]_i_1_n_0 ;
wire \det_abs[28]_i_3_n_0 ;
wire \det_abs[28]_i_4_n_0 ;
wire \det_abs[28]_i_5_n_0 ;
wire \det_abs[28]_i_6_n_0 ;
wire \det_abs[29]_i_1_n_0 ;
wire \det_abs[2]_i_1_n_0 ;
wire \det_abs[30]_i_1_n_0 ;
wire \det_abs[31]_i_1_n_0 ;
wire \det_abs[31]_i_3_n_0 ;
wire \det_abs[31]_i_4_n_0 ;
wire \det_abs[31]_i_5_n_0 ;
wire \det_abs[3]_i_1_n_0 ;
wire \det_abs[4]_i_1_n_0 ;
wire \det_abs[4]_i_3_n_0 ;
wire \det_abs[4]_i_4_n_0 ;
wire \det_abs[4]_i_5_n_0 ;
wire \det_abs[4]_i_6_n_0 ;
wire \det_abs[4]_i_7_n_0 ;
wire \det_abs[5]_i_1_n_0 ;
wire \det_abs[6]_i_1_n_0 ;
wire \det_abs[7]_i_1_n_0 ;
wire \det_abs[8]_i_1_n_0 ;
wire \det_abs[8]_i_3_n_0 ;
wire \det_abs[8]_i_4_n_0 ;
wire \det_abs[8]_i_5_n_0 ;
wire \det_abs[8]_i_6_n_0 ;
wire \det_abs[9]_i_1_n_0 ;
wire \det_abs_reg[12]_i_2_n_0 ;
wire \det_abs_reg[12]_i_2_n_1 ;
wire \det_abs_reg[12]_i_2_n_2 ;
wire \det_abs_reg[12]_i_2_n_3 ;
wire \det_abs_reg[16]_i_2_n_0 ;
wire \det_abs_reg[16]_i_2_n_1 ;
wire \det_abs_reg[16]_i_2_n_2 ;
wire \det_abs_reg[16]_i_2_n_3 ;
wire \det_abs_reg[20]_i_2_n_0 ;
wire \det_abs_reg[20]_i_2_n_1 ;
wire \det_abs_reg[20]_i_2_n_2 ;
wire \det_abs_reg[20]_i_2_n_3 ;
wire \det_abs_reg[24]_i_2_n_0 ;
wire \det_abs_reg[24]_i_2_n_1 ;
wire \det_abs_reg[24]_i_2_n_2 ;
wire \det_abs_reg[24]_i_2_n_3 ;
wire \det_abs_reg[28]_i_2_n_0 ;
wire \det_abs_reg[28]_i_2_n_1 ;
wire \det_abs_reg[28]_i_2_n_2 ;
wire \det_abs_reg[28]_i_2_n_3 ;
wire \det_abs_reg[31]_i_2_n_2 ;
wire \det_abs_reg[31]_i_2_n_3 ;
wire \det_abs_reg[4]_i_2_n_0 ;
wire \det_abs_reg[4]_i_2_n_1 ;
wire \det_abs_reg[4]_i_2_n_2 ;
wire \det_abs_reg[4]_i_2_n_3 ;
wire \det_abs_reg[8]_i_2_n_0 ;
wire \det_abs_reg[8]_i_2_n_1 ;
wire \det_abs_reg[8]_i_2_n_2 ;
wire \det_abs_reg[8]_i_2_n_3 ;
wire det_reg_n_100;
wire det_reg_n_101;
wire det_reg_n_102;
wire det_reg_n_103;
wire det_reg_n_104;
wire det_reg_n_105;
wire det_reg_n_74;
wire det_reg_n_75;
wire det_reg_n_76;
wire det_reg_n_77;
wire det_reg_n_78;
wire det_reg_n_79;
wire det_reg_n_80;
wire det_reg_n_81;
wire det_reg_n_82;
wire det_reg_n_83;
wire det_reg_n_84;
wire det_reg_n_85;
wire det_reg_n_86;
wire det_reg_n_87;
wire det_reg_n_88;
wire det_reg_n_89;
wire det_reg_n_90;
wire det_reg_n_91;
wire det_reg_n_92;
wire det_reg_n_93;
wire det_reg_n_94;
wire det_reg_n_95;
wire det_reg_n_96;
wire det_reg_n_97;
wire det_reg_n_98;
wire det_reg_n_99;
wire \din_reg_n_0_[0] ;
wire \din_reg_n_0_[10] ;
wire \din_reg_n_0_[11] ;
wire \din_reg_n_0_[12] ;
wire \din_reg_n_0_[13] ;
wire \din_reg_n_0_[14] ;
wire \din_reg_n_0_[15] ;
wire \din_reg_n_0_[1] ;
wire \din_reg_n_0_[2] ;
wire \din_reg_n_0_[3] ;
wire \din_reg_n_0_[4] ;
wire \din_reg_n_0_[5] ;
wire \din_reg_n_0_[6] ;
wire \din_reg_n_0_[7] ;
wire \din_reg_n_0_[8] ;
wire \din_reg_n_0_[9] ;
wire [15:0]dout_0;
wire [15:0]dout_1;
wire [7:0]g_in;
wire [31:0]hessian_out;
wire i__carry__0_i_1_n_0;
wire i__carry__0_i_2_n_0;
wire i__carry__0_i_3_n_0;
wire i__carry__0_i_4_n_0;
wire i__carry__0_i_5_n_0;
wire i__carry__1_i_1_n_0;
wire i__carry__1_i_2_n_0;
wire i__carry_i_1_n_0;
wire i__carry_i_2_n_0;
wire i__carry_i_3_n_0;
wire i__carry_i_4_n_0;
wire [7:0]last_value;
wire left;
wire \left[15]_i_2_n_0 ;
wire \left[15]_i_3_n_0 ;
wire \left_reg_n_0_[0] ;
wire \left_reg_n_0_[10] ;
wire \left_reg_n_0_[11] ;
wire \left_reg_n_0_[12] ;
wire \left_reg_n_0_[13] ;
wire \left_reg_n_0_[14] ;
wire \left_reg_n_0_[15] ;
wire \left_reg_n_0_[1] ;
wire \left_reg_n_0_[2] ;
wire \left_reg_n_0_[3] ;
wire \left_reg_n_0_[4] ;
wire \left_reg_n_0_[5] ;
wire \left_reg_n_0_[6] ;
wire \left_reg_n_0_[7] ;
wire \left_reg_n_0_[8] ;
wire \left_reg_n_0_[9] ;
wire [15:0]p_0_out;
wire \plusOp_inferred__0/i__carry__0_n_0 ;
wire \plusOp_inferred__0/i__carry__0_n_1 ;
wire \plusOp_inferred__0/i__carry__0_n_2 ;
wire \plusOp_inferred__0/i__carry__0_n_3 ;
wire \plusOp_inferred__0/i__carry__0_n_4 ;
wire \plusOp_inferred__0/i__carry__0_n_5 ;
wire \plusOp_inferred__0/i__carry__0_n_6 ;
wire \plusOp_inferred__0/i__carry__0_n_7 ;
wire \plusOp_inferred__0/i__carry__1_n_3 ;
wire \plusOp_inferred__0/i__carry__1_n_6 ;
wire \plusOp_inferred__0/i__carry__1_n_7 ;
wire \plusOp_inferred__0/i__carry_n_0 ;
wire \plusOp_inferred__0/i__carry_n_1 ;
wire \plusOp_inferred__0/i__carry_n_2 ;
wire \plusOp_inferred__0/i__carry_n_3 ;
wire \plusOp_inferred__0/i__carry_n_4 ;
wire \plusOp_inferred__0/i__carry_n_5 ;
wire \plusOp_inferred__0/i__carry_n_6 ;
wire \plusOp_inferred__0/i__carry_n_7 ;
wire rst;
wire top;
wire \top[15]_i_2_n_0 ;
wire top_left_0;
wire \top_left_0[0]_i_1_n_0 ;
wire \top_left_0[10]_i_1_n_0 ;
wire \top_left_0[11]_i_1_n_0 ;
wire \top_left_0[12]_i_1_n_0 ;
wire \top_left_0[13]_i_1_n_0 ;
wire \top_left_0[14]_i_1_n_0 ;
wire \top_left_0[15]_i_2_n_0 ;
wire \top_left_0[1]_i_1_n_0 ;
wire \top_left_0[2]_i_1_n_0 ;
wire \top_left_0[3]_i_1_n_0 ;
wire \top_left_0[4]_i_1_n_0 ;
wire \top_left_0[5]_i_1_n_0 ;
wire \top_left_0[6]_i_1_n_0 ;
wire \top_left_0[7]_i_1_n_0 ;
wire \top_left_0[8]_i_1_n_0 ;
wire \top_left_0[9]_i_1_n_0 ;
wire \top_left_0_reg_n_0_[0] ;
wire \top_left_0_reg_n_0_[10] ;
wire \top_left_0_reg_n_0_[11] ;
wire \top_left_0_reg_n_0_[12] ;
wire \top_left_0_reg_n_0_[13] ;
wire \top_left_0_reg_n_0_[14] ;
wire \top_left_0_reg_n_0_[15] ;
wire \top_left_0_reg_n_0_[1] ;
wire \top_left_0_reg_n_0_[2] ;
wire \top_left_0_reg_n_0_[3] ;
wire \top_left_0_reg_n_0_[4] ;
wire \top_left_0_reg_n_0_[5] ;
wire \top_left_0_reg_n_0_[6] ;
wire \top_left_0_reg_n_0_[7] ;
wire \top_left_0_reg_n_0_[8] ;
wire \top_left_0_reg_n_0_[9] ;
wire [15:0]top_left_1;
wire \top_left_1[0]_i_1_n_0 ;
wire \top_left_1[10]_i_1_n_0 ;
wire \top_left_1[11]_i_1_n_0 ;
wire \top_left_1[12]_i_1_n_0 ;
wire \top_left_1[13]_i_1_n_0 ;
wire \top_left_1[14]_i_1_n_0 ;
wire \top_left_1[15]_i_2_n_0 ;
wire \top_left_1[1]_i_1_n_0 ;
wire \top_left_1[2]_i_1_n_0 ;
wire \top_left_1[3]_i_1_n_0 ;
wire \top_left_1[4]_i_1_n_0 ;
wire \top_left_1[5]_i_1_n_0 ;
wire \top_left_1[6]_i_1_n_0 ;
wire \top_left_1[7]_i_1_n_0 ;
wire \top_left_1[8]_i_1_n_0 ;
wire \top_left_1[9]_i_1_n_0 ;
wire \top_reg_n_0_[0] ;
wire \top_reg_n_0_[10] ;
wire \top_reg_n_0_[11] ;
wire \top_reg_n_0_[12] ;
wire \top_reg_n_0_[13] ;
wire \top_reg_n_0_[14] ;
wire \top_reg_n_0_[15] ;
wire \top_reg_n_0_[1] ;
wire \top_reg_n_0_[2] ;
wire \top_reg_n_0_[3] ;
wire \top_reg_n_0_[4] ;
wire \top_reg_n_0_[5] ;
wire \top_reg_n_0_[6] ;
wire \top_reg_n_0_[7] ;
wire \top_reg_n_0_[8] ;
wire \top_reg_n_0_[9] ;
wire top_right_0;
wire \top_right_0[0]_i_1_n_0 ;
wire \top_right_0[10]_i_1_n_0 ;
wire \top_right_0[11]_i_1_n_0 ;
wire \top_right_0[12]_i_1_n_0 ;
wire \top_right_0[13]_i_1_n_0 ;
wire \top_right_0[14]_i_1_n_0 ;
wire \top_right_0[15]_i_2_n_0 ;
wire \top_right_0[1]_i_1_n_0 ;
wire \top_right_0[2]_i_1_n_0 ;
wire \top_right_0[3]_i_1_n_0 ;
wire \top_right_0[4]_i_1_n_0 ;
wire \top_right_0[5]_i_1_n_0 ;
wire \top_right_0[6]_i_1_n_0 ;
wire \top_right_0[7]_i_1_n_0 ;
wire \top_right_0[8]_i_1_n_0 ;
wire \top_right_0[9]_i_1_n_0 ;
wire \top_right_0_reg_n_0_[0] ;
wire \top_right_0_reg_n_0_[10] ;
wire \top_right_0_reg_n_0_[11] ;
wire \top_right_0_reg_n_0_[12] ;
wire \top_right_0_reg_n_0_[13] ;
wire \top_right_0_reg_n_0_[14] ;
wire \top_right_0_reg_n_0_[15] ;
wire \top_right_0_reg_n_0_[1] ;
wire \top_right_0_reg_n_0_[2] ;
wire \top_right_0_reg_n_0_[3] ;
wire \top_right_0_reg_n_0_[4] ;
wire \top_right_0_reg_n_0_[5] ;
wire \top_right_0_reg_n_0_[6] ;
wire \top_right_0_reg_n_0_[7] ;
wire \top_right_0_reg_n_0_[8] ;
wire \top_right_0_reg_n_0_[9] ;
wire top_right_1;
wire \top_right_1[0]_i_1_n_0 ;
wire \top_right_1[10]_i_1_n_0 ;
wire \top_right_1[11]_i_1_n_0 ;
wire \top_right_1[12]_i_1_n_0 ;
wire \top_right_1[13]_i_1_n_0 ;
wire \top_right_1[14]_i_1_n_0 ;
wire \top_right_1[15]_i_1_n_0 ;
wire \top_right_1[15]_i_2_n_0 ;
wire \top_right_1[1]_i_1_n_0 ;
wire \top_right_1[2]_i_1_n_0 ;
wire \top_right_1[3]_i_1_n_0 ;
wire \top_right_1[4]_i_1_n_0 ;
wire \top_right_1[5]_i_1_n_0 ;
wire \top_right_1[6]_i_1_n_0 ;
wire \top_right_1[7]_i_1_n_0 ;
wire \top_right_1[8]_i_1_n_0 ;
wire \top_right_1[9]_i_1_n_0 ;
wire \top_right_1_reg_n_0_[0] ;
wire \top_right_1_reg_n_0_[10] ;
wire \top_right_1_reg_n_0_[11] ;
wire \top_right_1_reg_n_0_[12] ;
wire \top_right_1_reg_n_0_[13] ;
wire \top_right_1_reg_n_0_[14] ;
wire \top_right_1_reg_n_0_[15] ;
wire \top_right_1_reg_n_0_[1] ;
wire \top_right_1_reg_n_0_[2] ;
wire \top_right_1_reg_n_0_[3] ;
wire \top_right_1_reg_n_0_[4] ;
wire \top_right_1_reg_n_0_[5] ;
wire \top_right_1_reg_n_0_[6] ;
wire \top_right_1_reg_n_0_[7] ;
wire \top_right_1_reg_n_0_[8] ;
wire \top_right_1_reg_n_0_[9] ;
wire \value_reg_n_0_[0] ;
wire \value_reg_n_0_[1] ;
wire \value_reg_n_0_[2] ;
wire \value_reg_n_0_[3] ;
wire \value_reg_n_0_[4] ;
wire \value_reg_n_0_[5] ;
wire \value_reg_n_0_[6] ;
wire \value_reg_n_0_[7] ;
wire wen_i_1_n_0;
wire wen_i_2_n_0;
wire wen_reg_n_0;
wire x;
wire \x0[0]_i_2_n_0 ;
wire \x0[0]_i_3_n_0 ;
wire \x0[1]_i_2_n_0 ;
wire \x0[1]_i_3_n_0 ;
wire \x0[1]_i_4_n_0 ;
wire \x0[2]_i_1_n_0 ;
wire \x0[2]_i_2_n_0 ;
wire \x0[2]_i_3_n_0 ;
wire \x0[2]_i_4_n_0 ;
wire \x0[2]_i_5_n_0 ;
wire \x0[3]_i_1_n_0 ;
wire \x0[3]_i_2_n_0 ;
wire \x0[3]_i_3_n_0 ;
wire \x0[3]_i_4_n_0 ;
wire \x0[3]_i_5_n_0 ;
wire \x0[3]_i_6_n_0 ;
wire \x0[4]_i_1_n_0 ;
wire \x0[4]_i_2_n_0 ;
wire \x0[4]_i_3_n_0 ;
wire \x0[4]_i_4_n_0 ;
wire \x0[4]_i_5_n_0 ;
wire \x0[5]_i_1_n_0 ;
wire \x0[5]_i_2_n_0 ;
wire \x0[5]_i_3_n_0 ;
wire \x0[5]_i_4_n_0 ;
wire \x0[5]_i_5_n_0 ;
wire \x0[6]_i_1_n_0 ;
wire \x0[6]_i_2_n_0 ;
wire \x0[6]_i_3_n_0 ;
wire \x0[6]_i_4_n_0 ;
wire \x0[6]_i_5_n_0 ;
wire \x0[7]_i_1_n_0 ;
wire \x0[7]_i_2_n_0 ;
wire \x0[7]_i_3_n_0 ;
wire \x0[7]_i_4_n_0 ;
wire \x0[7]_i_5_n_0 ;
wire \x0[7]_i_6_n_0 ;
wire \x0[7]_i_7_n_0 ;
wire \x0[8]_i_1_n_0 ;
wire \x0[8]_i_2_n_0 ;
wire \x0[8]_i_3_n_0 ;
wire \x0[8]_i_4_n_0 ;
wire \x0[8]_i_5_n_0 ;
wire \x0[8]_i_6_n_0 ;
wire \x0[8]_i_7_n_0 ;
wire \x0[9]_i_1_n_0 ;
wire \x0[9]_i_2_n_0 ;
wire \x0[9]_i_3_n_0 ;
wire \x0[9]_i_4_n_0 ;
wire \x0[9]_i_5_n_0 ;
wire \x0[9]_i_6_n_0 ;
wire \x0[9]_i_7_n_0 ;
wire \x0_reg[0]_i_1_n_0 ;
wire \x0_reg[1]_i_1_n_0 ;
wire x1;
wire \x1[0]_i_1_n_0 ;
wire \x1[1]_i_1_n_0 ;
wire \x1[2]_i_1_n_0 ;
wire \x1[2]_i_2_n_0 ;
wire \x1[2]_i_3_n_0 ;
wire \x1[3]_i_1_n_0 ;
wire \x1[3]_i_2_n_0 ;
wire \x1[3]_i_3_n_0 ;
wire \x1[3]_i_4_n_0 ;
wire \x1[4]_i_1_n_0 ;
wire \x1[4]_i_2_n_0 ;
wire \x1[4]_i_3_n_0 ;
wire \x1[4]_i_4_n_0 ;
wire \x1[4]_i_5_n_0 ;
wire \x1[5]_i_1_n_0 ;
wire \x1[5]_i_2_n_0 ;
wire \x1[5]_i_3_n_0 ;
wire \x1[5]_i_4_n_0 ;
wire \x1[5]_i_5_n_0 ;
wire \x1[6]_i_1_n_0 ;
wire \x1[6]_i_2_n_0 ;
wire \x1[6]_i_3_n_0 ;
wire \x1[6]_i_4_n_0 ;
wire \x1[6]_i_5_n_0 ;
wire \x1[6]_i_6_n_0 ;
wire \x1[6]_i_7_n_0 ;
wire \x1[6]_i_8_n_0 ;
wire \x1[7]_i_1_n_0 ;
wire \x1[7]_i_2_n_0 ;
wire \x1[7]_i_3_n_0 ;
wire \x1[7]_i_4_n_0 ;
wire \x1[7]_i_5_n_0 ;
wire \x1[8]_i_1_n_0 ;
wire \x1[8]_i_2_n_0 ;
wire \x1[8]_i_3_n_0 ;
wire \x1[8]_i_4_n_0 ;
wire \x1[8]_i_5_n_0 ;
wire \x1[8]_i_6_n_0 ;
wire \x1[9]_i_2_n_0 ;
wire \x1[9]_i_3_n_0 ;
wire \x1[9]_i_4_n_0 ;
wire \x1[9]_i_5_n_0 ;
wire \x1[9]_i_6_n_0 ;
wire \x1[9]_i_7_n_0 ;
wire \x1[9]_i_8_n_0 ;
wire [9:0]x_addr;
wire \x_reg_n_0_[0] ;
wire \x_reg_n_0_[1] ;
wire \x_reg_n_0_[2] ;
wire \x_reg_n_0_[3] ;
wire \x_reg_n_0_[4] ;
wire \x_reg_n_0_[5] ;
wire \x_reg_n_0_[6] ;
wire \x_reg_n_0_[7] ;
wire \x_reg_n_0_[8] ;
wire \x_reg_n_0_[9] ;
wire y1;
wire \y1[2]_i_1_n_0 ;
wire \y1[3]_i_1_n_0 ;
wire \y1_reg_n_0_[0] ;
wire \y1_reg_n_0_[1] ;
wire \y1_reg_n_0_[2] ;
wire \y1_reg_n_0_[3] ;
wire y2;
wire \y2[1]_i_1_n_0 ;
wire \y2[2]_i_1_n_0 ;
wire \y2[3]_i_1_n_0 ;
wire \y2_reg_n_0_[0] ;
wire \y2_reg_n_0_[1] ;
wire \y2_reg_n_0_[2] ;
wire \y2_reg_n_0_[3] ;
wire y3;
wire \y3[1]_i_1_n_0 ;
wire \y3[2]_i_1_n_0 ;
wire \y3[3]_i_1_n_0 ;
wire \y3_reg_n_0_[0] ;
wire \y3_reg_n_0_[1] ;
wire \y3_reg_n_0_[2] ;
wire \y3_reg_n_0_[3] ;
wire \y4[2]_i_1_n_0 ;
wire \y4[3]_i_1_n_0 ;
wire y5;
wire \y5[0]_i_1_n_0 ;
wire \y5[1]_i_1_n_0 ;
wire \y5[2]_i_1_n_0 ;
wire \y5[3]_i_1_n_0 ;
wire y6;
wire \y6[2]_i_1_n_0 ;
wire \y6[3]_i_1_n_0 ;
wire \y6_reg_n_0_[0] ;
wire \y6_reg_n_0_[1] ;
wire \y6_reg_n_0_[2] ;
wire \y6_reg_n_0_[3] ;
wire [3:0]y7;
wire \y7[2]_i_1_n_0 ;
wire \y7[3]_i_1_n_0 ;
wire [3:0]y8;
wire \y8[3]_i_1_n_0 ;
wire y9;
wire \y9[3]_i_1_n_0 ;
wire \y_actual_reg_n_0_[0] ;
wire \y_actual_reg_n_0_[1] ;
wire \y_actual_reg_n_0_[2] ;
wire \y_actual_reg_n_0_[3] ;
wire \y_actual_reg_n_0_[4] ;
wire \y_actual_reg_n_0_[5] ;
wire \y_actual_reg_n_0_[6] ;
wire \y_actual_reg_n_0_[7] ;
wire \y_actual_reg_n_0_[8] ;
wire \y_actual_reg_n_0_[9] ;
wire [9:0]y_addr;
wire [3:3]NLW_Lxx0_carry__2_CO_UNCONNECTED;
wire [3:3]NLW_Lxx_00__1_carry__2_CO_UNCONNECTED;
wire [3:3]NLW_Lxx_11__1_carry__2_CO_UNCONNECTED;
wire [3:3]NLW_Lxy0__1_carry__2_CO_UNCONNECTED;
wire [3:3]NLW_Lyy0_carry__2_CO_UNCONNECTED;
wire [3:3]NLW_Lyy_20__1_carry__2_CO_UNCONNECTED;
wire [3:3]NLW_Lyy_2_bottom_right0__0_carry__2_CO_UNCONNECTED;
wire NLW_det_0_reg_CARRYCASCOUT_UNCONNECTED;
wire NLW_det_0_reg_MULTSIGNOUT_UNCONNECTED;
wire NLW_det_0_reg_OVERFLOW_UNCONNECTED;
wire NLW_det_0_reg_PATTERNBDETECT_UNCONNECTED;
wire NLW_det_0_reg_PATTERNDETECT_UNCONNECTED;
wire NLW_det_0_reg_UNDERFLOW_UNCONNECTED;
wire [29:0]NLW_det_0_reg_ACOUT_UNCONNECTED;
wire [17:0]NLW_det_0_reg_BCOUT_UNCONNECTED;
wire [3:0]NLW_det_0_reg_CARRYOUT_UNCONNECTED;
wire [47:0]NLW_det_0_reg_P_UNCONNECTED;
wire [3:2]\NLW_det_abs_reg[31]_i_2_CO_UNCONNECTED ;
wire [3:3]\NLW_det_abs_reg[31]_i_2_O_UNCONNECTED ;
wire NLW_det_reg_CARRYCASCOUT_UNCONNECTED;
wire NLW_det_reg_MULTSIGNOUT_UNCONNECTED;
wire NLW_det_reg_OVERFLOW_UNCONNECTED;
wire NLW_det_reg_PATTERNBDETECT_UNCONNECTED;
wire NLW_det_reg_PATTERNDETECT_UNCONNECTED;
wire NLW_det_reg_UNDERFLOW_UNCONNECTED;
wire [29:0]NLW_det_reg_ACOUT_UNCONNECTED;
wire [17:0]NLW_det_reg_BCOUT_UNCONNECTED;
wire [3:0]NLW_det_reg_CARRYOUT_UNCONNECTED;
wire [47:32]NLW_det_reg_P_UNCONNECTED;
wire [47:0]NLW_det_reg_PCOUT_UNCONNECTED;
wire [3:1]\NLW_plusOp_inferred__0/i__carry__1_CO_UNCONNECTED ;
wire [3:2]\NLW_plusOp_inferred__0/i__carry__1_O_UNCONNECTED ;
CARRY4 Lxx0_carry
(.CI(1'b0),
.CO({Lxx0_carry_n_0,Lxx0_carry_n_1,Lxx0_carry_n_2,Lxx0_carry_n_3}),
.CYINIT(1'b0),
.DI({Lxx0_carry_i_1_n_0,Lxx0_carry_i_2_n_0,1'b1,\Lxx_2_reg_n_0_[0] }),
.O(A[3:0]),
.S({Lxx0_carry_i_3_n_0,Lxx0_carry_i_4_n_0,Lxx0_carry_i_5_n_0,Lxx0_carry_i_6_n_0}));
CARRY4 Lxx0_carry__0
(.CI(Lxx0_carry_n_0),
.CO({Lxx0_carry__0_n_0,Lxx0_carry__0_n_1,Lxx0_carry__0_n_2,Lxx0_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lxx0_carry__0_i_1_n_0,Lxx0_carry__0_i_2_n_0,Lxx0_carry__0_i_3_n_0,Lxx0_carry__0_i_4_n_0}),
.O(A[7:4]),
.S({Lxx0_carry__0_i_5_n_0,Lxx0_carry__0_i_6_n_0,Lxx0_carry__0_i_7_n_0,Lxx0_carry__0_i_8_n_0}));
(* HLUTNM = "lutpair4" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__0_i_1
(.I0(Lxx_1[6]),
.I1(\Lxx_2_reg_n_0_[6] ),
.I2(Lxx_0[6]),
.O(Lxx0_carry__0_i_1_n_0));
(* HLUTNM = "lutpair3" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__0_i_2
(.I0(Lxx_1[5]),
.I1(\Lxx_2_reg_n_0_[5] ),
.I2(Lxx_0[5]),
.O(Lxx0_carry__0_i_2_n_0));
(* HLUTNM = "lutpair2" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__0_i_3
(.I0(Lxx_1[4]),
.I1(\Lxx_2_reg_n_0_[4] ),
.I2(Lxx_0[4]),
.O(Lxx0_carry__0_i_3_n_0));
(* HLUTNM = "lutpair1" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__0_i_4
(.I0(Lxx_1[3]),
.I1(\Lxx_2_reg_n_0_[3] ),
.I2(Lxx_0[3]),
.O(Lxx0_carry__0_i_4_n_0));
(* HLUTNM = "lutpair5" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__0_i_5
(.I0(Lxx_1[7]),
.I1(\Lxx_2_reg_n_0_[7] ),
.I2(Lxx_0[7]),
.I3(Lxx0_carry__0_i_1_n_0),
.O(Lxx0_carry__0_i_5_n_0));
(* HLUTNM = "lutpair4" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__0_i_6
(.I0(Lxx_1[6]),
.I1(\Lxx_2_reg_n_0_[6] ),
.I2(Lxx_0[6]),
.I3(Lxx0_carry__0_i_2_n_0),
.O(Lxx0_carry__0_i_6_n_0));
(* HLUTNM = "lutpair3" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__0_i_7
(.I0(Lxx_1[5]),
.I1(\Lxx_2_reg_n_0_[5] ),
.I2(Lxx_0[5]),
.I3(Lxx0_carry__0_i_3_n_0),
.O(Lxx0_carry__0_i_7_n_0));
(* HLUTNM = "lutpair2" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__0_i_8
(.I0(Lxx_1[4]),
.I1(\Lxx_2_reg_n_0_[4] ),
.I2(Lxx_0[4]),
.I3(Lxx0_carry__0_i_4_n_0),
.O(Lxx0_carry__0_i_8_n_0));
CARRY4 Lxx0_carry__1
(.CI(Lxx0_carry__0_n_0),
.CO({Lxx0_carry__1_n_0,Lxx0_carry__1_n_1,Lxx0_carry__1_n_2,Lxx0_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lxx0_carry__1_i_1_n_0,Lxx0_carry__1_i_2_n_0,Lxx0_carry__1_i_3_n_0,Lxx0_carry__1_i_4_n_0}),
.O(A[11:8]),
.S({Lxx0_carry__1_i_5_n_0,Lxx0_carry__1_i_6_n_0,Lxx0_carry__1_i_7_n_0,Lxx0_carry__1_i_8_n_0}));
(* HLUTNM = "lutpair8" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__1_i_1
(.I0(Lxx_1[10]),
.I1(\Lxx_2_reg_n_0_[10] ),
.I2(Lxx_0[10]),
.O(Lxx0_carry__1_i_1_n_0));
(* HLUTNM = "lutpair7" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__1_i_2
(.I0(Lxx_1[9]),
.I1(\Lxx_2_reg_n_0_[9] ),
.I2(Lxx_0[9]),
.O(Lxx0_carry__1_i_2_n_0));
(* HLUTNM = "lutpair6" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__1_i_3
(.I0(Lxx_1[8]),
.I1(\Lxx_2_reg_n_0_[8] ),
.I2(Lxx_0[8]),
.O(Lxx0_carry__1_i_3_n_0));
(* HLUTNM = "lutpair5" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__1_i_4
(.I0(Lxx_1[7]),
.I1(\Lxx_2_reg_n_0_[7] ),
.I2(Lxx_0[7]),
.O(Lxx0_carry__1_i_4_n_0));
(* HLUTNM = "lutpair9" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__1_i_5
(.I0(Lxx_1[11]),
.I1(\Lxx_2_reg_n_0_[11] ),
.I2(Lxx_0[11]),
.I3(Lxx0_carry__1_i_1_n_0),
.O(Lxx0_carry__1_i_5_n_0));
(* HLUTNM = "lutpair8" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__1_i_6
(.I0(Lxx_1[10]),
.I1(\Lxx_2_reg_n_0_[10] ),
.I2(Lxx_0[10]),
.I3(Lxx0_carry__1_i_2_n_0),
.O(Lxx0_carry__1_i_6_n_0));
(* HLUTNM = "lutpair7" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__1_i_7
(.I0(Lxx_1[9]),
.I1(\Lxx_2_reg_n_0_[9] ),
.I2(Lxx_0[9]),
.I3(Lxx0_carry__1_i_3_n_0),
.O(Lxx0_carry__1_i_7_n_0));
(* HLUTNM = "lutpair6" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__1_i_8
(.I0(Lxx_1[8]),
.I1(\Lxx_2_reg_n_0_[8] ),
.I2(Lxx_0[8]),
.I3(Lxx0_carry__1_i_4_n_0),
.O(Lxx0_carry__1_i_8_n_0));
CARRY4 Lxx0_carry__2
(.CI(Lxx0_carry__1_n_0),
.CO({NLW_Lxx0_carry__2_CO_UNCONNECTED[3],Lxx0_carry__2_n_1,Lxx0_carry__2_n_2,Lxx0_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lxx0_carry__2_i_1_n_0,Lxx0_carry__2_i_2_n_0,Lxx0_carry__2_i_3_n_0}),
.O(A[15:12]),
.S({Lxx0_carry__2_i_4_n_0,Lxx0_carry__2_i_5_n_0,Lxx0_carry__2_i_6_n_0,Lxx0_carry__2_i_7_n_0}));
(* HLUTNM = "lutpair11" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__2_i_1
(.I0(Lxx_1[13]),
.I1(\Lxx_2_reg_n_0_[13] ),
.I2(Lxx_0[13]),
.O(Lxx0_carry__2_i_1_n_0));
(* HLUTNM = "lutpair10" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__2_i_2
(.I0(Lxx_1[12]),
.I1(\Lxx_2_reg_n_0_[12] ),
.I2(Lxx_0[12]),
.O(Lxx0_carry__2_i_2_n_0));
(* HLUTNM = "lutpair9" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry__2_i_3
(.I0(Lxx_1[11]),
.I1(\Lxx_2_reg_n_0_[11] ),
.I2(Lxx_0[11]),
.O(Lxx0_carry__2_i_3_n_0));
LUT6 #(
.INIT(64'h8E71718E718E8E71))
Lxx0_carry__2_i_4
(.I0(Lxx_0[14]),
.I1(\Lxx_2_reg_n_0_[14] ),
.I2(Lxx_1[14]),
.I3(\Lxx_2_reg_n_0_[15] ),
.I4(Lxx_1[15]),
.I5(Lxx_0[15]),
.O(Lxx0_carry__2_i_4_n_0));
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__2_i_5
(.I0(Lxx0_carry__2_i_1_n_0),
.I1(\Lxx_2_reg_n_0_[14] ),
.I2(Lxx_1[14]),
.I3(Lxx_0[14]),
.O(Lxx0_carry__2_i_5_n_0));
(* HLUTNM = "lutpair11" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__2_i_6
(.I0(Lxx_1[13]),
.I1(\Lxx_2_reg_n_0_[13] ),
.I2(Lxx_0[13]),
.I3(Lxx0_carry__2_i_2_n_0),
.O(Lxx0_carry__2_i_6_n_0));
(* HLUTNM = "lutpair10" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry__2_i_7
(.I0(Lxx_1[12]),
.I1(\Lxx_2_reg_n_0_[12] ),
.I2(Lxx_0[12]),
.I3(Lxx0_carry__2_i_3_n_0),
.O(Lxx0_carry__2_i_7_n_0));
(* HLUTNM = "lutpair0" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry_i_1
(.I0(Lxx_1[2]),
.I1(\Lxx_2_reg_n_0_[2] ),
.I2(Lxx_0[2]),
.O(Lxx0_carry_i_1_n_0));
(* HLUTNM = "lutpair24" *)
LUT3 #(
.INIT(8'hD4))
Lxx0_carry_i_2
(.I0(Lxx_1[1]),
.I1(\Lxx_2_reg_n_0_[1] ),
.I2(Lxx_0[1]),
.O(Lxx0_carry_i_2_n_0));
(* HLUTNM = "lutpair1" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry_i_3
(.I0(Lxx_1[3]),
.I1(\Lxx_2_reg_n_0_[3] ),
.I2(Lxx_0[3]),
.I3(Lxx0_carry_i_1_n_0),
.O(Lxx0_carry_i_3_n_0));
(* HLUTNM = "lutpair0" *)
LUT4 #(
.INIT(16'h9669))
Lxx0_carry_i_4
(.I0(Lxx_1[2]),
.I1(\Lxx_2_reg_n_0_[2] ),
.I2(Lxx_0[2]),
.I3(Lxx0_carry_i_2_n_0),
.O(Lxx0_carry_i_4_n_0));
(* HLUTNM = "lutpair24" *)
LUT3 #(
.INIT(8'h96))
Lxx0_carry_i_5
(.I0(Lxx_1[1]),
.I1(\Lxx_2_reg_n_0_[1] ),
.I2(Lxx_0[1]),
.O(Lxx0_carry_i_5_n_0));
LUT2 #(
.INIT(4'h6))
Lxx0_carry_i_6
(.I0(\Lxx_2_reg_n_0_[0] ),
.I1(Lxx_0[0]),
.O(Lxx0_carry_i_6_n_0));
CARRY4 Lxx_00__1_carry
(.CI(1'b0),
.CO({Lxx_00__1_carry_n_0,Lxx_00__1_carry_n_1,Lxx_00__1_carry_n_2,Lxx_00__1_carry_n_3}),
.CYINIT(1'b0),
.DI({Lxx_00__1_carry_i_1_n_0,Lxx_00__1_carry_i_2_n_0,Lxx_00__1_carry_i_3_n_0,\bottom_right_0_reg_n_0_[0] }),
.O(Lxx_00[3:0]),
.S({Lxx_00__1_carry_i_4_n_0,Lxx_00__1_carry_i_5_n_0,Lxx_00__1_carry_i_6_n_0,Lxx_00__1_carry_i_7_n_0}));
CARRY4 Lxx_00__1_carry__0
(.CI(Lxx_00__1_carry_n_0),
.CO({Lxx_00__1_carry__0_n_0,Lxx_00__1_carry__0_n_1,Lxx_00__1_carry__0_n_2,Lxx_00__1_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lxx_00__1_carry__0_i_1_n_0,Lxx_00__1_carry__0_i_2_n_0,Lxx_00__1_carry__0_i_3_n_0,Lxx_00__1_carry__0_i_4_n_0}),
.O(Lxx_00[7:4]),
.S({Lxx_00__1_carry__0_i_5_n_0,Lxx_00__1_carry__0_i_6_n_0,Lxx_00__1_carry__0_i_7_n_0,Lxx_00__1_carry__0_i_8_n_0}));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__0_i_1
(.I0(\bottom_right_0_reg_n_0_[6] ),
.I1(Lxx_00__1_carry__0_i_9_n_0),
.I2(\top_left_0_reg_n_0_[5] ),
.I3(\top_right_0_reg_n_0_[5] ),
.I4(\bottom_left_0_reg_n_0_[5] ),
.O(Lxx_00__1_carry__0_i_1_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__0_i_10
(.I0(\bottom_left_0_reg_n_0_[5] ),
.I1(\top_right_0_reg_n_0_[5] ),
.I2(\top_left_0_reg_n_0_[5] ),
.O(Lxx_00__1_carry__0_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__0_i_11
(.I0(\bottom_left_0_reg_n_0_[4] ),
.I1(\top_right_0_reg_n_0_[4] ),
.I2(\top_left_0_reg_n_0_[4] ),
.O(Lxx_00__1_carry__0_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__0_i_12
(.I0(\bottom_left_0_reg_n_0_[7] ),
.I1(\top_right_0_reg_n_0_[7] ),
.I2(\top_left_0_reg_n_0_[7] ),
.O(Lxx_00__1_carry__0_i_12_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__0_i_2
(.I0(\bottom_right_0_reg_n_0_[5] ),
.I1(Lxx_00__1_carry__0_i_10_n_0),
.I2(\top_left_0_reg_n_0_[4] ),
.I3(\top_right_0_reg_n_0_[4] ),
.I4(\bottom_left_0_reg_n_0_[4] ),
.O(Lxx_00__1_carry__0_i_2_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__0_i_3
(.I0(\bottom_right_0_reg_n_0_[4] ),
.I1(Lxx_00__1_carry__0_i_11_n_0),
.I2(\top_left_0_reg_n_0_[3] ),
.I3(\top_right_0_reg_n_0_[3] ),
.I4(\bottom_left_0_reg_n_0_[3] ),
.O(Lxx_00__1_carry__0_i_3_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__0_i_4
(.I0(\bottom_right_0_reg_n_0_[3] ),
.I1(Lxx_00__1_carry_i_8_n_0),
.I2(\top_left_0_reg_n_0_[2] ),
.I3(\top_right_0_reg_n_0_[2] ),
.I4(\bottom_left_0_reg_n_0_[2] ),
.O(Lxx_00__1_carry__0_i_4_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__0_i_5
(.I0(Lxx_00__1_carry__0_i_1_n_0),
.I1(\top_left_0_reg_n_0_[6] ),
.I2(\top_right_0_reg_n_0_[6] ),
.I3(\bottom_left_0_reg_n_0_[6] ),
.I4(\bottom_right_0_reg_n_0_[7] ),
.I5(Lxx_00__1_carry__0_i_12_n_0),
.O(Lxx_00__1_carry__0_i_5_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__0_i_6
(.I0(Lxx_00__1_carry__0_i_2_n_0),
.I1(\top_left_0_reg_n_0_[5] ),
.I2(\top_right_0_reg_n_0_[5] ),
.I3(\bottom_left_0_reg_n_0_[5] ),
.I4(\bottom_right_0_reg_n_0_[6] ),
.I5(Lxx_00__1_carry__0_i_9_n_0),
.O(Lxx_00__1_carry__0_i_6_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__0_i_7
(.I0(Lxx_00__1_carry__0_i_3_n_0),
.I1(\top_left_0_reg_n_0_[4] ),
.I2(\top_right_0_reg_n_0_[4] ),
.I3(\bottom_left_0_reg_n_0_[4] ),
.I4(\bottom_right_0_reg_n_0_[5] ),
.I5(Lxx_00__1_carry__0_i_10_n_0),
.O(Lxx_00__1_carry__0_i_7_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__0_i_8
(.I0(Lxx_00__1_carry__0_i_4_n_0),
.I1(\top_left_0_reg_n_0_[3] ),
.I2(\top_right_0_reg_n_0_[3] ),
.I3(\bottom_left_0_reg_n_0_[3] ),
.I4(\bottom_right_0_reg_n_0_[4] ),
.I5(Lxx_00__1_carry__0_i_11_n_0),
.O(Lxx_00__1_carry__0_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__0_i_9
(.I0(\bottom_left_0_reg_n_0_[6] ),
.I1(\top_right_0_reg_n_0_[6] ),
.I2(\top_left_0_reg_n_0_[6] ),
.O(Lxx_00__1_carry__0_i_9_n_0));
CARRY4 Lxx_00__1_carry__1
(.CI(Lxx_00__1_carry__0_n_0),
.CO({Lxx_00__1_carry__1_n_0,Lxx_00__1_carry__1_n_1,Lxx_00__1_carry__1_n_2,Lxx_00__1_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lxx_00__1_carry__1_i_1_n_0,Lxx_00__1_carry__1_i_2_n_0,Lxx_00__1_carry__1_i_3_n_0,Lxx_00__1_carry__1_i_4_n_0}),
.O(Lxx_00[11:8]),
.S({Lxx_00__1_carry__1_i_5_n_0,Lxx_00__1_carry__1_i_6_n_0,Lxx_00__1_carry__1_i_7_n_0,Lxx_00__1_carry__1_i_8_n_0}));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__1_i_1
(.I0(\bottom_right_0_reg_n_0_[10] ),
.I1(Lxx_00__1_carry__1_i_9_n_0),
.I2(\top_left_0_reg_n_0_[9] ),
.I3(\top_right_0_reg_n_0_[9] ),
.I4(\bottom_left_0_reg_n_0_[9] ),
.O(Lxx_00__1_carry__1_i_1_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__1_i_10
(.I0(\bottom_left_0_reg_n_0_[9] ),
.I1(\top_right_0_reg_n_0_[9] ),
.I2(\top_left_0_reg_n_0_[9] ),
.O(Lxx_00__1_carry__1_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__1_i_11
(.I0(\bottom_left_0_reg_n_0_[8] ),
.I1(\top_right_0_reg_n_0_[8] ),
.I2(\top_left_0_reg_n_0_[8] ),
.O(Lxx_00__1_carry__1_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__1_i_12
(.I0(\bottom_left_0_reg_n_0_[11] ),
.I1(\top_right_0_reg_n_0_[11] ),
.I2(\top_left_0_reg_n_0_[11] ),
.O(Lxx_00__1_carry__1_i_12_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__1_i_2
(.I0(\bottom_right_0_reg_n_0_[9] ),
.I1(Lxx_00__1_carry__1_i_10_n_0),
.I2(\top_left_0_reg_n_0_[8] ),
.I3(\top_right_0_reg_n_0_[8] ),
.I4(\bottom_left_0_reg_n_0_[8] ),
.O(Lxx_00__1_carry__1_i_2_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__1_i_3
(.I0(\bottom_right_0_reg_n_0_[8] ),
.I1(Lxx_00__1_carry__1_i_11_n_0),
.I2(\top_left_0_reg_n_0_[7] ),
.I3(\top_right_0_reg_n_0_[7] ),
.I4(\bottom_left_0_reg_n_0_[7] ),
.O(Lxx_00__1_carry__1_i_3_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__1_i_4
(.I0(\bottom_right_0_reg_n_0_[7] ),
.I1(Lxx_00__1_carry__0_i_12_n_0),
.I2(\top_left_0_reg_n_0_[6] ),
.I3(\top_right_0_reg_n_0_[6] ),
.I4(\bottom_left_0_reg_n_0_[6] ),
.O(Lxx_00__1_carry__1_i_4_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__1_i_5
(.I0(Lxx_00__1_carry__1_i_1_n_0),
.I1(\top_left_0_reg_n_0_[10] ),
.I2(\top_right_0_reg_n_0_[10] ),
.I3(\bottom_left_0_reg_n_0_[10] ),
.I4(\bottom_right_0_reg_n_0_[11] ),
.I5(Lxx_00__1_carry__1_i_12_n_0),
.O(Lxx_00__1_carry__1_i_5_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__1_i_6
(.I0(Lxx_00__1_carry__1_i_2_n_0),
.I1(\top_left_0_reg_n_0_[9] ),
.I2(\top_right_0_reg_n_0_[9] ),
.I3(\bottom_left_0_reg_n_0_[9] ),
.I4(\bottom_right_0_reg_n_0_[10] ),
.I5(Lxx_00__1_carry__1_i_9_n_0),
.O(Lxx_00__1_carry__1_i_6_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__1_i_7
(.I0(Lxx_00__1_carry__1_i_3_n_0),
.I1(\top_left_0_reg_n_0_[8] ),
.I2(\top_right_0_reg_n_0_[8] ),
.I3(\bottom_left_0_reg_n_0_[8] ),
.I4(\bottom_right_0_reg_n_0_[9] ),
.I5(Lxx_00__1_carry__1_i_10_n_0),
.O(Lxx_00__1_carry__1_i_7_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__1_i_8
(.I0(Lxx_00__1_carry__1_i_4_n_0),
.I1(\top_left_0_reg_n_0_[7] ),
.I2(\top_right_0_reg_n_0_[7] ),
.I3(\bottom_left_0_reg_n_0_[7] ),
.I4(\bottom_right_0_reg_n_0_[8] ),
.I5(Lxx_00__1_carry__1_i_11_n_0),
.O(Lxx_00__1_carry__1_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__1_i_9
(.I0(\bottom_left_0_reg_n_0_[10] ),
.I1(\top_right_0_reg_n_0_[10] ),
.I2(\top_left_0_reg_n_0_[10] ),
.O(Lxx_00__1_carry__1_i_9_n_0));
CARRY4 Lxx_00__1_carry__2
(.CI(Lxx_00__1_carry__1_n_0),
.CO({NLW_Lxx_00__1_carry__2_CO_UNCONNECTED[3],Lxx_00__1_carry__2_n_1,Lxx_00__1_carry__2_n_2,Lxx_00__1_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lxx_00__1_carry__2_i_1_n_0,Lxx_00__1_carry__2_i_2_n_0,Lxx_00__1_carry__2_i_3_n_0}),
.O(Lxx_00[15:12]),
.S({Lxx_00__1_carry__2_i_4_n_0,Lxx_00__1_carry__2_i_5_n_0,Lxx_00__1_carry__2_i_6_n_0,Lxx_00__1_carry__2_i_7_n_0}));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__2_i_1
(.I0(\bottom_right_0_reg_n_0_[13] ),
.I1(Lxx_00__1_carry__2_i_8_n_0),
.I2(\top_left_0_reg_n_0_[12] ),
.I3(\top_right_0_reg_n_0_[12] ),
.I4(\bottom_left_0_reg_n_0_[12] ),
.O(Lxx_00__1_carry__2_i_1_n_0));
(* SOFT_HLUTNM = "soft_lutpair24" *)
LUT3 #(
.INIT(8'h2B))
Lxx_00__1_carry__2_i_10
(.I0(\top_left_0_reg_n_0_[13] ),
.I1(\top_right_0_reg_n_0_[13] ),
.I2(\bottom_left_0_reg_n_0_[13] ),
.O(Lxx_00__1_carry__2_i_10_n_0));
LUT4 #(
.INIT(16'h6996))
Lxx_00__1_carry__2_i_11
(.I0(\top_right_0_reg_n_0_[15] ),
.I1(\bottom_left_0_reg_n_0_[15] ),
.I2(\bottom_right_0_reg_n_0_[15] ),
.I3(\top_left_0_reg_n_0_[15] ),
.O(Lxx_00__1_carry__2_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__2_i_12
(.I0(\bottom_left_0_reg_n_0_[14] ),
.I1(\top_right_0_reg_n_0_[14] ),
.I2(\top_left_0_reg_n_0_[14] ),
.O(Lxx_00__1_carry__2_i_12_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__2_i_2
(.I0(\bottom_right_0_reg_n_0_[12] ),
.I1(Lxx_00__1_carry__2_i_9_n_0),
.I2(\top_left_0_reg_n_0_[11] ),
.I3(\top_right_0_reg_n_0_[11] ),
.I4(\bottom_left_0_reg_n_0_[11] ),
.O(Lxx_00__1_carry__2_i_2_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_00__1_carry__2_i_3
(.I0(\bottom_right_0_reg_n_0_[11] ),
.I1(Lxx_00__1_carry__1_i_12_n_0),
.I2(\top_left_0_reg_n_0_[10] ),
.I3(\top_right_0_reg_n_0_[10] ),
.I4(\bottom_left_0_reg_n_0_[10] ),
.O(Lxx_00__1_carry__2_i_3_n_0));
LUT6 #(
.INIT(64'h178181E8E87E7E17))
Lxx_00__1_carry__2_i_4
(.I0(Lxx_00__1_carry__2_i_10_n_0),
.I1(\bottom_right_0_reg_n_0_[14] ),
.I2(\top_left_0_reg_n_0_[14] ),
.I3(\top_right_0_reg_n_0_[14] ),
.I4(\bottom_left_0_reg_n_0_[14] ),
.I5(Lxx_00__1_carry__2_i_11_n_0),
.O(Lxx_00__1_carry__2_i_4_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__2_i_5
(.I0(Lxx_00__1_carry__2_i_1_n_0),
.I1(\top_left_0_reg_n_0_[13] ),
.I2(\top_right_0_reg_n_0_[13] ),
.I3(\bottom_left_0_reg_n_0_[13] ),
.I4(\bottom_right_0_reg_n_0_[14] ),
.I5(Lxx_00__1_carry__2_i_12_n_0),
.O(Lxx_00__1_carry__2_i_5_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__2_i_6
(.I0(Lxx_00__1_carry__2_i_2_n_0),
.I1(\top_left_0_reg_n_0_[12] ),
.I2(\top_right_0_reg_n_0_[12] ),
.I3(\bottom_left_0_reg_n_0_[12] ),
.I4(\bottom_right_0_reg_n_0_[13] ),
.I5(Lxx_00__1_carry__2_i_8_n_0),
.O(Lxx_00__1_carry__2_i_6_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry__2_i_7
(.I0(Lxx_00__1_carry__2_i_3_n_0),
.I1(\top_left_0_reg_n_0_[11] ),
.I2(\top_right_0_reg_n_0_[11] ),
.I3(\bottom_left_0_reg_n_0_[11] ),
.I4(\bottom_right_0_reg_n_0_[12] ),
.I5(Lxx_00__1_carry__2_i_9_n_0),
.O(Lxx_00__1_carry__2_i_7_n_0));
(* SOFT_HLUTNM = "soft_lutpair24" *)
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__2_i_8
(.I0(\bottom_left_0_reg_n_0_[13] ),
.I1(\top_right_0_reg_n_0_[13] ),
.I2(\top_left_0_reg_n_0_[13] ),
.O(Lxx_00__1_carry__2_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry__2_i_9
(.I0(\bottom_left_0_reg_n_0_[12] ),
.I1(\top_right_0_reg_n_0_[12] ),
.I2(\top_left_0_reg_n_0_[12] ),
.O(Lxx_00__1_carry__2_i_9_n_0));
LUT6 #(
.INIT(64'h8228EBBEEBBEEBBE))
Lxx_00__1_carry_i_1
(.I0(\bottom_right_0_reg_n_0_[2] ),
.I1(\top_left_0_reg_n_0_[2] ),
.I2(\top_right_0_reg_n_0_[2] ),
.I3(\bottom_left_0_reg_n_0_[2] ),
.I4(\bottom_left_0_reg_n_0_[1] ),
.I5(\top_right_0_reg_n_0_[1] ),
.O(Lxx_00__1_carry_i_1_n_0));
LUT4 #(
.INIT(16'hF990))
Lxx_00__1_carry_i_2
(.I0(\bottom_left_0_reg_n_0_[1] ),
.I1(\top_right_0_reg_n_0_[1] ),
.I2(\top_left_0_reg_n_0_[1] ),
.I3(\bottom_right_0_reg_n_0_[1] ),
.O(Lxx_00__1_carry_i_2_n_0));
LUT4 #(
.INIT(16'h9669))
Lxx_00__1_carry_i_3
(.I0(\top_right_0_reg_n_0_[1] ),
.I1(\bottom_left_0_reg_n_0_[1] ),
.I2(\bottom_right_0_reg_n_0_[1] ),
.I3(\top_left_0_reg_n_0_[1] ),
.O(Lxx_00__1_carry_i_3_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_00__1_carry_i_4
(.I0(Lxx_00__1_carry_i_1_n_0),
.I1(\top_left_0_reg_n_0_[2] ),
.I2(\top_right_0_reg_n_0_[2] ),
.I3(\bottom_left_0_reg_n_0_[2] ),
.I4(\bottom_right_0_reg_n_0_[3] ),
.I5(Lxx_00__1_carry_i_8_n_0),
.O(Lxx_00__1_carry_i_4_n_0));
LUT5 #(
.INIT(32'h96696969))
Lxx_00__1_carry_i_5
(.I0(Lxx_00__1_carry_i_2_n_0),
.I1(\bottom_right_0_reg_n_0_[2] ),
.I2(Lxx_00__1_carry_i_9_n_0),
.I3(\bottom_left_0_reg_n_0_[1] ),
.I4(\top_right_0_reg_n_0_[1] ),
.O(Lxx_00__1_carry_i_5_n_0));
LUT4 #(
.INIT(16'hA665))
Lxx_00__1_carry_i_6
(.I0(Lxx_00__1_carry_i_3_n_0),
.I1(\top_left_0_reg_n_0_[0] ),
.I2(\top_right_0_reg_n_0_[0] ),
.I3(\bottom_left_0_reg_n_0_[0] ),
.O(Lxx_00__1_carry_i_6_n_0));
LUT4 #(
.INIT(16'h6996))
Lxx_00__1_carry_i_7
(.I0(\bottom_left_0_reg_n_0_[0] ),
.I1(\top_right_0_reg_n_0_[0] ),
.I2(\top_left_0_reg_n_0_[0] ),
.I3(\bottom_right_0_reg_n_0_[0] ),
.O(Lxx_00__1_carry_i_7_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry_i_8
(.I0(\bottom_left_0_reg_n_0_[3] ),
.I1(\top_right_0_reg_n_0_[3] ),
.I2(\top_left_0_reg_n_0_[3] ),
.O(Lxx_00__1_carry_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_00__1_carry_i_9
(.I0(\bottom_left_0_reg_n_0_[2] ),
.I1(\top_right_0_reg_n_0_[2] ),
.I2(\top_left_0_reg_n_0_[2] ),
.O(Lxx_00__1_carry_i_9_n_0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[0]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[0]),
.Q(Lxx_0[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[10]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[10]),
.Q(Lxx_0[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[11]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[11]),
.Q(Lxx_0[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[12]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[12]),
.Q(Lxx_0[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[13]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[13]),
.Q(Lxx_0[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[14]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[14]),
.Q(Lxx_0[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[15]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[15]),
.Q(Lxx_0[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[1]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[1]),
.Q(Lxx_0[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[2]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[2]),
.Q(Lxx_0[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[3]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[3]),
.Q(Lxx_0[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[4]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[4]),
.Q(Lxx_0[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[5]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[5]),
.Q(Lxx_0[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[6]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[6]),
.Q(Lxx_0[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[7]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[7]),
.Q(Lxx_0[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[8]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[8]),
.Q(Lxx_0[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_0_reg[9]
(.C(clk_x16),
.CE(x),
.D(Lxx_00[9]),
.Q(Lxx_0[9]),
.R(1'b0));
CARRY4 Lxx_11__1_carry
(.CI(1'b0),
.CO({Lxx_11__1_carry_n_0,Lxx_11__1_carry_n_1,Lxx_11__1_carry_n_2,Lxx_11__1_carry_n_3}),
.CYINIT(1'b0),
.DI({Lxx_11__1_carry_i_1_n_0,Lxx_11__1_carry_i_2_n_0,Lxx_11__1_carry_i_3_n_0,\bottom_right_1_reg_n_0_[0] }),
.O(Lxx_11[3:0]),
.S({Lxx_11__1_carry_i_4_n_0,Lxx_11__1_carry_i_5_n_0,Lxx_11__1_carry_i_6_n_0,Lxx_11__1_carry_i_7_n_0}));
CARRY4 Lxx_11__1_carry__0
(.CI(Lxx_11__1_carry_n_0),
.CO({Lxx_11__1_carry__0_n_0,Lxx_11__1_carry__0_n_1,Lxx_11__1_carry__0_n_2,Lxx_11__1_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lxx_11__1_carry__0_i_1_n_0,Lxx_11__1_carry__0_i_2_n_0,Lxx_11__1_carry__0_i_3_n_0,Lxx_11__1_carry__0_i_4_n_0}),
.O(Lxx_11[7:4]),
.S({Lxx_11__1_carry__0_i_5_n_0,Lxx_11__1_carry__0_i_6_n_0,Lxx_11__1_carry__0_i_7_n_0,Lxx_11__1_carry__0_i_8_n_0}));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__0_i_1
(.I0(\bottom_right_1_reg_n_0_[6] ),
.I1(Lxx_11__1_carry__0_i_9_n_0),
.I2(top_left_1[5]),
.I3(\top_right_1_reg_n_0_[5] ),
.I4(bottom_left_1[5]),
.O(Lxx_11__1_carry__0_i_1_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__0_i_10
(.I0(bottom_left_1[5]),
.I1(\top_right_1_reg_n_0_[5] ),
.I2(top_left_1[5]),
.O(Lxx_11__1_carry__0_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__0_i_11
(.I0(bottom_left_1[4]),
.I1(\top_right_1_reg_n_0_[4] ),
.I2(top_left_1[4]),
.O(Lxx_11__1_carry__0_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__0_i_12
(.I0(bottom_left_1[7]),
.I1(\top_right_1_reg_n_0_[7] ),
.I2(top_left_1[7]),
.O(Lxx_11__1_carry__0_i_12_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__0_i_2
(.I0(\bottom_right_1_reg_n_0_[5] ),
.I1(Lxx_11__1_carry__0_i_10_n_0),
.I2(top_left_1[4]),
.I3(\top_right_1_reg_n_0_[4] ),
.I4(bottom_left_1[4]),
.O(Lxx_11__1_carry__0_i_2_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__0_i_3
(.I0(\bottom_right_1_reg_n_0_[4] ),
.I1(Lxx_11__1_carry__0_i_11_n_0),
.I2(top_left_1[3]),
.I3(\top_right_1_reg_n_0_[3] ),
.I4(bottom_left_1[3]),
.O(Lxx_11__1_carry__0_i_3_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__0_i_4
(.I0(\bottom_right_1_reg_n_0_[3] ),
.I1(Lxx_11__1_carry_i_8_n_0),
.I2(top_left_1[2]),
.I3(\top_right_1_reg_n_0_[2] ),
.I4(bottom_left_1[2]),
.O(Lxx_11__1_carry__0_i_4_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__0_i_5
(.I0(Lxx_11__1_carry__0_i_1_n_0),
.I1(top_left_1[6]),
.I2(\top_right_1_reg_n_0_[6] ),
.I3(bottom_left_1[6]),
.I4(\bottom_right_1_reg_n_0_[7] ),
.I5(Lxx_11__1_carry__0_i_12_n_0),
.O(Lxx_11__1_carry__0_i_5_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__0_i_6
(.I0(Lxx_11__1_carry__0_i_2_n_0),
.I1(top_left_1[5]),
.I2(\top_right_1_reg_n_0_[5] ),
.I3(bottom_left_1[5]),
.I4(\bottom_right_1_reg_n_0_[6] ),
.I5(Lxx_11__1_carry__0_i_9_n_0),
.O(Lxx_11__1_carry__0_i_6_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__0_i_7
(.I0(Lxx_11__1_carry__0_i_3_n_0),
.I1(top_left_1[4]),
.I2(\top_right_1_reg_n_0_[4] ),
.I3(bottom_left_1[4]),
.I4(\bottom_right_1_reg_n_0_[5] ),
.I5(Lxx_11__1_carry__0_i_10_n_0),
.O(Lxx_11__1_carry__0_i_7_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__0_i_8
(.I0(Lxx_11__1_carry__0_i_4_n_0),
.I1(top_left_1[3]),
.I2(\top_right_1_reg_n_0_[3] ),
.I3(bottom_left_1[3]),
.I4(\bottom_right_1_reg_n_0_[4] ),
.I5(Lxx_11__1_carry__0_i_11_n_0),
.O(Lxx_11__1_carry__0_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__0_i_9
(.I0(bottom_left_1[6]),
.I1(\top_right_1_reg_n_0_[6] ),
.I2(top_left_1[6]),
.O(Lxx_11__1_carry__0_i_9_n_0));
CARRY4 Lxx_11__1_carry__1
(.CI(Lxx_11__1_carry__0_n_0),
.CO({Lxx_11__1_carry__1_n_0,Lxx_11__1_carry__1_n_1,Lxx_11__1_carry__1_n_2,Lxx_11__1_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lxx_11__1_carry__1_i_1_n_0,Lxx_11__1_carry__1_i_2_n_0,Lxx_11__1_carry__1_i_3_n_0,Lxx_11__1_carry__1_i_4_n_0}),
.O(Lxx_11[11:8]),
.S({Lxx_11__1_carry__1_i_5_n_0,Lxx_11__1_carry__1_i_6_n_0,Lxx_11__1_carry__1_i_7_n_0,Lxx_11__1_carry__1_i_8_n_0}));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__1_i_1
(.I0(\bottom_right_1_reg_n_0_[10] ),
.I1(Lxx_11__1_carry__1_i_9_n_0),
.I2(top_left_1[9]),
.I3(\top_right_1_reg_n_0_[9] ),
.I4(bottom_left_1[9]),
.O(Lxx_11__1_carry__1_i_1_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__1_i_10
(.I0(bottom_left_1[9]),
.I1(\top_right_1_reg_n_0_[9] ),
.I2(top_left_1[9]),
.O(Lxx_11__1_carry__1_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__1_i_11
(.I0(bottom_left_1[8]),
.I1(\top_right_1_reg_n_0_[8] ),
.I2(top_left_1[8]),
.O(Lxx_11__1_carry__1_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__1_i_12
(.I0(bottom_left_1[11]),
.I1(\top_right_1_reg_n_0_[11] ),
.I2(top_left_1[11]),
.O(Lxx_11__1_carry__1_i_12_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__1_i_2
(.I0(\bottom_right_1_reg_n_0_[9] ),
.I1(Lxx_11__1_carry__1_i_10_n_0),
.I2(top_left_1[8]),
.I3(\top_right_1_reg_n_0_[8] ),
.I4(bottom_left_1[8]),
.O(Lxx_11__1_carry__1_i_2_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__1_i_3
(.I0(\bottom_right_1_reg_n_0_[8] ),
.I1(Lxx_11__1_carry__1_i_11_n_0),
.I2(top_left_1[7]),
.I3(\top_right_1_reg_n_0_[7] ),
.I4(bottom_left_1[7]),
.O(Lxx_11__1_carry__1_i_3_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__1_i_4
(.I0(\bottom_right_1_reg_n_0_[7] ),
.I1(Lxx_11__1_carry__0_i_12_n_0),
.I2(top_left_1[6]),
.I3(\top_right_1_reg_n_0_[6] ),
.I4(bottom_left_1[6]),
.O(Lxx_11__1_carry__1_i_4_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__1_i_5
(.I0(Lxx_11__1_carry__1_i_1_n_0),
.I1(top_left_1[10]),
.I2(\top_right_1_reg_n_0_[10] ),
.I3(bottom_left_1[10]),
.I4(\bottom_right_1_reg_n_0_[11] ),
.I5(Lxx_11__1_carry__1_i_12_n_0),
.O(Lxx_11__1_carry__1_i_5_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__1_i_6
(.I0(Lxx_11__1_carry__1_i_2_n_0),
.I1(top_left_1[9]),
.I2(\top_right_1_reg_n_0_[9] ),
.I3(bottom_left_1[9]),
.I4(\bottom_right_1_reg_n_0_[10] ),
.I5(Lxx_11__1_carry__1_i_9_n_0),
.O(Lxx_11__1_carry__1_i_6_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__1_i_7
(.I0(Lxx_11__1_carry__1_i_3_n_0),
.I1(top_left_1[8]),
.I2(\top_right_1_reg_n_0_[8] ),
.I3(bottom_left_1[8]),
.I4(\bottom_right_1_reg_n_0_[9] ),
.I5(Lxx_11__1_carry__1_i_10_n_0),
.O(Lxx_11__1_carry__1_i_7_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__1_i_8
(.I0(Lxx_11__1_carry__1_i_4_n_0),
.I1(top_left_1[7]),
.I2(\top_right_1_reg_n_0_[7] ),
.I3(bottom_left_1[7]),
.I4(\bottom_right_1_reg_n_0_[8] ),
.I5(Lxx_11__1_carry__1_i_11_n_0),
.O(Lxx_11__1_carry__1_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__1_i_9
(.I0(bottom_left_1[10]),
.I1(\top_right_1_reg_n_0_[10] ),
.I2(top_left_1[10]),
.O(Lxx_11__1_carry__1_i_9_n_0));
CARRY4 Lxx_11__1_carry__2
(.CI(Lxx_11__1_carry__1_n_0),
.CO({NLW_Lxx_11__1_carry__2_CO_UNCONNECTED[3],Lxx_11__1_carry__2_n_1,Lxx_11__1_carry__2_n_2,Lxx_11__1_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lxx_11__1_carry__2_i_1_n_0,Lxx_11__1_carry__2_i_2_n_0,Lxx_11__1_carry__2_i_3_n_0}),
.O(Lxx_11[15:12]),
.S({Lxx_11__1_carry__2_i_4_n_0,Lxx_11__1_carry__2_i_5_n_0,Lxx_11__1_carry__2_i_6_n_0,Lxx_11__1_carry__2_i_7_n_0}));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__2_i_1
(.I0(\bottom_right_1_reg_n_0_[13] ),
.I1(Lxx_11__1_carry__2_i_8_n_0),
.I2(top_left_1[12]),
.I3(\top_right_1_reg_n_0_[12] ),
.I4(bottom_left_1[12]),
.O(Lxx_11__1_carry__2_i_1_n_0));
(* SOFT_HLUTNM = "soft_lutpair25" *)
LUT3 #(
.INIT(8'h2B))
Lxx_11__1_carry__2_i_10
(.I0(top_left_1[13]),
.I1(\top_right_1_reg_n_0_[13] ),
.I2(bottom_left_1[13]),
.O(Lxx_11__1_carry__2_i_10_n_0));
LUT4 #(
.INIT(16'h6996))
Lxx_11__1_carry__2_i_11
(.I0(\top_right_1_reg_n_0_[15] ),
.I1(bottom_left_1[15]),
.I2(\bottom_right_1_reg_n_0_[15] ),
.I3(top_left_1[15]),
.O(Lxx_11__1_carry__2_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__2_i_12
(.I0(bottom_left_1[14]),
.I1(\top_right_1_reg_n_0_[14] ),
.I2(top_left_1[14]),
.O(Lxx_11__1_carry__2_i_12_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__2_i_2
(.I0(\bottom_right_1_reg_n_0_[12] ),
.I1(Lxx_11__1_carry__2_i_9_n_0),
.I2(top_left_1[11]),
.I3(\top_right_1_reg_n_0_[11] ),
.I4(bottom_left_1[11]),
.O(Lxx_11__1_carry__2_i_2_n_0));
LUT5 #(
.INIT(32'h88E8E8EE))
Lxx_11__1_carry__2_i_3
(.I0(\bottom_right_1_reg_n_0_[11] ),
.I1(Lxx_11__1_carry__1_i_12_n_0),
.I2(top_left_1[10]),
.I3(\top_right_1_reg_n_0_[10] ),
.I4(bottom_left_1[10]),
.O(Lxx_11__1_carry__2_i_3_n_0));
LUT6 #(
.INIT(64'h178181E8E87E7E17))
Lxx_11__1_carry__2_i_4
(.I0(Lxx_11__1_carry__2_i_10_n_0),
.I1(\bottom_right_1_reg_n_0_[14] ),
.I2(top_left_1[14]),
.I3(\top_right_1_reg_n_0_[14] ),
.I4(bottom_left_1[14]),
.I5(Lxx_11__1_carry__2_i_11_n_0),
.O(Lxx_11__1_carry__2_i_4_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__2_i_5
(.I0(Lxx_11__1_carry__2_i_1_n_0),
.I1(top_left_1[13]),
.I2(\top_right_1_reg_n_0_[13] ),
.I3(bottom_left_1[13]),
.I4(\bottom_right_1_reg_n_0_[14] ),
.I5(Lxx_11__1_carry__2_i_12_n_0),
.O(Lxx_11__1_carry__2_i_5_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__2_i_6
(.I0(Lxx_11__1_carry__2_i_2_n_0),
.I1(top_left_1[12]),
.I2(\top_right_1_reg_n_0_[12] ),
.I3(bottom_left_1[12]),
.I4(\bottom_right_1_reg_n_0_[13] ),
.I5(Lxx_11__1_carry__2_i_8_n_0),
.O(Lxx_11__1_carry__2_i_6_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry__2_i_7
(.I0(Lxx_11__1_carry__2_i_3_n_0),
.I1(top_left_1[11]),
.I2(\top_right_1_reg_n_0_[11] ),
.I3(bottom_left_1[11]),
.I4(\bottom_right_1_reg_n_0_[12] ),
.I5(Lxx_11__1_carry__2_i_9_n_0),
.O(Lxx_11__1_carry__2_i_7_n_0));
(* SOFT_HLUTNM = "soft_lutpair25" *)
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__2_i_8
(.I0(bottom_left_1[13]),
.I1(\top_right_1_reg_n_0_[13] ),
.I2(top_left_1[13]),
.O(Lxx_11__1_carry__2_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry__2_i_9
(.I0(bottom_left_1[12]),
.I1(\top_right_1_reg_n_0_[12] ),
.I2(top_left_1[12]),
.O(Lxx_11__1_carry__2_i_9_n_0));
LUT6 #(
.INIT(64'h8228EBBEEBBEEBBE))
Lxx_11__1_carry_i_1
(.I0(\bottom_right_1_reg_n_0_[2] ),
.I1(top_left_1[2]),
.I2(\top_right_1_reg_n_0_[2] ),
.I3(bottom_left_1[2]),
.I4(bottom_left_1[1]),
.I5(\top_right_1_reg_n_0_[1] ),
.O(Lxx_11__1_carry_i_1_n_0));
LUT4 #(
.INIT(16'hF990))
Lxx_11__1_carry_i_2
(.I0(bottom_left_1[1]),
.I1(\top_right_1_reg_n_0_[1] ),
.I2(top_left_1[1]),
.I3(\bottom_right_1_reg_n_0_[1] ),
.O(Lxx_11__1_carry_i_2_n_0));
LUT4 #(
.INIT(16'h9669))
Lxx_11__1_carry_i_3
(.I0(\top_right_1_reg_n_0_[1] ),
.I1(bottom_left_1[1]),
.I2(\bottom_right_1_reg_n_0_[1] ),
.I3(top_left_1[1]),
.O(Lxx_11__1_carry_i_3_n_0));
LUT6 #(
.INIT(64'hA665599A599AA665))
Lxx_11__1_carry_i_4
(.I0(Lxx_11__1_carry_i_1_n_0),
.I1(top_left_1[2]),
.I2(\top_right_1_reg_n_0_[2] ),
.I3(bottom_left_1[2]),
.I4(\bottom_right_1_reg_n_0_[3] ),
.I5(Lxx_11__1_carry_i_8_n_0),
.O(Lxx_11__1_carry_i_4_n_0));
LUT5 #(
.INIT(32'h96696969))
Lxx_11__1_carry_i_5
(.I0(Lxx_11__1_carry_i_2_n_0),
.I1(\bottom_right_1_reg_n_0_[2] ),
.I2(Lxx_11__1_carry_i_9_n_0),
.I3(bottom_left_1[1]),
.I4(\top_right_1_reg_n_0_[1] ),
.O(Lxx_11__1_carry_i_5_n_0));
LUT4 #(
.INIT(16'hA665))
Lxx_11__1_carry_i_6
(.I0(Lxx_11__1_carry_i_3_n_0),
.I1(top_left_1[0]),
.I2(\top_right_1_reg_n_0_[0] ),
.I3(bottom_left_1[0]),
.O(Lxx_11__1_carry_i_6_n_0));
LUT4 #(
.INIT(16'h6996))
Lxx_11__1_carry_i_7
(.I0(bottom_left_1[0]),
.I1(\top_right_1_reg_n_0_[0] ),
.I2(top_left_1[0]),
.I3(\bottom_right_1_reg_n_0_[0] ),
.O(Lxx_11__1_carry_i_7_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry_i_8
(.I0(bottom_left_1[3]),
.I1(\top_right_1_reg_n_0_[3] ),
.I2(top_left_1[3]),
.O(Lxx_11__1_carry_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxx_11__1_carry_i_9
(.I0(bottom_left_1[2]),
.I1(\top_right_1_reg_n_0_[2] ),
.I2(top_left_1[2]),
.O(Lxx_11__1_carry_i_9_n_0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[10]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[9]),
.Q(Lxx_1[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[11]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[10]),
.Q(Lxx_1[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[12]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[11]),
.Q(Lxx_1[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[13]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[12]),
.Q(Lxx_1[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[14]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[13]),
.Q(Lxx_1[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[15]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[14]),
.Q(Lxx_1[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[1]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[0]),
.Q(Lxx_1[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[2]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[1]),
.Q(Lxx_1[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[3]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[2]),
.Q(Lxx_1[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[4]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[3]),
.Q(Lxx_1[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[5]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[4]),
.Q(Lxx_1[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[6]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[5]),
.Q(Lxx_1[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[7]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[6]),
.Q(Lxx_1[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[8]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[7]),
.Q(Lxx_1[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_1_reg[9]
(.C(clk_x16),
.CE(y5),
.D(Lxx_11[8]),
.Q(Lxx_1[9]),
.R(1'b0));
LUT6 #(
.INIT(64'h0010000000000000))
\Lxx_2[15]_i_1
(.I0(cycle[3]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(cycle[2]),
.I4(rst),
.I5(active),
.O(\Lxx_2[15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[0]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[0]),
.Q(\Lxx_2_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[10]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[10]),
.Q(\Lxx_2_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[11]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[11]),
.Q(\Lxx_2_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[12]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[12]),
.Q(\Lxx_2_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[13]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[13]),
.Q(\Lxx_2_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[14]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[14]),
.Q(\Lxx_2_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[15]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[15]),
.Q(\Lxx_2_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[1]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[1]),
.Q(\Lxx_2_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[2]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[2]),
.Q(\Lxx_2_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[3]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[3]),
.Q(\Lxx_2_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[4]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[4]),
.Q(\Lxx_2_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[5]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[5]),
.Q(\Lxx_2_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[6]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[6]),
.Q(\Lxx_2_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[7]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[7]),
.Q(\Lxx_2_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[8]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[8]),
.Q(\Lxx_2_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxx_2_reg[9]
(.C(clk_x16),
.CE(\Lxx_2[15]_i_1_n_0 ),
.D(Lxx_00[9]),
.Q(\Lxx_2_reg_n_0_[9] ),
.R(1'b0));
CARRY4 Lxy0__1_carry
(.CI(1'b0),
.CO({Lxy0__1_carry_n_0,Lxy0__1_carry_n_1,Lxy0__1_carry_n_2,Lxy0__1_carry_n_3}),
.CYINIT(1'b0),
.DI({Lxy0__1_carry_i_1_n_0,Lxy0__1_carry_i_2_n_0,Lxy0__1_carry_i_3_n_0,\Lxy_0_reg_n_0_[0] }),
.O({Lxy0__1_carry_n_4,Lxy0__1_carry_n_5,Lxy0__1_carry_n_6,Lxy0__1_carry_n_7}),
.S({Lxy0__1_carry_i_4_n_0,Lxy0__1_carry_i_5_n_0,Lxy0__1_carry_i_6_n_0,Lxy0__1_carry_i_7_n_0}));
CARRY4 Lxy0__1_carry__0
(.CI(Lxy0__1_carry_n_0),
.CO({Lxy0__1_carry__0_n_0,Lxy0__1_carry__0_n_1,Lxy0__1_carry__0_n_2,Lxy0__1_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lxy0__1_carry__0_i_1_n_0,Lxy0__1_carry__0_i_2_n_0,Lxy0__1_carry__0_i_3_n_0,Lxy0__1_carry__0_i_4_n_0}),
.O({Lxy0__1_carry__0_n_4,Lxy0__1_carry__0_n_5,Lxy0__1_carry__0_n_6,Lxy0__1_carry__0_n_7}),
.S({Lxy0__1_carry__0_i_5_n_0,Lxy0__1_carry__0_i_6_n_0,Lxy0__1_carry__0_i_7_n_0,Lxy0__1_carry__0_i_8_n_0}));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__0_i_1
(.I0(\Lxy_0_reg_n_0_[6] ),
.I1(Lxy0__1_carry__0_i_9_n_0),
.I2(Lxy_3[5]),
.I3(Lxy_2[5]),
.I4(\Lxy_1_reg_n_0_[5] ),
.O(Lxy0__1_carry__0_i_1_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__0_i_10
(.I0(Lxy_3[5]),
.I1(\Lxy_1_reg_n_0_[5] ),
.I2(Lxy_2[5]),
.O(Lxy0__1_carry__0_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__0_i_11
(.I0(Lxy_3[4]),
.I1(\Lxy_1_reg_n_0_[4] ),
.I2(Lxy_2[4]),
.O(Lxy0__1_carry__0_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__0_i_12
(.I0(Lxy_3[7]),
.I1(\Lxy_1_reg_n_0_[7] ),
.I2(Lxy_2[7]),
.O(Lxy0__1_carry__0_i_12_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__0_i_2
(.I0(\Lxy_0_reg_n_0_[5] ),
.I1(Lxy0__1_carry__0_i_10_n_0),
.I2(Lxy_3[4]),
.I3(Lxy_2[4]),
.I4(\Lxy_1_reg_n_0_[4] ),
.O(Lxy0__1_carry__0_i_2_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__0_i_3
(.I0(\Lxy_0_reg_n_0_[4] ),
.I1(Lxy0__1_carry__0_i_11_n_0),
.I2(Lxy_3[3]),
.I3(Lxy_2[3]),
.I4(\Lxy_1_reg_n_0_[3] ),
.O(Lxy0__1_carry__0_i_3_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__0_i_4
(.I0(\Lxy_0_reg_n_0_[3] ),
.I1(Lxy0__1_carry_i_8_n_0),
.I2(Lxy_3[2]),
.I3(Lxy_2[2]),
.I4(\Lxy_1_reg_n_0_[2] ),
.O(Lxy0__1_carry__0_i_4_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__0_i_5
(.I0(Lxy0__1_carry__0_i_1_n_0),
.I1(Lxy0__1_carry__0_i_12_n_0),
.I2(\Lxy_0_reg_n_0_[7] ),
.I3(\Lxy_1_reg_n_0_[6] ),
.I4(Lxy_2[6]),
.I5(Lxy_3[6]),
.O(Lxy0__1_carry__0_i_5_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__0_i_6
(.I0(Lxy0__1_carry__0_i_2_n_0),
.I1(Lxy0__1_carry__0_i_9_n_0),
.I2(\Lxy_0_reg_n_0_[6] ),
.I3(\Lxy_1_reg_n_0_[5] ),
.I4(Lxy_2[5]),
.I5(Lxy_3[5]),
.O(Lxy0__1_carry__0_i_6_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__0_i_7
(.I0(Lxy0__1_carry__0_i_3_n_0),
.I1(Lxy0__1_carry__0_i_10_n_0),
.I2(\Lxy_0_reg_n_0_[5] ),
.I3(\Lxy_1_reg_n_0_[4] ),
.I4(Lxy_2[4]),
.I5(Lxy_3[4]),
.O(Lxy0__1_carry__0_i_7_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__0_i_8
(.I0(Lxy0__1_carry__0_i_4_n_0),
.I1(Lxy0__1_carry__0_i_11_n_0),
.I2(\Lxy_0_reg_n_0_[4] ),
.I3(\Lxy_1_reg_n_0_[3] ),
.I4(Lxy_2[3]),
.I5(Lxy_3[3]),
.O(Lxy0__1_carry__0_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__0_i_9
(.I0(Lxy_3[6]),
.I1(\Lxy_1_reg_n_0_[6] ),
.I2(Lxy_2[6]),
.O(Lxy0__1_carry__0_i_9_n_0));
CARRY4 Lxy0__1_carry__1
(.CI(Lxy0__1_carry__0_n_0),
.CO({Lxy0__1_carry__1_n_0,Lxy0__1_carry__1_n_1,Lxy0__1_carry__1_n_2,Lxy0__1_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lxy0__1_carry__1_i_1_n_0,Lxy0__1_carry__1_i_2_n_0,Lxy0__1_carry__1_i_3_n_0,Lxy0__1_carry__1_i_4_n_0}),
.O({Lxy0__1_carry__1_n_4,Lxy0__1_carry__1_n_5,Lxy0__1_carry__1_n_6,Lxy0__1_carry__1_n_7}),
.S({Lxy0__1_carry__1_i_5_n_0,Lxy0__1_carry__1_i_6_n_0,Lxy0__1_carry__1_i_7_n_0,Lxy0__1_carry__1_i_8_n_0}));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__1_i_1
(.I0(\Lxy_0_reg_n_0_[10] ),
.I1(Lxy0__1_carry__1_i_9_n_0),
.I2(Lxy_3[9]),
.I3(Lxy_2[9]),
.I4(\Lxy_1_reg_n_0_[9] ),
.O(Lxy0__1_carry__1_i_1_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__1_i_10
(.I0(Lxy_3[9]),
.I1(\Lxy_1_reg_n_0_[9] ),
.I2(Lxy_2[9]),
.O(Lxy0__1_carry__1_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__1_i_11
(.I0(Lxy_3[8]),
.I1(\Lxy_1_reg_n_0_[8] ),
.I2(Lxy_2[8]),
.O(Lxy0__1_carry__1_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__1_i_12
(.I0(Lxy_3[11]),
.I1(\Lxy_1_reg_n_0_[11] ),
.I2(Lxy_2[11]),
.O(Lxy0__1_carry__1_i_12_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__1_i_2
(.I0(\Lxy_0_reg_n_0_[9] ),
.I1(Lxy0__1_carry__1_i_10_n_0),
.I2(Lxy_3[8]),
.I3(Lxy_2[8]),
.I4(\Lxy_1_reg_n_0_[8] ),
.O(Lxy0__1_carry__1_i_2_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__1_i_3
(.I0(\Lxy_0_reg_n_0_[8] ),
.I1(Lxy0__1_carry__1_i_11_n_0),
.I2(Lxy_3[7]),
.I3(Lxy_2[7]),
.I4(\Lxy_1_reg_n_0_[7] ),
.O(Lxy0__1_carry__1_i_3_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__1_i_4
(.I0(\Lxy_0_reg_n_0_[7] ),
.I1(Lxy0__1_carry__0_i_12_n_0),
.I2(Lxy_3[6]),
.I3(Lxy_2[6]),
.I4(\Lxy_1_reg_n_0_[6] ),
.O(Lxy0__1_carry__1_i_4_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__1_i_5
(.I0(Lxy0__1_carry__1_i_1_n_0),
.I1(Lxy0__1_carry__1_i_12_n_0),
.I2(\Lxy_0_reg_n_0_[11] ),
.I3(\Lxy_1_reg_n_0_[10] ),
.I4(Lxy_2[10]),
.I5(Lxy_3[10]),
.O(Lxy0__1_carry__1_i_5_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__1_i_6
(.I0(Lxy0__1_carry__1_i_2_n_0),
.I1(Lxy0__1_carry__1_i_9_n_0),
.I2(\Lxy_0_reg_n_0_[10] ),
.I3(\Lxy_1_reg_n_0_[9] ),
.I4(Lxy_2[9]),
.I5(Lxy_3[9]),
.O(Lxy0__1_carry__1_i_6_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__1_i_7
(.I0(Lxy0__1_carry__1_i_3_n_0),
.I1(Lxy0__1_carry__1_i_10_n_0),
.I2(\Lxy_0_reg_n_0_[9] ),
.I3(\Lxy_1_reg_n_0_[8] ),
.I4(Lxy_2[8]),
.I5(Lxy_3[8]),
.O(Lxy0__1_carry__1_i_7_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__1_i_8
(.I0(Lxy0__1_carry__1_i_4_n_0),
.I1(Lxy0__1_carry__1_i_11_n_0),
.I2(\Lxy_0_reg_n_0_[8] ),
.I3(\Lxy_1_reg_n_0_[7] ),
.I4(Lxy_2[7]),
.I5(Lxy_3[7]),
.O(Lxy0__1_carry__1_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__1_i_9
(.I0(Lxy_3[10]),
.I1(\Lxy_1_reg_n_0_[10] ),
.I2(Lxy_2[10]),
.O(Lxy0__1_carry__1_i_9_n_0));
CARRY4 Lxy0__1_carry__2
(.CI(Lxy0__1_carry__1_n_0),
.CO({NLW_Lxy0__1_carry__2_CO_UNCONNECTED[3],Lxy0__1_carry__2_n_1,Lxy0__1_carry__2_n_2,Lxy0__1_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lxy0__1_carry__2_i_1_n_0,Lxy0__1_carry__2_i_2_n_0,Lxy0__1_carry__2_i_3_n_0}),
.O({Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_5,Lxy0__1_carry__2_n_6,Lxy0__1_carry__2_n_7}),
.S({Lxy0__1_carry__2_i_4_n_0,Lxy0__1_carry__2_i_5_n_0,Lxy0__1_carry__2_i_6_n_0,Lxy0__1_carry__2_i_7_n_0}));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__2_i_1
(.I0(\Lxy_0_reg_n_0_[13] ),
.I1(Lxy0__1_carry__2_i_8_n_0),
.I2(Lxy_3[12]),
.I3(Lxy_2[12]),
.I4(\Lxy_1_reg_n_0_[12] ),
.O(Lxy0__1_carry__2_i_1_n_0));
(* SOFT_HLUTNM = "soft_lutpair32" *)
LUT3 #(
.INIT(8'h4D))
Lxy0__1_carry__2_i_10
(.I0(\Lxy_1_reg_n_0_[13] ),
.I1(Lxy_2[13]),
.I2(Lxy_3[13]),
.O(Lxy0__1_carry__2_i_10_n_0));
LUT4 #(
.INIT(16'h6996))
Lxy0__1_carry__2_i_11
(.I0(Lxy_2[15]),
.I1(\Lxy_1_reg_n_0_[15] ),
.I2(Lxy_3[15]),
.I3(\Lxy_0_reg_n_0_[15] ),
.O(Lxy0__1_carry__2_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__2_i_12
(.I0(Lxy_3[14]),
.I1(\Lxy_1_reg_n_0_[14] ),
.I2(Lxy_2[14]),
.O(Lxy0__1_carry__2_i_12_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__2_i_2
(.I0(\Lxy_0_reg_n_0_[12] ),
.I1(Lxy0__1_carry__2_i_9_n_0),
.I2(Lxy_3[11]),
.I3(Lxy_2[11]),
.I4(\Lxy_1_reg_n_0_[11] ),
.O(Lxy0__1_carry__2_i_2_n_0));
LUT5 #(
.INIT(32'h8E88EE8E))
Lxy0__1_carry__2_i_3
(.I0(\Lxy_0_reg_n_0_[11] ),
.I1(Lxy0__1_carry__1_i_12_n_0),
.I2(Lxy_3[10]),
.I3(Lxy_2[10]),
.I4(\Lxy_1_reg_n_0_[10] ),
.O(Lxy0__1_carry__2_i_3_n_0));
LUT6 #(
.INIT(64'h1E87781E87E11E87))
Lxy0__1_carry__2_i_4
(.I0(Lxy0__1_carry__2_i_10_n_0),
.I1(\Lxy_0_reg_n_0_[14] ),
.I2(Lxy0__1_carry__2_i_11_n_0),
.I3(\Lxy_1_reg_n_0_[14] ),
.I4(Lxy_2[14]),
.I5(Lxy_3[14]),
.O(Lxy0__1_carry__2_i_4_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__2_i_5
(.I0(Lxy0__1_carry__2_i_1_n_0),
.I1(Lxy0__1_carry__2_i_12_n_0),
.I2(\Lxy_0_reg_n_0_[14] ),
.I3(\Lxy_1_reg_n_0_[13] ),
.I4(Lxy_2[13]),
.I5(Lxy_3[13]),
.O(Lxy0__1_carry__2_i_5_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__2_i_6
(.I0(Lxy0__1_carry__2_i_2_n_0),
.I1(Lxy0__1_carry__2_i_8_n_0),
.I2(\Lxy_0_reg_n_0_[13] ),
.I3(\Lxy_1_reg_n_0_[12] ),
.I4(Lxy_2[12]),
.I5(Lxy_3[12]),
.O(Lxy0__1_carry__2_i_6_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry__2_i_7
(.I0(Lxy0__1_carry__2_i_3_n_0),
.I1(Lxy0__1_carry__2_i_9_n_0),
.I2(\Lxy_0_reg_n_0_[12] ),
.I3(\Lxy_1_reg_n_0_[11] ),
.I4(Lxy_2[11]),
.I5(Lxy_3[11]),
.O(Lxy0__1_carry__2_i_7_n_0));
(* SOFT_HLUTNM = "soft_lutpair32" *)
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__2_i_8
(.I0(Lxy_3[13]),
.I1(\Lxy_1_reg_n_0_[13] ),
.I2(Lxy_2[13]),
.O(Lxy0__1_carry__2_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry__2_i_9
(.I0(Lxy_3[12]),
.I1(\Lxy_1_reg_n_0_[12] ),
.I2(Lxy_2[12]),
.O(Lxy0__1_carry__2_i_9_n_0));
LUT6 #(
.INIT(64'hEBBEEBBE8228EBBE))
Lxy0__1_carry_i_1
(.I0(\Lxy_0_reg_n_0_[2] ),
.I1(Lxy_2[2]),
.I2(\Lxy_1_reg_n_0_[2] ),
.I3(Lxy_3[2]),
.I4(\Lxy_1_reg_n_0_[1] ),
.I5(Lxy_2[1]),
.O(Lxy0__1_carry_i_1_n_0));
LUT2 #(
.INIT(4'h9))
Lxy0__1_carry_i_10
(.I0(Lxy_2[1]),
.I1(\Lxy_1_reg_n_0_[1] ),
.O(Lxy0__1_carry_i_10_n_0));
LUT4 #(
.INIT(16'h4DD4))
Lxy0__1_carry_i_2
(.I0(Lxy_3[1]),
.I1(\Lxy_0_reg_n_0_[1] ),
.I2(\Lxy_1_reg_n_0_[1] ),
.I3(Lxy_2[1]),
.O(Lxy0__1_carry_i_2_n_0));
LUT4 #(
.INIT(16'h9669))
Lxy0__1_carry_i_3
(.I0(\Lxy_1_reg_n_0_[1] ),
.I1(Lxy_2[1]),
.I2(Lxy_3[1]),
.I3(\Lxy_0_reg_n_0_[1] ),
.O(Lxy0__1_carry_i_3_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry_i_4
(.I0(Lxy0__1_carry_i_1_n_0),
.I1(Lxy0__1_carry_i_8_n_0),
.I2(\Lxy_0_reg_n_0_[3] ),
.I3(\Lxy_1_reg_n_0_[2] ),
.I4(Lxy_2[2]),
.I5(Lxy_3[2]),
.O(Lxy0__1_carry_i_4_n_0));
LUT5 #(
.INIT(32'h69966969))
Lxy0__1_carry_i_5
(.I0(Lxy0__1_carry_i_2_n_0),
.I1(Lxy0__1_carry_i_9_n_0),
.I2(\Lxy_0_reg_n_0_[2] ),
.I3(Lxy_2[1]),
.I4(\Lxy_1_reg_n_0_[1] ),
.O(Lxy0__1_carry_i_5_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lxy0__1_carry_i_6
(.I0(\Lxy_0_reg_n_0_[1] ),
.I1(Lxy_3[1]),
.I2(Lxy0__1_carry_i_10_n_0),
.I3(Lxy_3[0]),
.I4(Lxy_2[0]),
.I5(\Lxy_1_reg_n_0_[0] ),
.O(Lxy0__1_carry_i_6_n_0));
LUT4 #(
.INIT(16'h6996))
Lxy0__1_carry_i_7
(.I0(Lxy_2[0]),
.I1(\Lxy_1_reg_n_0_[0] ),
.I2(Lxy_3[0]),
.I3(\Lxy_0_reg_n_0_[0] ),
.O(Lxy0__1_carry_i_7_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry_i_8
(.I0(Lxy_3[3]),
.I1(\Lxy_1_reg_n_0_[3] ),
.I2(Lxy_2[3]),
.O(Lxy0__1_carry_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lxy0__1_carry_i_9
(.I0(Lxy_3[2]),
.I1(\Lxy_1_reg_n_0_[2] ),
.I2(Lxy_2[2]),
.O(Lxy0__1_carry_i_9_n_0));
LUT6 #(
.INIT(64'h0000000000004000))
\Lxy_0[15]_i_1
(.I0(\cycle_reg[0]_rep_n_0 ),
.I1(cycle[3]),
.I2(active),
.I3(rst),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(cycle[2]),
.O(\Lxy_0[15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[0]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[0]),
.Q(\Lxy_0_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[10]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[10]),
.Q(\Lxy_0_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[11]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[11]),
.Q(\Lxy_0_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[12]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[12]),
.Q(\Lxy_0_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[13]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[13]),
.Q(\Lxy_0_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[14]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[14]),
.Q(\Lxy_0_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[15]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[15]),
.Q(\Lxy_0_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[1]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[1]),
.Q(\Lxy_0_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[2]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[2]),
.Q(\Lxy_0_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[3]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[3]),
.Q(\Lxy_0_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[4]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[4]),
.Q(\Lxy_0_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[5]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[5]),
.Q(\Lxy_0_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[6]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[6]),
.Q(\Lxy_0_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[7]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[7]),
.Q(\Lxy_0_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[8]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[8]),
.Q(\Lxy_0_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_0_reg[9]
(.C(clk_x16),
.CE(\Lxy_0[15]_i_1_n_0 ),
.D(Lxx_00[9]),
.Q(\Lxy_0_reg_n_0_[9] ),
.R(1'b0));
LUT6 #(
.INIT(64'h0000400000000000))
\Lxy_1[15]_i_1
(.I0(\cycle_reg[0]_rep_n_0 ),
.I1(cycle[3]),
.I2(active),
.I3(rst),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(Lxy_1));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[0]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[0]),
.Q(\Lxy_1_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[10]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[10]),
.Q(\Lxy_1_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[11]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[11]),
.Q(\Lxy_1_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[12]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[12]),
.Q(\Lxy_1_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[13]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[13]),
.Q(\Lxy_1_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[14]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[14]),
.Q(\Lxy_1_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[15]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[15]),
.Q(\Lxy_1_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[1]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[1]),
.Q(\Lxy_1_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[2]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[2]),
.Q(\Lxy_1_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[3]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[3]),
.Q(\Lxy_1_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[4]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[4]),
.Q(\Lxy_1_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[5]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[5]),
.Q(\Lxy_1_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[6]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[6]),
.Q(\Lxy_1_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[7]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[7]),
.Q(\Lxy_1_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[8]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[8]),
.Q(\Lxy_1_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_1_reg[9]
(.C(clk_x16),
.CE(Lxy_1),
.D(Lxx_11[9]),
.Q(\Lxy_1_reg_n_0_[9] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[0]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[0]),
.Q(Lxy_2[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[10]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[10]),
.Q(Lxy_2[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[11]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[11]),
.Q(Lxy_2[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[12]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[12]),
.Q(Lxy_2[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[13]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[13]),
.Q(Lxy_2[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[14]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[14]),
.Q(Lxy_2[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[15]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[15]),
.Q(Lxy_2[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[1]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[1]),
.Q(Lxy_2[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[2]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[2]),
.Q(Lxy_2[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[3]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[3]),
.Q(Lxy_2[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[4]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[4]),
.Q(Lxy_2[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[5]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[5]),
.Q(Lxy_2[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[6]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[6]),
.Q(Lxy_2[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[7]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[7]),
.Q(Lxy_2[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[8]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[8]),
.Q(Lxy_2[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_2_reg[9]
(.C(clk_x16),
.CE(det_0),
.D(Lxx_00[9]),
.Q(Lxy_2[9]),
.R(1'b0));
LUT6 #(
.INIT(64'h4000000000000000))
\Lxy_3[15]_i_1
(.I0(cycle[0]),
.I1(active),
.I2(rst),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(cycle[3]),
.O(y6));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[0]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[0]),
.Q(Lxy_3[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[10]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[10]),
.Q(Lxy_3[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[11]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[11]),
.Q(Lxy_3[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[12]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[12]),
.Q(Lxy_3[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[13]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[13]),
.Q(Lxy_3[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[14]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[14]),
.Q(Lxy_3[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[15]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[15]),
.Q(Lxy_3[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[1]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[1]),
.Q(Lxy_3[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[2]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[2]),
.Q(Lxy_3[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[3]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[3]),
.Q(Lxy_3[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[4]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[4]),
.Q(Lxy_3[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[5]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[5]),
.Q(Lxy_3[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[6]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[6]),
.Q(Lxy_3[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[7]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[7]),
.Q(Lxy_3[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[8]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[8]),
.Q(Lxy_3[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lxy_3_reg[9]
(.C(clk_x16),
.CE(y6),
.D(Lxx_11[9]),
.Q(Lxy_3[9]),
.R(1'b0));
CARRY4 Lyy0_carry
(.CI(1'b0),
.CO({Lyy0_carry_n_0,Lyy0_carry_n_1,Lyy0_carry_n_2,Lyy0_carry_n_3}),
.CYINIT(1'b0),
.DI({Lyy0_carry_i_1_n_0,Lyy0_carry_i_2_n_0,1'b1,\Lyy_2_reg_n_0_[0] }),
.O(B[3:0]),
.S({Lyy0_carry_i_3_n_0,Lyy0_carry_i_4_n_0,Lyy0_carry_i_5_n_0,Lyy0_carry_i_6_n_0}));
CARRY4 Lyy0_carry__0
(.CI(Lyy0_carry_n_0),
.CO({Lyy0_carry__0_n_0,Lyy0_carry__0_n_1,Lyy0_carry__0_n_2,Lyy0_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lyy0_carry__0_i_1_n_0,Lyy0_carry__0_i_2_n_0,Lyy0_carry__0_i_3_n_0,Lyy0_carry__0_i_4_n_0}),
.O(B[7:4]),
.S({Lyy0_carry__0_i_5_n_0,Lyy0_carry__0_i_6_n_0,Lyy0_carry__0_i_7_n_0,Lyy0_carry__0_i_8_n_0}));
(* HLUTNM = "lutpair16" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__0_i_1
(.I0(Lyy_1[6]),
.I1(\Lyy_2_reg_n_0_[6] ),
.I2(\Lyy_0_reg_n_0_[6] ),
.O(Lyy0_carry__0_i_1_n_0));
(* HLUTNM = "lutpair15" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__0_i_2
(.I0(Lyy_1[5]),
.I1(\Lyy_2_reg_n_0_[5] ),
.I2(\Lyy_0_reg_n_0_[5] ),
.O(Lyy0_carry__0_i_2_n_0));
(* HLUTNM = "lutpair14" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__0_i_3
(.I0(Lyy_1[4]),
.I1(\Lyy_2_reg_n_0_[4] ),
.I2(\Lyy_0_reg_n_0_[4] ),
.O(Lyy0_carry__0_i_3_n_0));
(* HLUTNM = "lutpair13" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__0_i_4
(.I0(Lyy_1[3]),
.I1(\Lyy_2_reg_n_0_[3] ),
.I2(\Lyy_0_reg_n_0_[3] ),
.O(Lyy0_carry__0_i_4_n_0));
(* HLUTNM = "lutpair17" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__0_i_5
(.I0(Lyy_1[7]),
.I1(\Lyy_2_reg_n_0_[7] ),
.I2(\Lyy_0_reg_n_0_[7] ),
.I3(Lyy0_carry__0_i_1_n_0),
.O(Lyy0_carry__0_i_5_n_0));
(* HLUTNM = "lutpair16" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__0_i_6
(.I0(Lyy_1[6]),
.I1(\Lyy_2_reg_n_0_[6] ),
.I2(\Lyy_0_reg_n_0_[6] ),
.I3(Lyy0_carry__0_i_2_n_0),
.O(Lyy0_carry__0_i_6_n_0));
(* HLUTNM = "lutpair15" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__0_i_7
(.I0(Lyy_1[5]),
.I1(\Lyy_2_reg_n_0_[5] ),
.I2(\Lyy_0_reg_n_0_[5] ),
.I3(Lyy0_carry__0_i_3_n_0),
.O(Lyy0_carry__0_i_7_n_0));
(* HLUTNM = "lutpair14" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__0_i_8
(.I0(Lyy_1[4]),
.I1(\Lyy_2_reg_n_0_[4] ),
.I2(\Lyy_0_reg_n_0_[4] ),
.I3(Lyy0_carry__0_i_4_n_0),
.O(Lyy0_carry__0_i_8_n_0));
CARRY4 Lyy0_carry__1
(.CI(Lyy0_carry__0_n_0),
.CO({Lyy0_carry__1_n_0,Lyy0_carry__1_n_1,Lyy0_carry__1_n_2,Lyy0_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lyy0_carry__1_i_1_n_0,Lyy0_carry__1_i_2_n_0,Lyy0_carry__1_i_3_n_0,Lyy0_carry__1_i_4_n_0}),
.O(B[11:8]),
.S({Lyy0_carry__1_i_5_n_0,Lyy0_carry__1_i_6_n_0,Lyy0_carry__1_i_7_n_0,Lyy0_carry__1_i_8_n_0}));
(* HLUTNM = "lutpair20" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__1_i_1
(.I0(Lyy_1[10]),
.I1(\Lyy_2_reg_n_0_[10] ),
.I2(\Lyy_0_reg_n_0_[10] ),
.O(Lyy0_carry__1_i_1_n_0));
(* HLUTNM = "lutpair19" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__1_i_2
(.I0(Lyy_1[9]),
.I1(\Lyy_2_reg_n_0_[9] ),
.I2(\Lyy_0_reg_n_0_[9] ),
.O(Lyy0_carry__1_i_2_n_0));
(* HLUTNM = "lutpair18" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__1_i_3
(.I0(Lyy_1[8]),
.I1(\Lyy_2_reg_n_0_[8] ),
.I2(\Lyy_0_reg_n_0_[8] ),
.O(Lyy0_carry__1_i_3_n_0));
(* HLUTNM = "lutpair17" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__1_i_4
(.I0(Lyy_1[7]),
.I1(\Lyy_2_reg_n_0_[7] ),
.I2(\Lyy_0_reg_n_0_[7] ),
.O(Lyy0_carry__1_i_4_n_0));
(* HLUTNM = "lutpair21" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__1_i_5
(.I0(Lyy_1[11]),
.I1(\Lyy_2_reg_n_0_[11] ),
.I2(\Lyy_0_reg_n_0_[11] ),
.I3(Lyy0_carry__1_i_1_n_0),
.O(Lyy0_carry__1_i_5_n_0));
(* HLUTNM = "lutpair20" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__1_i_6
(.I0(Lyy_1[10]),
.I1(\Lyy_2_reg_n_0_[10] ),
.I2(\Lyy_0_reg_n_0_[10] ),
.I3(Lyy0_carry__1_i_2_n_0),
.O(Lyy0_carry__1_i_6_n_0));
(* HLUTNM = "lutpair19" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__1_i_7
(.I0(Lyy_1[9]),
.I1(\Lyy_2_reg_n_0_[9] ),
.I2(\Lyy_0_reg_n_0_[9] ),
.I3(Lyy0_carry__1_i_3_n_0),
.O(Lyy0_carry__1_i_7_n_0));
(* HLUTNM = "lutpair18" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__1_i_8
(.I0(Lyy_1[8]),
.I1(\Lyy_2_reg_n_0_[8] ),
.I2(\Lyy_0_reg_n_0_[8] ),
.I3(Lyy0_carry__1_i_4_n_0),
.O(Lyy0_carry__1_i_8_n_0));
CARRY4 Lyy0_carry__2
(.CI(Lyy0_carry__1_n_0),
.CO({NLW_Lyy0_carry__2_CO_UNCONNECTED[3],Lyy0_carry__2_n_1,Lyy0_carry__2_n_2,Lyy0_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lyy0_carry__2_i_1_n_0,Lyy0_carry__2_i_2_n_0,Lyy0_carry__2_i_3_n_0}),
.O(B[15:12]),
.S({Lyy0_carry__2_i_4_n_0,Lyy0_carry__2_i_5_n_0,Lyy0_carry__2_i_6_n_0,Lyy0_carry__2_i_7_n_0}));
(* HLUTNM = "lutpair23" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__2_i_1
(.I0(Lyy_1[13]),
.I1(\Lyy_2_reg_n_0_[13] ),
.I2(\Lyy_0_reg_n_0_[13] ),
.O(Lyy0_carry__2_i_1_n_0));
(* HLUTNM = "lutpair22" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__2_i_2
(.I0(Lyy_1[12]),
.I1(\Lyy_2_reg_n_0_[12] ),
.I2(\Lyy_0_reg_n_0_[12] ),
.O(Lyy0_carry__2_i_2_n_0));
(* HLUTNM = "lutpair21" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry__2_i_3
(.I0(Lyy_1[11]),
.I1(\Lyy_2_reg_n_0_[11] ),
.I2(\Lyy_0_reg_n_0_[11] ),
.O(Lyy0_carry__2_i_3_n_0));
LUT6 #(
.INIT(64'h8E71718E718E8E71))
Lyy0_carry__2_i_4
(.I0(\Lyy_0_reg_n_0_[14] ),
.I1(\Lyy_2_reg_n_0_[14] ),
.I2(Lyy_1[14]),
.I3(\Lyy_2_reg_n_0_[15] ),
.I4(Lyy_1[15]),
.I5(\Lyy_0_reg_n_0_[15] ),
.O(Lyy0_carry__2_i_4_n_0));
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__2_i_5
(.I0(Lyy0_carry__2_i_1_n_0),
.I1(\Lyy_2_reg_n_0_[14] ),
.I2(Lyy_1[14]),
.I3(\Lyy_0_reg_n_0_[14] ),
.O(Lyy0_carry__2_i_5_n_0));
(* HLUTNM = "lutpair23" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__2_i_6
(.I0(Lyy_1[13]),
.I1(\Lyy_2_reg_n_0_[13] ),
.I2(\Lyy_0_reg_n_0_[13] ),
.I3(Lyy0_carry__2_i_2_n_0),
.O(Lyy0_carry__2_i_6_n_0));
(* HLUTNM = "lutpair22" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry__2_i_7
(.I0(Lyy_1[12]),
.I1(\Lyy_2_reg_n_0_[12] ),
.I2(\Lyy_0_reg_n_0_[12] ),
.I3(Lyy0_carry__2_i_3_n_0),
.O(Lyy0_carry__2_i_7_n_0));
(* HLUTNM = "lutpair12" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry_i_1
(.I0(Lyy_1[2]),
.I1(\Lyy_2_reg_n_0_[2] ),
.I2(\Lyy_0_reg_n_0_[2] ),
.O(Lyy0_carry_i_1_n_0));
(* HLUTNM = "lutpair25" *)
LUT3 #(
.INIT(8'hD4))
Lyy0_carry_i_2
(.I0(Lyy_1[1]),
.I1(\Lyy_2_reg_n_0_[1] ),
.I2(\Lyy_0_reg_n_0_[1] ),
.O(Lyy0_carry_i_2_n_0));
(* HLUTNM = "lutpair13" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry_i_3
(.I0(Lyy_1[3]),
.I1(\Lyy_2_reg_n_0_[3] ),
.I2(\Lyy_0_reg_n_0_[3] ),
.I3(Lyy0_carry_i_1_n_0),
.O(Lyy0_carry_i_3_n_0));
(* HLUTNM = "lutpair12" *)
LUT4 #(
.INIT(16'h9669))
Lyy0_carry_i_4
(.I0(Lyy_1[2]),
.I1(\Lyy_2_reg_n_0_[2] ),
.I2(\Lyy_0_reg_n_0_[2] ),
.I3(Lyy0_carry_i_2_n_0),
.O(Lyy0_carry_i_4_n_0));
(* HLUTNM = "lutpair25" *)
LUT3 #(
.INIT(8'h96))
Lyy0_carry_i_5
(.I0(Lyy_1[1]),
.I1(\Lyy_2_reg_n_0_[1] ),
.I2(\Lyy_0_reg_n_0_[1] ),
.O(Lyy0_carry_i_5_n_0));
LUT2 #(
.INIT(4'h6))
Lyy0_carry_i_6
(.I0(\Lyy_2_reg_n_0_[0] ),
.I1(\Lyy_0_reg_n_0_[0] ),
.O(Lyy0_carry_i_6_n_0));
LUT6 #(
.INIT(64'h0000000000000080))
\Lyy_0[15]_i_1
(.I0(rst),
.I1(active),
.I2(cycle[2]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[3]),
.I5(\cycle_reg[0]_rep_n_0 ),
.O(Lyy_0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[0]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[0]),
.Q(\Lyy_0_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[10]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[10]),
.Q(\Lyy_0_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[11]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[11]),
.Q(\Lyy_0_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[12]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[12]),
.Q(\Lyy_0_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[13]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[13]),
.Q(\Lyy_0_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[14]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[14]),
.Q(\Lyy_0_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[15]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[15]),
.Q(\Lyy_0_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[1]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[1]),
.Q(\Lyy_0_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[2]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[2]),
.Q(\Lyy_0_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[3]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[3]),
.Q(\Lyy_0_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[4]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[4]),
.Q(\Lyy_0_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[5]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[5]),
.Q(\Lyy_0_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[6]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[6]),
.Q(\Lyy_0_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[7]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[7]),
.Q(\Lyy_0_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[8]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[8]),
.Q(\Lyy_0_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_0_reg[9]
(.C(clk_x16),
.CE(Lyy_0),
.D(Lxx_00[9]),
.Q(\Lyy_0_reg_n_0_[9] ),
.R(1'b0));
LUT6 #(
.INIT(64'h0000000040000000))
\Lyy_1[15]_i_1
(.I0(cycle[3]),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(rst),
.I3(active),
.I4(cycle[0]),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(y1));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[10]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[9]),
.Q(Lyy_1[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[11]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[10]),
.Q(Lyy_1[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[12]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[11]),
.Q(Lyy_1[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[13]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[12]),
.Q(Lyy_1[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[14]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[13]),
.Q(Lyy_1[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[15]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[14]),
.Q(Lyy_1[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[1]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[0]),
.Q(Lyy_1[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[2]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[1]),
.Q(Lyy_1[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[3]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[2]),
.Q(Lyy_1[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[4]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[3]),
.Q(Lyy_1[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[5]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[4]),
.Q(Lyy_1[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[6]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[5]),
.Q(Lyy_1[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[7]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[6]),
.Q(Lyy_1[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[8]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[7]),
.Q(Lyy_1[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_1_reg[9]
(.C(clk_x16),
.CE(y1),
.D(Lxx_11[8]),
.Q(Lyy_1[9]),
.R(1'b0));
CARRY4 Lyy_20__1_carry
(.CI(1'b0),
.CO({Lyy_20__1_carry_n_0,Lyy_20__1_carry_n_1,Lyy_20__1_carry_n_2,Lyy_20__1_carry_n_3}),
.CYINIT(1'b0),
.DI({Lyy_20__1_carry_i_1_n_0,Lyy_20__1_carry_i_2_n_0,Lyy_20__1_carry_i_3_n_0,Lyy_2_bottom_right[0]}),
.O(Lyy_20[3:0]),
.S({Lyy_20__1_carry_i_4_n_0,Lyy_20__1_carry_i_5_n_0,Lyy_20__1_carry_i_6_n_0,Lyy_20__1_carry_i_7_n_0}));
CARRY4 Lyy_20__1_carry__0
(.CI(Lyy_20__1_carry_n_0),
.CO({Lyy_20__1_carry__0_n_0,Lyy_20__1_carry__0_n_1,Lyy_20__1_carry__0_n_2,Lyy_20__1_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lyy_20__1_carry__0_i_1_n_0,Lyy_20__1_carry__0_i_2_n_0,Lyy_20__1_carry__0_i_3_n_0,Lyy_20__1_carry__0_i_4_n_0}),
.O(Lyy_20[7:4]),
.S({Lyy_20__1_carry__0_i_5_n_0,Lyy_20__1_carry__0_i_6_n_0,Lyy_20__1_carry__0_i_7_n_0,Lyy_20__1_carry__0_i_8_n_0}));
LUT5 #(
.INIT(32'hFF969600))
Lyy_20__1_carry__0_i_1
(.I0(Lyy_2_top_left[6]),
.I1(Lyy_2_bottom_left[6]),
.I2(Lyy_2_top_right[6]),
.I3(Lyy_20__1_carry__0_i_9_n_0),
.I4(Lyy_2_bottom_right[6]),
.O(Lyy_20__1_carry__0_i_1_n_0));
(* SOFT_HLUTNM = "soft_lutpair51" *)
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry__0_i_10
(.I0(Lyy_2_top_left[5]),
.I1(Lyy_2_bottom_left[5]),
.I2(Lyy_2_top_right[5]),
.O(Lyy_20__1_carry__0_i_10_n_0));
(* SOFT_HLUTNM = "soft_lutpair52" *)
LUT3 #(
.INIT(8'h4D))
Lyy_20__1_carry__0_i_11
(.I0(Lyy_2_top_right[3]),
.I1(Lyy_2_top_left[3]),
.I2(Lyy_2_bottom_left[3]),
.O(Lyy_20__1_carry__0_i_11_n_0));
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry__0_i_12
(.I0(Lyy_2_top_left[7]),
.I1(Lyy_2_bottom_left[7]),
.I2(Lyy_2_top_right[7]),
.O(Lyy_20__1_carry__0_i_12_n_0));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__0_i_2
(.I0(Lyy_2_bottom_right[5]),
.I1(Lyy_2_bottom_left[4]),
.I2(Lyy_2_top_left[4]),
.I3(Lyy_2_top_right[4]),
.I4(Lyy_20__1_carry__0_i_10_n_0),
.O(Lyy_20__1_carry__0_i_2_n_0));
LUT5 #(
.INIT(32'hFF969600))
Lyy_20__1_carry__0_i_3
(.I0(Lyy_2_top_left[4]),
.I1(Lyy_2_bottom_left[4]),
.I2(Lyy_2_top_right[4]),
.I3(Lyy_20__1_carry__0_i_11_n_0),
.I4(Lyy_2_bottom_right[4]),
.O(Lyy_20__1_carry__0_i_3_n_0));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__0_i_4
(.I0(Lyy_2_bottom_right[3]),
.I1(Lyy_2_bottom_left[2]),
.I2(Lyy_2_top_left[2]),
.I3(Lyy_2_top_right[2]),
.I4(Lyy_20__1_carry_i_8_n_0),
.O(Lyy_20__1_carry__0_i_4_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry__0_i_5
(.I0(Lyy_20__1_carry__0_i_1_n_0),
.I1(Lyy_20__1_carry__0_i_12_n_0),
.I2(Lyy_2_bottom_right[7]),
.I3(Lyy_2_top_right[6]),
.I4(Lyy_2_top_left[6]),
.I5(Lyy_2_bottom_left[6]),
.O(Lyy_20__1_carry__0_i_5_n_0));
LUT6 #(
.INIT(64'h6996966996696996))
Lyy_20__1_carry__0_i_6
(.I0(Lyy_20__1_carry__0_i_2_n_0),
.I1(Lyy_2_top_right[6]),
.I2(Lyy_2_bottom_left[6]),
.I3(Lyy_2_top_left[6]),
.I4(Lyy_2_bottom_right[6]),
.I5(Lyy_20__1_carry__0_i_9_n_0),
.O(Lyy_20__1_carry__0_i_6_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry__0_i_7
(.I0(Lyy_20__1_carry__0_i_3_n_0),
.I1(Lyy_20__1_carry__0_i_10_n_0),
.I2(Lyy_2_bottom_right[5]),
.I3(Lyy_2_top_right[4]),
.I4(Lyy_2_top_left[4]),
.I5(Lyy_2_bottom_left[4]),
.O(Lyy_20__1_carry__0_i_7_n_0));
LUT6 #(
.INIT(64'h6996966996696996))
Lyy_20__1_carry__0_i_8
(.I0(Lyy_20__1_carry__0_i_4_n_0),
.I1(Lyy_2_top_right[4]),
.I2(Lyy_2_bottom_left[4]),
.I3(Lyy_2_top_left[4]),
.I4(Lyy_2_bottom_right[4]),
.I5(Lyy_20__1_carry__0_i_11_n_0),
.O(Lyy_20__1_carry__0_i_8_n_0));
(* SOFT_HLUTNM = "soft_lutpair51" *)
LUT3 #(
.INIT(8'h4D))
Lyy_20__1_carry__0_i_9
(.I0(Lyy_2_top_right[5]),
.I1(Lyy_2_top_left[5]),
.I2(Lyy_2_bottom_left[5]),
.O(Lyy_20__1_carry__0_i_9_n_0));
CARRY4 Lyy_20__1_carry__1
(.CI(Lyy_20__1_carry__0_n_0),
.CO({Lyy_20__1_carry__1_n_0,Lyy_20__1_carry__1_n_1,Lyy_20__1_carry__1_n_2,Lyy_20__1_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lyy_20__1_carry__1_i_1_n_0,Lyy_20__1_carry__1_i_2_n_0,Lyy_20__1_carry__1_i_3_n_0,Lyy_20__1_carry__1_i_4_n_0}),
.O(Lyy_20[11:8]),
.S({Lyy_20__1_carry__1_i_5_n_0,Lyy_20__1_carry__1_i_6_n_0,Lyy_20__1_carry__1_i_7_n_0,Lyy_20__1_carry__1_i_8_n_0}));
LUT5 #(
.INIT(32'hFF969600))
Lyy_20__1_carry__1_i_1
(.I0(Lyy_2_top_left[10]),
.I1(Lyy_2_bottom_left[10]),
.I2(Lyy_2_top_right[10]),
.I3(Lyy_20__1_carry__1_i_9_n_0),
.I4(Lyy_2_bottom_right[10]),
.O(Lyy_20__1_carry__1_i_1_n_0));
(* SOFT_HLUTNM = "soft_lutpair50" *)
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry__1_i_10
(.I0(Lyy_2_top_left[9]),
.I1(Lyy_2_bottom_left[9]),
.I2(Lyy_2_top_right[9]),
.O(Lyy_20__1_carry__1_i_10_n_0));
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry__1_i_11
(.I0(Lyy_2_top_left[8]),
.I1(Lyy_2_bottom_left[8]),
.I2(Lyy_2_top_right[8]),
.O(Lyy_20__1_carry__1_i_11_n_0));
LUT3 #(
.INIT(8'h4D))
Lyy_20__1_carry__1_i_12
(.I0(Lyy_2_top_right[10]),
.I1(Lyy_2_top_left[10]),
.I2(Lyy_2_bottom_left[10]),
.O(Lyy_20__1_carry__1_i_12_n_0));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__1_i_2
(.I0(Lyy_2_bottom_right[9]),
.I1(Lyy_2_bottom_left[8]),
.I2(Lyy_2_top_left[8]),
.I3(Lyy_2_top_right[8]),
.I4(Lyy_20__1_carry__1_i_10_n_0),
.O(Lyy_20__1_carry__1_i_2_n_0));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__1_i_3
(.I0(Lyy_2_bottom_right[8]),
.I1(Lyy_2_bottom_left[7]),
.I2(Lyy_2_top_left[7]),
.I3(Lyy_2_top_right[7]),
.I4(Lyy_20__1_carry__1_i_11_n_0),
.O(Lyy_20__1_carry__1_i_3_n_0));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__1_i_4
(.I0(Lyy_2_bottom_right[7]),
.I1(Lyy_2_bottom_left[6]),
.I2(Lyy_2_top_left[6]),
.I3(Lyy_2_top_right[6]),
.I4(Lyy_20__1_carry__0_i_12_n_0),
.O(Lyy_20__1_carry__1_i_4_n_0));
LUT6 #(
.INIT(64'h6996966996696996))
Lyy_20__1_carry__1_i_5
(.I0(Lyy_20__1_carry__1_i_1_n_0),
.I1(Lyy_2_top_right[11]),
.I2(Lyy_2_bottom_left[11]),
.I3(Lyy_2_top_left[11]),
.I4(Lyy_2_bottom_right[11]),
.I5(Lyy_20__1_carry__1_i_12_n_0),
.O(Lyy_20__1_carry__1_i_5_n_0));
LUT6 #(
.INIT(64'h6996966996696996))
Lyy_20__1_carry__1_i_6
(.I0(Lyy_20__1_carry__1_i_2_n_0),
.I1(Lyy_2_top_right[10]),
.I2(Lyy_2_bottom_left[10]),
.I3(Lyy_2_top_left[10]),
.I4(Lyy_2_bottom_right[10]),
.I5(Lyy_20__1_carry__1_i_9_n_0),
.O(Lyy_20__1_carry__1_i_6_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry__1_i_7
(.I0(Lyy_20__1_carry__1_i_3_n_0),
.I1(Lyy_20__1_carry__1_i_10_n_0),
.I2(Lyy_2_bottom_right[9]),
.I3(Lyy_2_top_right[8]),
.I4(Lyy_2_top_left[8]),
.I5(Lyy_2_bottom_left[8]),
.O(Lyy_20__1_carry__1_i_7_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry__1_i_8
(.I0(Lyy_20__1_carry__1_i_4_n_0),
.I1(Lyy_20__1_carry__1_i_11_n_0),
.I2(Lyy_2_bottom_right[8]),
.I3(Lyy_2_top_right[7]),
.I4(Lyy_2_top_left[7]),
.I5(Lyy_2_bottom_left[7]),
.O(Lyy_20__1_carry__1_i_8_n_0));
(* SOFT_HLUTNM = "soft_lutpair50" *)
LUT3 #(
.INIT(8'h4D))
Lyy_20__1_carry__1_i_9
(.I0(Lyy_2_top_right[9]),
.I1(Lyy_2_top_left[9]),
.I2(Lyy_2_bottom_left[9]),
.O(Lyy_20__1_carry__1_i_9_n_0));
CARRY4 Lyy_20__1_carry__2
(.CI(Lyy_20__1_carry__1_n_0),
.CO({NLW_Lyy_20__1_carry__2_CO_UNCONNECTED[3],Lyy_20__1_carry__2_n_1,Lyy_20__1_carry__2_n_2,Lyy_20__1_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lyy_20__1_carry__2_i_1_n_0,Lyy_20__1_carry__2_i_2_n_0,Lyy_20__1_carry__2_i_3_n_0}),
.O(Lyy_20[15:12]),
.S({Lyy_20__1_carry__2_i_4_n_0,Lyy_20__1_carry__2_i_5_n_0,Lyy_20__1_carry__2_i_6_n_0,Lyy_20__1_carry__2_i_7_n_0}));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__2_i_1
(.I0(Lyy_2_bottom_right[13]),
.I1(Lyy_2_top_right[12]),
.I2(Lyy_2_top_left[12]),
.I3(Lyy_2_bottom_left[12]),
.I4(Lyy_20__1_carry__2_i_8_n_0),
.O(Lyy_20__1_carry__2_i_1_n_0));
(* SOFT_HLUTNM = "soft_lutpair49" *)
LUT3 #(
.INIT(8'h2B))
Lyy_20__1_carry__2_i_10
(.I0(Lyy_2_top_left[13]),
.I1(Lyy_2_bottom_left[13]),
.I2(Lyy_2_top_right[13]),
.O(Lyy_20__1_carry__2_i_10_n_0));
LUT4 #(
.INIT(16'h6996))
Lyy_20__1_carry__2_i_11
(.I0(Lyy_2_top_right[15]),
.I1(Lyy_2_bottom_left[15]),
.I2(Lyy_2_top_left[15]),
.I3(Lyy_2_bottom_right[15]),
.O(Lyy_20__1_carry__2_i_11_n_0));
LUT5 #(
.INIT(32'hBAFB20A2))
Lyy_20__1_carry__2_i_2
(.I0(Lyy_2_bottom_right[12]),
.I1(Lyy_2_bottom_left[11]),
.I2(Lyy_2_top_left[11]),
.I3(Lyy_2_top_right[11]),
.I4(Lyy_20__1_carry__2_i_9_n_0),
.O(Lyy_20__1_carry__2_i_2_n_0));
LUT5 #(
.INIT(32'hFF969600))
Lyy_20__1_carry__2_i_3
(.I0(Lyy_2_top_left[11]),
.I1(Lyy_2_bottom_left[11]),
.I2(Lyy_2_top_right[11]),
.I3(Lyy_20__1_carry__1_i_12_n_0),
.I4(Lyy_2_bottom_right[11]),
.O(Lyy_20__1_carry__2_i_3_n_0));
LUT6 #(
.INIT(64'h1E78871E871EE187))
Lyy_20__1_carry__2_i_4
(.I0(Lyy_2_bottom_right[14]),
.I1(Lyy_20__1_carry__2_i_10_n_0),
.I2(Lyy_20__1_carry__2_i_11_n_0),
.I3(Lyy_2_top_left[14]),
.I4(Lyy_2_bottom_left[14]),
.I5(Lyy_2_top_right[14]),
.O(Lyy_20__1_carry__2_i_4_n_0));
LUT6 #(
.INIT(64'h6996966996696996))
Lyy_20__1_carry__2_i_5
(.I0(Lyy_20__1_carry__2_i_1_n_0),
.I1(Lyy_2_top_right[14]),
.I2(Lyy_2_bottom_left[14]),
.I3(Lyy_2_top_left[14]),
.I4(Lyy_2_bottom_right[14]),
.I5(Lyy_20__1_carry__2_i_10_n_0),
.O(Lyy_20__1_carry__2_i_5_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry__2_i_6
(.I0(Lyy_20__1_carry__2_i_2_n_0),
.I1(Lyy_20__1_carry__2_i_8_n_0),
.I2(Lyy_2_bottom_right[13]),
.I3(Lyy_2_bottom_left[12]),
.I4(Lyy_2_top_left[12]),
.I5(Lyy_2_top_right[12]),
.O(Lyy_20__1_carry__2_i_6_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry__2_i_7
(.I0(Lyy_20__1_carry__2_i_3_n_0),
.I1(Lyy_20__1_carry__2_i_9_n_0),
.I2(Lyy_2_bottom_right[12]),
.I3(Lyy_2_top_right[11]),
.I4(Lyy_2_top_left[11]),
.I5(Lyy_2_bottom_left[11]),
.O(Lyy_20__1_carry__2_i_7_n_0));
(* SOFT_HLUTNM = "soft_lutpair49" *)
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry__2_i_8
(.I0(Lyy_2_top_left[13]),
.I1(Lyy_2_bottom_left[13]),
.I2(Lyy_2_top_right[13]),
.O(Lyy_20__1_carry__2_i_8_n_0));
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry__2_i_9
(.I0(Lyy_2_top_left[12]),
.I1(Lyy_2_bottom_left[12]),
.I2(Lyy_2_top_right[12]),
.O(Lyy_20__1_carry__2_i_9_n_0));
LUT6 #(
.INIT(64'h96FFFFFF00969696))
Lyy_20__1_carry_i_1
(.I0(Lyy_2_top_left[2]),
.I1(Lyy_2_bottom_left[2]),
.I2(Lyy_2_top_right[2]),
.I3(Lyy_2_top_right[1]),
.I4(Lyy_2_bottom_left[1]),
.I5(Lyy_2_bottom_right[2]),
.O(Lyy_20__1_carry_i_1_n_0));
LUT4 #(
.INIT(16'hF990))
Lyy_20__1_carry_i_2
(.I0(Lyy_2_top_right[1]),
.I1(Lyy_2_bottom_left[1]),
.I2(Lyy_2_top_left[1]),
.I3(Lyy_2_bottom_right[1]),
.O(Lyy_20__1_carry_i_2_n_0));
LUT4 #(
.INIT(16'h9669))
Lyy_20__1_carry_i_3
(.I0(Lyy_2_bottom_left[1]),
.I1(Lyy_2_top_right[1]),
.I2(Lyy_2_top_left[1]),
.I3(Lyy_2_bottom_right[1]),
.O(Lyy_20__1_carry_i_3_n_0));
LUT6 #(
.INIT(64'h9669969669699669))
Lyy_20__1_carry_i_4
(.I0(Lyy_20__1_carry_i_1_n_0),
.I1(Lyy_20__1_carry_i_8_n_0),
.I2(Lyy_2_bottom_right[3]),
.I3(Lyy_2_top_right[2]),
.I4(Lyy_2_top_left[2]),
.I5(Lyy_2_bottom_left[2]),
.O(Lyy_20__1_carry_i_4_n_0));
LUT6 #(
.INIT(64'h6996966996696996))
Lyy_20__1_carry_i_5
(.I0(Lyy_20__1_carry_i_2_n_0),
.I1(Lyy_2_top_right[2]),
.I2(Lyy_2_bottom_left[2]),
.I3(Lyy_2_top_left[2]),
.I4(Lyy_2_bottom_right[2]),
.I5(Lyy_20__1_carry_i_9_n_0),
.O(Lyy_20__1_carry_i_5_n_0));
LUT4 #(
.INIT(16'h9A59))
Lyy_20__1_carry_i_6
(.I0(Lyy_20__1_carry_i_3_n_0),
.I1(Lyy_2_bottom_left[0]),
.I2(Lyy_2_top_left[0]),
.I3(Lyy_2_top_right[0]),
.O(Lyy_20__1_carry_i_6_n_0));
LUT4 #(
.INIT(16'h6996))
Lyy_20__1_carry_i_7
(.I0(Lyy_2_top_right[0]),
.I1(Lyy_2_bottom_left[0]),
.I2(Lyy_2_top_left[0]),
.I3(Lyy_2_bottom_right[0]),
.O(Lyy_20__1_carry_i_7_n_0));
(* SOFT_HLUTNM = "soft_lutpair52" *)
LUT3 #(
.INIT(8'h96))
Lyy_20__1_carry_i_8
(.I0(Lyy_2_top_left[3]),
.I1(Lyy_2_bottom_left[3]),
.I2(Lyy_2_top_right[3]),
.O(Lyy_20__1_carry_i_8_n_0));
LUT2 #(
.INIT(4'h7))
Lyy_20__1_carry_i_9
(.I0(Lyy_2_bottom_left[1]),
.I1(Lyy_2_top_right[1]),
.O(Lyy_20__1_carry_i_9_n_0));
LUT6 #(
.INIT(64'h0020000000000000))
\Lyy_2[15]_i_1
(.I0(\cycle_reg[1]_rep_n_0 ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(rst),
.I5(active),
.O(\Lyy_2[15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[0]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [0]),
.Q(Lyy_2_bottom_left[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[10]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [10]),
.Q(Lyy_2_bottom_left[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[11]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [11]),
.Q(Lyy_2_bottom_left[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[12]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [12]),
.Q(Lyy_2_bottom_left[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[13]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [13]),
.Q(Lyy_2_bottom_left[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[14]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [14]),
.Q(Lyy_2_bottom_left[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[15]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [15]),
.Q(Lyy_2_bottom_left[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[1]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [1]),
.Q(Lyy_2_bottom_left[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[2]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [2]),
.Q(Lyy_2_bottom_left[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[3]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [3]),
.Q(Lyy_2_bottom_left[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[4]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [4]),
.Q(Lyy_2_bottom_left[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[5]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [5]),
.Q(Lyy_2_bottom_left[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[6]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [6]),
.Q(Lyy_2_bottom_left[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[7]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [7]),
.Q(Lyy_2_bottom_left[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[8]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [8]),
.Q(Lyy_2_bottom_left[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_left_reg[9]
(.C(clk_x16),
.CE(y5),
.D(\cache_reg[4]_0 [9]),
.Q(Lyy_2_bottom_left[9]),
.R(1'b0));
CARRY4 Lyy_2_bottom_right0__0_carry
(.CI(1'b0),
.CO({Lyy_2_bottom_right0__0_carry_n_0,Lyy_2_bottom_right0__0_carry_n_1,Lyy_2_bottom_right0__0_carry_n_2,Lyy_2_bottom_right0__0_carry_n_3}),
.CYINIT(1'b0),
.DI({Lyy_2_bottom_right0__0_carry_i_1_n_0,Lyy_2_bottom_right0__0_carry_i_2_n_0,Lyy_2_bottom_right0__0_carry_i_3_n_0,Lyy_2_bottom_right0__0_carry_i_4_n_0}),
.O(Lyy_2_bottom_right01_out[3:0]),
.S({Lyy_2_bottom_right0__0_carry_i_5_n_0,Lyy_2_bottom_right0__0_carry_i_6_n_0,Lyy_2_bottom_right0__0_carry_i_7_n_0,Lyy_2_bottom_right0__0_carry_i_8_n_0}));
CARRY4 Lyy_2_bottom_right0__0_carry__0
(.CI(Lyy_2_bottom_right0__0_carry_n_0),
.CO({Lyy_2_bottom_right0__0_carry__0_n_0,Lyy_2_bottom_right0__0_carry__0_n_1,Lyy_2_bottom_right0__0_carry__0_n_2,Lyy_2_bottom_right0__0_carry__0_n_3}),
.CYINIT(1'b0),
.DI({Lyy_2_bottom_right0__0_carry__0_i_1_n_0,Lyy_2_bottom_right0__0_carry__0_i_2_n_0,Lyy_2_bottom_right0__0_carry__0_i_3_n_0,Lyy_2_bottom_right0__0_carry__0_i_4_n_0}),
.O(Lyy_2_bottom_right01_out[7:4]),
.S({Lyy_2_bottom_right0__0_carry__0_i_5_n_0,Lyy_2_bottom_right0__0_carry__0_i_6_n_0,Lyy_2_bottom_right0__0_carry__0_i_7_n_0,Lyy_2_bottom_right0__0_carry__0_i_8_n_0}));
LUT5 #(
.INIT(32'hEE8E8E88))
Lyy_2_bottom_right0__0_carry__0_i_1
(.I0(last_value[6]),
.I1(Lyy_2_bottom_right0__0_carry__0_i_9_n_0),
.I2(\corner_reg_n_0_[5] ),
.I3(\top_reg_n_0_[5] ),
.I4(\left_reg_n_0_[5] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_1_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__0_i_10
(.I0(\corner_reg_n_0_[5] ),
.I1(\left_reg_n_0_[5] ),
.I2(\top_reg_n_0_[5] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_10_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__0_i_11
(.I0(\corner_reg_n_0_[4] ),
.I1(\left_reg_n_0_[4] ),
.I2(\top_reg_n_0_[4] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_11_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__0_i_12
(.I0(\corner_reg_n_0_[7] ),
.I1(\left_reg_n_0_[7] ),
.I2(\top_reg_n_0_[7] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_12_n_0));
LUT5 #(
.INIT(32'hEE8E8E88))
Lyy_2_bottom_right0__0_carry__0_i_2
(.I0(last_value[5]),
.I1(Lyy_2_bottom_right0__0_carry__0_i_10_n_0),
.I2(\corner_reg_n_0_[4] ),
.I3(\top_reg_n_0_[4] ),
.I4(\left_reg_n_0_[4] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_2_n_0));
LUT5 #(
.INIT(32'hEE8E8E88))
Lyy_2_bottom_right0__0_carry__0_i_3
(.I0(last_value[4]),
.I1(Lyy_2_bottom_right0__0_carry__0_i_11_n_0),
.I2(\corner_reg_n_0_[3] ),
.I3(\top_reg_n_0_[3] ),
.I4(\left_reg_n_0_[3] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_3_n_0));
LUT5 #(
.INIT(32'hEE8E8E88))
Lyy_2_bottom_right0__0_carry__0_i_4
(.I0(last_value[3]),
.I1(Lyy_2_bottom_right0__0_carry_i_10_n_0),
.I2(\corner_reg_n_0_[2] ),
.I3(\top_reg_n_0_[2] ),
.I4(\left_reg_n_0_[2] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_4_n_0));
LUT6 #(
.INIT(64'h6996969669696996))
Lyy_2_bottom_right0__0_carry__0_i_5
(.I0(Lyy_2_bottom_right0__0_carry__0_i_1_n_0),
.I1(Lyy_2_bottom_right0__0_carry__0_i_12_n_0),
.I2(last_value[7]),
.I3(\left_reg_n_0_[6] ),
.I4(\top_reg_n_0_[6] ),
.I5(\corner_reg_n_0_[6] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_5_n_0));
LUT6 #(
.INIT(64'h6996969669696996))
Lyy_2_bottom_right0__0_carry__0_i_6
(.I0(Lyy_2_bottom_right0__0_carry__0_i_2_n_0),
.I1(Lyy_2_bottom_right0__0_carry__0_i_9_n_0),
.I2(last_value[6]),
.I3(\left_reg_n_0_[5] ),
.I4(\top_reg_n_0_[5] ),
.I5(\corner_reg_n_0_[5] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_6_n_0));
LUT6 #(
.INIT(64'h6996969669696996))
Lyy_2_bottom_right0__0_carry__0_i_7
(.I0(Lyy_2_bottom_right0__0_carry__0_i_3_n_0),
.I1(Lyy_2_bottom_right0__0_carry__0_i_10_n_0),
.I2(last_value[5]),
.I3(\left_reg_n_0_[4] ),
.I4(\top_reg_n_0_[4] ),
.I5(\corner_reg_n_0_[4] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_7_n_0));
LUT6 #(
.INIT(64'h6996969669696996))
Lyy_2_bottom_right0__0_carry__0_i_8
(.I0(Lyy_2_bottom_right0__0_carry__0_i_4_n_0),
.I1(Lyy_2_bottom_right0__0_carry__0_i_11_n_0),
.I2(last_value[4]),
.I3(\left_reg_n_0_[3] ),
.I4(\top_reg_n_0_[3] ),
.I5(\corner_reg_n_0_[3] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_8_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__0_i_9
(.I0(\corner_reg_n_0_[6] ),
.I1(\left_reg_n_0_[6] ),
.I2(\top_reg_n_0_[6] ),
.O(Lyy_2_bottom_right0__0_carry__0_i_9_n_0));
CARRY4 Lyy_2_bottom_right0__0_carry__1
(.CI(Lyy_2_bottom_right0__0_carry__0_n_0),
.CO({Lyy_2_bottom_right0__0_carry__1_n_0,Lyy_2_bottom_right0__0_carry__1_n_1,Lyy_2_bottom_right0__0_carry__1_n_2,Lyy_2_bottom_right0__0_carry__1_n_3}),
.CYINIT(1'b0),
.DI({Lyy_2_bottom_right0__0_carry__1_i_1_n_0,Lyy_2_bottom_right0__0_carry__1_i_2_n_0,Lyy_2_bottom_right0__0_carry__1_i_3_n_0,Lyy_2_bottom_right0__0_carry__1_i_4_n_0}),
.O(Lyy_2_bottom_right01_out[11:8]),
.S({Lyy_2_bottom_right0__0_carry__1_i_5_n_0,Lyy_2_bottom_right0__0_carry__1_i_6_n_0,Lyy_2_bottom_right0__0_carry__1_i_7_n_0,Lyy_2_bottom_right0__0_carry__1_i_8_n_0}));
LUT6 #(
.INIT(64'h6969006900690000))
Lyy_2_bottom_right0__0_carry__1_i_1
(.I0(\top_reg_n_0_[10] ),
.I1(\left_reg_n_0_[10] ),
.I2(\corner_reg_n_0_[10] ),
.I3(\corner_reg_n_0_[9] ),
.I4(\top_reg_n_0_[9] ),
.I5(\left_reg_n_0_[9] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_1_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__1_i_10
(.I0(\corner_reg_n_0_[10] ),
.I1(\left_reg_n_0_[10] ),
.I2(\top_reg_n_0_[10] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_10_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__1_i_11
(.I0(\corner_reg_n_0_[9] ),
.I1(\left_reg_n_0_[9] ),
.I2(\top_reg_n_0_[9] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_11_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__1_i_12
(.I0(\corner_reg_n_0_[8] ),
.I1(\left_reg_n_0_[8] ),
.I2(\top_reg_n_0_[8] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_12_n_0));
LUT6 #(
.INIT(64'h6969006900690000))
Lyy_2_bottom_right0__0_carry__1_i_2
(.I0(\top_reg_n_0_[9] ),
.I1(\left_reg_n_0_[9] ),
.I2(\corner_reg_n_0_[9] ),
.I3(\corner_reg_n_0_[8] ),
.I4(\top_reg_n_0_[8] ),
.I5(\left_reg_n_0_[8] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_2_n_0));
LUT6 #(
.INIT(64'h6969006900690000))
Lyy_2_bottom_right0__0_carry__1_i_3
(.I0(\top_reg_n_0_[8] ),
.I1(\left_reg_n_0_[8] ),
.I2(\corner_reg_n_0_[8] ),
.I3(\corner_reg_n_0_[7] ),
.I4(\top_reg_n_0_[7] ),
.I5(\left_reg_n_0_[7] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_3_n_0));
LUT5 #(
.INIT(32'hEE8E8E88))
Lyy_2_bottom_right0__0_carry__1_i_4
(.I0(last_value[7]),
.I1(Lyy_2_bottom_right0__0_carry__0_i_12_n_0),
.I2(\corner_reg_n_0_[6] ),
.I3(\top_reg_n_0_[6] ),
.I4(\left_reg_n_0_[6] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_4_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__1_i_5
(.I0(Lyy_2_bottom_right0__0_carry__1_i_1_n_0),
.I1(Lyy_2_bottom_right0__0_carry__1_i_9_n_0),
.I2(\left_reg_n_0_[10] ),
.I3(\top_reg_n_0_[10] ),
.I4(\corner_reg_n_0_[10] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_5_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__1_i_6
(.I0(Lyy_2_bottom_right0__0_carry__1_i_2_n_0),
.I1(Lyy_2_bottom_right0__0_carry__1_i_10_n_0),
.I2(\left_reg_n_0_[9] ),
.I3(\top_reg_n_0_[9] ),
.I4(\corner_reg_n_0_[9] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_6_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__1_i_7
(.I0(Lyy_2_bottom_right0__0_carry__1_i_3_n_0),
.I1(Lyy_2_bottom_right0__0_carry__1_i_11_n_0),
.I2(\left_reg_n_0_[8] ),
.I3(\top_reg_n_0_[8] ),
.I4(\corner_reg_n_0_[8] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_7_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__1_i_8
(.I0(Lyy_2_bottom_right0__0_carry__1_i_4_n_0),
.I1(Lyy_2_bottom_right0__0_carry__1_i_12_n_0),
.I2(\left_reg_n_0_[7] ),
.I3(\top_reg_n_0_[7] ),
.I4(\corner_reg_n_0_[7] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_8_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__1_i_9
(.I0(\corner_reg_n_0_[11] ),
.I1(\left_reg_n_0_[11] ),
.I2(\top_reg_n_0_[11] ),
.O(Lyy_2_bottom_right0__0_carry__1_i_9_n_0));
CARRY4 Lyy_2_bottom_right0__0_carry__2
(.CI(Lyy_2_bottom_right0__0_carry__1_n_0),
.CO({NLW_Lyy_2_bottom_right0__0_carry__2_CO_UNCONNECTED[3],Lyy_2_bottom_right0__0_carry__2_n_1,Lyy_2_bottom_right0__0_carry__2_n_2,Lyy_2_bottom_right0__0_carry__2_n_3}),
.CYINIT(1'b0),
.DI({1'b0,Lyy_2_bottom_right0__0_carry__2_i_1_n_0,Lyy_2_bottom_right0__0_carry__2_i_2_n_0,Lyy_2_bottom_right0__0_carry__2_i_3_n_0}),
.O(Lyy_2_bottom_right01_out[15:12]),
.S({Lyy_2_bottom_right0__0_carry__2_i_4_n_0,Lyy_2_bottom_right0__0_carry__2_i_5_n_0,Lyy_2_bottom_right0__0_carry__2_i_6_n_0,Lyy_2_bottom_right0__0_carry__2_i_7_n_0}));
LUT6 #(
.INIT(64'h6969006900690000))
Lyy_2_bottom_right0__0_carry__2_i_1
(.I0(\top_reg_n_0_[13] ),
.I1(\left_reg_n_0_[13] ),
.I2(\corner_reg_n_0_[13] ),
.I3(\corner_reg_n_0_[12] ),
.I4(\top_reg_n_0_[12] ),
.I5(\left_reg_n_0_[12] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_1_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__2_i_10
(.I0(\corner_reg_n_0_[14] ),
.I1(\left_reg_n_0_[14] ),
.I2(\top_reg_n_0_[14] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_10_n_0));
(* SOFT_HLUTNM = "soft_lutpair33" *)
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__2_i_11
(.I0(\corner_reg_n_0_[13] ),
.I1(\left_reg_n_0_[13] ),
.I2(\top_reg_n_0_[13] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_11_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry__2_i_12
(.I0(\corner_reg_n_0_[12] ),
.I1(\left_reg_n_0_[12] ),
.I2(\top_reg_n_0_[12] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_12_n_0));
LUT6 #(
.INIT(64'h6969006900690000))
Lyy_2_bottom_right0__0_carry__2_i_2
(.I0(\top_reg_n_0_[12] ),
.I1(\left_reg_n_0_[12] ),
.I2(\corner_reg_n_0_[12] ),
.I3(\corner_reg_n_0_[11] ),
.I4(\top_reg_n_0_[11] ),
.I5(\left_reg_n_0_[11] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_2_n_0));
LUT6 #(
.INIT(64'h6969006900690000))
Lyy_2_bottom_right0__0_carry__2_i_3
(.I0(\top_reg_n_0_[11] ),
.I1(\left_reg_n_0_[11] ),
.I2(\corner_reg_n_0_[11] ),
.I3(\corner_reg_n_0_[10] ),
.I4(\top_reg_n_0_[10] ),
.I5(\left_reg_n_0_[10] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_3_n_0));
LUT5 #(
.INIT(32'hD77D2882))
Lyy_2_bottom_right0__0_carry__2_i_4
(.I0(Lyy_2_bottom_right0__0_carry__2_i_8_n_0),
.I1(\corner_reg_n_0_[14] ),
.I2(\left_reg_n_0_[14] ),
.I3(\top_reg_n_0_[14] ),
.I4(Lyy_2_bottom_right0__0_carry__2_i_9_n_0),
.O(Lyy_2_bottom_right0__0_carry__2_i_4_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__2_i_5
(.I0(Lyy_2_bottom_right0__0_carry__2_i_1_n_0),
.I1(Lyy_2_bottom_right0__0_carry__2_i_10_n_0),
.I2(\left_reg_n_0_[13] ),
.I3(\top_reg_n_0_[13] ),
.I4(\corner_reg_n_0_[13] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_5_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__2_i_6
(.I0(Lyy_2_bottom_right0__0_carry__2_i_2_n_0),
.I1(Lyy_2_bottom_right0__0_carry__2_i_11_n_0),
.I2(\left_reg_n_0_[12] ),
.I3(\top_reg_n_0_[12] ),
.I4(\corner_reg_n_0_[12] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_6_n_0));
LUT5 #(
.INIT(32'h96669996))
Lyy_2_bottom_right0__0_carry__2_i_7
(.I0(Lyy_2_bottom_right0__0_carry__2_i_3_n_0),
.I1(Lyy_2_bottom_right0__0_carry__2_i_12_n_0),
.I2(\left_reg_n_0_[11] ),
.I3(\top_reg_n_0_[11] ),
.I4(\corner_reg_n_0_[11] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_7_n_0));
(* SOFT_HLUTNM = "soft_lutpair33" *)
LUT3 #(
.INIT(8'h8E))
Lyy_2_bottom_right0__0_carry__2_i_8
(.I0(\left_reg_n_0_[13] ),
.I1(\top_reg_n_0_[13] ),
.I2(\corner_reg_n_0_[13] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_8_n_0));
LUT6 #(
.INIT(64'hD42B2BD42BD4D42B))
Lyy_2_bottom_right0__0_carry__2_i_9
(.I0(\corner_reg_n_0_[14] ),
.I1(\top_reg_n_0_[14] ),
.I2(\left_reg_n_0_[14] ),
.I3(\top_reg_n_0_[15] ),
.I4(\left_reg_n_0_[15] ),
.I5(\corner_reg_n_0_[15] ),
.O(Lyy_2_bottom_right0__0_carry__2_i_9_n_0));
LUT5 #(
.INIT(32'hEE8E8E88))
Lyy_2_bottom_right0__0_carry_i_1
(.I0(last_value[2]),
.I1(Lyy_2_bottom_right0__0_carry_i_9_n_0),
.I2(\corner_reg_n_0_[1] ),
.I3(\top_reg_n_0_[1] ),
.I4(\left_reg_n_0_[1] ),
.O(Lyy_2_bottom_right0__0_carry_i_1_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry_i_10
(.I0(\corner_reg_n_0_[3] ),
.I1(\left_reg_n_0_[3] ),
.I2(\top_reg_n_0_[3] ),
.O(Lyy_2_bottom_right0__0_carry_i_10_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry_i_11
(.I0(\corner_reg_n_0_[1] ),
.I1(\left_reg_n_0_[1] ),
.I2(\top_reg_n_0_[1] ),
.O(Lyy_2_bottom_right0__0_carry_i_11_n_0));
LUT6 #(
.INIT(64'h20BABA20BA2020BA))
Lyy_2_bottom_right0__0_carry_i_2
(.I0(last_value[1]),
.I1(\corner_reg_n_0_[0] ),
.I2(last_value[0]),
.I3(\top_reg_n_0_[1] ),
.I4(\left_reg_n_0_[1] ),
.I5(\corner_reg_n_0_[1] ),
.O(Lyy_2_bottom_right0__0_carry_i_2_n_0));
LUT6 #(
.INIT(64'h9669966969969669))
Lyy_2_bottom_right0__0_carry_i_3
(.I0(\top_reg_n_0_[1] ),
.I1(\left_reg_n_0_[1] ),
.I2(\corner_reg_n_0_[1] ),
.I3(last_value[1]),
.I4(last_value[0]),
.I5(\corner_reg_n_0_[0] ),
.O(Lyy_2_bottom_right0__0_carry_i_3_n_0));
LUT2 #(
.INIT(4'h9))
Lyy_2_bottom_right0__0_carry_i_4
(.I0(\left_reg_n_0_[0] ),
.I1(\top_reg_n_0_[0] ),
.O(Lyy_2_bottom_right0__0_carry_i_4_n_0));
LUT6 #(
.INIT(64'h6996969669696996))
Lyy_2_bottom_right0__0_carry_i_5
(.I0(Lyy_2_bottom_right0__0_carry_i_1_n_0),
.I1(Lyy_2_bottom_right0__0_carry_i_10_n_0),
.I2(last_value[3]),
.I3(\left_reg_n_0_[2] ),
.I4(\top_reg_n_0_[2] ),
.I5(\corner_reg_n_0_[2] ),
.O(Lyy_2_bottom_right0__0_carry_i_5_n_0));
LUT6 #(
.INIT(64'h6996969669696996))
Lyy_2_bottom_right0__0_carry_i_6
(.I0(Lyy_2_bottom_right0__0_carry_i_2_n_0),
.I1(Lyy_2_bottom_right0__0_carry_i_9_n_0),
.I2(last_value[2]),
.I3(\left_reg_n_0_[1] ),
.I4(\top_reg_n_0_[1] ),
.I5(\corner_reg_n_0_[1] ),
.O(Lyy_2_bottom_right0__0_carry_i_6_n_0));
LUT6 #(
.INIT(64'hB44BB44BB44B4BB4))
Lyy_2_bottom_right0__0_carry_i_7
(.I0(\corner_reg_n_0_[0] ),
.I1(last_value[0]),
.I2(last_value[1]),
.I3(Lyy_2_bottom_right0__0_carry_i_11_n_0),
.I4(\left_reg_n_0_[0] ),
.I5(\top_reg_n_0_[0] ),
.O(Lyy_2_bottom_right0__0_carry_i_7_n_0));
LUT4 #(
.INIT(16'h6996))
Lyy_2_bottom_right0__0_carry_i_8
(.I0(\left_reg_n_0_[0] ),
.I1(\top_reg_n_0_[0] ),
.I2(\corner_reg_n_0_[0] ),
.I3(last_value[0]),
.O(Lyy_2_bottom_right0__0_carry_i_8_n_0));
LUT3 #(
.INIT(8'h69))
Lyy_2_bottom_right0__0_carry_i_9
(.I0(\corner_reg_n_0_[2] ),
.I1(\left_reg_n_0_[2] ),
.I2(\top_reg_n_0_[2] ),
.O(Lyy_2_bottom_right0__0_carry_i_9_n_0));
LUT6 #(
.INIT(64'h0000000000000080))
\Lyy_2_bottom_right[15]_i_1
(.I0(cycle[0]),
.I1(active),
.I2(rst),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[3]),
.I5(cycle[2]),
.O(y5));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[0]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[0]),
.Q(Lyy_2_bottom_right[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[10]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[10]),
.Q(Lyy_2_bottom_right[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[11]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[11]),
.Q(Lyy_2_bottom_right[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[12]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[12]),
.Q(Lyy_2_bottom_right[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[13]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[13]),
.Q(Lyy_2_bottom_right[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[14]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[14]),
.Q(Lyy_2_bottom_right[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[15]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[15]),
.Q(Lyy_2_bottom_right[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[1]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[1]),
.Q(Lyy_2_bottom_right[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[2]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[2]),
.Q(Lyy_2_bottom_right[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[3]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[3]),
.Q(Lyy_2_bottom_right[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[4]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[4]),
.Q(Lyy_2_bottom_right[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[5]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[5]),
.Q(Lyy_2_bottom_right[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[6]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[6]),
.Q(Lyy_2_bottom_right[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[7]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[7]),
.Q(Lyy_2_bottom_right[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[8]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[8]),
.Q(Lyy_2_bottom_right[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_bottom_right_reg[9]
(.C(clk_x16),
.CE(y5),
.D(Lyy_2_bottom_right01_out[9]),
.Q(Lyy_2_bottom_right[9]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[0]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[0]),
.Q(\Lyy_2_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[10]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[10]),
.Q(\Lyy_2_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[11]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[11]),
.Q(\Lyy_2_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[12]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[12]),
.Q(\Lyy_2_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[13]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[13]),
.Q(\Lyy_2_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[14]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[14]),
.Q(\Lyy_2_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[15]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[15]),
.Q(\Lyy_2_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[1]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[1]),
.Q(\Lyy_2_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[2]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[2]),
.Q(\Lyy_2_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[3]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[3]),
.Q(\Lyy_2_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[4]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[4]),
.Q(\Lyy_2_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[5]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[5]),
.Q(\Lyy_2_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[6]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[6]),
.Q(\Lyy_2_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[7]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[7]),
.Q(\Lyy_2_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[8]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[8]),
.Q(\Lyy_2_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_reg[9]
(.C(clk_x16),
.CE(\Lyy_2[15]_i_1_n_0 ),
.D(Lyy_20[9]),
.Q(\Lyy_2_reg_n_0_[9] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[0]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[0]),
.Q(Lyy_2_top_left[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[10]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[10]),
.Q(Lyy_2_top_left[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[11]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[11]),
.Q(Lyy_2_top_left[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[12]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[12]),
.Q(Lyy_2_top_left[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[13]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[13]),
.Q(Lyy_2_top_left[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[14]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[14]),
.Q(Lyy_2_top_left[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[15]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[15]),
.Q(Lyy_2_top_left[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[1]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[1]),
.Q(Lyy_2_top_left[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[2]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[2]),
.Q(Lyy_2_top_left[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[3]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[3]),
.Q(Lyy_2_top_left[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[4]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[4]),
.Q(Lyy_2_top_left[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[5]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[5]),
.Q(Lyy_2_top_left[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[6]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[6]),
.Q(Lyy_2_top_left[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[7]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[7]),
.Q(Lyy_2_top_left[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[8]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[8]),
.Q(Lyy_2_top_left[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_left_reg[9]
(.C(clk_x16),
.CE(y1),
.D(bottom_left_1[9]),
.Q(Lyy_2_top_left[9]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[0]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[0] ),
.Q(Lyy_2_top_right[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[10]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[10] ),
.Q(Lyy_2_top_right[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[11]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[11] ),
.Q(Lyy_2_top_right[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[12]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[12] ),
.Q(Lyy_2_top_right[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[13]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[13] ),
.Q(Lyy_2_top_right[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[14]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[14] ),
.Q(Lyy_2_top_right[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[15]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[15] ),
.Q(Lyy_2_top_right[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[1]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[1] ),
.Q(Lyy_2_top_right[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[2]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[2] ),
.Q(Lyy_2_top_right[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[3]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[3] ),
.Q(Lyy_2_top_right[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[4]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[4] ),
.Q(Lyy_2_top_right[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[5]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[5] ),
.Q(Lyy_2_top_right[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[6]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[6] ),
.Q(Lyy_2_top_right[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[7]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[7] ),
.Q(Lyy_2_top_right[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[8]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[8] ),
.Q(Lyy_2_top_right[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\Lyy_2_top_right_reg[9]
(.C(clk_x16),
.CE(y1),
.D(\bottom_right_1_reg_n_0_[9] ),
.Q(Lyy_2_top_right[9]),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair60" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[0]_i_1
(.I0(\compute_addr_0_reg_n_0_[0] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[0] ),
.O(\addr_0[0]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair53" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[10]_i_1
(.I0(\compute_addr_0_reg_n_0_[10] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[10] ),
.O(\addr_0[10]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair39" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[11]_i_1
(.I0(\compute_addr_0_reg_n_0_[11] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[11] ),
.O(\addr_0[11]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair37" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[12]_i_1
(.I0(\compute_addr_0_reg_n_0_[12] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[12] ),
.O(\addr_0[12]_i_1_n_0 ));
LUT6 #(
.INIT(64'h8888888888808888))
\addr_0[13]_i_1
(.I0(rst),
.I1(active),
.I2(cycle[3]),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(cycle[2]),
.O(addr_0));
(* SOFT_HLUTNM = "soft_lutpair36" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[13]_i_2
(.I0(\compute_addr_0_reg_n_0_[13] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[13] ),
.O(\addr_0[13]_i_2_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair56" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[1]_i_1
(.I0(\compute_addr_0_reg_n_0_[1] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[1] ),
.O(\addr_0[1]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair39" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[2]_i_1
(.I0(\compute_addr_0_reg_n_0_[2] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[2] ),
.O(\addr_0[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair36" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[3]_i_1
(.I0(\compute_addr_0_reg_n_0_[3] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[3] ),
.O(\addr_0[3]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair37" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[4]_i_1
(.I0(\compute_addr_0_reg_n_0_[4] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[4] ),
.O(\addr_0[4]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair53" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[5]_i_1
(.I0(\compute_addr_0_reg_n_0_[5] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[5] ),
.O(\addr_0[5]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair60" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[6]_i_1
(.I0(\compute_addr_0_reg_n_0_[6] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[6] ),
.O(\addr_0[6]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair59" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[7]_i_1
(.I0(\compute_addr_0_reg_n_0_[7] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[7] ),
.O(\addr_0[7]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair59" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[8]_i_1
(.I0(\compute_addr_0_reg_n_0_[8] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[8] ),
.O(\addr_0[8]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair56" *)
LUT3 #(
.INIT(8'hB8))
\addr_0[9]_i_1
(.I0(\compute_addr_0_reg_n_0_[9] ),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(\compute_addr_2_reg_n_0_[9] ),
.O(\addr_0[9]_i_1_n_0 ));
FDRE \addr_0_reg[0]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[0]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[0] ),
.R(1'b0));
FDRE \addr_0_reg[10]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[10]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[10] ),
.R(1'b0));
FDRE \addr_0_reg[11]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[11]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[11] ),
.R(1'b0));
FDRE \addr_0_reg[12]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[12]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[12] ),
.R(1'b0));
FDRE \addr_0_reg[13]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[13]_i_2_n_0 ),
.Q(\addr_0_reg_n_0_[13] ),
.R(1'b0));
FDRE \addr_0_reg[1]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[1]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[1] ),
.R(1'b0));
FDRE \addr_0_reg[2]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[2]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[2] ),
.R(1'b0));
FDRE \addr_0_reg[3]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[3]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[3] ),
.R(1'b0));
FDRE \addr_0_reg[4]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[4]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[4] ),
.R(1'b0));
FDRE \addr_0_reg[5]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[5]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[5] ),
.R(1'b0));
FDRE \addr_0_reg[6]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[6]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[6] ),
.R(1'b0));
FDRE \addr_0_reg[7]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[7]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[7] ),
.R(1'b0));
FDRE \addr_0_reg[8]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[8]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[8] ),
.R(1'b0));
FDRE \addr_0_reg[9]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_0[9]_i_1_n_0 ),
.Q(\addr_0_reg_n_0_[9] ),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair63" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[0]_i_1
(.I0(compute_addr_1[0]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[0]),
.O(\addr_1[0]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair62" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[10]_i_1
(.I0(compute_addr_1[10]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[10]),
.O(\addr_1[10]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair61" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[11]_i_1
(.I0(compute_addr_1[11]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[11]),
.O(\addr_1[11]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair62" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[12]_i_1
(.I0(compute_addr_1[12]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[12]),
.O(\addr_1[12]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair61" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[13]_i_1
(.I0(compute_addr_1[13]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[13]),
.O(\addr_1[13]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair64" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[1]_i_1
(.I0(compute_addr_1[1]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[1]),
.O(\addr_1[1]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair65" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[2]_i_1
(.I0(compute_addr_1[2]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[2]),
.O(\addr_1[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair69" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[3]_i_1
(.I0(compute_addr_1[3]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[3]),
.O(\addr_1[3]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair69" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[4]_i_1
(.I0(compute_addr_1[4]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[4]),
.O(\addr_1[4]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair68" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[5]_i_1
(.I0(compute_addr_1[5]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[5]),
.O(\addr_1[5]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair68" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[6]_i_1
(.I0(compute_addr_1[6]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[6]),
.O(\addr_1[6]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair65" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[7]_i_1
(.I0(compute_addr_1[7]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[7]),
.O(\addr_1[7]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair64" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[8]_i_1
(.I0(compute_addr_1[8]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[8]),
.O(\addr_1[8]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair63" *)
LUT3 #(
.INIT(8'hB8))
\addr_1[9]_i_1
(.I0(compute_addr_1[9]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(compute_addr_3[9]),
.O(\addr_1[9]_i_1_n_0 ));
FDRE \addr_1_reg[0]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[0]_i_1_n_0 ),
.Q(addr_1[0]),
.R(1'b0));
FDRE \addr_1_reg[10]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[10]_i_1_n_0 ),
.Q(addr_1[10]),
.R(1'b0));
FDRE \addr_1_reg[11]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[11]_i_1_n_0 ),
.Q(addr_1[11]),
.R(1'b0));
FDRE \addr_1_reg[12]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[12]_i_1_n_0 ),
.Q(addr_1[12]),
.R(1'b0));
FDRE \addr_1_reg[13]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[13]_i_1_n_0 ),
.Q(addr_1[13]),
.R(1'b0));
FDRE \addr_1_reg[1]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[1]_i_1_n_0 ),
.Q(addr_1[1]),
.R(1'b0));
FDRE \addr_1_reg[2]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[2]_i_1_n_0 ),
.Q(addr_1[2]),
.R(1'b0));
FDRE \addr_1_reg[3]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[3]_i_1_n_0 ),
.Q(addr_1[3]),
.R(1'b0));
FDRE \addr_1_reg[4]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[4]_i_1_n_0 ),
.Q(addr_1[4]),
.R(1'b0));
FDRE \addr_1_reg[5]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[5]_i_1_n_0 ),
.Q(addr_1[5]),
.R(1'b0));
FDRE \addr_1_reg[6]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[6]_i_1_n_0 ),
.Q(addr_1[6]),
.R(1'b0));
FDRE \addr_1_reg[7]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[7]_i_1_n_0 ),
.Q(addr_1[7]),
.R(1'b0));
FDRE \addr_1_reg[8]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[8]_i_1_n_0 ),
.Q(addr_1[8]),
.R(1'b0));
FDRE \addr_1_reg[9]
(.C(clk_x16),
.CE(addr_0),
.D(\addr_1[9]_i_1_n_0 ),
.Q(addr_1[9]),
.R(1'b0));
LUT6 #(
.INIT(64'h8800880000000800))
\bottom_left_0[15]_i_1
(.I0(rst),
.I1(active),
.I2(cycle[2]),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(bottom_left_0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[0]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[0]),
.Q(\bottom_left_0_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[10]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[10]),
.Q(\bottom_left_0_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[11]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[11]),
.Q(\bottom_left_0_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[12]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[12]),
.Q(\bottom_left_0_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[13]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[13]),
.Q(\bottom_left_0_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[14]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[14]),
.Q(\bottom_left_0_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[15]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[15]),
.Q(\bottom_left_0_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[1]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[1]),
.Q(\bottom_left_0_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[2]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[2]),
.Q(\bottom_left_0_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[3]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[3]),
.Q(\bottom_left_0_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[4]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[4]),
.Q(\bottom_left_0_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[5]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[5]),
.Q(\bottom_left_0_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[6]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[6]),
.Q(\bottom_left_0_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[7]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[7]),
.Q(\bottom_left_0_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[8]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[8]),
.Q(\bottom_left_0_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_0_reg[9]
(.C(clk_x16),
.CE(bottom_left_0),
.D(dout_0[9]),
.Q(\bottom_left_0_reg_n_0_[9] ),
.R(1'b0));
LUT5 #(
.INIT(32'h40000040))
\bottom_left_1[15]_i_1
(.I0(\cycle_reg[1]_rep_n_0 ),
.I1(active),
.I2(rst),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.O(top_right_1));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[0]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[0]),
.Q(bottom_left_1[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[10]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[10]),
.Q(bottom_left_1[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[11]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[11]),
.Q(bottom_left_1[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[12]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[12]),
.Q(bottom_left_1[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[13]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[13]),
.Q(bottom_left_1[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[14]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[14]),
.Q(bottom_left_1[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[15]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[15]),
.Q(bottom_left_1[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[1]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[1]),
.Q(bottom_left_1[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[2]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[2]),
.Q(bottom_left_1[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[3]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[3]),
.Q(bottom_left_1[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[4]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[4]),
.Q(bottom_left_1[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[5]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[5]),
.Q(bottom_left_1[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[6]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[6]),
.Q(bottom_left_1[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[7]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[7]),
.Q(bottom_left_1[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[8]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[8]),
.Q(bottom_left_1[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_left_1_reg[9]
(.C(clk_x16),
.CE(top_right_1),
.D(dout_0[9]),
.Q(bottom_left_1[9]),
.R(1'b0));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[0]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[0]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[0]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [0]),
.O(p_0_out[0]));
(* SOFT_HLUTNM = "soft_lutpair12" *)
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[0]_i_2
(.I0(bottom_left_1[0]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[0]),
.I3(cycle[2]),
.I4(cycle[0]),
.O(\bottom_right_0[0]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[10]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[10]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[10]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [10]),
.O(p_0_out[10]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[10]_i_2
(.I0(bottom_left_1[10]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[10]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[10]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[11]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[11]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[11]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [11]),
.O(p_0_out[11]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[11]_i_2
(.I0(bottom_left_1[11]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[11]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[11]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[12]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[12]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[12]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [12]),
.O(p_0_out[12]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[12]_i_2
(.I0(bottom_left_1[12]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[12]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[12]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[13]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[13]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[13]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [13]),
.O(p_0_out[13]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[13]_i_2
(.I0(bottom_left_1[13]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[13]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[13]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[14]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[14]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[14]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [14]),
.O(p_0_out[14]));
(* SOFT_HLUTNM = "soft_lutpair3" *)
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[14]_i_2
(.I0(bottom_left_1[14]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[14]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[14]_i_2_n_0 ));
LUT6 #(
.INIT(64'h444A000000000000))
\bottom_right_0[15]_i_1
(.I0(cycle[0]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(rst),
.I5(active),
.O(\bottom_right_0[15]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[15]_i_2
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[15]_i_4_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[15]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [15]),
.O(p_0_out[15]));
(* SOFT_HLUTNM = "soft_lutpair5" *)
LUT2 #(
.INIT(4'h2))
\bottom_right_0[15]_i_3
(.I0(\cycle_reg[0]_rep_n_0 ),
.I1(cycle[2]),
.O(\bottom_right_0[15]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair5" *)
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[15]_i_4
(.I0(bottom_left_1[15]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[15]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[15]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair12" *)
LUT3 #(
.INIT(8'h04))
\bottom_right_0[15]_i_5
(.I0(cycle[2]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[0]),
.O(\bottom_right_0[15]_i_5_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[1]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[1]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[1]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [1]),
.O(p_0_out[1]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[1]_i_2
(.I0(bottom_left_1[1]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[1]),
.I3(cycle[2]),
.I4(cycle[0]),
.O(\bottom_right_0[1]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[2]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[2]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[2]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [2]),
.O(p_0_out[2]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[2]_i_2
(.I0(bottom_left_1[2]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[2]),
.I3(cycle[2]),
.I4(cycle[0]),
.O(\bottom_right_0[2]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[3]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[3]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[3]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [3]),
.O(p_0_out[3]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[3]_i_2
(.I0(bottom_left_1[3]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[3]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[3]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[4]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[4]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[4]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [4]),
.O(p_0_out[4]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[4]_i_2
(.I0(bottom_left_1[4]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[4]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[4]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[5]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[5]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[5]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [5]),
.O(p_0_out[5]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[5]_i_2
(.I0(bottom_left_1[5]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[5]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[5]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[6]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[6]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[6]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [6]),
.O(p_0_out[6]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[6]_i_2
(.I0(bottom_left_1[6]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[6]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[6]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[7]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[7]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[7]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [7]),
.O(p_0_out[7]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[7]_i_2
(.I0(bottom_left_1[7]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[7]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[7]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[8]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[8]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[8]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [8]),
.O(p_0_out[8]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[8]_i_2
(.I0(bottom_left_1[8]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[8]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[8]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFF0CC880F00CC88))
\bottom_right_0[9]_i_1
(.I0(\bottom_right_0[15]_i_3_n_0 ),
.I1(\bottom_right_0[9]_i_2_n_0 ),
.I2(\bottom_right_0[15]_i_5_n_0 ),
.I3(dout_0[9]),
.I4(cycle[3]),
.I5(\cache_reg[8]_1 [9]),
.O(p_0_out[9]));
LUT5 #(
.INIT(32'hFFE2FFFF))
\bottom_right_0[9]_i_2
(.I0(bottom_left_1[9]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(dout_1[9]),
.I3(cycle[2]),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\bottom_right_0[9]_i_2_n_0 ));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[0]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[0]),
.Q(\bottom_right_0_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[10]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[10]),
.Q(\bottom_right_0_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[11]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[11]),
.Q(\bottom_right_0_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[12]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[12]),
.Q(\bottom_right_0_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[13]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[13]),
.Q(\bottom_right_0_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[14]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[14]),
.Q(\bottom_right_0_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[15]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[15]),
.Q(\bottom_right_0_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[1]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[1]),
.Q(\bottom_right_0_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[2]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[2]),
.Q(\bottom_right_0_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[3]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[3]),
.Q(\bottom_right_0_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[4]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[4]),
.Q(\bottom_right_0_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[5]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[5]),
.Q(\bottom_right_0_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[6]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[6]),
.Q(\bottom_right_0_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[7]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[7]),
.Q(\bottom_right_0_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[8]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[8]),
.Q(\bottom_right_0_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_0_reg[9]
(.C(clk_x16),
.CE(\bottom_right_0[15]_i_1_n_0 ),
.D(p_0_out[9]),
.Q(\bottom_right_0_reg_n_0_[9] ),
.R(1'b0));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[0]_i_1
(.I0(dout_0[0]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[0] ),
.O(\bottom_right_1[0]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[10]_i_1
(.I0(dout_0[10]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[10]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[10] ),
.O(\bottom_right_1[10]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[11]_i_1
(.I0(dout_0[11]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[11]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[11] ),
.O(\bottom_right_1[11]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[12]_i_1
(.I0(dout_0[12]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[12]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[12] ),
.O(\bottom_right_1[12]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[13]_i_1
(.I0(dout_0[13]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[13]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[13] ),
.O(\bottom_right_1[13]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[14]_i_1
(.I0(dout_0[14]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[14]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[14] ),
.O(\bottom_right_1[14]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[15]_i_1
(.I0(dout_0[15]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[15]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[15] ),
.O(\bottom_right_1[15]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[1]_i_1
(.I0(dout_0[1]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[1]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[1] ),
.O(\bottom_right_1[1]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[2]_i_1
(.I0(dout_0[2]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[2]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[2] ),
.O(\bottom_right_1[2]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[3]_i_1
(.I0(dout_0[3]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[3]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[3] ),
.O(\bottom_right_1[3]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[4]_i_1
(.I0(dout_0[4]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[4]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[4] ),
.O(\bottom_right_1[4]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[5]_i_1
(.I0(dout_0[5]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[5]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[5] ),
.O(\bottom_right_1[5]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[6]_i_1
(.I0(dout_0[6]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[6]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[6] ),
.O(\bottom_right_1[6]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[7]_i_1
(.I0(dout_0[7]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[7]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[7] ),
.O(\bottom_right_1[7]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[8]_i_1
(.I0(dout_0[8]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[8]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[8] ),
.O(\bottom_right_1[8]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\bottom_right_1[9]_i_1
(.I0(dout_0[9]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(dout_1[9]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\bottom_left_0_reg_n_0_[9] ),
.O(\bottom_right_1[9]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[0]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[0]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[10]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[10]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[11]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[11]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[12]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[12]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[13]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[13]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[14]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[14]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[15]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[15]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[1]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[1]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[2]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[2]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[3]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[3]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[4]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[4]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[5]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[5]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[6]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[6]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[7]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[7]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[8]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[8]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\bottom_right_1_reg[9]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\bottom_right_1[9]_i_1_n_0 ),
.Q(\bottom_right_1_reg_n_0_[9] ),
.R(1'b0));
(* CHECK_LICENSE_TYPE = "blk_mem_gen_0,blk_mem_gen_v8_3_5,{}" *)
(* downgradeipidentifiedwarnings = "yes" *)
(* x_core_info = "blk_mem_gen_v8_3_5,Vivado 2016.4" *)
system_vga_hessian_1_0_blk_mem_gen_0 bram_0
(.addra({\addr_0_reg_n_0_[13] ,\addr_0_reg_n_0_[12] ,\addr_0_reg_n_0_[11] ,\addr_0_reg_n_0_[10] ,\addr_0_reg_n_0_[9] ,\addr_0_reg_n_0_[8] ,\addr_0_reg_n_0_[7] ,\addr_0_reg_n_0_[6] ,\addr_0_reg_n_0_[5] ,\addr_0_reg_n_0_[4] ,\addr_0_reg_n_0_[3] ,\addr_0_reg_n_0_[2] ,\addr_0_reg_n_0_[1] ,\addr_0_reg_n_0_[0] }),
.addrb(addr_1),
.clka(clk_x16),
.clkb(clk_x16),
.dina({\din_reg_n_0_[15] ,\din_reg_n_0_[14] ,\din_reg_n_0_[13] ,\din_reg_n_0_[12] ,\din_reg_n_0_[11] ,\din_reg_n_0_[10] ,\din_reg_n_0_[9] ,\din_reg_n_0_[8] ,\din_reg_n_0_[7] ,\din_reg_n_0_[6] ,\din_reg_n_0_[5] ,\din_reg_n_0_[4] ,\din_reg_n_0_[3] ,\din_reg_n_0_[2] ,\din_reg_n_0_[1] ,\din_reg_n_0_[0] }),
.dinb({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.douta(dout_0),
.doutb(dout_1),
.ena(1'b1),
.enb(1'b1),
.wea(wen_reg_n_0),
.web(1'b0));
LUT1 #(
.INIT(2'h1))
\cache[9][15]_i_1
(.I0(rst),
.O(\cache[9][15]_i_1_n_0 ));
LUT5 #(
.INIT(32'h08000000))
\cache[9][15]_i_2
(.I0(active),
.I1(cycle[2]),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(\cycle_reg[0]_rep_n_0 ),
.O(\cache[10]_5 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][0]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[0]),
.Q(\cache_reg[0]_4 [0]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][10]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[10]),
.Q(\cache_reg[0]_4 [10]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][11]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[11]),
.Q(\cache_reg[0]_4 [11]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][12]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[12]),
.Q(\cache_reg[0]_4 [12]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][13]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[13]),
.Q(\cache_reg[0]_4 [13]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][14]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[14]),
.Q(\cache_reg[0]_4 [14]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][15]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[15]),
.Q(\cache_reg[0]_4 [15]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][1]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[1]),
.Q(\cache_reg[0]_4 [1]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][2]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[2]),
.Q(\cache_reg[0]_4 [2]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][3]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[3]),
.Q(\cache_reg[0]_4 [3]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][4]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[4]),
.Q(\cache_reg[0]_4 [4]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][5]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[5]),
.Q(\cache_reg[0]_4 [5]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][6]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[6]),
.Q(\cache_reg[0]_4 [6]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][7]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[7]),
.Q(\cache_reg[0]_4 [7]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][8]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[8]),
.Q(\cache_reg[0]_4 [8]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[0][9]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(Lyy_2_bottom_right[9]),
.Q(\cache_reg[0]_4 [9]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][0]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [0]),
.Q(\cache_reg[10]_3 [0]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][10]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [10]),
.Q(\cache_reg[10]_3 [10]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][11]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [11]),
.Q(\cache_reg[10]_3 [11]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][12]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [12]),
.Q(\cache_reg[10]_3 [12]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][13]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [13]),
.Q(\cache_reg[10]_3 [13]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][14]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [14]),
.Q(\cache_reg[10]_3 [14]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][15]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [15]),
.Q(\cache_reg[10]_3 [15]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][1]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [1]),
.Q(\cache_reg[10]_3 [1]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][2]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [2]),
.Q(\cache_reg[10]_3 [2]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][3]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [3]),
.Q(\cache_reg[10]_3 [3]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][4]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [4]),
.Q(\cache_reg[10]_3 [4]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][5]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [5]),
.Q(\cache_reg[10]_3 [5]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][6]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [6]),
.Q(\cache_reg[10]_3 [6]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][7]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [7]),
.Q(\cache_reg[10]_3 [7]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][8]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [8]),
.Q(\cache_reg[10]_3 [8]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[10][9]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[9]_2 [9]),
.Q(\cache_reg[10]_3 [9]),
.R(\cache[9][15]_i_1_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][0]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][0]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [0]),
.Q(\cache_reg[2][0]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][10]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][10]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [10]),
.Q(\cache_reg[2][10]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][11]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][11]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [11]),
.Q(\cache_reg[2][11]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][12]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][12]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [12]),
.Q(\cache_reg[2][12]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][13]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][13]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [13]),
.Q(\cache_reg[2][13]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][14]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][14]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [14]),
.Q(\cache_reg[2][14]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][15]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][15]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [15]),
.Q(\cache_reg[2][15]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][1]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][1]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [1]),
.Q(\cache_reg[2][1]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][2]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][2]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [2]),
.Q(\cache_reg[2][2]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][3]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][3]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [3]),
.Q(\cache_reg[2][3]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][4]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][4]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [4]),
.Q(\cache_reg[2][4]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][5]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][5]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [5]),
.Q(\cache_reg[2][5]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][6]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][6]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [6]),
.Q(\cache_reg[2][6]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][7]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][7]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [7]),
.Q(\cache_reg[2][7]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][8]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][8]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [8]),
.Q(\cache_reg[2][8]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[2] " *)
(* srl_name = "\U0/cache_reg[2][9]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[2][9]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[0]_4 [9]),
.Q(\cache_reg[2][9]_srl2___U0_cache_reg_r_0_n_0 ));
FDRE \cache_reg[3][0]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][0]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][0]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][10]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][10]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][10]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][11]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][11]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][11]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][12]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][12]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][12]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][13]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][13]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][13]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][14]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][14]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][14]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][15]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][15]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][15]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][1]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][1]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][1]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][2]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][2]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][2]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][3]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][3]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][3]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][4]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][4]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][4]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][5]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][5]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][5]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][6]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][6]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][6]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][7]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][7]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][7]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][8]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][8]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][8]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[3][9]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[2][9]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[3][9]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[4][0]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__14_n_0),
.Q(\cache_reg[4]_0 [0]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][10]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__4_n_0),
.Q(\cache_reg[4]_0 [10]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][11]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__3_n_0),
.Q(\cache_reg[4]_0 [11]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][12]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__2_n_0),
.Q(\cache_reg[4]_0 [12]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][13]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__1_n_0),
.Q(\cache_reg[4]_0 [13]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][14]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__0_n_0),
.Q(\cache_reg[4]_0 [14]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][15]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate_n_0),
.Q(\cache_reg[4]_0 [15]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][1]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__13_n_0),
.Q(\cache_reg[4]_0 [1]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][2]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__12_n_0),
.Q(\cache_reg[4]_0 [2]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][3]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__11_n_0),
.Q(\cache_reg[4]_0 [3]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][4]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__10_n_0),
.Q(\cache_reg[4]_0 [4]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][5]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__9_n_0),
.Q(\cache_reg[4]_0 [5]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][6]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__8_n_0),
.Q(\cache_reg[4]_0 [6]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][7]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__7_n_0),
.Q(\cache_reg[4]_0 [7]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][8]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__6_n_0),
.Q(\cache_reg[4]_0 [8]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[4][9]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__5_n_0),
.Q(\cache_reg[4]_0 [9]),
.R(\cache[9][15]_i_1_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][0]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][0]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [0]),
.Q(\cache_reg[6][0]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][10]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][10]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [10]),
.Q(\cache_reg[6][10]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][11]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][11]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [11]),
.Q(\cache_reg[6][11]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][12]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][12]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [12]),
.Q(\cache_reg[6][12]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][13]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][13]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [13]),
.Q(\cache_reg[6][13]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][14]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][14]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [14]),
.Q(\cache_reg[6][14]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][15]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][15]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [15]),
.Q(\cache_reg[6][15]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][1]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][1]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [1]),
.Q(\cache_reg[6][1]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][2]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][2]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [2]),
.Q(\cache_reg[6][2]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][3]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][3]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [3]),
.Q(\cache_reg[6][3]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][4]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][4]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [4]),
.Q(\cache_reg[6][4]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][5]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][5]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [5]),
.Q(\cache_reg[6][5]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][6]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][6]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [6]),
.Q(\cache_reg[6][6]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][7]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][7]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [7]),
.Q(\cache_reg[6][7]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][8]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][8]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [8]),
.Q(\cache_reg[6][8]_srl2___U0_cache_reg_r_0_n_0 ));
(* srl_bus_name = "\U0/cache_reg[6] " *)
(* srl_name = "\U0/cache_reg[6][9]_srl2___U0_cache_reg_r_0 " *)
SRL16E #(
.INIT(16'h0000))
\cache_reg[6][9]_srl2___U0_cache_reg_r_0
(.A0(1'b1),
.A1(1'b0),
.A2(1'b0),
.A3(1'b0),
.CE(\cache[10]_5 ),
.CLK(clk_x16),
.D(\cache_reg[4]_0 [9]),
.Q(\cache_reg[6][9]_srl2___U0_cache_reg_r_0_n_0 ));
FDRE \cache_reg[7][0]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][0]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][0]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][10]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][10]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][10]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][11]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][11]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][11]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][12]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][12]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][12]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][13]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][13]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][13]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][14]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][14]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][14]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][15]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][15]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][15]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][1]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][1]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][1]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][2]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][2]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][2]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][3]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][3]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][3]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][4]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][4]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][4]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][5]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][5]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][5]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][6]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][6]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][6]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][7]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][7]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][7]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][8]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][8]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][8]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[7][9]_U0_cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[6][9]_srl2___U0_cache_reg_r_0_n_0 ),
.Q(\cache_reg[7][9]_U0_cache_reg_r_1_n_0 ),
.R(1'b0));
FDRE \cache_reg[8][0]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__30_n_0),
.Q(\cache_reg[8]_1 [0]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][10]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__20_n_0),
.Q(\cache_reg[8]_1 [10]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][11]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__19_n_0),
.Q(\cache_reg[8]_1 [11]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][12]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__18_n_0),
.Q(\cache_reg[8]_1 [12]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][13]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__17_n_0),
.Q(\cache_reg[8]_1 [13]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][14]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__16_n_0),
.Q(\cache_reg[8]_1 [14]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][15]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__15_n_0),
.Q(\cache_reg[8]_1 [15]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][1]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__29_n_0),
.Q(\cache_reg[8]_1 [1]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][2]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__28_n_0),
.Q(\cache_reg[8]_1 [2]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][3]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__27_n_0),
.Q(\cache_reg[8]_1 [3]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][4]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__26_n_0),
.Q(\cache_reg[8]_1 [4]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][5]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__25_n_0),
.Q(\cache_reg[8]_1 [5]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][6]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__24_n_0),
.Q(\cache_reg[8]_1 [6]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][7]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__23_n_0),
.Q(\cache_reg[8]_1 [7]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][8]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__22_n_0),
.Q(\cache_reg[8]_1 [8]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE \cache_reg[8][9]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_gate__21_n_0),
.Q(\cache_reg[8]_1 [9]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][0]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [0]),
.Q(\cache_reg[9]_2 [0]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][10]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [10]),
.Q(\cache_reg[9]_2 [10]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][11]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [11]),
.Q(\cache_reg[9]_2 [11]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][12]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [12]),
.Q(\cache_reg[9]_2 [12]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][13]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [13]),
.Q(\cache_reg[9]_2 [13]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][14]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [14]),
.Q(\cache_reg[9]_2 [14]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][15]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [15]),
.Q(\cache_reg[9]_2 [15]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][1]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [1]),
.Q(\cache_reg[9]_2 [1]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][2]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [2]),
.Q(\cache_reg[9]_2 [2]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][3]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [3]),
.Q(\cache_reg[9]_2 [3]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][4]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [4]),
.Q(\cache_reg[9]_2 [4]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][5]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [5]),
.Q(\cache_reg[9]_2 [5]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][6]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [6]),
.Q(\cache_reg[9]_2 [6]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][7]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [7]),
.Q(\cache_reg[9]_2 [7]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][8]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [8]),
.Q(\cache_reg[9]_2 [8]),
.R(\cache[9][15]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cache_reg[9][9]
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(\cache_reg[8]_1 [9]),
.Q(\cache_reg[9]_2 [9]),
.R(\cache[9][15]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair70" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate
(.I0(\cache_reg[3][15]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate_n_0));
(* SOFT_HLUTNM = "soft_lutpair71" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__0
(.I0(\cache_reg[3][14]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__0_n_0));
(* SOFT_HLUTNM = "soft_lutpair72" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__1
(.I0(\cache_reg[3][13]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__1_n_0));
(* SOFT_HLUTNM = "soft_lutpair75" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__10
(.I0(\cache_reg[3][4]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__10_n_0));
(* SOFT_HLUTNM = "soft_lutpair76" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__11
(.I0(\cache_reg[3][3]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__11_n_0));
(* SOFT_HLUTNM = "soft_lutpair76" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__12
(.I0(\cache_reg[3][2]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__12_n_0));
(* SOFT_HLUTNM = "soft_lutpair77" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__13
(.I0(\cache_reg[3][1]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__13_n_0));
(* SOFT_HLUTNM = "soft_lutpair77" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__14
(.I0(\cache_reg[3][0]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__14_n_0));
(* SOFT_HLUTNM = "soft_lutpair78" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__15
(.I0(\cache_reg[7][15]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__15_n_0));
(* SOFT_HLUTNM = "soft_lutpair78" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__16
(.I0(\cache_reg[7][14]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__16_n_0));
(* SOFT_HLUTNM = "soft_lutpair79" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__17
(.I0(\cache_reg[7][13]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__17_n_0));
(* SOFT_HLUTNM = "soft_lutpair79" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__18
(.I0(\cache_reg[7][12]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__18_n_0));
(* SOFT_HLUTNM = "soft_lutpair80" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__19
(.I0(\cache_reg[7][11]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__19_n_0));
(* SOFT_HLUTNM = "soft_lutpair73" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__2
(.I0(\cache_reg[3][12]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__2_n_0));
(* SOFT_HLUTNM = "soft_lutpair80" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__20
(.I0(\cache_reg[7][10]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__20_n_0));
(* SOFT_HLUTNM = "soft_lutpair81" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__21
(.I0(\cache_reg[7][9]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__21_n_0));
(* SOFT_HLUTNM = "soft_lutpair81" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__22
(.I0(\cache_reg[7][8]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__22_n_0));
(* SOFT_HLUTNM = "soft_lutpair82" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__23
(.I0(\cache_reg[7][7]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__23_n_0));
(* SOFT_HLUTNM = "soft_lutpair82" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__24
(.I0(\cache_reg[7][6]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__24_n_0));
(* SOFT_HLUTNM = "soft_lutpair83" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__25
(.I0(\cache_reg[7][5]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__25_n_0));
(* SOFT_HLUTNM = "soft_lutpair83" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__26
(.I0(\cache_reg[7][4]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__26_n_0));
(* SOFT_HLUTNM = "soft_lutpair84" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__27
(.I0(\cache_reg[7][3]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__27_n_0));
(* SOFT_HLUTNM = "soft_lutpair84" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__28
(.I0(\cache_reg[7][2]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__28_n_0));
(* SOFT_HLUTNM = "soft_lutpair85" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__29
(.I0(\cache_reg[7][1]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__29_n_0));
(* SOFT_HLUTNM = "soft_lutpair74" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__3
(.I0(\cache_reg[3][11]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__3_n_0));
(* SOFT_HLUTNM = "soft_lutpair85" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__30
(.I0(\cache_reg[7][0]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__30_n_0));
(* SOFT_HLUTNM = "soft_lutpair73" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__4
(.I0(\cache_reg[3][10]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__4_n_0));
(* SOFT_HLUTNM = "soft_lutpair74" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__5
(.I0(\cache_reg[3][9]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__5_n_0));
(* SOFT_HLUTNM = "soft_lutpair70" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__6
(.I0(\cache_reg[3][8]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__6_n_0));
(* SOFT_HLUTNM = "soft_lutpair71" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__7
(.I0(\cache_reg[3][7]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__7_n_0));
(* SOFT_HLUTNM = "soft_lutpair72" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__8
(.I0(\cache_reg[3][6]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__8_n_0));
(* SOFT_HLUTNM = "soft_lutpair75" *)
LUT2 #(
.INIT(4'h8))
cache_reg_gate__9
(.I0(\cache_reg[3][5]_U0_cache_reg_r_1_n_0 ),
.I1(cache_reg_r_1_n_0),
.O(cache_reg_gate__9_n_0));
FDRE cache_reg_r
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(1'b1),
.Q(cache_reg_r_n_0),
.R(\cache[9][15]_i_1_n_0 ));
FDRE cache_reg_r_0
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_r_n_0),
.Q(cache_reg_r_0_n_0),
.R(\cache[9][15]_i_1_n_0 ));
FDRE cache_reg_r_1
(.C(clk_x16),
.CE(\cache[10]_5 ),
.D(cache_reg_r_0_n_0),
.Q(cache_reg_r_1_n_0),
.R(\cache[9][15]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[0]_i_1
(.I0(\x_reg_n_0_[0] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[0]),
.O(\compute_addr_0[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_0[10]_i_1
(.I0(data5[10]),
.I1(cycle[0]),
.I2(\compute_addr_2[10]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_0[10]_i_2_n_0 ),
.O(\compute_addr_0[10]_i_1_n_0 ));
LUT6 #(
.INIT(64'hCFC000000000FA0A))
\compute_addr_0[10]_i_2
(.I0(\y3_reg_n_0_[0] ),
.I1(data5[10]),
.I2(cycle[3]),
.I3(\y1_reg_n_0_[0] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_0[10]_i_2_n_0 ));
LUT6 #(
.INIT(64'hDDDDDDDDCDC88888))
\compute_addr_0[11]_i_1
(.I0(cycle[0]),
.I1(data5[11]),
.I2(cycle[3]),
.I3(\y1_reg_n_0_[1] ),
.I4(\compute_addr_0[11]_i_2_n_0 ),
.I5(\compute_addr_0[11]_i_3_n_0 ),
.O(\compute_addr_0[11]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair3" *)
LUT2 #(
.INIT(4'h8))
\compute_addr_0[11]_i_2
(.I0(cycle[2]),
.I1(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_0[11]_i_2_n_0 ));
LUT6 #(
.INIT(64'h0000AAAAAAAACFC0))
\compute_addr_0[11]_i_3
(.I0(\compute_addr_2[11]_i_2_n_0 ),
.I1(\y1_reg_n_0_[1] ),
.I2(cycle[3]),
.I3(\y3_reg_n_0_[1] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_0[11]_i_3_n_0 ));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_0[12]_i_1
(.I0(data5[12]),
.I1(cycle[0]),
.I2(\compute_addr_2[12]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_0[12]_i_2_n_0 ),
.O(\compute_addr_0[12]_i_1_n_0 ));
LUT6 #(
.INIT(64'hCFC000000000FA0A))
\compute_addr_0[12]_i_2
(.I0(\y3_reg_n_0_[2] ),
.I1(data5[12]),
.I2(cycle[3]),
.I3(\y1_reg_n_0_[2] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_0[12]_i_2_n_0 ));
LUT3 #(
.INIT(8'h08))
\compute_addr_0[13]_i_1
(.I0(rst),
.I1(active),
.I2(cycle[0]),
.O(compute_addr_0));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_0[13]_i_2
(.I0(data5[13]),
.I1(cycle[0]),
.I2(\compute_addr_2[13]_i_4_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_0[13]_i_3_n_0 ),
.O(\compute_addr_0[13]_i_2_n_0 ));
LUT6 #(
.INIT(64'hCFC000000000FA0A))
\compute_addr_0[13]_i_3
(.I0(\y3_reg_n_0_[3] ),
.I1(data5[13]),
.I2(cycle[3]),
.I3(\y1_reg_n_0_[3] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_0[13]_i_3_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[1]_i_1
(.I0(\x_reg_n_0_[1] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[1]),
.O(\compute_addr_0[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[2]_i_1
(.I0(\x_reg_n_0_[2] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[2]),
.O(\compute_addr_0[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[3]_i_1
(.I0(\x_reg_n_0_[3] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[3]),
.O(\compute_addr_0[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[4]_i_1
(.I0(\x_reg_n_0_[4] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[4]),
.O(\compute_addr_0[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[5]_i_1
(.I0(\x_reg_n_0_[5] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[5]),
.O(\compute_addr_0[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[6]_i_1
(.I0(\x_reg_n_0_[6] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[6]),
.O(\compute_addr_0[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[7]_i_1
(.I0(\x_reg_n_0_[7] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[7]),
.O(\compute_addr_0[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[8]_i_1
(.I0(\x_reg_n_0_[8] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[8]),
.O(\compute_addr_0[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFEFFFFF00200000))
\compute_addr_0[9]_i_1
(.I0(\x_reg_n_0_[9] ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(data1[9]),
.O(\compute_addr_0[9]_i_1_n_0 ));
FDRE \compute_addr_0_reg[0]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[0]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[0] ),
.R(1'b0));
FDRE \compute_addr_0_reg[10]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[10]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[10] ),
.R(1'b0));
FDRE \compute_addr_0_reg[11]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[11]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[11] ),
.R(1'b0));
FDRE \compute_addr_0_reg[12]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[12]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[12] ),
.R(1'b0));
FDRE \compute_addr_0_reg[13]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[13]_i_2_n_0 ),
.Q(\compute_addr_0_reg_n_0_[13] ),
.R(1'b0));
FDRE \compute_addr_0_reg[1]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[1]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[1] ),
.R(1'b0));
FDRE \compute_addr_0_reg[2]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[2]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[2] ),
.R(1'b0));
FDRE \compute_addr_0_reg[3]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[3]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[3] ),
.R(1'b0));
FDRE \compute_addr_0_reg[4]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[4]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[4] ),
.R(1'b0));
FDRE \compute_addr_0_reg[5]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[5]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[5] ),
.R(1'b0));
FDRE \compute_addr_0_reg[6]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[6]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[6] ),
.R(1'b0));
FDRE \compute_addr_0_reg[7]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[7]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[7] ),
.R(1'b0));
FDRE \compute_addr_0_reg[8]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[8]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[8] ),
.R(1'b0));
FDRE \compute_addr_0_reg[9]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_0[9]_i_1_n_0 ),
.Q(\compute_addr_0_reg_n_0_[9] ),
.R(1'b0));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[0]_i_1
(.I0(data1[0]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[0]),
.O(\compute_addr_1[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_1[10]_i_1
(.I0(data5[10]),
.I1(cycle[0]),
.I2(\compute_addr_3[10]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_1[10]_i_2_n_0 ),
.O(\compute_addr_1[10]_i_1_n_0 ));
LUT6 #(
.INIT(64'hACAC00000000CFC0))
\compute_addr_1[10]_i_2
(.I0(data5[10]),
.I1(data2[10]),
.I2(cycle[3]),
.I3(\y3_reg_n_0_[0] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_1[10]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_1[11]_i_1
(.I0(data5[11]),
.I1(cycle[0]),
.I2(\compute_addr_3[11]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_1[11]_i_2_n_0 ),
.O(\compute_addr_1[11]_i_1_n_0 ));
LUT6 #(
.INIT(64'hACAC00000000CFC0))
\compute_addr_1[11]_i_2
(.I0(data5[11]),
.I1(data2[11]),
.I2(cycle[3]),
.I3(\y3_reg_n_0_[1] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_1[11]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_1[12]_i_1
(.I0(data5[12]),
.I1(cycle[0]),
.I2(\compute_addr_3[12]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_1[12]_i_2_n_0 ),
.O(\compute_addr_1[12]_i_1_n_0 ));
LUT6 #(
.INIT(64'hACAC00000000CFC0))
\compute_addr_1[12]_i_2
(.I0(data5[12]),
.I1(data2[12]),
.I2(cycle[3]),
.I3(\y3_reg_n_0_[2] ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_1[12]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBBBBBBB88B8B888))
\compute_addr_1[13]_i_1
(.I0(data5[13]),
.I1(cycle[0]),
.I2(\compute_addr_3[13]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\compute_addr_1[13]_i_2_n_0 ),
.O(\compute_addr_1[13]_i_1_n_0 ));
LUT6 #(
.INIT(64'hCFC000000000FA0A))
\compute_addr_1[13]_i_2
(.I0(\y3_reg_n_0_[3] ),
.I1(data5[13]),
.I2(cycle[3]),
.I3(data2[13]),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\compute_addr_1[13]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[1]_i_1
(.I0(data1[1]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[1]),
.O(\compute_addr_1[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[2]_i_1
(.I0(data1[2]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[2]),
.O(\compute_addr_1[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[3]_i_1
(.I0(data1[3]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[3]),
.O(\compute_addr_1[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[4]_i_1
(.I0(data1[4]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[4]),
.O(\compute_addr_1[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[5]_i_1
(.I0(data1[5]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[5]),
.O(\compute_addr_1[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[6]_i_1
(.I0(data1[6]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[6]),
.O(\compute_addr_1[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[7]_i_1
(.I0(data1[7]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[7]),
.O(\compute_addr_1[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[8]_i_1
(.I0(data1[8]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[8]),
.O(\compute_addr_1[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFEBFF00002800))
\compute_addr_1[9]_i_1
(.I0(data1[9]),
.I1(\cycle_reg[1]_rep_n_0 ),
.I2(cycle[2]),
.I3(cycle[3]),
.I4(cycle[0]),
.I5(data2[9]),
.O(\compute_addr_1[9]_i_1_n_0 ));
FDRE \compute_addr_1_reg[0]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[0]_i_1_n_0 ),
.Q(compute_addr_1[0]),
.R(1'b0));
FDRE \compute_addr_1_reg[10]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[10]_i_1_n_0 ),
.Q(compute_addr_1[10]),
.R(1'b0));
FDRE \compute_addr_1_reg[11]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[11]_i_1_n_0 ),
.Q(compute_addr_1[11]),
.R(1'b0));
FDRE \compute_addr_1_reg[12]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[12]_i_1_n_0 ),
.Q(compute_addr_1[12]),
.R(1'b0));
FDRE \compute_addr_1_reg[13]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[13]_i_1_n_0 ),
.Q(compute_addr_1[13]),
.R(1'b0));
FDRE \compute_addr_1_reg[1]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[1]_i_1_n_0 ),
.Q(compute_addr_1[1]),
.R(1'b0));
FDRE \compute_addr_1_reg[2]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[2]_i_1_n_0 ),
.Q(compute_addr_1[2]),
.R(1'b0));
FDRE \compute_addr_1_reg[3]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[3]_i_1_n_0 ),
.Q(compute_addr_1[3]),
.R(1'b0));
FDRE \compute_addr_1_reg[4]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[4]_i_1_n_0 ),
.Q(compute_addr_1[4]),
.R(1'b0));
FDRE \compute_addr_1_reg[5]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[5]_i_1_n_0 ),
.Q(compute_addr_1[5]),
.R(1'b0));
FDRE \compute_addr_1_reg[6]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[6]_i_1_n_0 ),
.Q(compute_addr_1[6]),
.R(1'b0));
FDRE \compute_addr_1_reg[7]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[7]_i_1_n_0 ),
.Q(compute_addr_1[7]),
.R(1'b0));
FDRE \compute_addr_1_reg[8]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[8]_i_1_n_0 ),
.Q(compute_addr_1[8]),
.R(1'b0));
FDRE \compute_addr_1_reg[9]
(.C(clk_x16),
.CE(compute_addr_0),
.D(\compute_addr_1[9]_i_1_n_0 ),
.Q(compute_addr_1[9]),
.R(1'b0));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_2[10]_i_1
(.I0(\y6_reg_n_0_[0] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_2[10]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\y1_reg_n_0_[0] ),
.O(\compute_addr_2[10]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair58" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_2[10]_i_2
(.I0(\y2_reg_n_0_[0] ),
.I1(cycle[3]),
.I2(data1[10]),
.O(\compute_addr_2[10]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_2[11]_i_1
(.I0(\y6_reg_n_0_[1] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_2[11]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\y1_reg_n_0_[1] ),
.O(\compute_addr_2[11]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair58" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_2[11]_i_2
(.I0(\y2_reg_n_0_[1] ),
.I1(cycle[3]),
.I2(data1[11]),
.O(\compute_addr_2[11]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_2[12]_i_1
(.I0(\y6_reg_n_0_[2] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_2[12]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\y1_reg_n_0_[2] ),
.O(\compute_addr_2[12]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair57" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_2[12]_i_2
(.I0(\y2_reg_n_0_[2] ),
.I1(cycle[3]),
.I2(data1[12]),
.O(\compute_addr_2[12]_i_2_n_0 ));
LUT6 #(
.INIT(64'h8080808080808000))
\compute_addr_2[13]_i_1
(.I0(\cycle_reg[0]_rep_n_0 ),
.I1(active),
.I2(rst),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[3]),
.I5(cycle[2]),
.O(compute_addr_2));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_2[13]_i_2
(.I0(\y6_reg_n_0_[3] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_2[13]_i_4_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(\y1_reg_n_0_[3] ),
.O(\compute_addr_2[13]_i_2_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair15" *)
LUT4 #(
.INIT(16'h81FF))
\compute_addr_2[13]_i_3
(.I0(cycle[3]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.O(\compute_addr_2[13]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair57" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_2[13]_i_4
(.I0(\y2_reg_n_0_[3] ),
.I1(cycle[3]),
.I2(data1[13]),
.O(\compute_addr_2[13]_i_4_n_0 ));
FDRE \compute_addr_2_reg[0]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[0]),
.Q(\compute_addr_2_reg_n_0_[0] ),
.R(1'b0));
FDRE \compute_addr_2_reg[10]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_2[10]_i_1_n_0 ),
.Q(\compute_addr_2_reg_n_0_[10] ),
.R(1'b0));
FDRE \compute_addr_2_reg[11]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_2[11]_i_1_n_0 ),
.Q(\compute_addr_2_reg_n_0_[11] ),
.R(1'b0));
FDRE \compute_addr_2_reg[12]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_2[12]_i_1_n_0 ),
.Q(\compute_addr_2_reg_n_0_[12] ),
.R(1'b0));
FDRE \compute_addr_2_reg[13]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_2[13]_i_2_n_0 ),
.Q(\compute_addr_2_reg_n_0_[13] ),
.R(1'b0));
FDRE \compute_addr_2_reg[1]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[1]),
.Q(\compute_addr_2_reg_n_0_[1] ),
.R(1'b0));
FDRE \compute_addr_2_reg[2]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[2]),
.Q(\compute_addr_2_reg_n_0_[2] ),
.R(1'b0));
FDRE \compute_addr_2_reg[3]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[3]),
.Q(\compute_addr_2_reg_n_0_[3] ),
.R(1'b0));
FDRE \compute_addr_2_reg[4]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[4]),
.Q(\compute_addr_2_reg_n_0_[4] ),
.R(1'b0));
FDRE \compute_addr_2_reg[5]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[5]),
.Q(\compute_addr_2_reg_n_0_[5] ),
.R(1'b0));
FDRE \compute_addr_2_reg[6]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[6]),
.Q(\compute_addr_2_reg_n_0_[6] ),
.R(1'b0));
FDRE \compute_addr_2_reg[7]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[7]),
.Q(\compute_addr_2_reg_n_0_[7] ),
.R(1'b0));
FDRE \compute_addr_2_reg[8]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[8]),
.Q(\compute_addr_2_reg_n_0_[8] ),
.R(1'b0));
FDRE \compute_addr_2_reg[9]
(.C(clk_x16),
.CE(compute_addr_2),
.D(data1[9]),
.Q(\compute_addr_2_reg_n_0_[9] ),
.R(1'b0));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[0]_i_1
(.I0(data1[0]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[0]),
.O(\compute_addr_3[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_3[10]_i_1
(.I0(\y6_reg_n_0_[0] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_3[10]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(data2[10]),
.O(\compute_addr_3[10]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair67" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_3[10]_i_2
(.I0(y7[0]),
.I1(cycle[3]),
.I2(y8[0]),
.O(\compute_addr_3[10]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_3[11]_i_1
(.I0(\y6_reg_n_0_[1] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_3[11]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(data2[11]),
.O(\compute_addr_3[11]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair67" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_3[11]_i_2
(.I0(y7[1]),
.I1(cycle[3]),
.I2(y8[1]),
.O(\compute_addr_3[11]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_3[12]_i_1
(.I0(\y6_reg_n_0_[2] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_3[12]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(data2[12]),
.O(\compute_addr_3[12]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair66" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_3[12]_i_2
(.I0(y7[2]),
.I1(cycle[3]),
.I2(y8[2]),
.O(\compute_addr_3[12]_i_2_n_0 ));
LUT6 #(
.INIT(64'hBBB8B8BB88B8B888))
\compute_addr_3[13]_i_1
(.I0(\y6_reg_n_0_[3] ),
.I1(\compute_addr_2[13]_i_3_n_0 ),
.I2(\compute_addr_3[13]_i_2_n_0 ),
.I3(cycle[2]),
.I4(\cycle_reg[1]_rep_n_0 ),
.I5(data2[13]),
.O(\compute_addr_3[13]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair66" *)
LUT3 #(
.INIT(8'hB8))
\compute_addr_3[13]_i_2
(.I0(y7[3]),
.I1(cycle[3]),
.I2(y8[3]),
.O(\compute_addr_3[13]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[1]_i_1
(.I0(data1[1]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[1]),
.O(\compute_addr_3[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[2]_i_1
(.I0(data1[2]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[2]),
.O(\compute_addr_3[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[3]_i_1
(.I0(data1[3]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[3]),
.O(\compute_addr_3[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[4]_i_1
(.I0(data1[4]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[4]),
.O(\compute_addr_3[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[5]_i_1
(.I0(data1[5]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[5]),
.O(\compute_addr_3[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[6]_i_1
(.I0(data1[6]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[6]),
.O(\compute_addr_3[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[7]_i_1
(.I0(data1[7]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[7]),
.O(\compute_addr_3[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[8]_i_1
(.I0(data1[8]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[8]),
.O(\compute_addr_3[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFBFBFFF00808000))
\compute_addr_3[9]_i_1
(.I0(data1[9]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[2]),
.I5(data2[9]),
.O(\compute_addr_3[9]_i_1_n_0 ));
FDRE \compute_addr_3_reg[0]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[0]_i_1_n_0 ),
.Q(compute_addr_3[0]),
.R(1'b0));
FDRE \compute_addr_3_reg[10]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[10]_i_1_n_0 ),
.Q(compute_addr_3[10]),
.R(1'b0));
FDRE \compute_addr_3_reg[11]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[11]_i_1_n_0 ),
.Q(compute_addr_3[11]),
.R(1'b0));
FDRE \compute_addr_3_reg[12]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[12]_i_1_n_0 ),
.Q(compute_addr_3[12]),
.R(1'b0));
FDRE \compute_addr_3_reg[13]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[13]_i_1_n_0 ),
.Q(compute_addr_3[13]),
.R(1'b0));
FDRE \compute_addr_3_reg[1]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[1]_i_1_n_0 ),
.Q(compute_addr_3[1]),
.R(1'b0));
FDRE \compute_addr_3_reg[2]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[2]_i_1_n_0 ),
.Q(compute_addr_3[2]),
.R(1'b0));
FDRE \compute_addr_3_reg[3]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[3]_i_1_n_0 ),
.Q(compute_addr_3[3]),
.R(1'b0));
FDRE \compute_addr_3_reg[4]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[4]_i_1_n_0 ),
.Q(compute_addr_3[4]),
.R(1'b0));
FDRE \compute_addr_3_reg[5]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[5]_i_1_n_0 ),
.Q(compute_addr_3[5]),
.R(1'b0));
FDRE \compute_addr_3_reg[6]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[6]_i_1_n_0 ),
.Q(compute_addr_3[6]),
.R(1'b0));
FDRE \compute_addr_3_reg[7]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[7]_i_1_n_0 ),
.Q(compute_addr_3[7]),
.R(1'b0));
FDRE \compute_addr_3_reg[8]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[8]_i_1_n_0 ),
.Q(compute_addr_3[8]),
.R(1'b0));
FDRE \compute_addr_3_reg[9]
(.C(clk_x16),
.CE(compute_addr_2),
.D(\compute_addr_3[9]_i_1_n_0 ),
.Q(compute_addr_3[9]),
.R(1'b0));
LUT6 #(
.INIT(64'hFFFFFFFF00000008))
\corner[15]_i_1
(.I0(\left[15]_i_2_n_0 ),
.I1(x),
.I2(\x_reg_n_0_[0] ),
.I3(\x_reg_n_0_[9] ),
.I4(\x_reg_n_0_[8] ),
.I5(top),
.O(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[0]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [0]),
.Q(\corner_reg_n_0_[0] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[10]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [10]),
.Q(\corner_reg_n_0_[10] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[11]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [11]),
.Q(\corner_reg_n_0_[11] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[12]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [12]),
.Q(\corner_reg_n_0_[12] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[13]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [13]),
.Q(\corner_reg_n_0_[13] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[14]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [14]),
.Q(\corner_reg_n_0_[14] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[15]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [15]),
.Q(\corner_reg_n_0_[15] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[1]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [1]),
.Q(\corner_reg_n_0_[1] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[2]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [2]),
.Q(\corner_reg_n_0_[2] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[3]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [3]),
.Q(\corner_reg_n_0_[3] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[4]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [4]),
.Q(\corner_reg_n_0_[4] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[5]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [5]),
.Q(\corner_reg_n_0_[5] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[6]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [6]),
.Q(\corner_reg_n_0_[6] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[7]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [7]),
.Q(\corner_reg_n_0_[7] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[8]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [8]),
.Q(\corner_reg_n_0_[8] ),
.R(corner));
FDRE #(
.INIT(1'b0))
\corner_reg[9]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[10]_3 [9]),
.Q(\corner_reg_n_0_[9] ),
.R(corner));
(* SOFT_HLUTNM = "soft_lutpair0" *)
LUT1 #(
.INIT(2'h1))
\cycle[0]_i_1
(.I0(cycle[0]),
.O(\cycle[0]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\cycle[0]_rep_i_1
(.I0(cycle[0]),
.O(\cycle[0]_rep_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair31" *)
LUT2 #(
.INIT(4'h6))
\cycle[1]_i_1
(.I0(cycle[1]),
.I1(cycle[0]),
.O(\cycle[1]_i_1_n_0 ));
LUT2 #(
.INIT(4'h6))
\cycle[1]_rep_i_1
(.I0(cycle[1]),
.I1(cycle[0]),
.O(\cycle[1]_rep_i_1_n_0 ));
LUT2 #(
.INIT(4'h6))
\cycle[1]_rep_i_1__0
(.I0(cycle[1]),
.I1(cycle[0]),
.O(\cycle[1]_rep_i_1__0_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair31" *)
LUT3 #(
.INIT(8'h78))
\cycle[2]_i_1
(.I0(cycle[1]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[2]),
.O(\cycle[2]_i_1_n_0 ));
LUT3 #(
.INIT(8'h78))
\cycle[2]_rep_i_1
(.I0(\cycle_reg[1]_rep_n_0 ),
.I1(cycle[0]),
.I2(cycle[2]),
.O(\cycle[2]_rep_i_1_n_0 ));
LUT2 #(
.INIT(4'h7))
\cycle[3]_i_1
(.I0(rst),
.I1(active),
.O(\cycle[3]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair15" *)
LUT4 #(
.INIT(16'h6AAA))
\cycle[3]_i_2
(.I0(cycle[3]),
.I1(cycle[2]),
.I2(cycle[1]),
.I3(\cycle_reg[0]_rep_n_0 ),
.O(\cycle[3]_i_2_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[0]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[0]
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[0]_i_1_n_0 ),
.Q(cycle[0]),
.R(\cycle[3]_i_1_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[0]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[0]_rep
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[0]_rep_i_1_n_0 ),
.Q(\cycle_reg[0]_rep_n_0 ),
.R(\cycle[3]_i_1_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[1]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[1]
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[1]_i_1_n_0 ),
.Q(cycle[1]),
.R(\cycle[3]_i_1_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[1]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[1]_rep
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[1]_rep_i_1_n_0 ),
.Q(\cycle_reg[1]_rep_n_0 ),
.R(\cycle[3]_i_1_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[1]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[1]_rep__0
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[1]_rep_i_1__0_n_0 ),
.Q(\cycle_reg[1]_rep__0_n_0 ),
.R(\cycle[3]_i_1_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[2]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[2]
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[2]_i_1_n_0 ),
.Q(cycle[2]),
.R(\cycle[3]_i_1_n_0 ));
(* ORIG_CELL_NAME = "cycle_reg[2]" *)
FDRE #(
.INIT(1'b0))
\cycle_reg[2]_rep
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[2]_rep_i_1_n_0 ),
.Q(\cycle_reg[2]_rep_n_0 ),
.R(\cycle[3]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\cycle_reg[3]
(.C(clk_x16),
.CE(1'b1),
.D(\cycle[3]_i_2_n_0 ),
.Q(cycle[3]),
.R(\cycle[3]_i_1_n_0 ));
DSP48E1 #(
.ACASCREG(1),
.ADREG(1),
.ALUMODEREG(0),
.AREG(1),
.AUTORESET_PATDET("NO_RESET"),
.A_INPUT("DIRECT"),
.BCASCREG(1),
.BREG(1),
.B_INPUT("DIRECT"),
.CARRYINREG(0),
.CARRYINSELREG(0),
.CREG(1),
.DREG(1),
.INMODEREG(0),
.MASK(48'h3FFFFFFFFFFF),
.MREG(1),
.OPMODEREG(0),
.PATTERN(48'h000000000000),
.PREG(0),
.SEL_MASK("MASK"),
.SEL_PATTERN("PATTERN"),
.USE_DPORT("FALSE"),
.USE_MULT("MULTIPLY"),
.USE_PATTERN_DETECT("NO_PATDET"),
.USE_SIMD("ONE48"))
det_0_reg
(.A({A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A[15],A}),
.ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.ACOUT(NLW_det_0_reg_ACOUT_UNCONNECTED[29:0]),
.ALUMODE({1'b0,1'b0,1'b0,1'b0}),
.B({B[15],B[15],B}),
.BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.BCOUT(NLW_det_0_reg_BCOUT_UNCONNECTED[17:0]),
.C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}),
.CARRYCASCIN(1'b0),
.CARRYCASCOUT(NLW_det_0_reg_CARRYCASCOUT_UNCONNECTED),
.CARRYIN(1'b0),
.CARRYINSEL({1'b0,1'b0,1'b0}),
.CARRYOUT(NLW_det_0_reg_CARRYOUT_UNCONNECTED[3:0]),
.CEA1(1'b0),
.CEA2(Lxx),
.CEAD(1'b0),
.CEALUMODE(1'b0),
.CEB1(1'b0),
.CEB2(det_0_reg_i_2_n_0),
.CEC(1'b0),
.CECARRYIN(1'b0),
.CECTRL(1'b0),
.CED(1'b0),
.CEINMODE(1'b0),
.CEM(det_0),
.CEP(1'b0),
.CLK(clk_x16),
.D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}),
.MULTSIGNIN(1'b0),
.MULTSIGNOUT(NLW_det_0_reg_MULTSIGNOUT_UNCONNECTED),
.OPMODE({1'b0,1'b0,1'b0,1'b0,1'b1,1'b0,1'b1}),
.OVERFLOW(NLW_det_0_reg_OVERFLOW_UNCONNECTED),
.P(NLW_det_0_reg_P_UNCONNECTED[47:0]),
.PATTERNBDETECT(NLW_det_0_reg_PATTERNBDETECT_UNCONNECTED),
.PATTERNDETECT(NLW_det_0_reg_PATTERNDETECT_UNCONNECTED),
.PCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.PCOUT({det_0_reg_n_106,det_0_reg_n_107,det_0_reg_n_108,det_0_reg_n_109,det_0_reg_n_110,det_0_reg_n_111,det_0_reg_n_112,det_0_reg_n_113,det_0_reg_n_114,det_0_reg_n_115,det_0_reg_n_116,det_0_reg_n_117,det_0_reg_n_118,det_0_reg_n_119,det_0_reg_n_120,det_0_reg_n_121,det_0_reg_n_122,det_0_reg_n_123,det_0_reg_n_124,det_0_reg_n_125,det_0_reg_n_126,det_0_reg_n_127,det_0_reg_n_128,det_0_reg_n_129,det_0_reg_n_130,det_0_reg_n_131,det_0_reg_n_132,det_0_reg_n_133,det_0_reg_n_134,det_0_reg_n_135,det_0_reg_n_136,det_0_reg_n_137,det_0_reg_n_138,det_0_reg_n_139,det_0_reg_n_140,det_0_reg_n_141,det_0_reg_n_142,det_0_reg_n_143,det_0_reg_n_144,det_0_reg_n_145,det_0_reg_n_146,det_0_reg_n_147,det_0_reg_n_148,det_0_reg_n_149,det_0_reg_n_150,det_0_reg_n_151,det_0_reg_n_152,det_0_reg_n_153}),
.RSTA(1'b0),
.RSTALLCARRYIN(1'b0),
.RSTALUMODE(1'b0),
.RSTB(1'b0),
.RSTC(1'b0),
.RSTCTRL(1'b0),
.RSTD(1'b0),
.RSTINMODE(1'b0),
.RSTM(1'b0),
.RSTP(1'b0),
.UNDERFLOW(NLW_det_0_reg_UNDERFLOW_UNCONNECTED));
LUT6 #(
.INIT(64'h0000000000008000))
det_0_reg_i_1
(.I0(\cycle_reg[0]_rep_n_0 ),
.I1(active),
.I2(rst),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(cycle[3]),
.O(Lxx));
LUT6 #(
.INIT(64'h2000000000000000))
det_0_reg_i_2
(.I0(cycle[2]),
.I1(cycle[3]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(rst),
.I5(active),
.O(det_0_reg_i_2_n_0));
LUT6 #(
.INIT(64'h0000000008000000))
det_0_reg_i_3
(.I0(cycle[2]),
.I1(cycle[3]),
.I2(cycle[1]),
.I3(rst),
.I4(active),
.I5(\cycle_reg[0]_rep_n_0 ),
.O(det_0));
(* SOFT_HLUTNM = "soft_lutpair44" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[10]_i_1
(.I0(det_abs0[10]),
.I1(det_reg_n_95),
.I2(det_reg_n_74),
.O(\det_abs[10]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair45" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[11]_i_1
(.I0(det_abs0[11]),
.I1(det_reg_n_94),
.I2(det_reg_n_74),
.O(\det_abs[11]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair46" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[12]_i_1
(.I0(det_abs0[12]),
.I1(det_reg_n_93),
.I2(det_reg_n_74),
.O(\det_abs[12]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[12]_i_3
(.I0(det_reg_n_93),
.O(\det_abs[12]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[12]_i_4
(.I0(det_reg_n_94),
.O(\det_abs[12]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[12]_i_5
(.I0(det_reg_n_95),
.O(\det_abs[12]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[12]_i_6
(.I0(det_reg_n_96),
.O(\det_abs[12]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair47" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[13]_i_1
(.I0(det_abs0[13]),
.I1(det_reg_n_92),
.I2(det_reg_n_74),
.O(\det_abs[13]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair48" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[14]_i_1
(.I0(det_abs0[14]),
.I1(det_reg_n_91),
.I2(det_reg_n_74),
.O(\det_abs[14]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair47" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[15]_i_1
(.I0(det_abs0[15]),
.I1(det_reg_n_90),
.I2(det_reg_n_74),
.O(\det_abs[15]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair46" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[16]_i_1
(.I0(det_abs0[16]),
.I1(det_reg_n_89),
.I2(det_reg_n_74),
.O(\det_abs[16]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[16]_i_3
(.I0(det_reg_n_89),
.O(\det_abs[16]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[16]_i_4
(.I0(det_reg_n_90),
.O(\det_abs[16]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[16]_i_5
(.I0(det_reg_n_91),
.O(\det_abs[16]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[16]_i_6
(.I0(det_reg_n_92),
.O(\det_abs[16]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair45" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[17]_i_1
(.I0(det_abs0[17]),
.I1(det_reg_n_88),
.I2(det_reg_n_74),
.O(\det_abs[17]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair44" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[18]_i_1
(.I0(det_abs0[18]),
.I1(det_reg_n_87),
.I2(det_reg_n_74),
.O(\det_abs[18]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair43" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[19]_i_1
(.I0(det_abs0[19]),
.I1(det_reg_n_86),
.I2(det_reg_n_74),
.O(\det_abs[19]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair27" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[1]_i_1
(.I0(det_abs0[1]),
.I1(det_reg_n_104),
.I2(det_reg_n_74),
.O(\det_abs[1]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair42" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[20]_i_1
(.I0(det_abs0[20]),
.I1(det_reg_n_85),
.I2(det_reg_n_74),
.O(\det_abs[20]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[20]_i_3
(.I0(det_reg_n_85),
.O(\det_abs[20]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[20]_i_4
(.I0(det_reg_n_86),
.O(\det_abs[20]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[20]_i_5
(.I0(det_reg_n_87),
.O(\det_abs[20]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[20]_i_6
(.I0(det_reg_n_88),
.O(\det_abs[20]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair41" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[21]_i_1
(.I0(det_abs0[21]),
.I1(det_reg_n_84),
.I2(det_reg_n_74),
.O(\det_abs[21]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair40" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[22]_i_1
(.I0(det_abs0[22]),
.I1(det_reg_n_83),
.I2(det_reg_n_74),
.O(\det_abs[22]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair38" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[23]_i_1
(.I0(det_abs0[23]),
.I1(det_reg_n_82),
.I2(det_reg_n_74),
.O(\det_abs[23]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair35" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[24]_i_1
(.I0(det_abs0[24]),
.I1(det_reg_n_81),
.I2(det_reg_n_74),
.O(\det_abs[24]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[24]_i_3
(.I0(det_reg_n_81),
.O(\det_abs[24]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[24]_i_4
(.I0(det_reg_n_82),
.O(\det_abs[24]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[24]_i_5
(.I0(det_reg_n_83),
.O(\det_abs[24]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[24]_i_6
(.I0(det_reg_n_84),
.O(\det_abs[24]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair48" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[25]_i_1
(.I0(det_abs0[25]),
.I1(det_reg_n_80),
.I2(det_reg_n_74),
.O(\det_abs[25]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair29" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[26]_i_1
(.I0(det_abs0[26]),
.I1(det_reg_n_79),
.I2(det_reg_n_74),
.O(\det_abs[26]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair27" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[27]_i_1
(.I0(det_abs0[27]),
.I1(det_reg_n_78),
.I2(det_reg_n_74),
.O(\det_abs[27]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair26" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[28]_i_1
(.I0(det_abs0[28]),
.I1(det_reg_n_77),
.I2(det_reg_n_74),
.O(\det_abs[28]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[28]_i_3
(.I0(det_reg_n_77),
.O(\det_abs[28]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[28]_i_4
(.I0(det_reg_n_78),
.O(\det_abs[28]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[28]_i_5
(.I0(det_reg_n_79),
.O(\det_abs[28]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[28]_i_6
(.I0(det_reg_n_80),
.O(\det_abs[28]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair30" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[29]_i_1
(.I0(det_abs0[29]),
.I1(det_reg_n_76),
.I2(det_reg_n_74),
.O(\det_abs[29]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair29" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[2]_i_1
(.I0(det_abs0[2]),
.I1(det_reg_n_103),
.I2(det_reg_n_74),
.O(\det_abs[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair26" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[30]_i_1
(.I0(det_abs0[30]),
.I1(det_reg_n_75),
.I2(det_reg_n_74),
.O(\det_abs[30]_i_1_n_0 ));
LUT2 #(
.INIT(4'h8))
\det_abs[31]_i_1
(.I0(det_abs0[31]),
.I1(det_reg_n_74),
.O(\det_abs[31]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[31]_i_3
(.I0(det_reg_n_74),
.O(\det_abs[31]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[31]_i_4
(.I0(det_reg_n_75),
.O(\det_abs[31]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[31]_i_5
(.I0(det_reg_n_76),
.O(\det_abs[31]_i_5_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair30" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[3]_i_1
(.I0(det_abs0[3]),
.I1(det_reg_n_102),
.I2(det_reg_n_74),
.O(\det_abs[3]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair35" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[4]_i_1
(.I0(det_abs0[4]),
.I1(det_reg_n_101),
.I2(det_reg_n_74),
.O(\det_abs[4]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[4]_i_3
(.I0(det_reg_n_105),
.O(\det_abs[4]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[4]_i_4
(.I0(det_reg_n_101),
.O(\det_abs[4]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[4]_i_5
(.I0(det_reg_n_102),
.O(\det_abs[4]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[4]_i_6
(.I0(det_reg_n_103),
.O(\det_abs[4]_i_6_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[4]_i_7
(.I0(det_reg_n_104),
.O(\det_abs[4]_i_7_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair38" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[5]_i_1
(.I0(det_abs0[5]),
.I1(det_reg_n_100),
.I2(det_reg_n_74),
.O(\det_abs[5]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair40" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[6]_i_1
(.I0(det_abs0[6]),
.I1(det_reg_n_99),
.I2(det_reg_n_74),
.O(\det_abs[6]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair41" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[7]_i_1
(.I0(det_abs0[7]),
.I1(det_reg_n_98),
.I2(det_reg_n_74),
.O(\det_abs[7]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair42" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[8]_i_1
(.I0(det_abs0[8]),
.I1(det_reg_n_97),
.I2(det_reg_n_74),
.O(\det_abs[8]_i_1_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[8]_i_3
(.I0(det_reg_n_97),
.O(\det_abs[8]_i_3_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[8]_i_4
(.I0(det_reg_n_98),
.O(\det_abs[8]_i_4_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[8]_i_5
(.I0(det_reg_n_99),
.O(\det_abs[8]_i_5_n_0 ));
LUT1 #(
.INIT(2'h1))
\det_abs[8]_i_6
(.I0(det_reg_n_100),
.O(\det_abs[8]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair43" *)
LUT3 #(
.INIT(8'hAC))
\det_abs[9]_i_1
(.I0(det_abs0[9]),
.I1(det_reg_n_96),
.I2(det_reg_n_74),
.O(\det_abs[9]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\det_abs_reg[0]
(.C(clk_x16),
.CE(y6),
.D(det_reg_n_105),
.Q(det_abs[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[10]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[10]_i_1_n_0 ),
.Q(det_abs[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[11]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[11]_i_1_n_0 ),
.Q(det_abs[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[12]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[12]_i_1_n_0 ),
.Q(det_abs[12]),
.R(1'b0));
CARRY4 \det_abs_reg[12]_i_2
(.CI(\det_abs_reg[8]_i_2_n_0 ),
.CO({\det_abs_reg[12]_i_2_n_0 ,\det_abs_reg[12]_i_2_n_1 ,\det_abs_reg[12]_i_2_n_2 ,\det_abs_reg[12]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[12:9]),
.S({\det_abs[12]_i_3_n_0 ,\det_abs[12]_i_4_n_0 ,\det_abs[12]_i_5_n_0 ,\det_abs[12]_i_6_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[13]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[13]_i_1_n_0 ),
.Q(det_abs[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[14]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[14]_i_1_n_0 ),
.Q(det_abs[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[15]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[15]_i_1_n_0 ),
.Q(det_abs[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[16]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[16]_i_1_n_0 ),
.Q(det_abs[16]),
.R(1'b0));
CARRY4 \det_abs_reg[16]_i_2
(.CI(\det_abs_reg[12]_i_2_n_0 ),
.CO({\det_abs_reg[16]_i_2_n_0 ,\det_abs_reg[16]_i_2_n_1 ,\det_abs_reg[16]_i_2_n_2 ,\det_abs_reg[16]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[16:13]),
.S({\det_abs[16]_i_3_n_0 ,\det_abs[16]_i_4_n_0 ,\det_abs[16]_i_5_n_0 ,\det_abs[16]_i_6_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[17]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[17]_i_1_n_0 ),
.Q(det_abs[17]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[18]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[18]_i_1_n_0 ),
.Q(det_abs[18]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[19]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[19]_i_1_n_0 ),
.Q(det_abs[19]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[1]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[1]_i_1_n_0 ),
.Q(det_abs[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[20]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[20]_i_1_n_0 ),
.Q(det_abs[20]),
.R(1'b0));
CARRY4 \det_abs_reg[20]_i_2
(.CI(\det_abs_reg[16]_i_2_n_0 ),
.CO({\det_abs_reg[20]_i_2_n_0 ,\det_abs_reg[20]_i_2_n_1 ,\det_abs_reg[20]_i_2_n_2 ,\det_abs_reg[20]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[20:17]),
.S({\det_abs[20]_i_3_n_0 ,\det_abs[20]_i_4_n_0 ,\det_abs[20]_i_5_n_0 ,\det_abs[20]_i_6_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[21]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[21]_i_1_n_0 ),
.Q(det_abs[21]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[22]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[22]_i_1_n_0 ),
.Q(det_abs[22]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[23]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[23]_i_1_n_0 ),
.Q(det_abs[23]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[24]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[24]_i_1_n_0 ),
.Q(det_abs[24]),
.R(1'b0));
CARRY4 \det_abs_reg[24]_i_2
(.CI(\det_abs_reg[20]_i_2_n_0 ),
.CO({\det_abs_reg[24]_i_2_n_0 ,\det_abs_reg[24]_i_2_n_1 ,\det_abs_reg[24]_i_2_n_2 ,\det_abs_reg[24]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[24:21]),
.S({\det_abs[24]_i_3_n_0 ,\det_abs[24]_i_4_n_0 ,\det_abs[24]_i_5_n_0 ,\det_abs[24]_i_6_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[25]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[25]_i_1_n_0 ),
.Q(det_abs[25]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[26]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[26]_i_1_n_0 ),
.Q(det_abs[26]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[27]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[27]_i_1_n_0 ),
.Q(det_abs[27]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[28]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[28]_i_1_n_0 ),
.Q(det_abs[28]),
.R(1'b0));
CARRY4 \det_abs_reg[28]_i_2
(.CI(\det_abs_reg[24]_i_2_n_0 ),
.CO({\det_abs_reg[28]_i_2_n_0 ,\det_abs_reg[28]_i_2_n_1 ,\det_abs_reg[28]_i_2_n_2 ,\det_abs_reg[28]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[28:25]),
.S({\det_abs[28]_i_3_n_0 ,\det_abs[28]_i_4_n_0 ,\det_abs[28]_i_5_n_0 ,\det_abs[28]_i_6_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[29]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[29]_i_1_n_0 ),
.Q(det_abs[29]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[2]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[2]_i_1_n_0 ),
.Q(det_abs[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[30]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[30]_i_1_n_0 ),
.Q(det_abs[30]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[31]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[31]_i_1_n_0 ),
.Q(det_abs[31]),
.R(1'b0));
CARRY4 \det_abs_reg[31]_i_2
(.CI(\det_abs_reg[28]_i_2_n_0 ),
.CO({\NLW_det_abs_reg[31]_i_2_CO_UNCONNECTED [3:2],\det_abs_reg[31]_i_2_n_2 ,\det_abs_reg[31]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O({\NLW_det_abs_reg[31]_i_2_O_UNCONNECTED [3],det_abs0[31:29]}),
.S({1'b0,\det_abs[31]_i_3_n_0 ,\det_abs[31]_i_4_n_0 ,\det_abs[31]_i_5_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[3]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[3]_i_1_n_0 ),
.Q(det_abs[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[4]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[4]_i_1_n_0 ),
.Q(det_abs[4]),
.R(1'b0));
CARRY4 \det_abs_reg[4]_i_2
(.CI(1'b0),
.CO({\det_abs_reg[4]_i_2_n_0 ,\det_abs_reg[4]_i_2_n_1 ,\det_abs_reg[4]_i_2_n_2 ,\det_abs_reg[4]_i_2_n_3 }),
.CYINIT(\det_abs[4]_i_3_n_0 ),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[4:1]),
.S({\det_abs[4]_i_4_n_0 ,\det_abs[4]_i_5_n_0 ,\det_abs[4]_i_6_n_0 ,\det_abs[4]_i_7_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[5]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[5]_i_1_n_0 ),
.Q(det_abs[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[6]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[6]_i_1_n_0 ),
.Q(det_abs[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[7]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[7]_i_1_n_0 ),
.Q(det_abs[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\det_abs_reg[8]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[8]_i_1_n_0 ),
.Q(det_abs[8]),
.R(1'b0));
CARRY4 \det_abs_reg[8]_i_2
(.CI(\det_abs_reg[4]_i_2_n_0 ),
.CO({\det_abs_reg[8]_i_2_n_0 ,\det_abs_reg[8]_i_2_n_1 ,\det_abs_reg[8]_i_2_n_2 ,\det_abs_reg[8]_i_2_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,1'b0}),
.O(det_abs0[8:5]),
.S({\det_abs[8]_i_3_n_0 ,\det_abs[8]_i_4_n_0 ,\det_abs[8]_i_5_n_0 ,\det_abs[8]_i_6_n_0 }));
FDRE #(
.INIT(1'b0))
\det_abs_reg[9]
(.C(clk_x16),
.CE(y6),
.D(\det_abs[9]_i_1_n_0 ),
.Q(det_abs[9]),
.R(1'b0));
DSP48E1 #(
.ACASCREG(1),
.ADREG(1),
.ALUMODEREG(0),
.AREG(1),
.AUTORESET_PATDET("NO_RESET"),
.A_INPUT("DIRECT"),
.BCASCREG(1),
.BREG(1),
.B_INPUT("DIRECT"),
.CARRYINREG(0),
.CARRYINSELREG(0),
.CREG(1),
.DREG(1),
.INMODEREG(0),
.MASK(48'h3FFFFFFFFFFF),
.MREG(1),
.OPMODEREG(0),
.PATTERN(48'h000000000000),
.PREG(1),
.SEL_MASK("MASK"),
.SEL_PATTERN("PATTERN"),
.USE_DPORT("FALSE"),
.USE_MULT("MULTIPLY"),
.USE_PATTERN_DETECT("NO_PATDET"),
.USE_SIMD("ONE48"))
det_reg
(.A({Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_5,Lxy0__1_carry__2_n_6,Lxy0__1_carry__2_n_7,Lxy0__1_carry__1_n_4,Lxy0__1_carry__1_n_5,Lxy0__1_carry__1_n_6,Lxy0__1_carry__1_n_7,Lxy0__1_carry__0_n_4,Lxy0__1_carry__0_n_5,Lxy0__1_carry__0_n_6,Lxy0__1_carry__0_n_7,Lxy0__1_carry_n_4,Lxy0__1_carry_n_5,Lxy0__1_carry_n_6,Lxy0__1_carry_n_7}),
.ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.ACOUT(NLW_det_reg_ACOUT_UNCONNECTED[29:0]),
.ALUMODE({1'b0,1'b0,1'b1,1'b1}),
.B({Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_4,Lxy0__1_carry__2_n_5,Lxy0__1_carry__2_n_6,Lxy0__1_carry__2_n_7,Lxy0__1_carry__1_n_4,Lxy0__1_carry__1_n_5,Lxy0__1_carry__1_n_6,Lxy0__1_carry__1_n_7,Lxy0__1_carry__0_n_4,Lxy0__1_carry__0_n_5,Lxy0__1_carry__0_n_6,Lxy0__1_carry__0_n_7,Lxy0__1_carry_n_4,Lxy0__1_carry_n_5,Lxy0__1_carry_n_6,Lxy0__1_carry_n_7}),
.BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.BCOUT(NLW_det_reg_BCOUT_UNCONNECTED[17:0]),
.C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}),
.CARRYCASCIN(1'b0),
.CARRYCASCOUT(NLW_det_reg_CARRYCASCOUT_UNCONNECTED),
.CARRYIN(1'b0),
.CARRYINSEL({1'b0,1'b0,1'b0}),
.CARRYOUT(NLW_det_reg_CARRYOUT_UNCONNECTED[3:0]),
.CEA1(1'b0),
.CEA2(y3),
.CEAD(1'b0),
.CEALUMODE(1'b0),
.CEB1(1'b0),
.CEB2(y3),
.CEC(1'b0),
.CECARRYIN(1'b0),
.CECTRL(1'b0),
.CED(1'b0),
.CEINMODE(1'b0),
.CEM(y2),
.CEP(y9),
.CLK(clk_x16),
.D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
.INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}),
.MULTSIGNIN(1'b0),
.MULTSIGNOUT(NLW_det_reg_MULTSIGNOUT_UNCONNECTED),
.OPMODE({1'b0,1'b0,1'b1,1'b0,1'b1,1'b0,1'b1}),
.OVERFLOW(NLW_det_reg_OVERFLOW_UNCONNECTED),
.P({NLW_det_reg_P_UNCONNECTED[47:32],det_reg_n_74,det_reg_n_75,det_reg_n_76,det_reg_n_77,det_reg_n_78,det_reg_n_79,det_reg_n_80,det_reg_n_81,det_reg_n_82,det_reg_n_83,det_reg_n_84,det_reg_n_85,det_reg_n_86,det_reg_n_87,det_reg_n_88,det_reg_n_89,det_reg_n_90,det_reg_n_91,det_reg_n_92,det_reg_n_93,det_reg_n_94,det_reg_n_95,det_reg_n_96,det_reg_n_97,det_reg_n_98,det_reg_n_99,det_reg_n_100,det_reg_n_101,det_reg_n_102,det_reg_n_103,det_reg_n_104,det_reg_n_105}),
.PATTERNBDETECT(NLW_det_reg_PATTERNBDETECT_UNCONNECTED),
.PATTERNDETECT(NLW_det_reg_PATTERNDETECT_UNCONNECTED),
.PCIN({det_0_reg_n_106,det_0_reg_n_107,det_0_reg_n_108,det_0_reg_n_109,det_0_reg_n_110,det_0_reg_n_111,det_0_reg_n_112,det_0_reg_n_113,det_0_reg_n_114,det_0_reg_n_115,det_0_reg_n_116,det_0_reg_n_117,det_0_reg_n_118,det_0_reg_n_119,det_0_reg_n_120,det_0_reg_n_121,det_0_reg_n_122,det_0_reg_n_123,det_0_reg_n_124,det_0_reg_n_125,det_0_reg_n_126,det_0_reg_n_127,det_0_reg_n_128,det_0_reg_n_129,det_0_reg_n_130,det_0_reg_n_131,det_0_reg_n_132,det_0_reg_n_133,det_0_reg_n_134,det_0_reg_n_135,det_0_reg_n_136,det_0_reg_n_137,det_0_reg_n_138,det_0_reg_n_139,det_0_reg_n_140,det_0_reg_n_141,det_0_reg_n_142,det_0_reg_n_143,det_0_reg_n_144,det_0_reg_n_145,det_0_reg_n_146,det_0_reg_n_147,det_0_reg_n_148,det_0_reg_n_149,det_0_reg_n_150,det_0_reg_n_151,det_0_reg_n_152,det_0_reg_n_153}),
.PCOUT(NLW_det_reg_PCOUT_UNCONNECTED[47:0]),
.RSTA(1'b0),
.RSTALLCARRYIN(1'b0),
.RSTALUMODE(1'b0),
.RSTB(1'b0),
.RSTC(1'b0),
.RSTCTRL(1'b0),
.RSTD(1'b0),
.RSTINMODE(1'b0),
.RSTM(1'b0),
.RSTP(1'b0),
.UNDERFLOW(NLW_det_reg_UNDERFLOW_UNCONNECTED));
LUT6 #(
.INIT(64'h0000000040000000))
det_reg_i_1
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(cycle[3]),
.I2(rst),
.I3(active),
.I4(\cycle_reg[0]_rep_n_0 ),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(y2));
LUT6 #(
.INIT(64'h0000000080000000))
det_reg_i_2
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(cycle[3]),
.I2(rst),
.I3(active),
.I4(\cycle_reg[0]_rep_n_0 ),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(y9));
FDRE #(
.INIT(1'b0))
\din_reg[0]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [0]),
.Q(\din_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[10]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [10]),
.Q(\din_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[11]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [11]),
.Q(\din_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[12]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [12]),
.Q(\din_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[13]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [13]),
.Q(\din_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[14]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [14]),
.Q(\din_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[15]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [15]),
.Q(\din_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[1]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [1]),
.Q(\din_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[2]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [2]),
.Q(\din_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[3]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [3]),
.Q(\din_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[4]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [4]),
.Q(\din_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[5]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [5]),
.Q(\din_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[6]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [6]),
.Q(\din_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[7]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [7]),
.Q(\din_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[8]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [8]),
.Q(\din_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\din_reg[9]
(.C(clk_x16),
.CE(det_0_reg_i_2_n_0),
.D(\cache_reg[8]_1 [9]),
.Q(\din_reg_n_0_[9] ),
.R(1'b0));
LUT6 #(
.INIT(64'h8000000000000000))
\hessian_out[31]_i_1
(.I0(rst),
.I1(active),
.I2(cycle[3]),
.I3(cycle[0]),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(y3));
FDRE \hessian_out_reg[0]
(.C(clk_x16),
.CE(y3),
.D(det_abs[0]),
.Q(hessian_out[0]),
.R(1'b0));
FDRE \hessian_out_reg[10]
(.C(clk_x16),
.CE(y3),
.D(det_abs[10]),
.Q(hessian_out[10]),
.R(1'b0));
FDRE \hessian_out_reg[11]
(.C(clk_x16),
.CE(y3),
.D(det_abs[11]),
.Q(hessian_out[11]),
.R(1'b0));
FDRE \hessian_out_reg[12]
(.C(clk_x16),
.CE(y3),
.D(det_abs[12]),
.Q(hessian_out[12]),
.R(1'b0));
FDRE \hessian_out_reg[13]
(.C(clk_x16),
.CE(y3),
.D(det_abs[13]),
.Q(hessian_out[13]),
.R(1'b0));
FDRE \hessian_out_reg[14]
(.C(clk_x16),
.CE(y3),
.D(det_abs[14]),
.Q(hessian_out[14]),
.R(1'b0));
FDRE \hessian_out_reg[15]
(.C(clk_x16),
.CE(y3),
.D(det_abs[15]),
.Q(hessian_out[15]),
.R(1'b0));
FDRE \hessian_out_reg[16]
(.C(clk_x16),
.CE(y3),
.D(det_abs[16]),
.Q(hessian_out[16]),
.R(1'b0));
FDRE \hessian_out_reg[17]
(.C(clk_x16),
.CE(y3),
.D(det_abs[17]),
.Q(hessian_out[17]),
.R(1'b0));
FDRE \hessian_out_reg[18]
(.C(clk_x16),
.CE(y3),
.D(det_abs[18]),
.Q(hessian_out[18]),
.R(1'b0));
FDRE \hessian_out_reg[19]
(.C(clk_x16),
.CE(y3),
.D(det_abs[19]),
.Q(hessian_out[19]),
.R(1'b0));
FDRE \hessian_out_reg[1]
(.C(clk_x16),
.CE(y3),
.D(det_abs[1]),
.Q(hessian_out[1]),
.R(1'b0));
FDRE \hessian_out_reg[20]
(.C(clk_x16),
.CE(y3),
.D(det_abs[20]),
.Q(hessian_out[20]),
.R(1'b0));
FDRE \hessian_out_reg[21]
(.C(clk_x16),
.CE(y3),
.D(det_abs[21]),
.Q(hessian_out[21]),
.R(1'b0));
FDRE \hessian_out_reg[22]
(.C(clk_x16),
.CE(y3),
.D(det_abs[22]),
.Q(hessian_out[22]),
.R(1'b0));
FDRE \hessian_out_reg[23]
(.C(clk_x16),
.CE(y3),
.D(det_abs[23]),
.Q(hessian_out[23]),
.R(1'b0));
FDRE \hessian_out_reg[24]
(.C(clk_x16),
.CE(y3),
.D(det_abs[24]),
.Q(hessian_out[24]),
.R(1'b0));
FDRE \hessian_out_reg[25]
(.C(clk_x16),
.CE(y3),
.D(det_abs[25]),
.Q(hessian_out[25]),
.R(1'b0));
FDRE \hessian_out_reg[26]
(.C(clk_x16),
.CE(y3),
.D(det_abs[26]),
.Q(hessian_out[26]),
.R(1'b0));
FDRE \hessian_out_reg[27]
(.C(clk_x16),
.CE(y3),
.D(det_abs[27]),
.Q(hessian_out[27]),
.R(1'b0));
FDRE \hessian_out_reg[28]
(.C(clk_x16),
.CE(y3),
.D(det_abs[28]),
.Q(hessian_out[28]),
.R(1'b0));
FDRE \hessian_out_reg[29]
(.C(clk_x16),
.CE(y3),
.D(det_abs[29]),
.Q(hessian_out[29]),
.R(1'b0));
FDRE \hessian_out_reg[2]
(.C(clk_x16),
.CE(y3),
.D(det_abs[2]),
.Q(hessian_out[2]),
.R(1'b0));
FDRE \hessian_out_reg[30]
(.C(clk_x16),
.CE(y3),
.D(det_abs[30]),
.Q(hessian_out[30]),
.R(1'b0));
FDRE \hessian_out_reg[31]
(.C(clk_x16),
.CE(y3),
.D(det_abs[31]),
.Q(hessian_out[31]),
.R(1'b0));
FDRE \hessian_out_reg[3]
(.C(clk_x16),
.CE(y3),
.D(det_abs[3]),
.Q(hessian_out[3]),
.R(1'b0));
FDRE \hessian_out_reg[4]
(.C(clk_x16),
.CE(y3),
.D(det_abs[4]),
.Q(hessian_out[4]),
.R(1'b0));
FDRE \hessian_out_reg[5]
(.C(clk_x16),
.CE(y3),
.D(det_abs[5]),
.Q(hessian_out[5]),
.R(1'b0));
FDRE \hessian_out_reg[6]
(.C(clk_x16),
.CE(y3),
.D(det_abs[6]),
.Q(hessian_out[6]),
.R(1'b0));
FDRE \hessian_out_reg[7]
(.C(clk_x16),
.CE(y3),
.D(det_abs[7]),
.Q(hessian_out[7]),
.R(1'b0));
FDRE \hessian_out_reg[8]
(.C(clk_x16),
.CE(y3),
.D(det_abs[8]),
.Q(hessian_out[8]),
.R(1'b0));
FDRE \hessian_out_reg[9]
(.C(clk_x16),
.CE(y3),
.D(det_abs[9]),
.Q(hessian_out[9]),
.R(1'b0));
LUT4 #(
.INIT(16'h0400))
i__carry__0_i_1
(.I0(\cycle_reg[1]_rep__0_n_0 ),
.I1(cycle[0]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[3]),
.O(i__carry__0_i_1_n_0));
LUT2 #(
.INIT(4'h9))
i__carry__0_i_2
(.I0(\x_reg_n_0_[6] ),
.I1(\x_reg_n_0_[7] ),
.O(i__carry__0_i_2_n_0));
LUT2 #(
.INIT(4'h9))
i__carry__0_i_3
(.I0(\x_reg_n_0_[5] ),
.I1(\x_reg_n_0_[6] ),
.O(i__carry__0_i_3_n_0));
LUT2 #(
.INIT(4'h9))
i__carry__0_i_4
(.I0(\x_reg_n_0_[4] ),
.I1(\x_reg_n_0_[5] ),
.O(i__carry__0_i_4_n_0));
LUT5 #(
.INIT(32'h0020FFDF))
i__carry__0_i_5
(.I0(cycle[3]),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\x_reg_n_0_[4] ),
.O(i__carry__0_i_5_n_0));
LUT2 #(
.INIT(4'h9))
i__carry__1_i_1
(.I0(\x_reg_n_0_[8] ),
.I1(\x_reg_n_0_[9] ),
.O(i__carry__1_i_1_n_0));
LUT2 #(
.INIT(4'h9))
i__carry__1_i_2
(.I0(\x_reg_n_0_[7] ),
.I1(\x_reg_n_0_[8] ),
.O(i__carry__1_i_2_n_0));
LUT5 #(
.INIT(32'h0020FFDF))
i__carry_i_1
(.I0(cycle[3]),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\x_reg_n_0_[3] ),
.O(i__carry_i_1_n_0));
LUT4 #(
.INIT(16'hAA6A))
i__carry_i_2
(.I0(\x_reg_n_0_[2] ),
.I1(cycle[3]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(\cycle_reg[2]_rep_n_0 ),
.O(i__carry_i_2_n_0));
LUT5 #(
.INIT(32'h55599555))
i__carry_i_3
(.I0(\x_reg_n_0_[1] ),
.I1(cycle[3]),
.I2(cycle[0]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\cycle_reg[2]_rep_n_0 ),
.O(i__carry_i_3_n_0));
LUT4 #(
.INIT(16'h5595))
i__carry_i_4
(.I0(\x_reg_n_0_[0] ),
.I1(cycle[3]),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.O(i__carry_i_4_n_0));
FDRE #(
.INIT(1'b0))
\last_value_reg[0]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[0] ),
.Q(last_value[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[1]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[1] ),
.Q(last_value[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[2]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[2] ),
.Q(last_value[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[3]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[3] ),
.Q(last_value[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[4]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[4] ),
.Q(last_value[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[5]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[5] ),
.Q(last_value[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[6]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[6] ),
.Q(last_value[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\last_value_reg[7]
(.C(clk_x16),
.CE(x),
.D(\value_reg_n_0_[7] ),
.Q(last_value[7]),
.R(1'b0));
LUT5 #(
.INIT(32'h00000008))
\left[15]_i_1
(.I0(\left[15]_i_2_n_0 ),
.I1(x),
.I2(\x_reg_n_0_[0] ),
.I3(\x_reg_n_0_[9] ),
.I4(\x_reg_n_0_[8] ),
.O(left));
(* SOFT_HLUTNM = "soft_lutpair17" *)
LUT4 #(
.INIT(16'h0001))
\left[15]_i_2
(.I0(\x_reg_n_0_[7] ),
.I1(\x_reg_n_0_[5] ),
.I2(\x_reg_n_0_[6] ),
.I3(\left[15]_i_3_n_0 ),
.O(\left[15]_i_2_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair13" *)
LUT4 #(
.INIT(16'hFFFE))
\left[15]_i_3
(.I0(\x_reg_n_0_[4] ),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[2] ),
.I3(\x_reg_n_0_[3] ),
.O(\left[15]_i_3_n_0 ));
FDRE #(
.INIT(1'b0))
\left_reg[0]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [0]),
.Q(\left_reg_n_0_[0] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[10]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [10]),
.Q(\left_reg_n_0_[10] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[11]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [11]),
.Q(\left_reg_n_0_[11] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[12]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [12]),
.Q(\left_reg_n_0_[12] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[13]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [13]),
.Q(\left_reg_n_0_[13] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[14]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [14]),
.Q(\left_reg_n_0_[14] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[15]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [15]),
.Q(\left_reg_n_0_[15] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[1]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [1]),
.Q(\left_reg_n_0_[1] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[2]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [2]),
.Q(\left_reg_n_0_[2] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[3]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [3]),
.Q(\left_reg_n_0_[3] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[4]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [4]),
.Q(\left_reg_n_0_[4] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[5]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [5]),
.Q(\left_reg_n_0_[5] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[6]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [6]),
.Q(\left_reg_n_0_[6] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[7]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [7]),
.Q(\left_reg_n_0_[7] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[8]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [8]),
.Q(\left_reg_n_0_[8] ),
.R(left));
FDRE #(
.INIT(1'b0))
\left_reg[9]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[0]_4 [9]),
.Q(\left_reg_n_0_[9] ),
.R(left));
CARRY4 \plusOp_inferred__0/i__carry
(.CI(1'b0),
.CO({\plusOp_inferred__0/i__carry_n_0 ,\plusOp_inferred__0/i__carry_n_1 ,\plusOp_inferred__0/i__carry_n_2 ,\plusOp_inferred__0/i__carry_n_3 }),
.CYINIT(1'b0),
.DI({\x_reg_n_0_[3] ,\x_reg_n_0_[2] ,\x_reg_n_0_[1] ,\x_reg_n_0_[0] }),
.O({\plusOp_inferred__0/i__carry_n_4 ,\plusOp_inferred__0/i__carry_n_5 ,\plusOp_inferred__0/i__carry_n_6 ,\plusOp_inferred__0/i__carry_n_7 }),
.S({i__carry_i_1_n_0,i__carry_i_2_n_0,i__carry_i_3_n_0,i__carry_i_4_n_0}));
CARRY4 \plusOp_inferred__0/i__carry__0
(.CI(\plusOp_inferred__0/i__carry_n_0 ),
.CO({\plusOp_inferred__0/i__carry__0_n_0 ,\plusOp_inferred__0/i__carry__0_n_1 ,\plusOp_inferred__0/i__carry__0_n_2 ,\plusOp_inferred__0/i__carry__0_n_3 }),
.CYINIT(1'b0),
.DI({\x_reg_n_0_[6] ,\x_reg_n_0_[5] ,\x_reg_n_0_[4] ,i__carry__0_i_1_n_0}),
.O({\plusOp_inferred__0/i__carry__0_n_4 ,\plusOp_inferred__0/i__carry__0_n_5 ,\plusOp_inferred__0/i__carry__0_n_6 ,\plusOp_inferred__0/i__carry__0_n_7 }),
.S({i__carry__0_i_2_n_0,i__carry__0_i_3_n_0,i__carry__0_i_4_n_0,i__carry__0_i_5_n_0}));
CARRY4 \plusOp_inferred__0/i__carry__1
(.CI(\plusOp_inferred__0/i__carry__0_n_0 ),
.CO({\NLW_plusOp_inferred__0/i__carry__1_CO_UNCONNECTED [3:1],\plusOp_inferred__0/i__carry__1_n_3 }),
.CYINIT(1'b0),
.DI({1'b0,1'b0,1'b0,\x_reg_n_0_[7] }),
.O({\NLW_plusOp_inferred__0/i__carry__1_O_UNCONNECTED [3:2],\plusOp_inferred__0/i__carry__1_n_6 ,\plusOp_inferred__0/i__carry__1_n_7 }),
.S({1'b0,1'b0,i__carry__1_i_1_n_0,i__carry__1_i_2_n_0}));
LUT6 #(
.INIT(64'h0000000000000002))
\top[15]_i_1
(.I0(x),
.I1(\top[15]_i_2_n_0 ),
.I2(\y_actual_reg_n_0_[3] ),
.I3(\y_actual_reg_n_0_[0] ),
.I4(\y_actual_reg_n_0_[1] ),
.I5(\y_actual_reg_n_0_[2] ),
.O(top));
LUT6 #(
.INIT(64'hFFFFFFFFFFFFFFFE))
\top[15]_i_2
(.I0(\y_actual_reg_n_0_[8] ),
.I1(\y_actual_reg_n_0_[9] ),
.I2(\y_actual_reg_n_0_[6] ),
.I3(\y_actual_reg_n_0_[7] ),
.I4(\y_actual_reg_n_0_[4] ),
.I5(\y_actual_reg_n_0_[5] ),
.O(\top[15]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[0]_i_1
(.I0(dout_0[0]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[0]),
.O(\top_left_0[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[10]_i_1
(.I0(dout_0[10]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[10]),
.O(\top_left_0[10]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[11]_i_1
(.I0(dout_0[11]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[11]),
.O(\top_left_0[11]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[12]_i_1
(.I0(dout_0[12]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[12]),
.O(\top_left_0[12]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[13]_i_1
(.I0(dout_0[13]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[13]),
.O(\top_left_0[13]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[14]_i_1
(.I0(dout_0[14]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[14]),
.O(\top_left_0[14]_i_1_n_0 ));
LUT6 #(
.INIT(64'h8000700010000000))
\top_left_0[15]_i_1
(.I0(cycle[2]),
.I1(cycle[3]),
.I2(rst),
.I3(active),
.I4(\cycle_reg[0]_rep_n_0 ),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(top_left_0));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[15]_i_2
(.I0(dout_0[15]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[15]),
.O(\top_left_0[15]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[1]_i_1
(.I0(dout_0[1]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[1]),
.O(\top_left_0[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[2]_i_1
(.I0(dout_0[2]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[2]),
.O(\top_left_0[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[3]_i_1
(.I0(dout_0[3]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[3]),
.O(\top_left_0[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[4]_i_1
(.I0(dout_0[4]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[4]),
.O(\top_left_0[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[5]_i_1
(.I0(dout_0[5]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[5]),
.O(\top_left_0[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[6]_i_1
(.I0(dout_0[6]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[6]),
.O(\top_left_0[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[7]_i_1
(.I0(dout_0[7]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[7]),
.O(\top_left_0[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[8]_i_1
(.I0(dout_0[8]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[8]),
.O(\top_left_0[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_left_0[9]_i_1
(.I0(dout_0[9]),
.I1(cycle[2]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[9]),
.O(\top_left_0[9]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[0]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[0]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[10]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[10]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[11]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[11]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[12]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[12]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[13]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[13]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[14]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[14]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[15]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[15]_i_2_n_0 ),
.Q(\top_left_0_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[1]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[1]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[2]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[2]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[3]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[3]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[4]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[4]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[5]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[5]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[6]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[6]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[7]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[7]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[8]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[8]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_0_reg[9]
(.C(clk_x16),
.CE(top_left_0),
.D(\top_left_0[9]_i_1_n_0 ),
.Q(\top_left_0_reg_n_0_[9] ),
.R(1'b0));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[0]_i_1
(.I0(dout_1[0]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[0] ),
.O(\top_left_1[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[10]_i_1
(.I0(dout_1[10]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[10] ),
.O(\top_left_1[10]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[11]_i_1
(.I0(dout_1[11]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[11] ),
.O(\top_left_1[11]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[12]_i_1
(.I0(dout_1[12]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\bottom_left_0_reg_n_0_[12] ),
.O(\top_left_1[12]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[13]_i_1
(.I0(dout_1[13]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\bottom_left_0_reg_n_0_[13] ),
.O(\top_left_1[13]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[14]_i_1
(.I0(dout_1[14]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\bottom_left_0_reg_n_0_[14] ),
.O(\top_left_1[14]_i_1_n_0 ));
LUT4 #(
.INIT(16'h0040))
\top_left_1[15]_i_1
(.I0(\cycle_reg[0]_rep_n_0 ),
.I1(active),
.I2(rst),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.O(bottom_right_1));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[15]_i_2
(.I0(dout_1[15]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\bottom_left_0_reg_n_0_[15] ),
.O(\top_left_1[15]_i_2_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[1]_i_1
(.I0(dout_1[1]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[1] ),
.O(\top_left_1[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[2]_i_1
(.I0(dout_1[2]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[2] ),
.O(\top_left_1[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[3]_i_1
(.I0(dout_1[3]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[3] ),
.O(\top_left_1[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[4]_i_1
(.I0(dout_1[4]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[4] ),
.O(\top_left_1[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[5]_i_1
(.I0(dout_1[5]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[5] ),
.O(\top_left_1[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[6]_i_1
(.I0(dout_1[6]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[6] ),
.O(\top_left_1[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[7]_i_1
(.I0(dout_1[7]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[7] ),
.O(\top_left_1[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[8]_i_1
(.I0(dout_1[8]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[8] ),
.O(\top_left_1[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAAABAAAAAAA8AAAA))
\top_left_1[9]_i_1
(.I0(dout_1[9]),
.I1(\cycle_reg[0]_rep_n_0 ),
.I2(cycle[3]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[2]),
.I5(\bottom_left_0_reg_n_0_[9] ),
.O(\top_left_1[9]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[0]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[0]_i_1_n_0 ),
.Q(top_left_1[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[10]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[10]_i_1_n_0 ),
.Q(top_left_1[10]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[11]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[11]_i_1_n_0 ),
.Q(top_left_1[11]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[12]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[12]_i_1_n_0 ),
.Q(top_left_1[12]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[13]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[13]_i_1_n_0 ),
.Q(top_left_1[13]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[14]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[14]_i_1_n_0 ),
.Q(top_left_1[14]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[15]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[15]_i_2_n_0 ),
.Q(top_left_1[15]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[1]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[1]_i_1_n_0 ),
.Q(top_left_1[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[2]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[2]_i_1_n_0 ),
.Q(top_left_1[2]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[3]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[3]_i_1_n_0 ),
.Q(top_left_1[3]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[4]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[4]_i_1_n_0 ),
.Q(top_left_1[4]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[5]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[5]_i_1_n_0 ),
.Q(top_left_1[5]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[6]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[6]_i_1_n_0 ),
.Q(top_left_1[6]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[7]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[7]_i_1_n_0 ),
.Q(top_left_1[7]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[8]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[8]_i_1_n_0 ),
.Q(top_left_1[8]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_left_1_reg[9]
(.C(clk_x16),
.CE(bottom_right_1),
.D(\top_left_1[9]_i_1_n_0 ),
.Q(top_left_1[9]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_reg[0]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [0]),
.Q(\top_reg_n_0_[0] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[10]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [10]),
.Q(\top_reg_n_0_[10] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[11]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [11]),
.Q(\top_reg_n_0_[11] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[12]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [12]),
.Q(\top_reg_n_0_[12] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[13]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [13]),
.Q(\top_reg_n_0_[13] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[14]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [14]),
.Q(\top_reg_n_0_[14] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[15]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [15]),
.Q(\top_reg_n_0_[15] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[1]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [1]),
.Q(\top_reg_n_0_[1] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[2]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [2]),
.Q(\top_reg_n_0_[2] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[3]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [3]),
.Q(\top_reg_n_0_[3] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[4]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [4]),
.Q(\top_reg_n_0_[4] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[5]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [5]),
.Q(\top_reg_n_0_[5] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[6]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [6]),
.Q(\top_reg_n_0_[6] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[7]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [7]),
.Q(\top_reg_n_0_[7] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[8]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [8]),
.Q(\top_reg_n_0_[8] ),
.R(top));
FDRE #(
.INIT(1'b0))
\top_reg[9]
(.C(clk_x16),
.CE(x),
.D(\cache_reg[9]_2 [9]),
.Q(\top_reg_n_0_[9] ),
.R(top));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[0]_i_1
(.I0(top_left_1[0]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[0]),
.O(\top_right_0[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[10]_i_1
(.I0(top_left_1[10]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[10]),
.O(\top_right_0[10]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[11]_i_1
(.I0(top_left_1[11]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[11]),
.O(\top_right_0[11]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[12]_i_1
(.I0(top_left_1[12]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[12]),
.O(\top_right_0[12]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[13]_i_1
(.I0(top_left_1[13]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[13]),
.O(\top_right_0[13]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[14]_i_1
(.I0(top_left_1[14]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[14]),
.O(\top_right_0[14]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0880000080080800))
\top_right_0[15]_i_1
(.I0(rst),
.I1(active),
.I2(cycle[3]),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\cycle_reg[2]_rep_n_0 ),
.O(top_right_0));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[15]_i_2
(.I0(top_left_1[15]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[15]),
.O(\top_right_0[15]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[1]_i_1
(.I0(top_left_1[1]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[1]),
.O(\top_right_0[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[2]_i_1
(.I0(top_left_1[2]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[2]),
.O(\top_right_0[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[3]_i_1
(.I0(top_left_1[3]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[3]),
.O(\top_right_0[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[4]_i_1
(.I0(top_left_1[4]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[4]),
.O(\top_right_0[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[5]_i_1
(.I0(top_left_1[5]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[5]),
.O(\top_right_0[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[6]_i_1
(.I0(top_left_1[6]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[6]),
.O(\top_right_0[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[7]_i_1
(.I0(top_left_1[7]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[7]),
.O(\top_right_0[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[8]_i_1
(.I0(top_left_1[8]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[8]),
.O(\top_right_0[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFEF00000020))
\top_right_0[9]_i_1
(.I0(top_left_1[9]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[3]),
.I5(dout_1[9]),
.O(\top_right_0[9]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[0]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[0]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[10]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[10]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[11]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[11]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[12]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[12]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[13]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[13]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[14]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[14]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[15]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[15]_i_2_n_0 ),
.Q(\top_right_0_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[1]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[1]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[2]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[2]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[3]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[3]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[4]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[4]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[5]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[5]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[6]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[6]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[7]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[7]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[8]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[8]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_0_reg[9]
(.C(clk_x16),
.CE(top_right_0),
.D(\top_right_0[9]_i_1_n_0 ),
.Q(\top_right_0_reg_n_0_[9] ),
.R(1'b0));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[0]_i_1
(.I0(dout_1[0]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[0] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[0] ),
.O(\top_right_1[0]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[10]_i_1
(.I0(dout_1[10]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[10] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[10] ),
.O(\top_right_1[10]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[11]_i_1
(.I0(dout_1[11]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[11] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[11] ),
.O(\top_right_1[11]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[12]_i_1
(.I0(dout_1[12]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[12] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[12] ),
.O(\top_right_1[12]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[13]_i_1
(.I0(dout_1[13]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[13] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[13] ),
.O(\top_right_1[13]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[14]_i_1
(.I0(dout_1[14]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[14] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[14] ),
.O(\top_right_1[14]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[15]_i_1
(.I0(dout_1[15]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[15] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[15] ),
.O(\top_right_1[15]_i_1_n_0 ));
LUT3 #(
.INIT(8'hFE))
\top_right_1[15]_i_2
(.I0(cycle[3]),
.I1(cycle[0]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.O(\top_right_1[15]_i_2_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[1]_i_1
(.I0(dout_1[1]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[1] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[1] ),
.O(\top_right_1[1]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[2]_i_1
(.I0(dout_1[2]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[2] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[2] ),
.O(\top_right_1[2]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[3]_i_1
(.I0(dout_1[3]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[3] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[3] ),
.O(\top_right_1[3]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[4]_i_1
(.I0(dout_1[4]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[4] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[4] ),
.O(\top_right_1[4]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[5]_i_1
(.I0(dout_1[5]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[5] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[5] ),
.O(\top_right_1[5]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[6]_i_1
(.I0(dout_1[6]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[6] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[6] ),
.O(\top_right_1[6]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[7]_i_1
(.I0(dout_1[7]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[7] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[7] ),
.O(\top_right_1[7]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[8]_i_1
(.I0(dout_1[8]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[8] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[8] ),
.O(\top_right_1[8]_i_1_n_0 ));
LUT5 #(
.INIT(32'hB8BBB888))
\top_right_1[9]_i_1
(.I0(dout_1[9]),
.I1(\top_right_1[15]_i_2_n_0 ),
.I2(\bottom_right_0_reg_n_0_[9] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\top_left_0_reg_n_0_[9] ),
.O(\top_right_1[9]_i_1_n_0 ));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[0]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[0]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[10]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[10]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[10] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[11]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[11]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[11] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[12]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[12]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[12] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[13]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[13]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[13] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[14]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[14]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[14] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[15]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[15]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[15] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[1]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[1]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[2]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[2]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[3]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[3]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[4]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[4]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[5]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[5]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[6]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[6]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[7]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[7]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[7] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[8]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[8]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[8] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\top_right_1_reg[9]
(.C(clk_x16),
.CE(top_right_1),
.D(\top_right_1[9]_i_1_n_0 ),
.Q(\top_right_1_reg_n_0_[9] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[0]
(.C(clk_x16),
.CE(x),
.D(g_in[0]),
.Q(\value_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[1]
(.C(clk_x16),
.CE(x),
.D(g_in[1]),
.Q(\value_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[2]
(.C(clk_x16),
.CE(x),
.D(g_in[2]),
.Q(\value_reg_n_0_[2] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[3]
(.C(clk_x16),
.CE(x),
.D(g_in[3]),
.Q(\value_reg_n_0_[3] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[4]
(.C(clk_x16),
.CE(x),
.D(g_in[4]),
.Q(\value_reg_n_0_[4] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[5]
(.C(clk_x16),
.CE(x),
.D(g_in[5]),
.Q(\value_reg_n_0_[5] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[6]
(.C(clk_x16),
.CE(x),
.D(g_in[6]),
.Q(\value_reg_n_0_[6] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\value_reg[7]
(.C(clk_x16),
.CE(x),
.D(g_in[7]),
.Q(\value_reg_n_0_[7] ),
.R(1'b0));
LUT6 #(
.INIT(64'hAAAAEAAAAAA2AAAA))
wen_i_1
(.I0(wen_reg_n_0),
.I1(wen_i_2_n_0),
.I2(\cycle_reg[0]_rep_n_0 ),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[3]),
.I5(cycle[2]),
.O(wen_i_1_n_0));
LUT2 #(
.INIT(4'h8))
wen_i_2
(.I0(active),
.I1(rst),
.O(wen_i_2_n_0));
FDRE #(
.INIT(1'b0))
wen_reg
(.C(clk_x16),
.CE(1'b1),
.D(wen_i_1_n_0),
.Q(wen_reg_n_0),
.R(1'b0));
LUT6 #(
.INIT(64'h3B01FFC53A00FEC4))
\x0[0]_i_2
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(cycle[0]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(data2[0]),
.I4(\x_reg_n_0_[0] ),
.I5(\plusOp_inferred__0/i__carry_n_7 ),
.O(\x0[0]_i_2_n_0 ));
LUT5 #(
.INIT(32'hFFFE0002))
\x0[0]_i_3
(.I0(data2[0]),
.I1(cycle[0]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\plusOp_inferred__0/i__carry_n_7 ),
.O(\x0[0]_i_3_n_0 ));
LUT6 #(
.INIT(64'hCCEECCEEEEEECCFC))
\x0[1]_i_2
(.I0(data2[1]),
.I1(\x0[1]_i_4_n_0 ),
.I2(\plusOp_inferred__0/i__carry_n_6 ),
.I3(cycle[0]),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(\x0[1]_i_2_n_0 ));
LUT5 #(
.INIT(32'hFFFE0002))
\x0[1]_i_3
(.I0(data2[1]),
.I1(cycle[0]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\plusOp_inferred__0/i__carry_n_6 ),
.O(\x0[1]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair6" *)
LUT5 #(
.INIT(32'h60600060))
\x0[1]_i_4
(.I0(\x_reg_n_0_[1] ),
.I1(\x_reg_n_0_[0] ),
.I2(cycle[0]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.O(\x0[1]_i_4_n_0 ));
LUT6 #(
.INIT(64'hFBBBFBBBFFBBBBBB))
\x0[2]_i_1
(.I0(\x0[2]_i_2_n_0 ),
.I1(\x0[2]_i_3_n_0 ),
.I2(data2[2]),
.I3(cycle[3]),
.I4(\plusOp_inferred__0/i__carry_n_5 ),
.I5(\x1[5]_i_3_n_0 ),
.O(\x0[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'h88AA22A0880022A0))
\x0[2]_i_2
(.I0(\x0[7]_i_4_n_0 ),
.I1(\x0[2]_i_4_n_0 ),
.I2(\plusOp_inferred__0/i__carry_n_5 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data2[2]),
.O(\x0[2]_i_2_n_0 ));
LUT6 #(
.INIT(64'h3FF3F3F377777777))
\x0[2]_i_3
(.I0(data2[2]),
.I1(\x0[2]_i_5_n_0 ),
.I2(\x_reg_n_0_[2] ),
.I3(\x_reg_n_0_[1] ),
.I4(\x_reg_n_0_[0] ),
.I5(\x1[6]_i_8_n_0 ),
.O(\x0[2]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair55" *)
LUT3 #(
.INIT(8'h6A))
\x0[2]_i_4
(.I0(\x_reg_n_0_[2] ),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[0] ),
.O(\x0[2]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair1" *)
LUT2 #(
.INIT(4'h2))
\x0[2]_i_5
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(cycle[3]),
.O(\x0[2]_i_5_n_0 ));
LUT5 #(
.INIT(32'hFFF100F1))
\x0[3]_i_1
(.I0(\x0[3]_i_2_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(\x0[3]_i_3_n_0 ),
.I3(cycle[3]),
.I4(\x0[3]_i_4_n_0 ),
.O(\x0[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'h660FFF00660FFFFF))
\x0[3]_i_2
(.I0(\x_reg_n_0_[3] ),
.I1(\x0[3]_i_5_n_0 ),
.I2(data2[3]),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\plusOp_inferred__0/i__carry_n_4 ),
.O(\x0[3]_i_2_n_0 ));
LUT6 #(
.INIT(64'h90F0F9F090000900))
\x0[3]_i_3
(.I0(\x_reg_n_0_[3] ),
.I1(\x0[3]_i_6_n_0 ),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data2[3]),
.O(\x0[3]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair9" *)
LUT5 #(
.INIT(32'hFFFE0002))
\x0[3]_i_4
(.I0(data2[3]),
.I1(cycle[0]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\plusOp_inferred__0/i__carry_n_4 ),
.O(\x0[3]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair55" *)
LUT3 #(
.INIT(8'h7F))
\x0[3]_i_5
(.I0(\x_reg_n_0_[2] ),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[0] ),
.O(\x0[3]_i_5_n_0 ));
LUT3 #(
.INIT(8'hEA))
\x0[3]_i_6
(.I0(\x_reg_n_0_[2] ),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[0] ),
.O(\x0[3]_i_6_n_0 ));
LUT6 #(
.INIT(64'hFCDDFCDDFFDDCCDD))
\x0[4]_i_1
(.I0(\x0[4]_i_2_n_0 ),
.I1(\x0[4]_i_3_n_0 ),
.I2(data2[4]),
.I3(cycle[3]),
.I4(\plusOp_inferred__0/i__carry__0_n_7 ),
.I5(\x1[5]_i_3_n_0 ),
.O(\x0[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'h3C555555FFFF3CFF))
\x0[4]_i_2
(.I0(data2[4]),
.I1(\x_reg_n_0_[4] ),
.I2(\x0[4]_i_4_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\cycle_reg[2]_rep_n_0 ),
.O(\x0[4]_i_2_n_0 ));
LUT6 #(
.INIT(64'h008A0080A08AA080))
\x0[4]_i_3
(.I0(\x0[7]_i_4_n_0 ),
.I1(data2[4]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(cycle[0]),
.I4(\plusOp_inferred__0/i__carry__0_n_7 ),
.I5(\x0[4]_i_5_n_0 ),
.O(\x0[4]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair16" *)
LUT4 #(
.INIT(16'hFFEA))
\x0[4]_i_4
(.I0(\x_reg_n_0_[3] ),
.I1(\x_reg_n_0_[0] ),
.I2(\x_reg_n_0_[1] ),
.I3(\x_reg_n_0_[2] ),
.O(\x0[4]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair4" *)
LUT5 #(
.INIT(32'h95555555))
\x0[4]_i_5
(.I0(\x_reg_n_0_[4] ),
.I1(\x_reg_n_0_[3] ),
.I2(\x_reg_n_0_[2] ),
.I3(\x_reg_n_0_[1] ),
.I4(\x_reg_n_0_[0] ),
.O(\x0[4]_i_5_n_0 ));
LUT6 #(
.INIT(64'hFCDDFCDDFFDDCCDD))
\x0[5]_i_1
(.I0(\x0[5]_i_2_n_0 ),
.I1(\x0[5]_i_3_n_0 ),
.I2(data2[5]),
.I3(cycle[3]),
.I4(\plusOp_inferred__0/i__carry__0_n_6 ),
.I5(\x1[5]_i_3_n_0 ),
.O(\x0[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'h3C555555FFFF3CFF))
\x0[5]_i_2
(.I0(data2[5]),
.I1(\x_reg_n_0_[5] ),
.I2(\x0[8]_i_7_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\cycle_reg[2]_rep_n_0 ),
.O(\x0[5]_i_2_n_0 ));
LUT6 #(
.INIT(64'h00A80008AAAAAAAA))
\x0[5]_i_3
(.I0(\x0[7]_i_4_n_0 ),
.I1(\plusOp_inferred__0/i__carry__0_n_6 ),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(cycle[0]),
.I4(data2[5]),
.I5(\x0[5]_i_4_n_0 ),
.O(\x0[5]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair14" *)
LUT5 #(
.INIT(32'h2DFFFFFF))
\x0[5]_i_4
(.I0(\x_reg_n_0_[4] ),
.I1(\x0[5]_i_5_n_0 ),
.I2(\x_reg_n_0_[5] ),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(cycle[0]),
.O(\x0[5]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair16" *)
LUT4 #(
.INIT(16'h7FFF))
\x0[5]_i_5
(.I0(\x_reg_n_0_[0] ),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[2] ),
.I3(\x_reg_n_0_[3] ),
.O(\x0[5]_i_5_n_0 ));
LUT5 #(
.INIT(32'hFFFF0507))
\x0[6]_i_1
(.I0(\x0[6]_i_2_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(cycle[3]),
.I3(\x0[6]_i_3_n_0 ),
.I4(\x0[6]_i_4_n_0 ),
.O(\x0[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0707077077777777))
\x0[6]_i_2
(.I0(\x1[9]_i_7_n_0 ),
.I1(data2[6]),
.I2(\x_reg_n_0_[6] ),
.I3(\x0[8]_i_7_n_0 ),
.I4(\x_reg_n_0_[5] ),
.I5(\x0[8]_i_5_n_0 ),
.O(\x0[6]_i_2_n_0 ));
LUT6 #(
.INIT(64'h6600FF0F66FFFF0F))
\x0[6]_i_3
(.I0(\x_reg_n_0_[6] ),
.I1(\x0[6]_i_5_n_0 ),
.I2(\plusOp_inferred__0/i__carry__0_n_5 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data2[6]),
.O(\x0[6]_i_3_n_0 ));
LUT6 #(
.INIT(64'hC0C0C0C0C0C0C088))
\x0[6]_i_4
(.I0(data2[6]),
.I1(cycle[3]),
.I2(\plusOp_inferred__0/i__carry__0_n_5 ),
.I3(cycle[0]),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(\x0[6]_i_4_n_0 ));
LUT6 #(
.INIT(64'h7FFFFFFFFFFFFFFF))
\x0[6]_i_5
(.I0(\x_reg_n_0_[4] ),
.I1(\x_reg_n_0_[0] ),
.I2(\x_reg_n_0_[1] ),
.I3(\x_reg_n_0_[2] ),
.I4(\x_reg_n_0_[3] ),
.I5(\x_reg_n_0_[5] ),
.O(\x0[6]_i_5_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFFFFF020000))
\x0[7]_i_1
(.I0(cycle[0]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(\x0[7]_i_2_n_0 ),
.I3(\x0[7]_i_3_n_0 ),
.I4(\x0[7]_i_4_n_0 ),
.I5(\x0[7]_i_5_n_0 ),
.O(\x0[7]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair2" *)
LUT4 #(
.INIT(16'h5556))
\x0[7]_i_2
(.I0(\x_reg_n_0_[7] ),
.I1(\x0[8]_i_7_n_0 ),
.I2(\x_reg_n_0_[5] ),
.I3(\x_reg_n_0_[6] ),
.O(\x0[7]_i_2_n_0 ));
LUT6 #(
.INIT(64'h99F000FF99F00000))
\x0[7]_i_3
(.I0(\x_reg_n_0_[7] ),
.I1(\x0[7]_i_6_n_0 ),
.I2(data2[7]),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\plusOp_inferred__0/i__carry__0_n_4 ),
.O(\x0[7]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair8" *)
LUT2 #(
.INIT(4'h1))
\x0[7]_i_4
(.I0(cycle[3]),
.I1(\cycle_reg[2]_rep_n_0 ),
.O(\x0[7]_i_4_n_0 ));
LUT6 #(
.INIT(64'hFF0FEECCF000EECC))
\x0[7]_i_5
(.I0(\x1[9]_i_7_n_0 ),
.I1(\x0[7]_i_7_n_0 ),
.I2(\x1[5]_i_3_n_0 ),
.I3(data2[7]),
.I4(cycle[3]),
.I5(\plusOp_inferred__0/i__carry__0_n_4 ),
.O(\x0[7]_i_5_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair17" *)
LUT2 #(
.INIT(4'hB))
\x0[7]_i_6
(.I0(\x0[6]_i_5_n_0 ),
.I1(\x_reg_n_0_[6] ),
.O(\x0[7]_i_6_n_0 ));
LUT6 #(
.INIT(64'h8888888000000008))
\x0[7]_i_7
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(\x1[6]_i_8_n_0 ),
.I2(\x_reg_n_0_[6] ),
.I3(\x_reg_n_0_[5] ),
.I4(\x0[8]_i_7_n_0 ),
.I5(\x_reg_n_0_[7] ),
.O(\x0[7]_i_7_n_0 ));
LUT6 #(
.INIT(64'hF0F0F0F0FFF1F1F1))
\x0[8]_i_1
(.I0(\x0[8]_i_2_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(\x0[8]_i_3_n_0 ),
.I3(\x0[8]_i_4_n_0 ),
.I4(\x0[8]_i_5_n_0 ),
.I5(cycle[3]),
.O(\x0[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'h990FFF00990FFFFF))
\x0[8]_i_2
(.I0(\x_reg_n_0_[8] ),
.I1(\x0[8]_i_6_n_0 ),
.I2(data2[8]),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\plusOp_inferred__0/i__carry__1_n_7 ),
.O(\x0[8]_i_2_n_0 ));
LUT6 #(
.INIT(64'h8888B888B888B8C0))
\x0[8]_i_3
(.I0(\plusOp_inferred__0/i__carry__1_n_7 ),
.I1(cycle[3]),
.I2(data2[8]),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(cycle[0]),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(\x0[8]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair2" *)
LUT5 #(
.INIT(32'hAAAAAAA9))
\x0[8]_i_4
(.I0(\x_reg_n_0_[8] ),
.I1(\x_reg_n_0_[6] ),
.I2(\x_reg_n_0_[5] ),
.I3(\x0[8]_i_7_n_0 ),
.I4(\x_reg_n_0_[7] ),
.O(\x0[8]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair11" *)
LUT3 #(
.INIT(8'h82))
\x0[8]_i_5
(.I0(cycle[0]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(\cycle_reg[2]_rep_n_0 ),
.O(\x0[8]_i_5_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair7" *)
LUT3 #(
.INIT(8'h08))
\x0[8]_i_6
(.I0(\x_reg_n_0_[7] ),
.I1(\x_reg_n_0_[6] ),
.I2(\x0[6]_i_5_n_0 ),
.O(\x0[8]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair4" *)
LUT5 #(
.INIT(32'hFFFFFEEE))
\x0[8]_i_7
(.I0(\x_reg_n_0_[4] ),
.I1(\x_reg_n_0_[2] ),
.I2(\x_reg_n_0_[1] ),
.I3(\x_reg_n_0_[0] ),
.I4(\x_reg_n_0_[3] ),
.O(\x0[8]_i_7_n_0 ));
LUT6 #(
.INIT(64'h77FE000000000000))
\x0[9]_i_1
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(cycle[3]),
.I2(cycle[0]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(active),
.I5(rst),
.O(\x0[9]_i_1_n_0 ));
LUT5 #(
.INIT(32'h01FF0101))
\x0[9]_i_2
(.I0(\x0[9]_i_3_n_0 ),
.I1(cycle[3]),
.I2(cycle[2]),
.I3(\x0[9]_i_4_n_0 ),
.I4(\x0[9]_i_5_n_0 ),
.O(\x0[9]_i_2_n_0 ));
LUT6 #(
.INIT(64'hAF03AFF3A003A0F3))
\x0[9]_i_3
(.I0(\x0[9]_i_6_n_0 ),
.I1(\plusOp_inferred__0/i__carry__1_n_6 ),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(data2[9]),
.I5(\x0[9]_i_7_n_0 ),
.O(\x0[9]_i_3_n_0 ));
LUT6 #(
.INIT(64'h0C0C0C0C0C0C0C44))
\x0[9]_i_4
(.I0(data2[9]),
.I1(cycle[3]),
.I2(\plusOp_inferred__0/i__carry__1_n_6 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[2]),
.I5(\cycle_reg[1]_rep_n_0 ),
.O(\x0[9]_i_4_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFFF5CCC0000))
\x0[9]_i_5
(.I0(\x0[9]_i_7_n_0 ),
.I1(data2[9]),
.I2(\cycle_reg[1]_rep_n_0 ),
.I3(\cycle_reg[0]_rep_n_0 ),
.I4(cycle[2]),
.I5(cycle[3]),
.O(\x0[9]_i_5_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair7" *)
LUT5 #(
.INIT(32'h55559555))
\x0[9]_i_6
(.I0(\x_reg_n_0_[9] ),
.I1(\x_reg_n_0_[8] ),
.I2(\x_reg_n_0_[7] ),
.I3(\x_reg_n_0_[6] ),
.I4(\x0[6]_i_5_n_0 ),
.O(\x0[9]_i_6_n_0 ));
LUT6 #(
.INIT(64'h5555555555555556))
\x0[9]_i_7
(.I0(\x_reg_n_0_[9] ),
.I1(\x_reg_n_0_[8] ),
.I2(\x_reg_n_0_[7] ),
.I3(\x0[8]_i_7_n_0 ),
.I4(\x_reg_n_0_[5] ),
.I5(\x_reg_n_0_[6] ),
.O(\x0[9]_i_7_n_0 ));
FDRE \x0_reg[0]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0_reg[0]_i_1_n_0 ),
.Q(data1[0]),
.R(1'b0));
MUXF7 \x0_reg[0]_i_1
(.I0(\x0[0]_i_2_n_0 ),
.I1(\x0[0]_i_3_n_0 ),
.O(\x0_reg[0]_i_1_n_0 ),
.S(cycle[3]));
FDRE \x0_reg[1]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0_reg[1]_i_1_n_0 ),
.Q(data1[1]),
.R(1'b0));
MUXF7 \x0_reg[1]_i_1
(.I0(\x0[1]_i_2_n_0 ),
.I1(\x0[1]_i_3_n_0 ),
.O(\x0_reg[1]_i_1_n_0 ),
.S(cycle[3]));
FDRE \x0_reg[2]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[2]_i_1_n_0 ),
.Q(data1[2]),
.R(1'b0));
FDRE \x0_reg[3]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[3]_i_1_n_0 ),
.Q(data1[3]),
.R(1'b0));
FDRE \x0_reg[4]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[4]_i_1_n_0 ),
.Q(data1[4]),
.R(1'b0));
FDRE \x0_reg[5]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[5]_i_1_n_0 ),
.Q(data1[5]),
.R(1'b0));
FDRE \x0_reg[6]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[6]_i_1_n_0 ),
.Q(data1[6]),
.R(1'b0));
FDRE \x0_reg[7]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[7]_i_1_n_0 ),
.Q(data1[7]),
.R(1'b0));
FDRE \x0_reg[8]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[8]_i_1_n_0 ),
.Q(data1[8]),
.R(1'b0));
FDRE \x0_reg[9]
(.C(clk_x16),
.CE(\x0[9]_i_1_n_0 ),
.D(\x0[9]_i_2_n_0 ),
.Q(data1[9]),
.R(1'b0));
LUT6 #(
.INIT(64'hFF01FF4EFE00B100))
\x1[0]_i_1
(.I0(\cycle_reg[1]_rep__0_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(cycle[0]),
.I3(\x_reg_n_0_[0] ),
.I4(cycle[3]),
.I5(data1[0]),
.O(\x1[0]_i_1_n_0 ));
LUT6 #(
.INIT(64'hAFEFAAA955565010))
\x1[1]_i_1
(.I0(cycle[3]),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(cycle[0]),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(data1[1]),
.I5(\x_reg_n_0_[1] ),
.O(\x1[1]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFEFEFEAEAEAEFEAE))
\x1[2]_i_1
(.I0(\x1[2]_i_2_n_0 ),
.I1(\x1[2]_i_3_n_0 ),
.I2(cycle[3]),
.I3(\x_reg_n_0_[2] ),
.I4(\x1[5]_i_3_n_0 ),
.I5(data1[2]),
.O(\x1[2]_i_1_n_0 ));
LUT6 #(
.INIT(64'h8A2A288880202888))
\x1[2]_i_2
(.I0(\x0[7]_i_4_n_0 ),
.I1(\x_reg_n_0_[2] ),
.I2(cycle[0]),
.I3(\x_reg_n_0_[1] ),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[2]),
.O(\x1[2]_i_2_n_0 ));
LUT6 #(
.INIT(64'h3CAAAAAA00000000))
\x1[2]_i_3
(.I0(data1[2]),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[2] ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\cycle_reg[2]_rep_n_0 ),
.O(\x1[2]_i_3_n_0 ));
LUT6 #(
.INIT(64'hFCDDFCDDFFDDCCDD))
\x1[3]_i_1
(.I0(\x1[3]_i_2_n_0 ),
.I1(\x1[3]_i_3_n_0 ),
.I2(data1[3]),
.I3(cycle[3]),
.I4(\x_reg_n_0_[3] ),
.I5(\x1[5]_i_3_n_0 ),
.O(\x1[3]_i_1_n_0 ));
LUT6 #(
.INIT(64'h0770707077777777))
\x1[3]_i_2
(.I0(\x1[9]_i_7_n_0 ),
.I1(data1[3]),
.I2(\x_reg_n_0_[3] ),
.I3(\x_reg_n_0_[2] ),
.I4(\x_reg_n_0_[1] ),
.I5(\x0[8]_i_5_n_0 ),
.O(\x1[3]_i_2_n_0 ));
LUT6 #(
.INIT(64'hA08A0080008AA080))
\x1[3]_i_3
(.I0(\x0[7]_i_4_n_0 ),
.I1(data1[3]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(cycle[0]),
.I4(\x_reg_n_0_[3] ),
.I5(\x1[3]_i_4_n_0 ),
.O(\x1[3]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair54" *)
LUT2 #(
.INIT(4'hE))
\x1[3]_i_4
(.I0(\x_reg_n_0_[1] ),
.I1(\x_reg_n_0_[2] ),
.O(\x1[3]_i_4_n_0 ));
LUT6 #(
.INIT(64'hFCDDFCDDFFDDCCDD))
\x1[4]_i_1
(.I0(\x1[4]_i_2_n_0 ),
.I1(\x1[4]_i_3_n_0 ),
.I2(data1[4]),
.I3(cycle[3]),
.I4(\x_reg_n_0_[4] ),
.I5(\x1[5]_i_3_n_0 ),
.O(\x1[4]_i_1_n_0 ));
LUT6 #(
.INIT(64'h3C555555FFFF3CFF))
\x1[4]_i_2
(.I0(data1[4]),
.I1(\x_reg_n_0_[4] ),
.I2(\x1[4]_i_4_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(\cycle_reg[2]_rep_n_0 ),
.O(\x1[4]_i_2_n_0 ));
LUT6 #(
.INIT(64'hA08A0080008AA080))
\x1[4]_i_3
(.I0(\x0[7]_i_4_n_0 ),
.I1(data1[4]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(cycle[0]),
.I4(\x_reg_n_0_[4] ),
.I5(\x1[4]_i_5_n_0 ),
.O(\x1[4]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair54" *)
LUT3 #(
.INIT(8'hEA))
\x1[4]_i_4
(.I0(\x_reg_n_0_[3] ),
.I1(\x_reg_n_0_[2] ),
.I2(\x_reg_n_0_[1] ),
.O(\x1[4]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair21" *)
LUT3 #(
.INIT(8'hFE))
\x1[4]_i_5
(.I0(\x_reg_n_0_[3] ),
.I1(\x_reg_n_0_[2] ),
.I2(\x_reg_n_0_[1] ),
.O(\x1[4]_i_5_n_0 ));
LUT5 #(
.INIT(32'h8A80AAAA))
\x1[5]_i_1
(.I0(\x1[5]_i_2_n_0 ),
.I1(data1[5]),
.I2(\x1[5]_i_3_n_0 ),
.I3(\x_reg_n_0_[5] ),
.I4(cycle[3]),
.O(\x1[5]_i_1_n_0 ));
LUT6 #(
.INIT(64'hCDFDCDCDFDFDFDCD))
\x1[5]_i_2
(.I0(\x1[5]_i_4_n_0 ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\x1[6]_i_8_n_0 ),
.I4(data1[5]),
.I5(\x1[5]_i_5_n_0 ),
.O(\x1[5]_i_2_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair10" *)
LUT3 #(
.INIT(8'h01))
\x1[5]_i_3
(.I0(\cycle_reg[1]_rep__0_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(cycle[0]),
.O(\x1[5]_i_3_n_0 ));
LUT6 #(
.INIT(64'h0530FA3FF5300A3F))
\x1[5]_i_4
(.I0(\x1[6]_i_7_n_0 ),
.I1(data1[5]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(cycle[0]),
.I4(\x_reg_n_0_[5] ),
.I5(\left[15]_i_3_n_0 ),
.O(\x1[5]_i_4_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair13" *)
LUT5 #(
.INIT(32'h55555666))
\x1[5]_i_5
(.I0(\x_reg_n_0_[5] ),
.I1(\x_reg_n_0_[3] ),
.I2(\x_reg_n_0_[2] ),
.I3(\x_reg_n_0_[1] ),
.I4(\x_reg_n_0_[4] ),
.O(\x1[5]_i_5_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair1" *)
LUT5 #(
.INIT(32'hFFF100F1))
\x1[6]_i_1
(.I0(\x1[6]_i_2_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(\x1[6]_i_3_n_0 ),
.I3(cycle[3]),
.I4(\x1[6]_i_4_n_0 ),
.O(\x1[6]_i_1_n_0 ));
LUT6 #(
.INIT(64'hCFC05050CFC05F5F))
\x1[6]_i_2
(.I0(data1[6]),
.I1(\x1[6]_i_5_n_0 ),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(\x1[6]_i_6_n_0 ),
.I4(cycle[0]),
.I5(\x_reg_n_0_[6] ),
.O(\x1[6]_i_2_n_0 ));
LUT6 #(
.INIT(64'hA900FF00A9000000))
\x1[6]_i_3
(.I0(\x_reg_n_0_[6] ),
.I1(\x1[6]_i_7_n_0 ),
.I2(\x_reg_n_0_[5] ),
.I3(\cycle_reg[2]_rep_n_0 ),
.I4(\x1[6]_i_8_n_0 ),
.I5(data1[6]),
.O(\x1[6]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair10" *)
LUT5 #(
.INIT(32'hFFFE0002))
\x1[6]_i_4
(.I0(data1[6]),
.I1(cycle[0]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\x_reg_n_0_[6] ),
.O(\x1[6]_i_4_n_0 ));
LUT6 #(
.INIT(64'h5555555555555556))
\x1[6]_i_5
(.I0(\x_reg_n_0_[6] ),
.I1(\x_reg_n_0_[4] ),
.I2(\x_reg_n_0_[1] ),
.I3(\x_reg_n_0_[2] ),
.I4(\x_reg_n_0_[3] ),
.I5(\x_reg_n_0_[5] ),
.O(\x1[6]_i_5_n_0 ));
LUT6 #(
.INIT(64'h5555555555555666))
\x1[6]_i_6
(.I0(\x_reg_n_0_[6] ),
.I1(\x_reg_n_0_[4] ),
.I2(\x_reg_n_0_[1] ),
.I3(\x_reg_n_0_[2] ),
.I4(\x_reg_n_0_[3] ),
.I5(\x_reg_n_0_[5] ),
.O(\x1[6]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair21" *)
LUT4 #(
.INIT(16'hFFEA))
\x1[6]_i_7
(.I0(\x_reg_n_0_[4] ),
.I1(\x_reg_n_0_[1] ),
.I2(\x_reg_n_0_[2] ),
.I3(\x_reg_n_0_[3] ),
.O(\x1[6]_i_7_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair14" *)
LUT2 #(
.INIT(4'h8))
\x1[6]_i_8
(.I0(\cycle_reg[1]_rep__0_n_0 ),
.I1(cycle[0]),
.O(\x1[6]_i_8_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair8" *)
LUT5 #(
.INIT(32'hFFF100F1))
\x1[7]_i_1
(.I0(\x1[7]_i_2_n_0 ),
.I1(\cycle_reg[2]_rep_n_0 ),
.I2(\x1[7]_i_3_n_0 ),
.I3(cycle[3]),
.I4(\x1[7]_i_4_n_0 ),
.O(\x1[7]_i_1_n_0 ));
LUT6 #(
.INIT(64'h303F5050CFC05F5F))
\x1[7]_i_2
(.I0(data1[7]),
.I1(\x1[7]_i_5_n_0 ),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(\x1[9]_i_6_n_0 ),
.I4(cycle[0]),
.I5(\x_reg_n_0_[7] ),
.O(\x1[7]_i_2_n_0 ));
LUT6 #(
.INIT(64'h90F0F0F090000000))
\x1[7]_i_3
(.I0(\x_reg_n_0_[7] ),
.I1(\x1[9]_i_6_n_0 ),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(cycle[0]),
.I4(\cycle_reg[1]_rep__0_n_0 ),
.I5(data1[7]),
.O(\x1[7]_i_3_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair11" *)
LUT5 #(
.INIT(32'hFFFE0002))
\x1[7]_i_4
(.I0(data1[7]),
.I1(cycle[0]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\cycle_reg[1]_rep__0_n_0 ),
.I4(\x_reg_n_0_[7] ),
.O(\x1[7]_i_4_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFFFFFFFFFFE))
\x1[7]_i_5
(.I0(\x_reg_n_0_[3] ),
.I1(\x_reg_n_0_[2] ),
.I2(\x_reg_n_0_[1] ),
.I3(\x_reg_n_0_[4] ),
.I4(\x_reg_n_0_[6] ),
.I5(\x_reg_n_0_[5] ),
.O(\x1[7]_i_5_n_0 ));
LUT4 #(
.INIT(16'hFF01))
\x1[8]_i_1
(.I0(\x1[8]_i_2_n_0 ),
.I1(cycle[3]),
.I2(\cycle_reg[2]_rep_n_0 ),
.I3(\x1[8]_i_3_n_0 ),
.O(\x1[8]_i_1_n_0 ));
LUT6 #(
.INIT(64'hFA300A3F0A30FA3F))
\x1[8]_i_2
(.I0(\x1[8]_i_4_n_0 ),
.I1(data1[8]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.I3(cycle[0]),
.I4(\x_reg_n_0_[8] ),
.I5(\left[15]_i_2_n_0 ),
.O(\x1[8]_i_2_n_0 ));
LUT6 #(
.INIT(64'hFF0FEECCF000EECC))
\x1[8]_i_3
(.I0(\x1[9]_i_7_n_0 ),
.I1(\x1[8]_i_5_n_0 ),
.I2(\x1[5]_i_3_n_0 ),
.I3(data1[8]),
.I4(cycle[3]),
.I5(\x_reg_n_0_[8] ),
.O(\x1[8]_i_3_n_0 ));
LUT5 #(
.INIT(32'h55555556))
\x1[8]_i_4
(.I0(\x_reg_n_0_[8] ),
.I1(\x_reg_n_0_[6] ),
.I2(\x_reg_n_0_[5] ),
.I3(\x1[6]_i_7_n_0 ),
.I4(\x_reg_n_0_[7] ),
.O(\x1[8]_i_4_n_0 ));
LUT6 #(
.INIT(64'hAAAAAAA800000002))
\x1[8]_i_5
(.I0(\x1[8]_i_6_n_0 ),
.I1(\x_reg_n_0_[7] ),
.I2(\x1[6]_i_7_n_0 ),
.I3(\x_reg_n_0_[5] ),
.I4(\x_reg_n_0_[6] ),
.I5(\x_reg_n_0_[8] ),
.O(\x1[8]_i_5_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair6" *)
LUT3 #(
.INIT(8'h80))
\x1[8]_i_6
(.I0(cycle[0]),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(\cycle_reg[2]_rep_n_0 ),
.O(\x1[8]_i_6_n_0 ));
LUT6 #(
.INIT(64'h0088008880880880))
\x1[9]_i_1
(.I0(active),
.I1(rst),
.I2(cycle[0]),
.I3(cycle[3]),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\cycle_reg[1]_rep__0_n_0 ),
.O(x1));
LUT6 #(
.INIT(64'hFFFFFFFF00000047))
\x1[9]_i_2
(.I0(\x1[9]_i_3_n_0 ),
.I1(\cycle_reg[1]_rep__0_n_0 ),
.I2(\x1[9]_i_4_n_0 ),
.I3(cycle[3]),
.I4(\cycle_reg[2]_rep_n_0 ),
.I5(\x1[9]_i_5_n_0 ),
.O(\x1[9]_i_2_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair0" *)
LUT5 #(
.INIT(32'h3C335555))
\x1[9]_i_3
(.I0(data1[9]),
.I1(\x_reg_n_0_[9] ),
.I2(\x_reg_n_0_[8] ),
.I3(\left[15]_i_2_n_0 ),
.I4(cycle[0]),
.O(\x1[9]_i_3_n_0 ));
LUT5 #(
.INIT(32'h0100FEFF))
\x1[9]_i_4
(.I0(\x_reg_n_0_[8] ),
.I1(\x_reg_n_0_[7] ),
.I2(\x1[9]_i_6_n_0 ),
.I3(cycle[0]),
.I4(\x_reg_n_0_[9] ),
.O(\x1[9]_i_4_n_0 ));
LUT6 #(
.INIT(64'hFF0FEECCF000EECC))
\x1[9]_i_5
(.I0(\x1[9]_i_7_n_0 ),
.I1(\x1[9]_i_8_n_0 ),
.I2(\x1[5]_i_3_n_0 ),
.I3(data1[9]),
.I4(cycle[3]),
.I5(\x_reg_n_0_[9] ),
.O(\x1[9]_i_5_n_0 ));
LUT6 #(
.INIT(64'hFFFFFFFFFFFEFEFE))
\x1[9]_i_6
(.I0(\x_reg_n_0_[6] ),
.I1(\x_reg_n_0_[5] ),
.I2(\x_reg_n_0_[3] ),
.I3(\x_reg_n_0_[2] ),
.I4(\x_reg_n_0_[1] ),
.I5(\x_reg_n_0_[4] ),
.O(\x1[9]_i_6_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair9" *)
LUT3 #(
.INIT(8'h2A))
\x1[9]_i_7
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(cycle[0]),
.I2(\cycle_reg[1]_rep__0_n_0 ),
.O(\x1[9]_i_7_n_0 ));
LUT6 #(
.INIT(64'h8888888000000008))
\x1[9]_i_8
(.I0(\cycle_reg[2]_rep_n_0 ),
.I1(\x1[6]_i_8_n_0 ),
.I2(\x1[9]_i_6_n_0 ),
.I3(\x_reg_n_0_[7] ),
.I4(\x_reg_n_0_[8] ),
.I5(\x_reg_n_0_[9] ),
.O(\x1[9]_i_8_n_0 ));
FDRE \x1_reg[0]
(.C(clk_x16),
.CE(x1),
.D(\x1[0]_i_1_n_0 ),
.Q(data2[0]),
.R(1'b0));
FDRE \x1_reg[1]
(.C(clk_x16),
.CE(x1),
.D(\x1[1]_i_1_n_0 ),
.Q(data2[1]),
.R(1'b0));
FDRE \x1_reg[2]
(.C(clk_x16),
.CE(x1),
.D(\x1[2]_i_1_n_0 ),
.Q(data2[2]),
.R(1'b0));
FDRE \x1_reg[3]
(.C(clk_x16),
.CE(x1),
.D(\x1[3]_i_1_n_0 ),
.Q(data2[3]),
.R(1'b0));
FDRE \x1_reg[4]
(.C(clk_x16),
.CE(x1),
.D(\x1[4]_i_1_n_0 ),
.Q(data2[4]),
.R(1'b0));
FDRE \x1_reg[5]
(.C(clk_x16),
.CE(x1),
.D(\x1[5]_i_1_n_0 ),
.Q(data2[5]),
.R(1'b0));
FDRE \x1_reg[6]
(.C(clk_x16),
.CE(x1),
.D(\x1[6]_i_1_n_0 ),
.Q(data2[6]),
.R(1'b0));
FDRE \x1_reg[7]
(.C(clk_x16),
.CE(x1),
.D(\x1[7]_i_1_n_0 ),
.Q(data2[7]),
.R(1'b0));
FDRE \x1_reg[8]
(.C(clk_x16),
.CE(x1),
.D(\x1[8]_i_1_n_0 ),
.Q(data2[8]),
.R(1'b0));
FDRE \x1_reg[9]
(.C(clk_x16),
.CE(x1),
.D(\x1[9]_i_2_n_0 ),
.Q(data2[9]),
.R(1'b0));
LUT6 #(
.INIT(64'h0000000000000040))
\x[9]_i_1
(.I0(cycle[0]),
.I1(active),
.I2(rst),
.I3(\cycle_reg[1]_rep_n_0 ),
.I4(cycle[3]),
.I5(cycle[2]),
.O(x));
FDRE \x_reg[0]
(.C(clk_x16),
.CE(x),
.D(x_addr[0]),
.Q(\x_reg_n_0_[0] ),
.R(1'b0));
FDRE \x_reg[1]
(.C(clk_x16),
.CE(x),
.D(x_addr[1]),
.Q(\x_reg_n_0_[1] ),
.R(1'b0));
FDRE \x_reg[2]
(.C(clk_x16),
.CE(x),
.D(x_addr[2]),
.Q(\x_reg_n_0_[2] ),
.R(1'b0));
FDRE \x_reg[3]
(.C(clk_x16),
.CE(x),
.D(x_addr[3]),
.Q(\x_reg_n_0_[3] ),
.R(1'b0));
FDRE \x_reg[4]
(.C(clk_x16),
.CE(x),
.D(x_addr[4]),
.Q(\x_reg_n_0_[4] ),
.R(1'b0));
FDRE \x_reg[5]
(.C(clk_x16),
.CE(x),
.D(x_addr[5]),
.Q(\x_reg_n_0_[5] ),
.R(1'b0));
FDRE \x_reg[6]
(.C(clk_x16),
.CE(x),
.D(x_addr[6]),
.Q(\x_reg_n_0_[6] ),
.R(1'b0));
FDRE \x_reg[7]
(.C(clk_x16),
.CE(x),
.D(x_addr[7]),
.Q(\x_reg_n_0_[7] ),
.R(1'b0));
FDRE \x_reg[8]
(.C(clk_x16),
.CE(x),
.D(x_addr[8]),
.Q(\x_reg_n_0_[8] ),
.R(1'b0));
FDRE \x_reg[9]
(.C(clk_x16),
.CE(x),
.D(x_addr[9]),
.Q(\x_reg_n_0_[9] ),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair20" *)
LUT3 #(
.INIT(8'hE1))
\y1[2]_i_1
(.I0(\y_actual_reg_n_0_[0] ),
.I1(\y_actual_reg_n_0_[1] ),
.I2(\y_actual_reg_n_0_[2] ),
.O(\y1[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair23" *)
LUT4 #(
.INIT(16'hFE01))
\y1[3]_i_1
(.I0(\y_actual_reg_n_0_[2] ),
.I1(\y_actual_reg_n_0_[1] ),
.I2(\y_actual_reg_n_0_[0] ),
.I3(\y_actual_reg_n_0_[3] ),
.O(\y1[3]_i_1_n_0 ));
FDRE \y1_reg[0]
(.C(clk_x16),
.CE(y1),
.D(\y5[0]_i_1_n_0 ),
.Q(\y1_reg_n_0_[0] ),
.R(1'b0));
FDRE \y1_reg[1]
(.C(clk_x16),
.CE(y1),
.D(\y5[1]_i_1_n_0 ),
.Q(\y1_reg_n_0_[1] ),
.R(1'b0));
FDRE \y1_reg[2]
(.C(clk_x16),
.CE(y1),
.D(\y1[2]_i_1_n_0 ),
.Q(\y1_reg_n_0_[2] ),
.R(1'b0));
FDRE \y1_reg[3]
(.C(clk_x16),
.CE(y1),
.D(\y1[3]_i_1_n_0 ),
.Q(\y1_reg_n_0_[3] ),
.R(1'b0));
LUT1 #(
.INIT(2'h1))
\y2[1]_i_1
(.I0(\y_actual_reg_n_0_[1] ),
.O(\y2[1]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair28" *)
LUT2 #(
.INIT(4'h9))
\y2[2]_i_1
(.I0(\y_actual_reg_n_0_[2] ),
.I1(\y_actual_reg_n_0_[1] ),
.O(\y2[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair19" *)
LUT3 #(
.INIT(8'hA9))
\y2[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[2] ),
.I2(\y_actual_reg_n_0_[1] ),
.O(\y2[3]_i_1_n_0 ));
FDRE \y2_reg[0]
(.C(clk_x16),
.CE(y2),
.D(\y_actual_reg_n_0_[0] ),
.Q(\y2_reg_n_0_[0] ),
.R(1'b0));
FDRE \y2_reg[1]
(.C(clk_x16),
.CE(y2),
.D(\y2[1]_i_1_n_0 ),
.Q(\y2_reg_n_0_[1] ),
.R(1'b0));
FDRE \y2_reg[2]
(.C(clk_x16),
.CE(y2),
.D(\y2[2]_i_1_n_0 ),
.Q(\y2_reg_n_0_[2] ),
.R(1'b0));
FDRE \y2_reg[3]
(.C(clk_x16),
.CE(y2),
.D(\y2[3]_i_1_n_0 ),
.Q(\y2_reg_n_0_[3] ),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair87" *)
LUT2 #(
.INIT(4'h6))
\y3[1]_i_1
(.I0(\y_actual_reg_n_0_[0] ),
.I1(\y_actual_reg_n_0_[1] ),
.O(\y3[1]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair22" *)
LUT3 #(
.INIT(8'h87))
\y3[2]_i_1
(.I0(\y_actual_reg_n_0_[0] ),
.I1(\y_actual_reg_n_0_[1] ),
.I2(\y_actual_reg_n_0_[2] ),
.O(\y3[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair22" *)
LUT4 #(
.INIT(16'hAA95))
\y3[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[0] ),
.I2(\y_actual_reg_n_0_[1] ),
.I3(\y_actual_reg_n_0_[2] ),
.O(\y3[3]_i_1_n_0 ));
FDRE \y3_reg[0]
(.C(clk_x16),
.CE(y3),
.D(\y5[0]_i_1_n_0 ),
.Q(\y3_reg_n_0_[0] ),
.R(1'b0));
FDRE \y3_reg[1]
(.C(clk_x16),
.CE(y3),
.D(\y3[1]_i_1_n_0 ),
.Q(\y3_reg_n_0_[1] ),
.R(1'b0));
FDRE \y3_reg[2]
(.C(clk_x16),
.CE(y3),
.D(\y3[2]_i_1_n_0 ),
.Q(\y3_reg_n_0_[2] ),
.R(1'b0));
FDRE \y3_reg[3]
(.C(clk_x16),
.CE(y3),
.D(\y3[3]_i_1_n_0 ),
.Q(\y3_reg_n_0_[3] ),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair86" *)
LUT1 #(
.INIT(2'h1))
\y4[2]_i_1
(.I0(\y_actual_reg_n_0_[2] ),
.O(\y4[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair86" *)
LUT2 #(
.INIT(4'h9))
\y4[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[2] ),
.O(\y4[3]_i_1_n_0 ));
FDRE \y4_reg[0]
(.C(clk_x16),
.CE(y1),
.D(\y_actual_reg_n_0_[0] ),
.Q(data2[10]),
.R(1'b0));
FDRE \y4_reg[1]
(.C(clk_x16),
.CE(y1),
.D(\y_actual_reg_n_0_[1] ),
.Q(data2[11]),
.R(1'b0));
FDRE \y4_reg[2]
(.C(clk_x16),
.CE(y1),
.D(\y4[2]_i_1_n_0 ),
.Q(data2[12]),
.R(1'b0));
FDRE \y4_reg[3]
(.C(clk_x16),
.CE(y1),
.D(\y4[3]_i_1_n_0 ),
.Q(data2[13]),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair87" *)
LUT1 #(
.INIT(2'h1))
\y5[0]_i_1
(.I0(\y_actual_reg_n_0_[0] ),
.O(\y5[0]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair23" *)
LUT2 #(
.INIT(4'h9))
\y5[1]_i_1
(.I0(\y_actual_reg_n_0_[1] ),
.I1(\y_actual_reg_n_0_[0] ),
.O(\y5[1]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair18" *)
LUT3 #(
.INIT(8'h56))
\y5[2]_i_1
(.I0(\y_actual_reg_n_0_[2] ),
.I1(\y_actual_reg_n_0_[1] ),
.I2(\y_actual_reg_n_0_[0] ),
.O(\y5[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair18" *)
LUT4 #(
.INIT(16'hA955))
\y5[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[0] ),
.I2(\y_actual_reg_n_0_[1] ),
.I3(\y_actual_reg_n_0_[2] ),
.O(\y5[3]_i_1_n_0 ));
FDRE \y5_reg[0]
(.C(clk_x16),
.CE(y5),
.D(\y5[0]_i_1_n_0 ),
.Q(data1[10]),
.R(1'b0));
FDRE \y5_reg[1]
(.C(clk_x16),
.CE(y5),
.D(\y5[1]_i_1_n_0 ),
.Q(data1[11]),
.R(1'b0));
FDRE \y5_reg[2]
(.C(clk_x16),
.CE(y5),
.D(\y5[2]_i_1_n_0 ),
.Q(data1[12]),
.R(1'b0));
FDRE \y5_reg[3]
(.C(clk_x16),
.CE(y5),
.D(\y5[3]_i_1_n_0 ),
.Q(data1[13]),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair34" *)
LUT2 #(
.INIT(4'h6))
\y6[2]_i_1
(.I0(\y_actual_reg_n_0_[1] ),
.I1(\y_actual_reg_n_0_[2] ),
.O(\y6[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair34" *)
LUT3 #(
.INIT(8'h95))
\y6[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[2] ),
.I2(\y_actual_reg_n_0_[1] ),
.O(\y6[3]_i_1_n_0 ));
FDRE \y6_reg[0]
(.C(clk_x16),
.CE(y6),
.D(\y_actual_reg_n_0_[0] ),
.Q(\y6_reg_n_0_[0] ),
.R(1'b0));
FDRE \y6_reg[1]
(.C(clk_x16),
.CE(y6),
.D(\y2[1]_i_1_n_0 ),
.Q(\y6_reg_n_0_[1] ),
.R(1'b0));
FDRE \y6_reg[2]
(.C(clk_x16),
.CE(y6),
.D(\y6[2]_i_1_n_0 ),
.Q(\y6_reg_n_0_[2] ),
.R(1'b0));
FDRE \y6_reg[3]
(.C(clk_x16),
.CE(y6),
.D(\y6[3]_i_1_n_0 ),
.Q(\y6_reg_n_0_[3] ),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair28" *)
LUT3 #(
.INIT(8'h6A))
\y7[2]_i_1
(.I0(\y_actual_reg_n_0_[2] ),
.I1(\y_actual_reg_n_0_[1] ),
.I2(\y_actual_reg_n_0_[0] ),
.O(\y7[2]_i_1_n_0 ));
(* SOFT_HLUTNM = "soft_lutpair19" *)
LUT4 #(
.INIT(16'h9555))
\y7[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[0] ),
.I2(\y_actual_reg_n_0_[1] ),
.I3(\y_actual_reg_n_0_[2] ),
.O(\y7[3]_i_1_n_0 ));
FDRE \y7_reg[0]
(.C(clk_x16),
.CE(y2),
.D(\y5[0]_i_1_n_0 ),
.Q(y7[0]),
.R(1'b0));
FDRE \y7_reg[1]
(.C(clk_x16),
.CE(y2),
.D(\y3[1]_i_1_n_0 ),
.Q(y7[1]),
.R(1'b0));
FDRE \y7_reg[2]
(.C(clk_x16),
.CE(y2),
.D(\y7[2]_i_1_n_0 ),
.Q(y7[2]),
.R(1'b0));
FDRE \y7_reg[3]
(.C(clk_x16),
.CE(y2),
.D(\y7[3]_i_1_n_0 ),
.Q(y7[3]),
.R(1'b0));
LUT1 #(
.INIT(2'h1))
\y8[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.O(\y8[3]_i_1_n_0 ));
FDRE \y8_reg[0]
(.C(clk_x16),
.CE(y5),
.D(\y_actual_reg_n_0_[0] ),
.Q(y8[0]),
.R(1'b0));
FDRE \y8_reg[1]
(.C(clk_x16),
.CE(y5),
.D(\y_actual_reg_n_0_[1] ),
.Q(y8[1]),
.R(1'b0));
FDRE \y8_reg[2]
(.C(clk_x16),
.CE(y5),
.D(\y_actual_reg_n_0_[2] ),
.Q(y8[2]),
.R(1'b0));
FDRE \y8_reg[3]
(.C(clk_x16),
.CE(y5),
.D(\y8[3]_i_1_n_0 ),
.Q(y8[3]),
.R(1'b0));
(* SOFT_HLUTNM = "soft_lutpair20" *)
LUT4 #(
.INIT(16'h5556))
\y9[3]_i_1
(.I0(\y_actual_reg_n_0_[3] ),
.I1(\y_actual_reg_n_0_[0] ),
.I2(\y_actual_reg_n_0_[1] ),
.I3(\y_actual_reg_n_0_[2] ),
.O(\y9[3]_i_1_n_0 ));
FDRE \y9_reg[0]
(.C(clk_x16),
.CE(y9),
.D(\y5[0]_i_1_n_0 ),
.Q(data5[10]),
.R(1'b0));
FDRE \y9_reg[1]
(.C(clk_x16),
.CE(y9),
.D(\y5[1]_i_1_n_0 ),
.Q(data5[11]),
.R(1'b0));
FDRE \y9_reg[2]
(.C(clk_x16),
.CE(y9),
.D(\y1[2]_i_1_n_0 ),
.Q(data5[12]),
.R(1'b0));
FDRE \y9_reg[3]
(.C(clk_x16),
.CE(y9),
.D(\y9[3]_i_1_n_0 ),
.Q(data5[13]),
.R(1'b0));
FDRE \y_actual_reg[0]
(.C(clk_x16),
.CE(x),
.D(y_addr[0]),
.Q(\y_actual_reg_n_0_[0] ),
.R(1'b0));
FDRE \y_actual_reg[1]
(.C(clk_x16),
.CE(x),
.D(y_addr[1]),
.Q(\y_actual_reg_n_0_[1] ),
.R(1'b0));
FDRE \y_actual_reg[2]
(.C(clk_x16),
.CE(x),
.D(y_addr[2]),
.Q(\y_actual_reg_n_0_[2] ),
.R(1'b0));
FDRE \y_actual_reg[3]
(.C(clk_x16),
.CE(x),
.D(y_addr[3]),
.Q(\y_actual_reg_n_0_[3] ),
.R(1'b0));
FDRE \y_actual_reg[4]
(.C(clk_x16),
.CE(x),
.D(y_addr[4]),
.Q(\y_actual_reg_n_0_[4] ),
.R(1'b0));
FDRE \y_actual_reg[5]
(.C(clk_x16),
.CE(x),
.D(y_addr[5]),
.Q(\y_actual_reg_n_0_[5] ),
.R(1'b0));
FDRE \y_actual_reg[6]
(.C(clk_x16),
.CE(x),
.D(y_addr[6]),
.Q(\y_actual_reg_n_0_[6] ),
.R(1'b0));
FDRE \y_actual_reg[7]
(.C(clk_x16),
.CE(x),
.D(y_addr[7]),
.Q(\y_actual_reg_n_0_[7] ),
.R(1'b0));
FDRE \y_actual_reg[8]
(.C(clk_x16),
.CE(x),
.D(y_addr[8]),
.Q(\y_actual_reg_n_0_[8] ),
.R(1'b0));
FDRE \y_actual_reg[9]
(.C(clk_x16),
.CE(x),
.D(y_addr[9]),
.Q(\y_actual_reg_n_0_[9] ),
.R(1'b0));
endmodule
(* ORIG_REF_NAME = "bindec" *)
module system_vga_hessian_1_0_bindec
(ena_array,
ena,
addra);
output [2:0]ena_array;
input ena;
input [1:0]addra;
wire [1:0]addra;
wire ena;
wire [2:0]ena_array;
LUT3 #(
.INIT(8'h02))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1
(.I0(ena),
.I1(addra[0]),
.I2(addra[1]),
.O(ena_array[0]));
LUT3 #(
.INIT(8'h40))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__0
(.I0(addra[1]),
.I1(addra[0]),
.I2(ena),
.O(ena_array[1]));
LUT3 #(
.INIT(8'h40))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__1
(.I0(addra[0]),
.I1(ena),
.I2(addra[1]),
.O(ena_array[2]));
endmodule
(* ORIG_REF_NAME = "bindec" *)
module system_vga_hessian_1_0_bindec_0
(enb_array,
enb,
addrb);
output [2:0]enb_array;
input enb;
input [1:0]addrb;
wire [1:0]addrb;
wire enb;
wire [2:0]enb_array;
LUT3 #(
.INIT(8'h02))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2
(.I0(enb),
.I1(addrb[0]),
.I2(addrb[1]),
.O(enb_array[0]));
LUT3 #(
.INIT(8'h40))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__0
(.I0(addrb[1]),
.I1(addrb[0]),
.I2(enb),
.O(enb_array[1]));
LUT3 #(
.INIT(8'h40))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__1
(.I0(addrb[0]),
.I1(enb),
.I2(addrb[1]),
.O(enb_array[2]));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_generic_cstr" *)
module system_vga_hessian_1_0_blk_mem_gen_generic_cstr
(douta,
doutb,
addra,
ena,
addrb,
enb,
clka,
clkb,
dina,
dinb,
wea,
web);
output [15:0]douta;
output [15:0]doutb;
input [13:0]addra;
input ena;
input [13:0]addrb;
input enb;
input clka;
input clkb;
input [15:0]dina;
input [15:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [15:0]dina;
wire [15:0]dinb;
wire [15:0]douta;
wire [15:0]doutb;
wire ena;
wire [2:0]ena_array;
wire enb;
wire [2:0]enb_array;
wire \ramloop[4].ram.r_n_0 ;
wire \ramloop[4].ram.r_n_1 ;
wire \ramloop[4].ram.r_n_10 ;
wire \ramloop[4].ram.r_n_11 ;
wire \ramloop[4].ram.r_n_12 ;
wire \ramloop[4].ram.r_n_13 ;
wire \ramloop[4].ram.r_n_14 ;
wire \ramloop[4].ram.r_n_15 ;
wire \ramloop[4].ram.r_n_16 ;
wire \ramloop[4].ram.r_n_17 ;
wire \ramloop[4].ram.r_n_2 ;
wire \ramloop[4].ram.r_n_3 ;
wire \ramloop[4].ram.r_n_4 ;
wire \ramloop[4].ram.r_n_5 ;
wire \ramloop[4].ram.r_n_6 ;
wire \ramloop[4].ram.r_n_7 ;
wire \ramloop[4].ram.r_n_8 ;
wire \ramloop[4].ram.r_n_9 ;
wire \ramloop[5].ram.r_n_0 ;
wire \ramloop[5].ram.r_n_1 ;
wire \ramloop[5].ram.r_n_10 ;
wire \ramloop[5].ram.r_n_11 ;
wire \ramloop[5].ram.r_n_12 ;
wire \ramloop[5].ram.r_n_13 ;
wire \ramloop[5].ram.r_n_14 ;
wire \ramloop[5].ram.r_n_15 ;
wire \ramloop[5].ram.r_n_16 ;
wire \ramloop[5].ram.r_n_17 ;
wire \ramloop[5].ram.r_n_2 ;
wire \ramloop[5].ram.r_n_3 ;
wire \ramloop[5].ram.r_n_4 ;
wire \ramloop[5].ram.r_n_5 ;
wire \ramloop[5].ram.r_n_6 ;
wire \ramloop[5].ram.r_n_7 ;
wire \ramloop[5].ram.r_n_8 ;
wire \ramloop[5].ram.r_n_9 ;
wire \ramloop[6].ram.r_n_0 ;
wire \ramloop[6].ram.r_n_1 ;
wire \ramloop[6].ram.r_n_10 ;
wire \ramloop[6].ram.r_n_11 ;
wire \ramloop[6].ram.r_n_12 ;
wire \ramloop[6].ram.r_n_13 ;
wire \ramloop[6].ram.r_n_14 ;
wire \ramloop[6].ram.r_n_15 ;
wire \ramloop[6].ram.r_n_16 ;
wire \ramloop[6].ram.r_n_17 ;
wire \ramloop[6].ram.r_n_2 ;
wire \ramloop[6].ram.r_n_3 ;
wire \ramloop[6].ram.r_n_4 ;
wire \ramloop[6].ram.r_n_5 ;
wire \ramloop[6].ram.r_n_6 ;
wire \ramloop[6].ram.r_n_7 ;
wire \ramloop[6].ram.r_n_8 ;
wire \ramloop[6].ram.r_n_9 ;
wire \ramloop[7].ram.r_n_0 ;
wire \ramloop[7].ram.r_n_1 ;
wire \ramloop[7].ram.r_n_10 ;
wire \ramloop[7].ram.r_n_11 ;
wire \ramloop[7].ram.r_n_12 ;
wire \ramloop[7].ram.r_n_13 ;
wire \ramloop[7].ram.r_n_14 ;
wire \ramloop[7].ram.r_n_15 ;
wire \ramloop[7].ram.r_n_16 ;
wire \ramloop[7].ram.r_n_17 ;
wire \ramloop[7].ram.r_n_2 ;
wire \ramloop[7].ram.r_n_3 ;
wire \ramloop[7].ram.r_n_4 ;
wire \ramloop[7].ram.r_n_5 ;
wire \ramloop[7].ram.r_n_6 ;
wire \ramloop[7].ram.r_n_7 ;
wire \ramloop[7].ram.r_n_8 ;
wire \ramloop[7].ram.r_n_9 ;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_bindec \bindec_a.bindec_inst_a
(.addra(addra[13:12]),
.ena(ena),
.ena_array(ena_array));
system_vga_hessian_1_0_bindec_0 \bindec_b.bindec_inst_b
(.addrb(addrb[13:12]),
.enb(enb),
.enb_array(enb_array));
system_vga_hessian_1_0_blk_mem_gen_mux \has_mux_a.A
(.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ({\ramloop[5].ram.r_n_0 ,\ramloop[5].ram.r_n_1 ,\ramloop[5].ram.r_n_2 ,\ramloop[5].ram.r_n_3 ,\ramloop[5].ram.r_n_4 ,\ramloop[5].ram.r_n_5 ,\ramloop[5].ram.r_n_6 ,\ramloop[5].ram.r_n_7 }),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ({\ramloop[6].ram.r_n_0 ,\ramloop[6].ram.r_n_1 ,\ramloop[6].ram.r_n_2 ,\ramloop[6].ram.r_n_3 ,\ramloop[6].ram.r_n_4 ,\ramloop[6].ram.r_n_5 ,\ramloop[6].ram.r_n_6 ,\ramloop[6].ram.r_n_7 }),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ({\ramloop[4].ram.r_n_0 ,\ramloop[4].ram.r_n_1 ,\ramloop[4].ram.r_n_2 ,\ramloop[4].ram.r_n_3 ,\ramloop[4].ram.r_n_4 ,\ramloop[4].ram.r_n_5 ,\ramloop[4].ram.r_n_6 ,\ramloop[4].ram.r_n_7 }),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 (\ramloop[5].ram.r_n_16 ),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 (\ramloop[6].ram.r_n_16 ),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 (\ramloop[4].ram.r_n_16 ),
.DOADO({\ramloop[7].ram.r_n_0 ,\ramloop[7].ram.r_n_1 ,\ramloop[7].ram.r_n_2 ,\ramloop[7].ram.r_n_3 ,\ramloop[7].ram.r_n_4 ,\ramloop[7].ram.r_n_5 ,\ramloop[7].ram.r_n_6 ,\ramloop[7].ram.r_n_7 }),
.DOPADOP(\ramloop[7].ram.r_n_16 ),
.addra(addra[13:12]),
.clka(clka),
.douta(douta[15:7]),
.ena(ena));
system_vga_hessian_1_0_blk_mem_gen_mux__parameterized0 \has_mux_b.B
(.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ({\ramloop[5].ram.r_n_8 ,\ramloop[5].ram.r_n_9 ,\ramloop[5].ram.r_n_10 ,\ramloop[5].ram.r_n_11 ,\ramloop[5].ram.r_n_12 ,\ramloop[5].ram.r_n_13 ,\ramloop[5].ram.r_n_14 ,\ramloop[5].ram.r_n_15 }),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ({\ramloop[6].ram.r_n_8 ,\ramloop[6].ram.r_n_9 ,\ramloop[6].ram.r_n_10 ,\ramloop[6].ram.r_n_11 ,\ramloop[6].ram.r_n_12 ,\ramloop[6].ram.r_n_13 ,\ramloop[6].ram.r_n_14 ,\ramloop[6].ram.r_n_15 }),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ({\ramloop[4].ram.r_n_8 ,\ramloop[4].ram.r_n_9 ,\ramloop[4].ram.r_n_10 ,\ramloop[4].ram.r_n_11 ,\ramloop[4].ram.r_n_12 ,\ramloop[4].ram.r_n_13 ,\ramloop[4].ram.r_n_14 ,\ramloop[4].ram.r_n_15 }),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 (\ramloop[5].ram.r_n_17 ),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 (\ramloop[6].ram.r_n_17 ),
.\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 (\ramloop[4].ram.r_n_17 ),
.DOBDO({\ramloop[7].ram.r_n_8 ,\ramloop[7].ram.r_n_9 ,\ramloop[7].ram.r_n_10 ,\ramloop[7].ram.r_n_11 ,\ramloop[7].ram.r_n_12 ,\ramloop[7].ram.r_n_13 ,\ramloop[7].ram.r_n_14 ,\ramloop[7].ram.r_n_15 }),
.DOPBDOP(\ramloop[7].ram.r_n_17 ),
.addrb(addrb[13:12]),
.clkb(clkb),
.doutb(doutb[15:7]),
.enb(enb));
system_vga_hessian_1_0_blk_mem_gen_prim_width \ramloop[0].ram.r
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina[0]),
.dinb(dinb[0]),
.douta(douta[0]),
.doutb(doutb[0]),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized0 \ramloop[1].ram.r
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina[2:1]),
.dinb(dinb[2:1]),
.douta(douta[2:1]),
.doutb(doutb[2:1]),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized1 \ramloop[2].ram.r
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina[4:3]),
.dinb(dinb[4:3]),
.douta(douta[4:3]),
.doutb(doutb[4:3]),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized2 \ramloop[3].ram.r
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina[6:5]),
.dinb(dinb[6:5]),
.douta(douta[6:5]),
.doutb(doutb[6:5]),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized3 \ramloop[4].ram.r
(.addra(addra[11:0]),
.addrb(addrb[11:0]),
.\bottom_left_0_reg[14] ({\ramloop[4].ram.r_n_0 ,\ramloop[4].ram.r_n_1 ,\ramloop[4].ram.r_n_2 ,\ramloop[4].ram.r_n_3 ,\ramloop[4].ram.r_n_4 ,\ramloop[4].ram.r_n_5 ,\ramloop[4].ram.r_n_6 ,\ramloop[4].ram.r_n_7 }),
.\bottom_left_0_reg[15] (\ramloop[4].ram.r_n_16 ),
.clka(clka),
.clkb(clkb),
.dina(dina[15:7]),
.dinb(dinb[15:7]),
.ena(ena),
.ena_array(ena_array[0]),
.enb(enb),
.enb_array(enb_array[0]),
.\top_right_1_reg[14] ({\ramloop[4].ram.r_n_8 ,\ramloop[4].ram.r_n_9 ,\ramloop[4].ram.r_n_10 ,\ramloop[4].ram.r_n_11 ,\ramloop[4].ram.r_n_12 ,\ramloop[4].ram.r_n_13 ,\ramloop[4].ram.r_n_14 ,\ramloop[4].ram.r_n_15 }),
.\top_right_1_reg[15] (\ramloop[4].ram.r_n_17 ),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized4 \ramloop[5].ram.r
(.addra(addra[11:0]),
.addrb(addrb[11:0]),
.\bottom_left_0_reg[14] ({\ramloop[5].ram.r_n_0 ,\ramloop[5].ram.r_n_1 ,\ramloop[5].ram.r_n_2 ,\ramloop[5].ram.r_n_3 ,\ramloop[5].ram.r_n_4 ,\ramloop[5].ram.r_n_5 ,\ramloop[5].ram.r_n_6 ,\ramloop[5].ram.r_n_7 }),
.\bottom_left_0_reg[15] (\ramloop[5].ram.r_n_16 ),
.clka(clka),
.clkb(clkb),
.dina(dina[15:7]),
.dinb(dinb[15:7]),
.ena(ena),
.ena_array(ena_array[1]),
.enb(enb),
.enb_array(enb_array[1]),
.\top_right_1_reg[14] ({\ramloop[5].ram.r_n_8 ,\ramloop[5].ram.r_n_9 ,\ramloop[5].ram.r_n_10 ,\ramloop[5].ram.r_n_11 ,\ramloop[5].ram.r_n_12 ,\ramloop[5].ram.r_n_13 ,\ramloop[5].ram.r_n_14 ,\ramloop[5].ram.r_n_15 }),
.\top_right_1_reg[15] (\ramloop[5].ram.r_n_17 ),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized5 \ramloop[6].ram.r
(.addra(addra[11:0]),
.addrb(addrb[11:0]),
.\bottom_left_0_reg[14] ({\ramloop[6].ram.r_n_0 ,\ramloop[6].ram.r_n_1 ,\ramloop[6].ram.r_n_2 ,\ramloop[6].ram.r_n_3 ,\ramloop[6].ram.r_n_4 ,\ramloop[6].ram.r_n_5 ,\ramloop[6].ram.r_n_6 ,\ramloop[6].ram.r_n_7 }),
.\bottom_left_0_reg[15] (\ramloop[6].ram.r_n_16 ),
.clka(clka),
.clkb(clkb),
.dina(dina[15:7]),
.dinb(dinb[15:7]),
.ena(ena),
.ena_array(ena_array[2]),
.enb(enb),
.enb_array(enb_array[2]),
.\top_right_1_reg[14] ({\ramloop[6].ram.r_n_8 ,\ramloop[6].ram.r_n_9 ,\ramloop[6].ram.r_n_10 ,\ramloop[6].ram.r_n_11 ,\ramloop[6].ram.r_n_12 ,\ramloop[6].ram.r_n_13 ,\ramloop[6].ram.r_n_14 ,\ramloop[6].ram.r_n_15 }),
.\top_right_1_reg[15] (\ramloop[6].ram.r_n_17 ),
.wea(wea),
.web(web));
system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized6 \ramloop[7].ram.r
(.DOADO({\ramloop[7].ram.r_n_0 ,\ramloop[7].ram.r_n_1 ,\ramloop[7].ram.r_n_2 ,\ramloop[7].ram.r_n_3 ,\ramloop[7].ram.r_n_4 ,\ramloop[7].ram.r_n_5 ,\ramloop[7].ram.r_n_6 ,\ramloop[7].ram.r_n_7 }),
.DOBDO({\ramloop[7].ram.r_n_8 ,\ramloop[7].ram.r_n_9 ,\ramloop[7].ram.r_n_10 ,\ramloop[7].ram.r_n_11 ,\ramloop[7].ram.r_n_12 ,\ramloop[7].ram.r_n_13 ,\ramloop[7].ram.r_n_14 ,\ramloop[7].ram.r_n_15 }),
.DOPADOP(\ramloop[7].ram.r_n_16 ),
.DOPBDOP(\ramloop[7].ram.r_n_17 ),
.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina[15:7]),
.dinb(dinb[15:7]),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_mux" *)
module system_vga_hessian_1_0_blk_mem_gen_mux
(douta,
ena,
addra,
clka,
DOADO,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ,
DOPADOP,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 );
output [8:0]douta;
input ena;
input [1:0]addra;
input clka;
input [7:0]DOADO;
input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ;
input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ;
input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ;
input [0:0]DOPADOP;
input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ;
input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ;
input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 ;
wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ;
wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ;
wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ;
wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ;
wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ;
wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 ;
wire [7:0]DOADO;
wire [0:0]DOPADOP;
wire [1:0]addra;
wire clka;
wire [8:0]douta;
wire ena;
wire [1:0]sel_pipe;
wire [1:0]sel_pipe_d1;
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[10]_INST_0
(.I0(DOADO[3]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [3]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [3]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [3]),
.I5(sel_pipe_d1[0]),
.O(douta[3]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[11]_INST_0
(.I0(DOADO[4]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [4]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [4]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [4]),
.I5(sel_pipe_d1[0]),
.O(douta[4]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[12]_INST_0
(.I0(DOADO[5]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [5]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [5]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [5]),
.I5(sel_pipe_d1[0]),
.O(douta[5]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[13]_INST_0
(.I0(DOADO[6]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [6]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [6]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [6]),
.I5(sel_pipe_d1[0]),
.O(douta[6]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[14]_INST_0
(.I0(DOADO[7]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [7]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [7]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [7]),
.I5(sel_pipe_d1[0]),
.O(douta[7]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[15]_INST_0
(.I0(DOPADOP),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 ),
.I5(sel_pipe_d1[0]),
.O(douta[8]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[7]_INST_0
(.I0(DOADO[0]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [0]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [0]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [0]),
.I5(sel_pipe_d1[0]),
.O(douta[0]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[8]_INST_0
(.I0(DOADO[1]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [1]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [1]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [1]),
.I5(sel_pipe_d1[0]),
.O(douta[1]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\douta[9]_INST_0
(.I0(DOADO[2]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [2]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [2]),
.I3(sel_pipe_d1[1]),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [2]),
.I5(sel_pipe_d1[0]),
.O(douta[2]));
FDRE #(
.INIT(1'b0))
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]
(.C(clka),
.CE(ena),
.D(sel_pipe[0]),
.Q(sel_pipe_d1[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]
(.C(clka),
.CE(ena),
.D(sel_pipe[1]),
.Q(sel_pipe_d1[1]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]
(.C(clka),
.CE(ena),
.D(addra[0]),
.Q(sel_pipe[0]),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]
(.C(clka),
.CE(ena),
.D(addra[1]),
.Q(sel_pipe[1]),
.R(1'b0));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_mux" *)
module system_vga_hessian_1_0_blk_mem_gen_mux__parameterized0
(doutb,
enb,
addrb,
clkb,
DOBDO,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ,
DOPBDOP,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 );
output [8:0]doutb;
input enb;
input [1:0]addrb;
input clkb;
input [7:0]DOBDO;
input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ;
input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ;
input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ;
input [0:0]DOPBDOP;
input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ;
input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ;
input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 ;
wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram ;
wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 ;
wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 ;
wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ;
wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ;
wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 ;
wire [7:0]DOBDO;
wire [0:0]DOPBDOP;
wire [1:0]addrb;
wire clkb;
wire [8:0]doutb;
wire enb;
wire \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ;
wire \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ;
wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0] ;
wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1] ;
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[10]_INST_0
(.I0(DOBDO[3]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [3]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [3]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [3]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[3]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[11]_INST_0
(.I0(DOBDO[4]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [4]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [4]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [4]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[4]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[12]_INST_0
(.I0(DOBDO[5]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [5]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [5]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [5]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[5]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[13]_INST_0
(.I0(DOBDO[6]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [6]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [6]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [6]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[6]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[14]_INST_0
(.I0(DOBDO[7]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [7]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [7]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [7]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[7]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[15]_INST_0
(.I0(DOPBDOP),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_2 ),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_3 ),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_4 ),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[8]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[7]_INST_0
(.I0(DOBDO[0]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [0]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [0]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [0]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[0]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[8]_INST_0
(.I0(DOBDO[1]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [1]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [1]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [1]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[1]));
LUT6 #(
.INIT(64'hAACCAACCF0FFF000))
\doutb[9]_INST_0
(.I0(DOBDO[2]),
.I1(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram [2]),
.I2(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0 [2]),
.I3(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.I4(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_1 [2]),
.I5(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.O(doutb[2]));
FDRE #(
.INIT(1'b0))
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]
(.C(clkb),
.CE(enb),
.D(\no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0] ),
.Q(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]
(.C(clkb),
.CE(enb),
.D(\no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1] ),
.Q(\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg_n_0_[1] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]
(.C(clkb),
.CE(enb),
.D(addrb[0]),
.Q(\no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0] ),
.R(1'b0));
FDRE #(
.INIT(1'b0))
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]
(.C(clkb),
.CE(enb),
.D(addrb[1]),
.Q(\no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1] ),
.R(1'b0));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [0:0]douta;
output [0:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [0:0]dina;
input [0:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [0:0]dina;
wire [0:0]dinb;
wire [0:0]douta;
wire [0:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized0
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [1:0]douta;
output [1:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [1:0]dina;
input [1:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [1:0]dina;
wire [1:0]dinb;
wire [1:0]douta;
wire [1:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized0 \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized1
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [1:0]douta;
output [1:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [1:0]dina;
input [1:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [1:0]dina;
wire [1:0]dinb;
wire [1:0]douta;
wire [1:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized1 \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized2
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [1:0]douta;
output [1:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [1:0]dina;
input [1:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [1:0]dina;
wire [1:0]dinb;
wire [1:0]douta;
wire [1:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized2 \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized3
(\bottom_left_0_reg[14] ,
\top_right_1_reg[14] ,
\bottom_left_0_reg[15] ,
\top_right_1_reg[15] ,
clka,
clkb,
ena_array,
enb_array,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]\bottom_left_0_reg[14] ;
output [7:0]\top_right_1_reg[14] ;
output [0:0]\bottom_left_0_reg[15] ;
output [0:0]\top_right_1_reg[15] ;
input clka;
input clkb;
input [0:0]ena_array;
input [0:0]enb_array;
input ena;
input enb;
input [11:0]addra;
input [11:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [11:0]addra;
wire [11:0]addrb;
wire [7:0]\bottom_left_0_reg[14] ;
wire [0:0]\bottom_left_0_reg[15] ;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire [0:0]ena_array;
wire enb;
wire [0:0]enb_array;
wire [7:0]\top_right_1_reg[14] ;
wire [0:0]\top_right_1_reg[15] ;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized3 \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.\bottom_left_0_reg[14] (\bottom_left_0_reg[14] ),
.\bottom_left_0_reg[15] (\bottom_left_0_reg[15] ),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.ena(ena),
.ena_array(ena_array),
.enb(enb),
.enb_array(enb_array),
.\top_right_1_reg[14] (\top_right_1_reg[14] ),
.\top_right_1_reg[15] (\top_right_1_reg[15] ),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized4
(\bottom_left_0_reg[14] ,
\top_right_1_reg[14] ,
\bottom_left_0_reg[15] ,
\top_right_1_reg[15] ,
clka,
clkb,
ena_array,
enb_array,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]\bottom_left_0_reg[14] ;
output [7:0]\top_right_1_reg[14] ;
output [0:0]\bottom_left_0_reg[15] ;
output [0:0]\top_right_1_reg[15] ;
input clka;
input clkb;
input [0:0]ena_array;
input [0:0]enb_array;
input ena;
input enb;
input [11:0]addra;
input [11:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [11:0]addra;
wire [11:0]addrb;
wire [7:0]\bottom_left_0_reg[14] ;
wire [0:0]\bottom_left_0_reg[15] ;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire [0:0]ena_array;
wire enb;
wire [0:0]enb_array;
wire [7:0]\top_right_1_reg[14] ;
wire [0:0]\top_right_1_reg[15] ;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized4 \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.\bottom_left_0_reg[14] (\bottom_left_0_reg[14] ),
.\bottom_left_0_reg[15] (\bottom_left_0_reg[15] ),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.ena(ena),
.ena_array(ena_array),
.enb(enb),
.enb_array(enb_array),
.\top_right_1_reg[14] (\top_right_1_reg[14] ),
.\top_right_1_reg[15] (\top_right_1_reg[15] ),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized5
(\bottom_left_0_reg[14] ,
\top_right_1_reg[14] ,
\bottom_left_0_reg[15] ,
\top_right_1_reg[15] ,
clka,
clkb,
ena_array,
enb_array,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]\bottom_left_0_reg[14] ;
output [7:0]\top_right_1_reg[14] ;
output [0:0]\bottom_left_0_reg[15] ;
output [0:0]\top_right_1_reg[15] ;
input clka;
input clkb;
input [0:0]ena_array;
input [0:0]enb_array;
input ena;
input enb;
input [11:0]addra;
input [11:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [11:0]addra;
wire [11:0]addrb;
wire [7:0]\bottom_left_0_reg[14] ;
wire [0:0]\bottom_left_0_reg[15] ;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire [0:0]ena_array;
wire enb;
wire [0:0]enb_array;
wire [7:0]\top_right_1_reg[14] ;
wire [0:0]\top_right_1_reg[15] ;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized5 \prim_noinit.ram
(.addra(addra),
.addrb(addrb),
.\bottom_left_0_reg[14] (\bottom_left_0_reg[14] ),
.\bottom_left_0_reg[15] (\bottom_left_0_reg[15] ),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.ena(ena),
.ena_array(ena_array),
.enb(enb),
.enb_array(enb_array),
.\top_right_1_reg[14] (\top_right_1_reg[14] ),
.\top_right_1_reg[15] (\top_right_1_reg[15] ),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_width__parameterized6
(DOADO,
DOBDO,
DOPADOP,
DOPBDOP,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]DOADO;
output [7:0]DOBDO;
output [0:0]DOPADOP;
output [0:0]DOPBDOP;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [7:0]DOADO;
wire [7:0]DOBDO;
wire [0:0]DOPADOP;
wire [0:0]DOPBDOP;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized6 \prim_noinit.ram
(.DOADO(DOADO),
.DOBDO(DOBDO),
.DOPADOP(DOPADOP),
.DOPBDOP(DOPBDOP),
.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [0:0]douta;
output [0:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [0:0]dina;
input [0:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [0:0]dina;
wire [0:0]dinb;
wire [0:0]douta;
wire [0:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
wire [15:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED ;
wire [15:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED ;
wire [1:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED ;
wire [1:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB18E1 #(
.DOA_REG(1),
.DOB_REG(1),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(18'h00000),
.INIT_B(18'h00000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(1),
.READ_WIDTH_B(1),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(18'h00000),
.SRVAL_B(18'h00000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(1),
.WRITE_WIDTH_B(1))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram
(.ADDRARDADDR(addra),
.ADDRBWRADDR(addrb),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb}),
.DIPADIP({1'b0,1'b0}),
.DIPBDIP({1'b0,1'b0}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED [15:1],douta}),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED [15:1],doutb}),
.DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED [1:0]),
.DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED [1:0]),
.ENARDEN(ena),
.ENBWREN(enb),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.WEA({wea,wea}),
.WEBWE({1'b0,1'b0,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized0
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [1:0]douta;
output [1:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [1:0]dina;
input [1:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [1:0]dina;
wire [1:0]dinb;
wire [1:0]douta;
wire [1:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(2),
.READ_WIDTH_B(2),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(2),
.WRITE_WIDTH_B(2))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra,1'b1}),
.ADDRBWRADDR({1'b1,addrb,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb}),
.DIPADIP({1'b0,1'b0,1'b0,1'b0}),
.DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:2],douta}),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:2],doutb}),
.DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
.DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:0]),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(ena),
.ENBWREN(enb),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized1
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [1:0]douta;
output [1:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [1:0]dina;
input [1:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [1:0]dina;
wire [1:0]dinb;
wire [1:0]douta;
wire [1:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(2),
.READ_WIDTH_B(2),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(2),
.WRITE_WIDTH_B(2))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra,1'b1}),
.ADDRBWRADDR({1'b1,addrb,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb}),
.DIPADIP({1'b0,1'b0,1'b0,1'b0}),
.DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:2],douta}),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:2],doutb}),
.DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
.DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:0]),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(ena),
.ENBWREN(enb),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized2
(douta,
doutb,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [1:0]douta;
output [1:0]doutb;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [1:0]dina;
input [1:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [1:0]dina;
wire [1:0]dinb;
wire [1:0]douta;
wire [1:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(2),
.READ_WIDTH_B(2),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(2),
.WRITE_WIDTH_B(2))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra,1'b1}),
.ADDRBWRADDR({1'b1,addrb,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb}),
.DIPADIP({1'b0,1'b0,1'b0,1'b0}),
.DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:2],douta}),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:2],doutb}),
.DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
.DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:0]),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(ena),
.ENBWREN(enb),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized3
(\bottom_left_0_reg[14] ,
\top_right_1_reg[14] ,
\bottom_left_0_reg[15] ,
\top_right_1_reg[15] ,
clka,
clkb,
ena_array,
enb_array,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]\bottom_left_0_reg[14] ;
output [7:0]\top_right_1_reg[14] ;
output [0:0]\bottom_left_0_reg[15] ;
output [0:0]\top_right_1_reg[15] ;
input clka;
input clkb;
input [0:0]ena_array;
input [0:0]enb_array;
input ena;
input enb;
input [11:0]addra;
input [11:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [11:0]addra;
wire [11:0]addrb;
wire [7:0]\bottom_left_0_reg[14] ;
wire [0:0]\bottom_left_0_reg[15] ;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire [0:0]ena_array;
wire enb;
wire [0:0]enb_array;
wire [7:0]\top_right_1_reg[14] ;
wire [0:0]\top_right_1_reg[15] ;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(9),
.READ_WIDTH_B(9),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(9),
.WRITE_WIDTH_B(9))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra,1'b1,1'b1,1'b1}),
.ADDRBWRADDR({1'b1,addrb,1'b1,1'b1,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[7:0]}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb[7:0]}),
.DIPADIP({1'b0,1'b0,1'b0,dina[8]}),
.DIPBDIP({1'b0,1'b0,1'b0,dinb[8]}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:8],\bottom_left_0_reg[14] }),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],\top_right_1_reg[14] }),
.DOPADOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:1],\bottom_left_0_reg[15] }),
.DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],\top_right_1_reg[15] }),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(ena_array),
.ENBWREN(enb_array),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized4
(\bottom_left_0_reg[14] ,
\top_right_1_reg[14] ,
\bottom_left_0_reg[15] ,
\top_right_1_reg[15] ,
clka,
clkb,
ena_array,
enb_array,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]\bottom_left_0_reg[14] ;
output [7:0]\top_right_1_reg[14] ;
output [0:0]\bottom_left_0_reg[15] ;
output [0:0]\top_right_1_reg[15] ;
input clka;
input clkb;
input [0:0]ena_array;
input [0:0]enb_array;
input ena;
input enb;
input [11:0]addra;
input [11:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [11:0]addra;
wire [11:0]addrb;
wire [7:0]\bottom_left_0_reg[14] ;
wire [0:0]\bottom_left_0_reg[15] ;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire [0:0]ena_array;
wire enb;
wire [0:0]enb_array;
wire [7:0]\top_right_1_reg[14] ;
wire [0:0]\top_right_1_reg[15] ;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(9),
.READ_WIDTH_B(9),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(9),
.WRITE_WIDTH_B(9))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra,1'b1,1'b1,1'b1}),
.ADDRBWRADDR({1'b1,addrb,1'b1,1'b1,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[7:0]}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb[7:0]}),
.DIPADIP({1'b0,1'b0,1'b0,dina[8]}),
.DIPBDIP({1'b0,1'b0,1'b0,dinb[8]}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:8],\bottom_left_0_reg[14] }),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],\top_right_1_reg[14] }),
.DOPADOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:1],\bottom_left_0_reg[15] }),
.DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],\top_right_1_reg[15] }),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(ena_array),
.ENBWREN(enb_array),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized5
(\bottom_left_0_reg[14] ,
\top_right_1_reg[14] ,
\bottom_left_0_reg[15] ,
\top_right_1_reg[15] ,
clka,
clkb,
ena_array,
enb_array,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]\bottom_left_0_reg[14] ;
output [7:0]\top_right_1_reg[14] ;
output [0:0]\bottom_left_0_reg[15] ;
output [0:0]\top_right_1_reg[15] ;
input clka;
input clkb;
input [0:0]ena_array;
input [0:0]enb_array;
input ena;
input enb;
input [11:0]addra;
input [11:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [11:0]addra;
wire [11:0]addrb;
wire [7:0]\bottom_left_0_reg[14] ;
wire [0:0]\bottom_left_0_reg[15] ;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire [0:0]ena_array;
wire enb;
wire [0:0]enb_array;
wire [7:0]\top_right_1_reg[14] ;
wire [0:0]\top_right_1_reg[15] ;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(9),
.READ_WIDTH_B(9),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(9),
.WRITE_WIDTH_B(9))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra,1'b1,1'b1,1'b1}),
.ADDRBWRADDR({1'b1,addrb,1'b1,1'b1,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[7:0]}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb[7:0]}),
.DIPADIP({1'b0,1'b0,1'b0,dina[8]}),
.DIPBDIP({1'b0,1'b0,1'b0,dinb[8]}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:8],\bottom_left_0_reg[14] }),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],\top_right_1_reg[14] }),
.DOPADOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:1],\bottom_left_0_reg[15] }),
.DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],\top_right_1_reg[15] }),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(ena_array),
.ENBWREN(enb_array),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
module system_vga_hessian_1_0_blk_mem_gen_prim_wrapper__parameterized6
(DOADO,
DOBDO,
DOPADOP,
DOPBDOP,
clka,
clkb,
ena,
enb,
addra,
addrb,
dina,
dinb,
wea,
web);
output [7:0]DOADO;
output [7:0]DOBDO;
output [0:0]DOPADOP;
output [0:0]DOPBDOP;
input clka;
input clkb;
input ena;
input enb;
input [13:0]addra;
input [13:0]addrb;
input [8:0]dina;
input [8:0]dinb;
input [0:0]wea;
input [0:0]web;
wire \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0 ;
wire \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0 ;
wire [7:0]DOADO;
wire [7:0]DOBDO;
wire [0:0]DOPADOP;
wire [0:0]DOPBDOP;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [8:0]dina;
wire [8:0]dinb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
(* CLOCK_DOMAINS = "COMMON" *)
(* box_type = "PRIMITIVE" *)
RAMB36E1 #(
.DOA_REG(1),
.DOB_REG(1),
.EN_ECC_READ("FALSE"),
.EN_ECC_WRITE("FALSE"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_A(36'h000000000),
.INIT_B(36'h000000000),
.INIT_FILE("NONE"),
.IS_CLKARDCLK_INVERTED(1'b0),
.IS_CLKBWRCLK_INVERTED(1'b0),
.IS_ENARDEN_INVERTED(1'b0),
.IS_ENBWREN_INVERTED(1'b0),
.IS_RSTRAMARSTRAM_INVERTED(1'b0),
.IS_RSTRAMB_INVERTED(1'b0),
.IS_RSTREGARSTREG_INVERTED(1'b0),
.IS_RSTREGB_INVERTED(1'b0),
.RAM_EXTENSION_A("NONE"),
.RAM_EXTENSION_B("NONE"),
.RAM_MODE("TDP"),
.RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
.READ_WIDTH_A(9),
.READ_WIDTH_B(9),
.RSTREG_PRIORITY_A("REGCE"),
.RSTREG_PRIORITY_B("REGCE"),
.SIM_COLLISION_CHECK("ALL"),
.SIM_DEVICE("7SERIES"),
.SRVAL_A(36'h000000000),
.SRVAL_B(36'h000000000),
.WRITE_MODE_A("WRITE_FIRST"),
.WRITE_MODE_B("WRITE_FIRST"),
.WRITE_WIDTH_A(9),
.WRITE_WIDTH_B(9))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram
(.ADDRARDADDR({1'b1,addra[11:0],1'b1,1'b1,1'b1}),
.ADDRBWRADDR({1'b1,addrb[11:0],1'b1,1'b1,1'b1}),
.CASCADEINA(1'b0),
.CASCADEINB(1'b0),
.CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
.CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
.CLKARDCLK(clka),
.CLKBWRCLK(clkb),
.DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
.DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[7:0]}),
.DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dinb[7:0]}),
.DIPADIP({1'b0,1'b0,1'b0,dina[8]}),
.DIPBDIP({1'b0,1'b0,1'b0,dinb[8]}),
.DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:8],DOADO}),
.DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],DOBDO}),
.DOPADOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:1],DOPADOP}),
.DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],DOPBDOP}),
.ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
.ENARDEN(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0 ),
.ENBWREN(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0 ),
.INJECTDBITERR(1'b0),
.INJECTSBITERR(1'b0),
.RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
.REGCEAREGCE(ena),
.REGCEB(enb),
.RSTRAMARSTRAM(1'b0),
.RSTRAMB(1'b0),
.RSTREGARSTREG(1'b0),
.RSTREGB(1'b0),
.SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
.WEA({wea,wea,wea,wea}),
.WEBWE({1'b0,1'b0,1'b0,1'b0,web,web,web,web}));
LUT3 #(
.INIT(8'h80))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1
(.I0(addra[13]),
.I1(addra[12]),
.I2(ena),
.O(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0 ));
LUT3 #(
.INIT(8'h80))
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2
(.I0(addrb[13]),
.I1(addrb[12]),
.I2(enb),
.O(\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0 ));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_top" *)
module system_vga_hessian_1_0_blk_mem_gen_top
(douta,
doutb,
addra,
ena,
addrb,
enb,
clka,
clkb,
dina,
dinb,
wea,
web);
output [15:0]douta;
output [15:0]doutb;
input [13:0]addra;
input ena;
input [13:0]addrb;
input enb;
input clka;
input clkb;
input [15:0]dina;
input [15:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [15:0]dina;
wire [15:0]dinb;
wire [15:0]douta;
wire [15:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_generic_cstr \valid.cstr
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* C_ADDRA_WIDTH = "14" *) (* C_ADDRB_WIDTH = "14" *) (* C_ALGORITHM = "1" *)
(* C_AXI_ID_WIDTH = "4" *) (* C_AXI_SLAVE_TYPE = "0" *) (* C_AXI_TYPE = "1" *)
(* C_BYTE_SIZE = "9" *) (* C_COMMON_CLK = "0" *) (* C_COUNT_18K_BRAM = "1" *)
(* C_COUNT_36K_BRAM = "7" *) (* C_CTRL_ECC_ALGO = "NONE" *) (* C_DEFAULT_DATA = "0" *)
(* C_DISABLE_WARN_BHV_COLL = "0" *) (* C_DISABLE_WARN_BHV_RANGE = "0" *) (* C_ELABORATION_DIR = "./" *)
(* C_ENABLE_32BIT_ADDRESS = "0" *) (* C_EN_DEEPSLEEP_PIN = "0" *) (* C_EN_ECC_PIPE = "0" *)
(* C_EN_RDADDRA_CHG = "0" *) (* C_EN_RDADDRB_CHG = "0" *) (* C_EN_SAFETY_CKT = "0" *)
(* C_EN_SHUTDOWN_PIN = "0" *) (* C_EN_SLEEP_PIN = "0" *) (* C_EST_POWER_SUMMARY = "Estimated Power for IP : 22.148499999999999 mW" *)
(* C_FAMILY = "zynq" *) (* C_HAS_AXI_ID = "0" *) (* C_HAS_ENA = "1" *)
(* C_HAS_ENB = "1" *) (* C_HAS_INJECTERR = "0" *) (* C_HAS_MEM_OUTPUT_REGS_A = "1" *)
(* C_HAS_MEM_OUTPUT_REGS_B = "1" *) (* C_HAS_MUX_OUTPUT_REGS_A = "0" *) (* C_HAS_MUX_OUTPUT_REGS_B = "0" *)
(* C_HAS_REGCEA = "0" *) (* C_HAS_REGCEB = "0" *) (* C_HAS_RSTA = "0" *)
(* C_HAS_RSTB = "0" *) (* C_HAS_SOFTECC_INPUT_REGS_A = "0" *) (* C_HAS_SOFTECC_OUTPUT_REGS_B = "0" *)
(* C_INITA_VAL = "0" *) (* C_INITB_VAL = "0" *) (* C_INIT_FILE = "blk_mem_gen_0.mem" *)
(* C_INIT_FILE_NAME = "no_coe_file_loaded" *) (* C_INTERFACE_TYPE = "0" *) (* C_LOAD_INIT_FILE = "0" *)
(* C_MEM_TYPE = "2" *) (* C_MUX_PIPELINE_STAGES = "0" *) (* C_PRIM_TYPE = "1" *)
(* C_READ_DEPTH_A = "16384" *) (* C_READ_DEPTH_B = "16384" *) (* C_READ_WIDTH_A = "16" *)
(* C_READ_WIDTH_B = "16" *) (* C_RSTRAM_A = "0" *) (* C_RSTRAM_B = "0" *)
(* C_RST_PRIORITY_A = "CE" *) (* C_RST_PRIORITY_B = "CE" *) (* C_SIM_COLLISION_CHECK = "ALL" *)
(* C_USE_BRAM_BLOCK = "0" *) (* C_USE_BYTE_WEA = "0" *) (* C_USE_BYTE_WEB = "0" *)
(* C_USE_DEFAULT_DATA = "0" *) (* C_USE_ECC = "0" *) (* C_USE_SOFTECC = "0" *)
(* C_USE_URAM = "0" *) (* C_WEA_WIDTH = "1" *) (* C_WEB_WIDTH = "1" *)
(* C_WRITE_DEPTH_A = "16384" *) (* C_WRITE_DEPTH_B = "16384" *) (* C_WRITE_MODE_A = "WRITE_FIRST" *)
(* C_WRITE_MODE_B = "WRITE_FIRST" *) (* C_WRITE_WIDTH_A = "16" *) (* C_WRITE_WIDTH_B = "16" *)
(* C_XDEVICEFAMILY = "zynq" *) (* ORIG_REF_NAME = "blk_mem_gen_v8_3_5" *) (* downgradeipidentifiedwarnings = "yes" *)
module system_vga_hessian_1_0_blk_mem_gen_v8_3_5
(clka,
rsta,
ena,
regcea,
wea,
addra,
dina,
douta,
clkb,
rstb,
enb,
regceb,
web,
addrb,
dinb,
doutb,
injectsbiterr,
injectdbiterr,
eccpipece,
sbiterr,
dbiterr,
rdaddrecc,
sleep,
deepsleep,
shutdown,
rsta_busy,
rstb_busy,
s_aclk,
s_aresetn,
s_axi_awid,
s_axi_awaddr,
s_axi_awlen,
s_axi_awsize,
s_axi_awburst,
s_axi_awvalid,
s_axi_awready,
s_axi_wdata,
s_axi_wstrb,
s_axi_wlast,
s_axi_wvalid,
s_axi_wready,
s_axi_bid,
s_axi_bresp,
s_axi_bvalid,
s_axi_bready,
s_axi_arid,
s_axi_araddr,
s_axi_arlen,
s_axi_arsize,
s_axi_arburst,
s_axi_arvalid,
s_axi_arready,
s_axi_rid,
s_axi_rdata,
s_axi_rresp,
s_axi_rlast,
s_axi_rvalid,
s_axi_rready,
s_axi_injectsbiterr,
s_axi_injectdbiterr,
s_axi_sbiterr,
s_axi_dbiterr,
s_axi_rdaddrecc);
input clka;
input rsta;
input ena;
input regcea;
input [0:0]wea;
input [13:0]addra;
input [15:0]dina;
output [15:0]douta;
input clkb;
input rstb;
input enb;
input regceb;
input [0:0]web;
input [13:0]addrb;
input [15:0]dinb;
output [15:0]doutb;
input injectsbiterr;
input injectdbiterr;
input eccpipece;
output sbiterr;
output dbiterr;
output [13:0]rdaddrecc;
input sleep;
input deepsleep;
input shutdown;
output rsta_busy;
output rstb_busy;
input s_aclk;
input s_aresetn;
input [3:0]s_axi_awid;
input [31:0]s_axi_awaddr;
input [7:0]s_axi_awlen;
input [2:0]s_axi_awsize;
input [1:0]s_axi_awburst;
input s_axi_awvalid;
output s_axi_awready;
input [15:0]s_axi_wdata;
input [0:0]s_axi_wstrb;
input s_axi_wlast;
input s_axi_wvalid;
output s_axi_wready;
output [3:0]s_axi_bid;
output [1:0]s_axi_bresp;
output s_axi_bvalid;
input s_axi_bready;
input [3:0]s_axi_arid;
input [31:0]s_axi_araddr;
input [7:0]s_axi_arlen;
input [2:0]s_axi_arsize;
input [1:0]s_axi_arburst;
input s_axi_arvalid;
output s_axi_arready;
output [3:0]s_axi_rid;
output [15:0]s_axi_rdata;
output [1:0]s_axi_rresp;
output s_axi_rlast;
output s_axi_rvalid;
input s_axi_rready;
input s_axi_injectsbiterr;
input s_axi_injectdbiterr;
output s_axi_sbiterr;
output s_axi_dbiterr;
output [13:0]s_axi_rdaddrecc;
wire \<const0> ;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [15:0]dina;
wire [15:0]dinb;
wire [15:0]douta;
wire [15:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
assign dbiterr = \<const0> ;
assign rdaddrecc[13] = \<const0> ;
assign rdaddrecc[12] = \<const0> ;
assign rdaddrecc[11] = \<const0> ;
assign rdaddrecc[10] = \<const0> ;
assign rdaddrecc[9] = \<const0> ;
assign rdaddrecc[8] = \<const0> ;
assign rdaddrecc[7] = \<const0> ;
assign rdaddrecc[6] = \<const0> ;
assign rdaddrecc[5] = \<const0> ;
assign rdaddrecc[4] = \<const0> ;
assign rdaddrecc[3] = \<const0> ;
assign rdaddrecc[2] = \<const0> ;
assign rdaddrecc[1] = \<const0> ;
assign rdaddrecc[0] = \<const0> ;
assign rsta_busy = \<const0> ;
assign rstb_busy = \<const0> ;
assign s_axi_arready = \<const0> ;
assign s_axi_awready = \<const0> ;
assign s_axi_bid[3] = \<const0> ;
assign s_axi_bid[2] = \<const0> ;
assign s_axi_bid[1] = \<const0> ;
assign s_axi_bid[0] = \<const0> ;
assign s_axi_bresp[1] = \<const0> ;
assign s_axi_bresp[0] = \<const0> ;
assign s_axi_bvalid = \<const0> ;
assign s_axi_dbiterr = \<const0> ;
assign s_axi_rdaddrecc[13] = \<const0> ;
assign s_axi_rdaddrecc[12] = \<const0> ;
assign s_axi_rdaddrecc[11] = \<const0> ;
assign s_axi_rdaddrecc[10] = \<const0> ;
assign s_axi_rdaddrecc[9] = \<const0> ;
assign s_axi_rdaddrecc[8] = \<const0> ;
assign s_axi_rdaddrecc[7] = \<const0> ;
assign s_axi_rdaddrecc[6] = \<const0> ;
assign s_axi_rdaddrecc[5] = \<const0> ;
assign s_axi_rdaddrecc[4] = \<const0> ;
assign s_axi_rdaddrecc[3] = \<const0> ;
assign s_axi_rdaddrecc[2] = \<const0> ;
assign s_axi_rdaddrecc[1] = \<const0> ;
assign s_axi_rdaddrecc[0] = \<const0> ;
assign s_axi_rdata[15] = \<const0> ;
assign s_axi_rdata[14] = \<const0> ;
assign s_axi_rdata[13] = \<const0> ;
assign s_axi_rdata[12] = \<const0> ;
assign s_axi_rdata[11] = \<const0> ;
assign s_axi_rdata[10] = \<const0> ;
assign s_axi_rdata[9] = \<const0> ;
assign s_axi_rdata[8] = \<const0> ;
assign s_axi_rdata[7] = \<const0> ;
assign s_axi_rdata[6] = \<const0> ;
assign s_axi_rdata[5] = \<const0> ;
assign s_axi_rdata[4] = \<const0> ;
assign s_axi_rdata[3] = \<const0> ;
assign s_axi_rdata[2] = \<const0> ;
assign s_axi_rdata[1] = \<const0> ;
assign s_axi_rdata[0] = \<const0> ;
assign s_axi_rid[3] = \<const0> ;
assign s_axi_rid[2] = \<const0> ;
assign s_axi_rid[1] = \<const0> ;
assign s_axi_rid[0] = \<const0> ;
assign s_axi_rlast = \<const0> ;
assign s_axi_rresp[1] = \<const0> ;
assign s_axi_rresp[0] = \<const0> ;
assign s_axi_rvalid = \<const0> ;
assign s_axi_sbiterr = \<const0> ;
assign s_axi_wready = \<const0> ;
assign sbiterr = \<const0> ;
GND GND
(.G(\<const0> ));
system_vga_hessian_1_0_blk_mem_gen_v8_3_5_synth inst_blk_mem_gen
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
(* ORIG_REF_NAME = "blk_mem_gen_v8_3_5_synth" *)
module system_vga_hessian_1_0_blk_mem_gen_v8_3_5_synth
(douta,
doutb,
addra,
ena,
addrb,
enb,
clka,
clkb,
dina,
dinb,
wea,
web);
output [15:0]douta;
output [15:0]doutb;
input [13:0]addra;
input ena;
input [13:0]addrb;
input enb;
input clka;
input clkb;
input [15:0]dina;
input [15:0]dinb;
input [0:0]wea;
input [0:0]web;
wire [13:0]addra;
wire [13:0]addrb;
wire clka;
wire clkb;
wire [15:0]dina;
wire [15:0]dinb;
wire [15:0]douta;
wire [15:0]doutb;
wire ena;
wire enb;
wire [0:0]wea;
wire [0:0]web;
system_vga_hessian_1_0_blk_mem_gen_top \gnbram.gnativebmg.native_blk_mem_gen
(.addra(addra),
.addrb(addrb),
.clka(clka),
.clkb(clkb),
.dina(dina),
.dinb(dinb),
.douta(douta),
.doutb(doutb),
.ena(ena),
.enb(enb),
.wea(wea),
.web(web));
endmodule
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (weak1, weak0) GSR = GSR_int;
assign (weak1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
endmodule
`endif
|
//
// pixel.v -- last stage in display pipeline
//
module pixel(clk, pixclk, attcode,
pixel, blank, hsync_in, vsync_in, blink,
hsync, vsync, r, g, b);
input clk;
input pixclk;
input [7:0] attcode;
input pixel;
input blank;
input hsync_in;
input vsync_in;
input blink;
output reg hsync;
output reg vsync;
output reg [2:0] r;
output reg [2:0] g;
output reg [2:0] b;
wire blink_bit;
wire bg_red;
wire bg_green;
wire bg_blue;
wire inten_bit;
wire fg_red;
wire fg_green;
wire fg_blue;
wire foreground;
wire intensify;
wire red;
wire green;
wire blue;
assign blink_bit = attcode[7];
assign bg_red = attcode[6];
assign bg_green = attcode[5];
assign bg_blue = attcode[4];
assign inten_bit = attcode[3];
assign fg_red = attcode[2];
assign fg_green = attcode[1];
assign fg_blue = attcode[0];
assign foreground = pixel & ~(blink_bit & blink);
assign intensify = foreground & inten_bit;
assign red = (foreground ? fg_red : bg_red);
assign green = (foreground ? fg_green : bg_green);
assign blue = (foreground ? fg_blue : bg_blue);
always @(posedge clk) begin
if (pixclk == 1) begin
hsync <= hsync_in;
vsync <= vsync_in;
r[2] <= blank & red;
r[1] <= blank & intensify;
r[0] <= blank & red & intensify;
g[2] <= blank & green;
g[1] <= blank & intensify;
g[0] <= blank & green & intensify;
b[2] <= blank & blue;
b[1] <= blank & intensify;
b[0] <= blank & blue & intensify;
end
end
endmodule
|
///////////////////////////////////////////////////////////////////////////////
//
// Copyright (C) 2014 Francis Bruno, All Rights Reserved
//
// This program is free software; you can redistribute it and/or modify it
// under the terms of the GNU General Public License as published by the Free
// Software Foundation; either version 3 of the License, or (at your option)
// any later version.
//
// This program is distributed in the hope that it will be useful, but
// WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
// or FITNESS FOR A PARTICULAR PURPOSE.
// See the GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License along with
// this program; if not, see <http://www.gnu.org/licenses>.
//
// This code is available under licenses for commercial use. Please contact
// Francis Bruno for more information.
//
// http://www.gplgpu.com
// http://www.asicsolutions.com
//
// Title :
// File :
// Author : Jim MacLeod
// Created : 01-Dec-2011
// RCS File : $Source:$
// Status : $Id:$
//
//
///////////////////////////////////////////////////////////////////////////////
//
// Description :
//
//
//
//////////////////////////////////////////////////////////////////////////////
//
// Modules Instantiated:
//
///////////////////////////////////////////////////////////////////////////////
//
// Modification History:
//
// $Log:$
//
///////////////////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////////////////
`timescale 1 ps / 1 ps
module flt_add_sub
(
input clk,
input sub,
input [31:0] afl,
input [31:0] bfl,
output reg [31:0] fl
);
reg [24:0] ai_0; // Mantisa of the Float
reg [24:0] bi_0; // Mantisa of the Float
reg sub_0;
reg [7:0] aefl_0; // Exponent of the Float
reg [24:0] n_ai_0; // Mantisa of the Float
reg [24:0] n_bi_0; // Mantisa of the Float
reg [24:0] n_mfl_0; // Mantisa of the Float
reg sfl_0; // Sign of the Float
reg [24:0] nn_mfl_0; // Mantisa of the Float
reg [31:0] afl_0;
reg [31:0] bfl_0;
reg afl_eq_bfl_0;
reg afl_eqz_0;
reg bfl_eqz_0;
reg [24:0] nn_mfl_1; // Mantisa of the Float
reg [7:0] nom_shft_1; // Mantisa of the Float
reg [24:0] nmfl_1; // Mantisa of the Float
reg [7:0] n_efl_1; // Exponent of the Float
reg sub_1;
reg afl_eqz_1;
reg bfl_eqz_1;
reg afl_eq_bfl_1;
reg [7:0] aefl_1; // Exponent of the Float
reg sfl_1; // Sign of the Float
reg [31:0] afl_1;
reg [31:0] bfl_1;
reg [8:0] agb; // Exponent difference.
reg [8:0] bga; // Exponent difference.
always @* agb = bfl[30:23] - afl[30:23];
always @* bga = afl[30:23] - bfl[30:23];
// Pipe 0
always @(posedge clk) begin
sub_0 <= sub;
afl_0 <= afl;
bfl_0 <= bfl;
afl_eq_bfl_0 <= (afl[30:0] == bfl[30:0]) &
((~sub & (afl[31] ^ bfl[31])) | (sub & (afl[31] ~^ bfl[31])));
afl_eqz_0 <= ~|afl[30:0];
bfl_eqz_0 <= ~|bfl[30:0];
if(agb[8]) // A exponant is greater than B exponant.
begin
bi_0 <= {(sub ^ bfl[31]), ({1'b1,bfl[22:0]} >> (bga[7:0]))};
ai_0 <= {afl[31], {1'b1,afl[22:0]}};
aefl_0 <= afl[30:23];
end
else // B exponant is greater than A exponant.
begin
ai_0 <= {afl[31], ({1'b1,afl[22:0]} >> (agb[7:0]))};
aefl_0 <= bfl[30:23];
bi_0 <= {(sub ^ bfl[31]), {1'b1,bfl[22:0]}};
end
end
always @*
begin
case({ai_0[24],bi_0[24]})
2'b00:begin
n_ai_0 = ai_0;
n_bi_0 = bi_0;
end
2'b11:begin
n_ai_0 = {1'b0,ai_0[23:0]};
n_bi_0 = {1'b0,bi_0[23:0]};
end
2'b10:begin
n_ai_0 = {ai_0[24],~ai_0[23:0]};
n_bi_0 = bi_0;
end
2'b01:begin
n_ai_0 = ai_0;
n_bi_0 = {bi_0[24],~bi_0[23:0]};
end
endcase
end
always @* n_mfl_0 = n_ai_0 + n_bi_0;
always @* // Calculate the sign bit.
begin
casex({ai_0[24],bi_0[24],n_mfl_0[24]})
3'b00x:sfl_0 = 1'b0;
3'b11x:sfl_0 = 1'b1;
3'b100:sfl_0 = 1'b0;
3'b101:sfl_0 = 1'b1;
3'b010:sfl_0 = 1'b0;
3'b011:sfl_0 = 1'b1;
endcase
end
always @* // Calculate the end result.
begin
casex({ai_0[24],bi_0[24],n_mfl_0[24]})
3'b00x:nn_mfl_0 = n_mfl_0[24:0];
3'b11x:nn_mfl_0 = n_mfl_0[24:0];
3'b100:begin
nn_mfl_0[23:0] = n_mfl_0[23:0]+24'h1;
nn_mfl_0[24] = 1'b0;
end
3'b101:begin
nn_mfl_0[23:0] = ~n_mfl_0[23:0];
nn_mfl_0[24] = 1'b0;
end
3'b010:begin
nn_mfl_0[23:0] = n_mfl_0[23:0]+24'h1;
nn_mfl_0[24] = 1'b0;
end
3'b011:begin
nn_mfl_0[23:0] = ~n_mfl_0[23:0];
nn_mfl_0[24] = 1'b0;
end
endcase
end
always @(posedge clk)
begin
sub_1 <= sub_0;
sfl_1 <= sfl_0;
afl_eqz_1 <= afl_eqz_0;
bfl_eqz_1 <= bfl_eqz_0;
afl_eq_bfl_1 <= afl_eq_bfl_0;
aefl_1 <= aefl_0;
afl_1 <= afl_0;
bfl_1 <= bfl_0;
nn_mfl_1 <= nn_mfl_0;
nom_shft_1 <=0;
casex(nn_mfl_0) /* synopsys parallel_case */
25'b1xxxxxxxxxxxxxxxxxxxxxxxx: nom_shft_1 <=24;
25'b01xxxxxxxxxxxxxxxxxxxxxxx: nom_shft_1 <=0;
25'b001xxxxxxxxxxxxxxxxxxxxxx: nom_shft_1 <=1;
25'b0001xxxxxxxxxxxxxxxxxxxxx: nom_shft_1 <=2;
25'b00001xxxxxxxxxxxxxxxxxxxx: nom_shft_1 <=3;
25'b000001xxxxxxxxxxxxxxxxxxx: nom_shft_1 <=4;
25'b0000001xxxxxxxxxxxxxxxxxx: nom_shft_1 <=5;
25'b00000001xxxxxxxxxxxxxxxxx: nom_shft_1 <=6;
25'b000000001xxxxxxxxxxxxxxxx: nom_shft_1 <=7;
25'b0000000001xxxxxxxxxxxxxxx: nom_shft_1 <=8;
25'b00000000001xxxxxxxxxxxxxx: nom_shft_1 <=9;
25'b000000000001xxxxxxxxxxxxx: nom_shft_1 <=10;
25'b0000000000001xxxxxxxxxxxx: nom_shft_1 <=11;
25'b00000000000001xxxxxxxxxxx: nom_shft_1 <=12;
25'b000000000000001xxxxxxxxxx: nom_shft_1 <=13;
25'b0000000000000001xxxxxxxxx: nom_shft_1 <=14;
25'b00000000000000001xxxxxxxx: nom_shft_1 <=15;
25'b000000000000000001xxxxxxx: nom_shft_1 <=16;
25'b0000000000000000001xxxxxx: nom_shft_1 <=17;
25'b00000000000000000001xxxxx: nom_shft_1 <=18;
25'b000000000000000000001xxxx: nom_shft_1 <=19;
25'b0000000000000000000001xxx: nom_shft_1 <=20;
25'b00000000000000000000001xx: nom_shft_1 <=21;
25'b000000000000000000000001x: nom_shft_1 <=22;
25'b0000000000000000000000001: nom_shft_1 <=23;
default: nom_shft_1 <=0;
endcase
end
always @(nn_mfl_1 or nom_shft_1)
begin
if(nom_shft_1[4] & nom_shft_1[3])nmfl_1 = nn_mfl_1 >> 1;
else nmfl_1 = nn_mfl_1 << nom_shft_1;
end
always @* // Calculate the sign bit.
begin
if(nom_shft_1[4] & nom_shft_1[3])n_efl_1 = aefl_1+8'h1;
else n_efl_1 = aefl_1 - nom_shft_1;
end
// Final Answer.
always @(posedge clk)
begin
casex({sub_1, afl_eqz_1, bfl_eqz_1, afl_eq_bfl_1})
4'b0000: fl <= {sfl_1,n_efl_1,nmfl_1[22:0]}; // Normal Add, afl + bfl.
4'b0010: fl <= afl_1; // Add, (afl != 0), (bfl = 0), fl = afl;
4'b0100: fl <= bfl_1; // Add, (afl = 0), (bfl != 0), fl = bfl;
4'b011x: fl <= 0; // Add, (afl = 0), (bfl = 0), fl = 0;
4'b1xx1: fl <= 0; // afl = bfl, subtract, fl = 0;
4'b1xx0: fl <= {sfl_1,n_efl_1,nmfl_1[22:0]}; // Normal Subtract.
endcase
end
endmodule
|
// Code generated by Icestudio 0.8.1w202112300112
`default_nettype none
//---- Top entity
module main (
output ve5dac7,
output v22cf82,
output v2120a4
);
wire w0;
wire w1;
wire w2;
assign ve5dac7 = w0;
assign v22cf82 = w1;
assign v2120a4 = w2;
vfebcfe v7dc4a3 (
.v9fb85f(w1)
);
vfebcfe va834d8 (
.v9fb85f(w0)
);
vd30ca9 v9ceafe (
.v9fb85f(w2)
);
endmodule
//---- Top entity
module vfebcfe (
output v9fb85f
);
wire w0;
assign v9fb85f = w0;
vfebcfe_vb2eccd vb2eccd (
.q(w0)
);
endmodule
//---------------------------------------------------
//-- bit-1
//-- - - - - - - - - - - - - - - - - - - - - - - - --
//-- Constant bit 1
//---------------------------------------------------
module vfebcfe_vb2eccd (
output q
);
//-- Constant bit-1
assign q = 1'b1;
endmodule
//---- Top entity
module vd30ca9 (
output v9fb85f
);
wire w0;
assign v9fb85f = w0;
vd30ca9_vb2eccd vb2eccd (
.q(w0)
);
endmodule
//---------------------------------------------------
//-- bit-0
//-- - - - - - - - - - - - - - - - - - - - - - - - --
//-- Constant bit 0
//---------------------------------------------------
module vd30ca9_vb2eccd (
output q
);
//-- Constant bit-0
assign q = 1'b0;
endmodule
|
// handles transmission over UART
module uart_tx
(
input wire clk,
input wire reset,
input wire tx_start,
input wire s_tick,
input wire [7:0] din,
output reg tx_done_tick,
output wire tx
);
parameter DBIT = 8;
parameter SB_TICK = 16;
localparam IDLE = 0;
localparam START = 1;
localparam DATA = 2;
localparam STOP = 3;
reg [1:0] state_reg, state_next;
reg [3:0] s_reg, s_next;
reg [2:0] n_reg, n_next;
reg [7:0] b_reg, b_next;
reg tx_reg, tx_next;
always @ (posedge clk, posedge reset) begin
if (reset) begin
state_reg <= IDLE;
s_reg <= 0;
n_reg <= 0;
b_reg <= 0;
tx_reg <= 1;
end
else begin
state_reg <= state_next;
s_reg <= s_next;
n_reg <= n_next;
b_reg <= b_next;
tx_reg <= tx_next;
end
end
// state machine works as follows:
// if it is in idle mode, it waits for a start
// signal to begin, otherwise it continues to send 0s to UART (meaning there is nothing available yet)
// then it goes into start mode once some data has been inputted, it does the write
// starting at START for 8 bits before moving back to idle
always @ (state_reg, s_reg, n_reg, b_reg, s_tick, tx_reg, tx_start, din) begin
state_next <= state_reg;
s_next <= s_reg;
n_next <= n_reg;
b_next <= b_reg;
tx_next <= tx_reg;
tx_done_tick <= 0;
case (state_reg)
IDLE: begin
tx_next <= 1;
if (tx_start) begin
state_next <= START;
s_next <= 0;
b_next <= din;
end
end
START: begin
tx_next <= 0;
if (s_tick) begin
if (s_reg == 15) begin
state_next <= DATA;
s_next <= 0;
n_next <= 0;
end
else
s_next <= s_reg + 1;
end
end
DATA: begin
tx_next <= b_reg[0];
if (s_tick) begin
if (s_reg == 15) begin
s_next <= 0;
b_next <= {1'b0, b_reg[7:1]};
if (n_reg == DBIT-1)
state_next <= STOP;
else
n_next <= n_reg + 1;
end
else
s_next <= s_reg + 1;
end
end
STOP: begin
tx_next <= 1;
if (s_tick) begin
if (s_reg == SB_TICK-1) begin
state_next <= IDLE;
tx_done_tick <= 1;
end
else
s_next <= s_reg + 1;
end
end
endcase
end
assign tx = tx_reg;
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__DFXBP_PP_SYMBOL_V
`define SKY130_FD_SC_HS__DFXBP_PP_SYMBOL_V
/**
* dfxbp: Delay flop, complementary outputs.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__dfxbp (
//# {{data|Data Signals}}
input D ,
output Q ,
output Q_N ,
//# {{clocks|Clocking}}
input CLK ,
//# {{power|Power}}
input VPWR,
input VGND
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__DFXBP_PP_SYMBOL_V
|
module Computer_ControlUnit_InstructionMemory(
output reg [WORD_WIDTH-1:0] INSTR_out,
input [WORD_WIDTH-1:0] COUNTER_in
);
parameter WORD_WIDTH = 16;
parameter DR_WIDTH = 3;
parameter SB_WIDTH = DR_WIDTH;
parameter SA_WIDTH = DR_WIDTH;
parameter OPCODE_WIDTH = 7;
parameter CNTRL_WIDTH = DR_WIDTH+SB_WIDTH+SA_WIDTH+11;
parameter COUNTER_WIDTH = 4;
always@(COUNTER_in)
begin
case(COUNTER_in)
//16'h0000: INSTR_out = 16'b000_0000_000_000_000; //
16'h0000: INSTR_out = 16'b100_1100_000_000_011; // LDI R0 <- 3
16'h0001: INSTR_out = 16'b100_1100_001_000_111; // LDI R1 <- 7
16'h0002: INSTR_out = 16'b000_0000_010_000_XXX; // MOVA R2 <- R0
16'h0003: INSTR_out = 16'b000_1100_011_XXX_001; // MOVB R3 <- R1
16'h0004: INSTR_out = 16'b000_0010_100_000_001; // ADD R4 <- R0;R1
16'h0005: INSTR_out = 16'b000_0101_101_011_100; // SUB R5 <- R3;R4
16'h0006: INSTR_out = 16'b110_0000_000_101_011; // BRZ R5;3
16'h0007: INSTR_out = 16'b110_0001_000_101_011; // BRN R5;3
16'h000A: INSTR_out = 16'b111_0000_110_000_001; // JMP R0;
default: INSTR_out = 16'b0;
endcase
end
endmodule |
// Copyright (C) 1991-2011 Altera Corporation
// This simulation model contains highly confidential and
// proprietary information of Altera and is being provided
// in accordance with and subject to the protections of the
// applicable Altera Program License Subscription Agreement
// which governs its use and disclosure. Your use of Altera
// Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions,
// and any output files any of the foregoing (including device
// programming or simulation files), and any associated
// documentation or information are expressly subject to the
// terms and conditions of the Altera Program License Subscription
// Agreement, Altera MegaCore Function License Agreement, or other
// applicable license agreement, including, without limitation,
// that your use is for the sole purpose of simulating designs for
// use exclusively in logic devices manufactured by Altera and sold
// by Altera or its authorized distributors. Please refer to the
// applicable agreement for further details. Altera products and
// services are protected under numerous U.S. and foreign patents,
// maskwork rights, copyrights and other intellectual property laws.
// Altera assumes no responsibility or liability arising out of the
// application or use of this simulation model.
// Quartus II 11.0 Build 157 04/27/2011
// ********** PRIMITIVE DEFINITIONS **********
`timescale 1 ps/1 ps
// ***** DFFE
primitive STRATIXV_PRIM_DFFE (Q, ENA, D, CLK, CLRN, PRN, notifier);
input D;
input CLRN;
input PRN;
input CLK;
input ENA;
input notifier;
output Q; reg Q;
initial Q = 1'b0;
table
// ENA D CLK CLRN PRN notifier : Qt : Qt+1
(??) ? ? 1 1 ? : ? : -; // pessimism
x ? ? 1 1 ? : ? : -; // pessimism
1 1 (01) 1 1 ? : ? : 1; // clocked data
1 1 (01) 1 x ? : ? : 1; // pessimism
1 1 ? 1 x ? : 1 : 1; // pessimism
1 0 0 1 x ? : 1 : 1; // pessimism
1 0 x 1 (?x) ? : 1 : 1; // pessimism
1 0 1 1 (?x) ? : 1 : 1; // pessimism
1 x 0 1 x ? : 1 : 1; // pessimism
1 x x 1 (?x) ? : 1 : 1; // pessimism
1 x 1 1 (?x) ? : 1 : 1; // pessimism
1 0 (01) 1 1 ? : ? : 0; // clocked data
1 0 (01) x 1 ? : ? : 0; // pessimism
1 0 ? x 1 ? : 0 : 0; // pessimism
0 ? ? x 1 ? : ? : -;
1 1 0 x 1 ? : 0 : 0; // pessimism
1 1 x (?x) 1 ? : 0 : 0; // pessimism
1 1 1 (?x) 1 ? : 0 : 0; // pessimism
1 x 0 x 1 ? : 0 : 0; // pessimism
1 x x (?x) 1 ? : 0 : 0; // pessimism
1 x 1 (?x) 1 ? : 0 : 0; // pessimism
// 1 1 (x1) 1 1 ? : 1 : 1; // reducing pessimism
// 1 0 (x1) 1 1 ? : 0 : 0;
1 ? (x1) 1 1 ? : ? : -; // spr 80166-ignore
// x->1 edge
1 1 (0x) 1 1 ? : 1 : 1;
1 0 (0x) 1 1 ? : 0 : 0;
? ? ? 0 0 ? : ? : 0; // clear wins preset
? ? ? 0 1 ? : ? : 0; // asynch clear
? ? ? 1 0 ? : ? : 1; // asynch set
1 ? (?0) 1 1 ? : ? : -; // ignore falling clock
1 ? (1x) 1 1 ? : ? : -; // ignore falling clock
1 * ? ? ? ? : ? : -; // ignore data edges
1 ? ? (?1) ? ? : ? : -; // ignore edges on
1 ? ? ? (?1) ? : ? : -; // set and clear
0 ? ? 1 1 ? : ? : -; // set and clear
? ? ? 1 1 * : ? : x; // spr 36954 - at any
// notifier event,
// output 'x'
endtable
endprimitive
primitive STRATIXV_PRIM_DFFEAS (q, d, clk, ena, clr, pre, ald, adt, sclr, sload, notifier );
input d,clk,ena,clr,pre,ald,adt,sclr,sload, notifier;
output q;
reg q;
initial
q = 1'b0;
table
////d,clk, ena,clr,pre,ald,adt,sclr,sload,notifier: q : q'
? ? ? 1 ? ? ? ? ? ? : ? : 0; // aclr
? ? ? 0 1 ? ? ? ? ? : ? : 1; // apre
? ? ? 0 0 1 0 ? ? ? : ? : 0; // aload 0
? ? ? 0 0 1 1 ? ? ? : ? : 1; // aload 1
0 (01) 1 0 0 0 ? 0 0 ? : ? : 0; // din 0
1 (01) 1 0 0 0 ? 0 0 ? : ? : 1; // din 1
? (01) 1 0 0 0 ? 1 ? ? : ? : 0; // sclr
? (01) 1 0 0 0 0 0 1 ? : ? : 0; // sload 0
? (01) 1 0 0 0 1 0 1 ? : ? : 1; // sload 1
? ? 0 0 0 0 ? ? ? ? : ? : -; // no asy no ena
* ? ? ? ? ? ? ? ? ? : ? : -; // data edges
? (?0) ? ? ? ? ? ? ? ? : ? : -; // ignore falling clk
? ? * ? ? ? ? ? ? ? : ? : -; // enable edges
? ? ? (?0)? ? ? ? ? ? : ? : -; // falling asynchs
? ? ? ? (?0) ? ? ? ? ? : ? : -;
? ? ? ? ? (?0) ? ? ? ? : ? : -;
? ? ? ? ? 0 * ? ? ? : ? : -; // ignore adata edges when not aloading
? ? ? ? ? ? ? * ? ? : ? : -; // sclr edges
? ? ? ? ? ? ? ? * ? : ? : -; // sload edges
? (x1) 1 0 0 0 ? 0 0 ? : ? : -; // ignore x->1 transition of clock
? ? 1 0 0 x ? 0 0 ? : ? : -; // ignore x input of aload
? ? ? 1 1 ? ? ? ? * : ? : x; // at any notifier event, output x
endtable
endprimitive
primitive STRATIXV_PRIM_DFFEAS_HIGH (q, d, clk, ena, clr, pre, ald, adt, sclr, sload, notifier );
input d,clk,ena,clr,pre,ald,adt,sclr,sload, notifier;
output q;
reg q;
initial
q = 1'b1;
table
////d,clk, ena,clr,pre,ald,adt,sclr,sload,notifier : q : q'
? ? ? 1 ? ? ? ? ? ? : ? : 0; // aclr
? ? ? 0 1 ? ? ? ? ? : ? : 1; // apre
? ? ? 0 0 1 0 ? ? ? : ? : 0; // aload 0
? ? ? 0 0 1 1 ? ? ? : ? : 1; // aload 1
0 (01) 1 0 0 0 ? 0 0 ? : ? : 0; // din 0
1 (01) 1 0 0 0 ? 0 0 ? : ? : 1; // din 1
? (01) 1 0 0 0 ? 1 ? ? : ? : 0; // sclr
? (01) 1 0 0 0 0 0 1 ? : ? : 0; // sload 0
? (01) 1 0 0 0 1 0 1 ? : ? : 1; // sload 1
? ? 0 0 0 0 ? ? ? ? : ? : -; // no asy no ena
* ? ? ? ? ? ? ? ? ? : ? : -; // data edges
? (?0) ? ? ? ? ? ? ? ? : ? : -; // ignore falling clk
? ? * ? ? ? ? ? ? ? : ? : -; // enable edges
? ? ? (?0)? ? ? ? ? ? : ? : -; // falling asynchs
? ? ? ? (?0) ? ? ? ? ? : ? : -;
? ? ? ? ? (?0) ? ? ? ? : ? : -;
? ? ? ? ? 0 * ? ? ? : ? : -; // ignore adata edges when not aloading
? ? ? ? ? ? ? * ? ? : ? : -; // sclr edges
? ? ? ? ? ? ? ? * ? : ? : -; // sload edges
? (x1) 1 0 0 0 ? 0 0 ? : ? : -; // ignore x->1 transition of clock
? ? 1 0 0 x ? 0 0 ? : ? : -; // ignore x input of aload
? ? ? 1 1 ? ? ? ? * : ? : x; // at any notifier event, output x
endtable
endprimitive
module stratixv_dffe ( Q, CLK, ENA, D, CLRN, PRN );
input D;
input CLK;
input CLRN;
input PRN;
input ENA;
output Q;
wire D_ipd;
wire ENA_ipd;
wire CLK_ipd;
wire PRN_ipd;
wire CLRN_ipd;
buf (D_ipd, D);
buf (ENA_ipd, ENA);
buf (CLK_ipd, CLK);
buf (PRN_ipd, PRN);
buf (CLRN_ipd, CLRN);
wire legal;
reg viol_notifier;
STRATIXV_PRIM_DFFE ( Q, ENA_ipd, D_ipd, CLK_ipd, CLRN_ipd, PRN_ipd, viol_notifier );
and(legal, ENA_ipd, CLRN_ipd, PRN_ipd);
specify
specparam TREG = 0;
specparam TREN = 0;
specparam TRSU = 0;
specparam TRH = 0;
specparam TRPR = 0;
specparam TRCL = 0;
$setup ( D, posedge CLK &&& legal, TRSU, viol_notifier ) ;
$hold ( posedge CLK &&& legal, D, TRH, viol_notifier ) ;
$setup ( ENA, posedge CLK &&& legal, TREN, viol_notifier ) ;
$hold ( posedge CLK &&& legal, ENA, 0, viol_notifier ) ;
( negedge CLRN => (Q +: 1'b0)) = ( TRCL, TRCL) ;
( negedge PRN => (Q +: 1'b1)) = ( TRPR, TRPR) ;
( posedge CLK => (Q +: D)) = ( TREG, TREG) ;
endspecify
endmodule
// ***** stratixv_mux21
module stratixv_mux21 (MO, A, B, S);
input A, B, S;
output MO;
wire A_in;
wire B_in;
wire S_in;
buf(A_in, A);
buf(B_in, B);
buf(S_in, S);
wire tmp_MO;
specify
(A => MO) = (0, 0);
(B => MO) = (0, 0);
(S => MO) = (0, 0);
endspecify
assign tmp_MO = (S_in == 1) ? B_in : A_in;
buf (MO, tmp_MO);
endmodule
// ***** stratixv_mux41
module stratixv_mux41 (MO, IN0, IN1, IN2, IN3, S);
input IN0;
input IN1;
input IN2;
input IN3;
input [1:0] S;
output MO;
wire IN0_in;
wire IN1_in;
wire IN2_in;
wire IN3_in;
wire S1_in;
wire S0_in;
buf(IN0_in, IN0);
buf(IN1_in, IN1);
buf(IN2_in, IN2);
buf(IN3_in, IN3);
buf(S1_in, S[1]);
buf(S0_in, S[0]);
wire tmp_MO;
specify
(IN0 => MO) = (0, 0);
(IN1 => MO) = (0, 0);
(IN2 => MO) = (0, 0);
(IN3 => MO) = (0, 0);
(S[1] => MO) = (0, 0);
(S[0] => MO) = (0, 0);
endspecify
assign tmp_MO = S1_in ? (S0_in ? IN3_in : IN2_in) : (S0_in ? IN1_in : IN0_in);
buf (MO, tmp_MO);
endmodule
// ***** stratixv_and1
module stratixv_and1 (Y, IN1);
input IN1;
output Y;
specify
(IN1 => Y) = (0, 0);
endspecify
buf (Y, IN1);
endmodule
// ***** stratixv_and16
module stratixv_and16 (Y, IN1);
input [15:0] IN1;
output [15:0] Y;
specify
(IN1 => Y) = (0, 0);
endspecify
buf (Y[0], IN1[0]);
buf (Y[1], IN1[1]);
buf (Y[2], IN1[2]);
buf (Y[3], IN1[3]);
buf (Y[4], IN1[4]);
buf (Y[5], IN1[5]);
buf (Y[6], IN1[6]);
buf (Y[7], IN1[7]);
buf (Y[8], IN1[8]);
buf (Y[9], IN1[9]);
buf (Y[10], IN1[10]);
buf (Y[11], IN1[11]);
buf (Y[12], IN1[12]);
buf (Y[13], IN1[13]);
buf (Y[14], IN1[14]);
buf (Y[15], IN1[15]);
endmodule
// ***** stratixv_bmux21
module stratixv_bmux21 (MO, A, B, S);
input [15:0] A, B;
input S;
output [15:0] MO;
assign MO = (S == 1) ? B : A;
endmodule
// ***** stratixv_b17mux21
module stratixv_b17mux21 (MO, A, B, S);
input [16:0] A, B;
input S;
output [16:0] MO;
assign MO = (S == 1) ? B : A;
endmodule
// ***** stratixv_nmux21
module stratixv_nmux21 (MO, A, B, S);
input A, B, S;
output MO;
assign MO = (S == 1) ? ~B : ~A;
endmodule
// ***** stratixv_b5mux21
module stratixv_b5mux21 (MO, A, B, S);
input [4:0] A, B;
input S;
output [4:0] MO;
assign MO = (S == 1) ? B : A;
endmodule
// ********** END PRIMITIVE DEFINITIONS **********
//------------------------------------------------------------------
//
// Module Name : stratixv_ff
//
// Description : STRATIXV FF Verilog simulation model
//
//------------------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_ff (
d,
clk,
clrn,
aload,
sclr,
sload,
asdata,
ena,
devclrn,
devpor,
q
);
parameter power_up = "low";
parameter x_on_violation = "on";
parameter lpm_type = "stratixv_ff";
input d;
input clk;
input clrn;
input aload;
input sclr;
input sload;
input asdata;
input ena;
input devclrn;
input devpor;
output q;
tri1 devclrn;
tri1 devpor;
reg q_tmp;
wire reset;
reg d_viol;
reg sclr_viol;
reg sload_viol;
reg asdata_viol;
reg ena_viol;
reg violation;
reg clk_last_value;
reg ix_on_violation;
wire d_in;
wire clk_in;
wire clrn_in;
wire aload_in;
wire sclr_in;
wire sload_in;
wire asdata_in;
wire ena_in;
wire nosloadsclr;
wire sloaddata;
buf (d_in, d);
buf (clk_in, clk);
buf (clrn_in, clrn);
buf (aload_in, aload);
buf (sclr_in, sclr);
buf (sload_in, sload);
buf (asdata_in, asdata);
buf (ena_in, ena);
assign reset = devpor && devclrn && clrn_in && ena_in;
assign nosloadsclr = reset && (!sload_in && !sclr_in);
assign sloaddata = reset && sload_in;
specify
$setuphold (posedge clk &&& nosloadsclr, d, 0, 0, d_viol) ;
$setuphold (posedge clk &&& reset, sclr, 0, 0, sclr_viol) ;
$setuphold (posedge clk &&& reset, sload, 0, 0, sload_viol) ;
$setuphold (posedge clk &&& sloaddata, asdata, 0, 0, asdata_viol) ;
$setuphold (posedge clk &&& reset, ena, 0, 0, ena_viol) ;
(posedge clk => (q +: q_tmp)) = 0 ;
(posedge clrn => (q +: 1'b0)) = (0, 0) ;
(posedge aload => (q +: q_tmp)) = (0, 0) ;
(asdata => q) = (0, 0) ;
endspecify
initial
begin
violation = 'b0;
clk_last_value = 'b0;
if (power_up == "low")
q_tmp = 'b0;
else if (power_up == "high")
q_tmp = 'b1;
if (x_on_violation == "on")
ix_on_violation = 1;
else
ix_on_violation = 0;
end
always @ (d_viol or sclr_viol or sload_viol or ena_viol or asdata_viol)
begin
if (ix_on_violation == 1)
violation = 'b1;
end
always @ (asdata_in or clrn_in or posedge aload_in or
devclrn or devpor)
begin
if (devpor == 'b0)
q_tmp <= 'b0;
else if (devclrn == 'b0)
q_tmp <= 'b0;
else if (clrn_in == 'b0)
q_tmp <= 'b0;
else if (aload_in == 'b1)
q_tmp <= asdata_in;
end
always @ (clk_in or posedge clrn_in or posedge aload_in or
devclrn or devpor or posedge violation)
begin
if (violation == 1'b1)
begin
violation = 'b0;
q_tmp <= 'bX;
end
else
begin
if (devpor == 'b0 || devclrn == 'b0 || clrn_in === 'b0)
q_tmp <= 'b0;
else if (aload_in === 'b1)
q_tmp <= asdata_in;
else if (ena_in === 'b1 && clk_in === 'b1 && clk_last_value === 'b0)
begin
if (sclr_in === 'b1)
q_tmp <= 'b0 ;
else if (sload_in === 'b1)
q_tmp <= asdata_in;
else
q_tmp <= d_in;
end
end
clk_last_value = clk_in;
end
and (q, q_tmp, 1'b1);
endmodule
//------------------------------------------------------------------
//
// Module Name : stratixv_lcell_comb
//
// Description : STRATIXV LCELL_COMB Verilog simulation model
//
//------------------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_lcell_comb (
dataa,
datab,
datac,
datad,
datae,
dataf,
datag,
cin,
sharein,
combout,
sumout,
cout,
shareout
);
input dataa;
input datab;
input datac;
input datad;
input datae;
input dataf;
input datag;
input cin;
input sharein;
output combout;
output sumout;
output cout;
output shareout;
parameter lut_mask = 64'hFFFFFFFFFFFFFFFF;
parameter shared_arith = "off";
parameter extended_lut = "off";
parameter dont_touch = "off";
parameter lpm_type = "stratixv_lcell_comb";
// sub masks
wire [15:0] f0_mask;
wire [15:0] f1_mask;
wire [15:0] f2_mask;
wire [15:0] f3_mask;
// sub lut outputs
reg f0_out;
reg f1_out;
reg f2_out;
reg f3_out;
// mux output for extended mode
reg g0_out;
reg g1_out;
// either datac or datag
reg f2_input3;
// F2 output using dataf
reg f2_f;
// second input to the adder
reg adder_input2;
// tmp output variables
reg combout_tmp;
reg sumout_tmp;
reg cout_tmp;
// integer representations for string parameters
reg ishared_arith;
reg iextended_lut;
// 4-input LUT function
function lut4;
input [15:0] mask;
input dataa;
input datab;
input datac;
input datad;
begin
lut4 = datad ? ( datac ? ( datab ? ( dataa ? mask[15] : mask[14])
: ( dataa ? mask[13] : mask[12]))
: ( datab ? ( dataa ? mask[11] : mask[10])
: ( dataa ? mask[ 9] : mask[ 8])))
: ( datac ? ( datab ? ( dataa ? mask[ 7] : mask[ 6])
: ( dataa ? mask[ 5] : mask[ 4]))
: ( datab ? ( dataa ? mask[ 3] : mask[ 2])
: ( dataa ? mask[ 1] : mask[ 0])));
end
endfunction
// 5-input LUT function
function lut5;
input [31:0] mask;
input dataa;
input datab;
input datac;
input datad;
input datae;
reg e0_lut;
reg e1_lut;
reg [15:0] e0_mask;
reg [31:16] e1_mask;
begin
e0_mask = mask[15:0];
e1_mask = mask[31:16];
begin
e0_lut = lut4(e0_mask, dataa, datab, datac, datad);
e1_lut = lut4(e1_mask, dataa, datab, datac, datad);
if (datae === 1'bX) // X propogation
begin
if (e0_lut == e1_lut)
begin
lut5 = e0_lut;
end
else
begin
lut5 = 1'bX;
end
end
else
begin
lut5 = (datae == 1'b1) ? e1_lut : e0_lut;
end
end
end
endfunction
// 6-input LUT function
function lut6;
input [63:0] mask;
input dataa;
input datab;
input datac;
input datad;
input datae;
input dataf;
reg f0_lut;
reg f1_lut;
reg [31:0] f0_mask;
reg [63:32] f1_mask ;
begin
f0_mask = mask[31:0];
f1_mask = mask[63:32];
begin
lut6 = mask[{dataf, datae, datad, datac, datab, dataa}];
if (lut6 === 1'bX)
begin
f0_lut = lut5(f0_mask, dataa, datab, datac, datad, datae);
f1_lut = lut5(f1_mask, dataa, datab, datac, datad, datae);
if (dataf === 1'bX) // X propogation
begin
if (f0_lut == f1_lut)
begin
lut6 = f0_lut;
end
else
begin
lut6 = 1'bX;
end
end
else
begin
lut6 = (dataf == 1'b1) ? f1_lut : f0_lut;
end
end
end
end
endfunction
wire dataa_in;
wire datab_in;
wire datac_in;
wire datad_in;
wire datae_in;
wire dataf_in;
wire datag_in;
wire cin_in;
wire sharein_in;
buf(dataa_in, dataa);
buf(datab_in, datab);
buf(datac_in, datac);
buf(datad_in, datad);
buf(datae_in, datae);
buf(dataf_in, dataf);
buf(datag_in, datag);
buf(cin_in, cin);
buf(sharein_in, sharein);
specify
(dataa => combout) = (0, 0);
(datab => combout) = (0, 0);
(datac => combout) = (0, 0);
(datad => combout) = (0, 0);
(datae => combout) = (0, 0);
(dataf => combout) = (0, 0);
(datag => combout) = (0, 0);
(dataa => sumout) = (0, 0);
(datab => sumout) = (0, 0);
(datac => sumout) = (0, 0);
(datad => sumout) = (0, 0);
(dataf => sumout) = (0, 0);
(cin => sumout) = (0, 0);
(sharein => sumout) = (0, 0);
(dataa => cout) = (0, 0);
(datab => cout) = (0, 0);
(datac => cout) = (0, 0);
(datad => cout) = (0, 0);
(dataf => cout) = (0, 0);
(cin => cout) = (0, 0);
(sharein => cout) = (0, 0);
(dataa => shareout) = (0, 0);
(datab => shareout) = (0, 0);
(datac => shareout) = (0, 0);
(datad => shareout) = (0, 0);
endspecify
initial
begin
if (shared_arith == "on")
ishared_arith = 1;
else
ishared_arith = 0;
if (extended_lut == "on")
iextended_lut = 1;
else
iextended_lut = 0;
f0_out = 1'b0;
f1_out = 1'b0;
f2_out = 1'b0;
f3_out = 1'b0;
g0_out = 1'b0;
g1_out = 1'b0;
f2_input3 = 1'b0;
adder_input2 = 1'b0;
f2_f = 1'b0;
combout_tmp = 1'b0;
sumout_tmp = 1'b0;
cout_tmp = 1'b0;
end
// sub masks and outputs
assign f0_mask = lut_mask[15:0];
assign f1_mask = lut_mask[31:16];
assign f2_mask = lut_mask[47:32];
assign f3_mask = lut_mask[63:48];
always @(datag_in or dataf_in or datae_in or datad_in or datac_in or
datab_in or dataa_in or cin_in or sharein_in)
begin
// check for extended LUT mode
if (iextended_lut == 1)
f2_input3 = datag_in;
else
f2_input3 = datac_in;
f0_out = lut4(f0_mask, dataa_in, datab_in, datac_in, datad_in);
f1_out = lut4(f1_mask, dataa_in, datab_in, f2_input3, datad_in);
f2_out = lut4(f2_mask, dataa_in, datab_in, datac_in, datad_in);
f3_out = lut4(f3_mask, dataa_in, datab_in, f2_input3, datad_in);
// combout is the 6-input LUT
if (iextended_lut == 1)
begin
if (datae_in == 1'b0)
begin
g0_out = f0_out;
g1_out = f2_out;
end
else if (datae_in == 1'b1)
begin
g0_out = f1_out;
g1_out = f3_out;
end
else
begin
if (f0_out == f1_out)
g0_out = f0_out;
else
g0_out = 1'bX;
if (f2_out == f3_out)
g1_out = f2_out;
else
g1_out = 1'bX;
end
if (dataf_in == 1'b0)
combout_tmp = g0_out;
else if ((dataf_in == 1'b1) || (g0_out == g1_out))
combout_tmp = g1_out;
else
combout_tmp = 1'bX;
end
else
combout_tmp = lut6(lut_mask, dataa_in, datab_in, datac_in,
datad_in, datae_in, dataf_in);
// check for shareed arithmetic mode
if (ishared_arith == 1)
adder_input2 = sharein_in;
else
begin
f2_f = lut4(f2_mask, dataa_in, datab_in, datac_in, dataf_in);
adder_input2 = !f2_f;
end
// sumout & cout
sumout_tmp = cin_in ^ f0_out ^ adder_input2;
cout_tmp = (cin_in & f0_out) | (cin_in & adder_input2) |
(f0_out & adder_input2);
end
and (combout, combout_tmp, 1'b1);
and (sumout, sumout_tmp, 1'b1);
and (cout, cout_tmp, 1'b1);
and (shareout, f2_out, 1'b1);
endmodule
//------------------------------------------------------------------
//
// Module Name : stratixv_routing_wire
//
// Description : Simulation model for a simple routing wire
//
//------------------------------------------------------------------
`timescale 1ps / 1ps
module stratixv_routing_wire (
datain,
dataout
);
// INPUT PORTS
input datain;
// OUTPUT PORTS
output dataout;
// INTERNAL VARIABLES
wire dataout_tmp;
specify
(datain => dataout) = (0, 0) ;
endspecify
assign dataout_tmp = datain;
and (dataout, dataout_tmp, 1'b1);
endmodule // stratixv_routing_wire
// Deactivate the LEDA rule that requires uppercase letters for all
// parameter names
// leda rule_G_521_3_B off
`timescale 1 ps/1 ps
//--------------------------------------------------------------------------
// Module Name : stratixv_ram_block
// Description : Main RAM module
//--------------------------------------------------------------------------
module stratixv_ram_block
(
portadatain,
portaaddr,
portawe,
portare,
portbdatain,
portbaddr,
portbwe,
portbre,
clk0, clk1,
ena0, ena1,
ena2, ena3,
clr0, clr1,
nerror,
portabyteenamasks,
portbbyteenamasks,
portaaddrstall,
portbaddrstall,
devclrn,
devpor,
eccstatus,
portadataout,
portbdataout
,dftout
);
// -------- GLOBAL PARAMETERS ---------
parameter operation_mode = "single_port";
parameter mixed_port_feed_through_mode = "dont_care";
parameter ram_block_type = "auto";
parameter logical_ram_name = "ram_name";
parameter init_file = "init_file.hex";
parameter init_file_layout = "none";
parameter ecc_pipeline_stage_enabled = "false";
parameter enable_ecc = "false";
parameter width_eccstatus = 2;
parameter data_interleave_width_in_bits = 1;
parameter data_interleave_offset_in_bits = 1;
parameter port_a_logical_ram_depth = 0;
parameter port_a_logical_ram_width = 0;
parameter port_a_first_address = 0;
parameter port_a_last_address = 0;
parameter port_a_first_bit_number = 0;
parameter port_a_data_out_clear = "none";
parameter port_a_data_out_clock = "none";
parameter port_a_data_width = 1;
parameter port_a_address_width = 1;
parameter port_a_byte_enable_mask_width = 1;
parameter port_b_logical_ram_depth = 0;
parameter port_b_logical_ram_width = 0;
parameter port_b_first_address = 0;
parameter port_b_last_address = 0;
parameter port_b_first_bit_number = 0;
parameter port_b_address_clear = "none";
parameter port_b_data_out_clear = "none";
parameter port_b_data_in_clock = "clock1";
parameter port_b_address_clock = "clock1";
parameter port_b_write_enable_clock = "clock1";
parameter port_b_read_enable_clock = "clock1";
parameter port_b_byte_enable_clock = "clock1";
parameter port_b_data_out_clock = "none";
parameter port_b_data_width = 1;
parameter port_b_address_width = 1;
parameter port_b_byte_enable_mask_width = 1;
parameter port_a_read_during_write_mode = "new_data_no_nbe_read";
parameter port_b_read_during_write_mode = "new_data_no_nbe_read";
parameter power_up_uninitialized = "false";
parameter lpm_type = "stratixv_ram_block";
parameter lpm_hint = "true";
parameter connectivity_checking = "off";
parameter mem_init0 = "";
parameter mem_init1 = "";
parameter mem_init2 = "";
parameter mem_init3 = "";
parameter mem_init4 = "";
parameter mem_init5 = "";
parameter mem_init6 = "";
parameter mem_init7 = "";
parameter mem_init8 = "";
parameter mem_init9 = "";
parameter port_a_byte_size = 0;
parameter port_b_byte_size = 0;
parameter clk0_input_clock_enable = "none"; // ena0,ena2,none
parameter clk0_core_clock_enable = "none"; // ena0,ena2,none
parameter clk0_output_clock_enable = "none"; // ena0,none
parameter clk1_input_clock_enable = "none"; // ena1,ena3,none
parameter clk1_core_clock_enable = "none"; // ena1,ena3,none
parameter clk1_output_clock_enable = "none"; // ena1,none
parameter bist_ena = "false"; //false, true
// SIMULATION_ONLY_PARAMETERS_BEGIN
parameter port_a_address_clear = "none";
parameter port_a_data_in_clock = "clock0";
parameter port_a_address_clock = "clock0";
parameter port_a_write_enable_clock = "clock0";
parameter port_a_byte_enable_clock = "clock0";
parameter port_a_read_enable_clock = "clock0";
// SIMULATION_ONLY_PARAMETERS_END
// -------- PORT DECLARATIONS ---------
input portawe;
input portare;
input [port_a_data_width - 1:0] portadatain;
input [port_a_address_width - 1:0] portaaddr;
input [port_a_byte_enable_mask_width - 1:0] portabyteenamasks;
input portbwe, portbre;
input [port_b_data_width - 1:0] portbdatain;
input [port_b_address_width - 1:0] portbaddr;
input [port_b_byte_enable_mask_width - 1:0] portbbyteenamasks;
input clr0,clr1;
input clk0,clk1;
input ena0,ena1;
input ena2,ena3;
input nerror;
input devclrn,devpor;
input portaaddrstall;
input portbaddrstall;
output [port_a_data_width - 1:0] portadataout;
output [port_b_data_width - 1:0] portbdataout;
output [width_eccstatus - 1:0] eccstatus;
output [8:0] dftout;
// -------- RAM BLOCK INSTANTIATION ---
generic_m20k ram_core0
(
.portawe(portawe),
.portare(portare),
.portadatain(portadatain),
.portaaddr(portaaddr),
.portabyteenamasks(portabyteenamasks),
.portbwe(portbwe),
.portbre(portbre),
.portbdatain(portbdatain),
.portbaddr(portbaddr),
.portbbyteenamasks(portbbyteenamasks),
.clr0(clr0),
.clr1(clr1),
.clk0(clk0),
.clk1(clk1),
.ena0(ena0),
.ena1(ena1),
.ena2(ena2),
.ena3(ena3),
.nerror(nerror),
.devclrn(devclrn),
.devpor(devpor),
.portaaddrstall(portaaddrstall),
.portbaddrstall(portbaddrstall),
.portadataout(portadataout),
.portbdataout(portbdataout),
.eccstatus(eccstatus),
.dftout(dftout)
);
defparam ram_core0.operation_mode = operation_mode;
defparam ram_core0.mixed_port_feed_through_mode = mixed_port_feed_through_mode;
defparam ram_core0.ram_block_type = ram_block_type;
defparam ram_core0.logical_ram_name = logical_ram_name;
defparam ram_core0.init_file = init_file;
defparam ram_core0.init_file_layout = init_file_layout;
defparam ram_core0.ecc_pipeline_stage_enabled = ecc_pipeline_stage_enabled;
defparam ram_core0.enable_ecc = enable_ecc;
defparam ram_core0.width_eccstatus = width_eccstatus;
defparam ram_core0.data_interleave_width_in_bits = data_interleave_width_in_bits;
defparam ram_core0.data_interleave_offset_in_bits = data_interleave_offset_in_bits;
defparam ram_core0.port_a_logical_ram_depth = port_a_logical_ram_depth;
defparam ram_core0.port_a_logical_ram_width = port_a_logical_ram_width;
defparam ram_core0.port_a_first_address = port_a_first_address;
defparam ram_core0.port_a_last_address = port_a_last_address;
defparam ram_core0.port_a_first_bit_number = port_a_first_bit_number;
defparam ram_core0.port_a_data_out_clear = port_a_data_out_clear;
defparam ram_core0.port_a_data_out_clock = port_a_data_out_clock;
defparam ram_core0.port_a_data_width = port_a_data_width;
defparam ram_core0.port_a_address_width = port_a_address_width;
defparam ram_core0.port_a_byte_enable_mask_width = port_a_byte_enable_mask_width;
defparam ram_core0.port_b_logical_ram_depth = port_b_logical_ram_depth;
defparam ram_core0.port_b_logical_ram_width = port_b_logical_ram_width;
defparam ram_core0.port_b_first_address = port_b_first_address;
defparam ram_core0.port_b_last_address = port_b_last_address;
defparam ram_core0.port_b_first_bit_number = port_b_first_bit_number;
defparam ram_core0.port_b_address_clear = port_b_address_clear;
defparam ram_core0.port_b_data_out_clear = port_b_data_out_clear;
defparam ram_core0.port_b_data_in_clock = port_b_data_in_clock;
defparam ram_core0.port_b_address_clock = port_b_address_clock;
defparam ram_core0.port_b_write_enable_clock = port_b_write_enable_clock;
defparam ram_core0.port_b_read_enable_clock = port_b_read_enable_clock;
defparam ram_core0.port_b_byte_enable_clock = port_b_byte_enable_clock;
defparam ram_core0.port_b_data_out_clock = port_b_data_out_clock;
defparam ram_core0.port_b_data_width = port_b_data_width;
defparam ram_core0.port_b_address_width = port_b_address_width;
defparam ram_core0.port_b_byte_enable_mask_width = port_b_byte_enable_mask_width;
defparam ram_core0.port_a_read_during_write_mode = port_a_read_during_write_mode;
defparam ram_core0.port_b_read_during_write_mode = port_b_read_during_write_mode;
defparam ram_core0.power_up_uninitialized = power_up_uninitialized;
defparam ram_core0.lpm_type = lpm_type;
defparam ram_core0.lpm_hint = lpm_hint;
defparam ram_core0.connectivity_checking = connectivity_checking;
defparam ram_core0.mem_init0 = mem_init0;
defparam ram_core0.mem_init1 = mem_init1;
defparam ram_core0.mem_init2 = mem_init2;
defparam ram_core0.mem_init3 = mem_init3;
defparam ram_core0.mem_init4 = mem_init4;
defparam ram_core0.mem_init5 = mem_init5;
defparam ram_core0.mem_init6 = mem_init6;
defparam ram_core0.mem_init7 = mem_init7;
defparam ram_core0.mem_init8 = mem_init8;
defparam ram_core0.mem_init9 = mem_init9;
defparam ram_core0.port_a_byte_size = port_a_byte_size;
defparam ram_core0.port_b_byte_size = port_b_byte_size;
defparam ram_core0.clk0_input_clock_enable = clk0_input_clock_enable;
defparam ram_core0.clk0_core_clock_enable = clk0_core_clock_enable ;
defparam ram_core0.clk0_output_clock_enable = clk0_output_clock_enable;
defparam ram_core0.clk1_input_clock_enable = clk1_input_clock_enable;
defparam ram_core0.clk1_core_clock_enable = clk1_core_clock_enable;
defparam ram_core0.clk1_output_clock_enable = clk1_output_clock_enable;
defparam ram_core0.bist_ena = bist_ena;
defparam ram_core0.port_a_address_clear = port_a_address_clear;
defparam ram_core0.port_a_data_in_clock = port_a_data_in_clock;
defparam ram_core0.port_a_address_clock = port_a_address_clock;
defparam ram_core0.port_a_write_enable_clock = port_a_write_enable_clock;
defparam ram_core0.port_a_byte_enable_clock = port_a_byte_enable_clock;
defparam ram_core0.port_a_read_enable_clock = port_a_read_enable_clock;
endmodule // stratixv_ram_block
// Activate again the LEDA rule that requires uppercase letters for all
// parameter names
// leda rule_G_521_3_B on
//--------------------------------------------------------------------------
// Module Name : stratixv_mlab_cell
// Description : Main RAM module
//--------------------------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_mlab_cell
(
portadatain,
portaaddr,
portabyteenamasks,
portbaddr,
clk0, clk1,
ena0, ena1,
ena2,
clr,
devclrn,
devpor,
portbdataout
);
// -------- GLOBAL PARAMETERS ---------
parameter logical_ram_name = "lutram";
parameter logical_ram_depth = 0;
parameter logical_ram_width = 0;
parameter first_address = 0;
parameter last_address = 0;
parameter first_bit_number = 0;
parameter mixed_port_feed_through_mode = "new";
parameter init_file = "NONE";
parameter data_width = 20;
parameter address_width = 6;
parameter byte_enable_mask_width = 1;
parameter byte_size = 1;
parameter port_b_data_out_clock = "none";
parameter port_b_data_out_clear = "none";
parameter lpm_type = "stratixv_mlab_cell";
parameter lpm_hint = "true";
parameter mem_init0 = "";
// -------- PORT DECLARATIONS ---------
input [data_width - 1:0] portadatain;
input [address_width - 1:0] portaaddr;
input [byte_enable_mask_width - 1:0] portabyteenamasks;
input [address_width - 1:0] portbaddr;
input clk0;
input clk1;
input ena0;
input ena1;
input ena2;
input clr;
input devclrn;
input devpor;
output [data_width - 1:0] portbdataout;
generic_mlab_cell my_lutram0
(
.portadatain(portadatain),
.portaaddr(portaaddr),
.portabyteenamasks(portabyteenamasks),
.portbaddr(portbaddr),
.clk0(clk0),
.clk1(clk1),
.ena0(ena0),
.ena1(ena1),
.ena2(ena2),
.clr(clr),
.devclrn(devclrn),
.devpor(devpor),
.portbdataout(portbdataout)
);
defparam my_lutram0.logical_ram_name = logical_ram_name;
defparam my_lutram0.logical_ram_depth = logical_ram_depth;
defparam my_lutram0.logical_ram_width = logical_ram_width;
defparam my_lutram0.first_address = first_address;
defparam my_lutram0.last_address = last_address;
defparam my_lutram0.first_bit_number = first_bit_number;
defparam my_lutram0.mixed_port_feed_through_mode = mixed_port_feed_through_mode;
defparam my_lutram0.init_file = init_file;
defparam my_lutram0.data_width = data_width;
defparam my_lutram0.address_width = address_width;
defparam my_lutram0.byte_enable_mask_width = byte_enable_mask_width;
defparam my_lutram0.byte_size = byte_size;
defparam my_lutram0.port_b_data_out_clock = port_b_data_out_clock;
defparam my_lutram0.port_b_data_out_clear = port_b_data_out_clear;
defparam my_lutram0.lpm_type = lpm_type;
defparam my_lutram0.lpm_hint = lpm_hint;
defparam my_lutram0.mem_init0 = mem_init0;
endmodule // stratixv_mlab_cell
//////////////////////////////////////////////////////////////////////////////////
//Module Name: stratixv_io_ibuf //
//Description: Simulation model for STRATIXV IO Input Buffer //
// //
//////////////////////////////////////////////////////////////////////////////////
module stratixv_io_ibuf (
i,
ibar,
dynamicterminationcontrol,
o
);
// SIMULATION_ONLY_PARAMETERS_BEGIN
parameter differential_mode = "false";
parameter bus_hold = "false";
parameter simulate_z_as = "Z";
parameter lpm_type = "stratixv_io_ibuf";
// SIMULATION_ONLY_PARAMETERS_END
//Input Ports Declaration
input i;
input ibar;
input dynamicterminationcontrol;
//Output Ports Declaration
output o;
// Internal signals
reg out_tmp;
reg o_tmp;
wire out_val ;
reg prev_value;
specify
(i => o) = (0, 0);
(ibar => o) = (0, 0);
endspecify
initial
begin
prev_value = 1'b0;
end
always@(i or ibar)
begin
if(differential_mode == "false")
begin
if(i == 1'b1)
begin
o_tmp = 1'b1;
prev_value = 1'b1;
end
else if(i == 1'b0)
begin
o_tmp = 1'b0;
prev_value = 1'b0;
end
else if( i === 1'bz)
o_tmp = out_val;
else
o_tmp = i;
if( bus_hold == "true")
out_tmp = prev_value;
else
out_tmp = o_tmp;
end
else
begin
case({i,ibar})
2'b00: out_tmp = 1'bX;
2'b01: out_tmp = 1'b0;
2'b10: out_tmp = 1'b1;
2'b11: out_tmp = 1'bX;
default: out_tmp = 1'bX;
endcase
end
end
assign out_val = (simulate_z_as == "Z") ? 1'bz :
(simulate_z_as == "X") ? 1'bx :
(simulate_z_as == "vcc")? 1'b1 :
(simulate_z_as == "gnd") ? 1'b0 : 1'bz;
pmos (o, out_tmp, 1'b0);
endmodule
//////////////////////////////////////////////////////////////////////////////////
//Module Name: stratixv_io_obuf //
//Description: Simulation model for STRATIXV IO Output Buffer //
// //
//////////////////////////////////////////////////////////////////////////////////
module stratixv_io_obuf (
i,
oe,
dynamicterminationcontrol,
seriesterminationcontrol,
parallelterminationcontrol,
devoe,
o,
obar
);
//Parameter Declaration
parameter open_drain_output = "false";
parameter bus_hold = "false";
parameter shift_series_termination_control = "false";
parameter sim_dynamic_termination_control_is_connected = "false";
parameter lpm_type = "stratixv_io_obuf";
//Input Ports Declaration
input i;
input oe;
input devoe;
input dynamicterminationcontrol;
input [15:0] seriesterminationcontrol;
input [15:0] parallelterminationcontrol;
//Outout Ports Declaration
output o;
output obar;
//INTERNAL Signals
reg out_tmp;
reg out_tmp_bar;
reg prev_value;
wire tmp;
wire tmp_bar;
wire tmp1;
wire tmp1_bar;
tri1 devoe;
specify
(i => o) = (0, 0);
(i => obar) = (0, 0);
(oe => o) = (0, 0);
(oe => obar) = (0, 0);
endspecify
initial
begin
prev_value = 'b0;
out_tmp = 'bz;
end
always@(i or oe)
begin
if(oe == 1'b1)
begin
if(open_drain_output == "true")
begin
if(i == 'b0)
begin
out_tmp = 'b0;
out_tmp_bar = 'b1;
prev_value = 'b0;
end
else
begin
out_tmp = 'bz;
out_tmp_bar = 'bz;
end
end
else
begin
if( i == 'b0)
begin
out_tmp = 'b0;
out_tmp_bar = 'b1;
prev_value = 'b0;
end
else if( i == 'b1)
begin
out_tmp = 'b1;
out_tmp_bar = 'b0;
prev_value = 'b1;
end
else
begin
out_tmp = i;
out_tmp_bar = i;
end
end
end
else if(oe == 1'b0)
begin
out_tmp = 'bz;
out_tmp_bar = 'bz;
end
else
begin
out_tmp = 'bx;
out_tmp_bar = 'bx;
end
end
assign tmp = (bus_hold == "true") ? prev_value : out_tmp;
assign tmp_bar = (bus_hold == "true") ? !prev_value : out_tmp_bar;
assign tmp1 = ((oe == 1'b1) && (dynamicterminationcontrol == 1'b1) && (sim_dynamic_termination_control_is_connected == "true")) ? 1'bx :(devoe == 1'b1) ? tmp : 1'bz;
assign tmp1_bar =((oe == 1'b1) && (dynamicterminationcontrol == 1'b1)&& (sim_dynamic_termination_control_is_connected == "true")) ? 1'bx : (devoe == 1'b1) ? tmp_bar : 1'bz;
pmos (o, tmp1, 1'b0);
pmos (obar, tmp1_bar, 1'b0);
endmodule
//////////////////////////////////////////////////////////////////////////////////
//Module Name: stratixv_ddio_out //
//Description: Simulation model for STRATIXV DDIO Output //
// //
//////////////////////////////////////////////////////////////////////////////////
module stratixv_ddio_out (
datainlo,
datainhi,
clk,
clkhi,
clklo,
muxsel,
ena,
areset,
sreset,
dataout,
dfflo,
dffhi,
devpor,
devclrn
);
//Parameters Declaration
parameter power_up = "low";
parameter async_mode = "none";
parameter sync_mode = "none";
parameter half_rate_mode = "false";
parameter use_new_clocking_model = "false";
parameter lpm_type = "stratixv_ddio_out";
//Input Ports Declaration
input datainlo;
input datainhi;
input clk;
input clkhi;
input clklo;
input muxsel;
input ena;
input areset;
input sreset;
input devpor;
input devclrn;
//Output Ports Declaration
output dataout;
//Buried Ports Declaration
output dfflo;
output [1:0] dffhi;
tri1 devclrn;
tri1 devpor;
//Internal Signals
reg ddioreg_aclr;
reg ddioreg_adatasdata;
reg ddioreg_sclr;
reg ddioreg_sload;
reg ddioreg_prn;
reg viol_notifier;
wire dfflo_tmp;
wire dffhi_tmp;
wire mux_sel;
wire dffhi1_tmp;
wire sel_mux_hi_in;
wire clk_hi;
wire clk_lo;
wire datainlo_tmp;
wire datainhi_tmp;
reg dinhi_tmp;
reg dinlo_tmp;
wire clk_hr;
reg clk1;
reg clk2;
reg muxsel1;
reg muxsel2;
reg muxsel_tmp;
reg sel_mux_lo_in_tmp;
wire muxsel3;
wire clk3;
wire sel_mux_lo_in;
initial
begin
ddioreg_aclr = 1'b1;
ddioreg_prn = 1'b1;
ddioreg_adatasdata = (sync_mode == "preset") ? 1'b1: 1'b0;
ddioreg_sclr = 1'b0;
ddioreg_sload = 1'b0;
end
assign dfflo = dfflo_tmp;
assign dffhi[0] = dffhi_tmp;
assign dffhi[1] = dffhi1_tmp;
always@(clk)
begin
clk1 = clk;
clk2 <= clk1;
end
always@(muxsel)
begin
muxsel1 = muxsel;
muxsel2 <= muxsel1;
end
always@(dfflo_tmp)
begin
sel_mux_lo_in_tmp <= dfflo_tmp;
end
always@(datainlo)
begin
dinlo_tmp <= datainlo;
end
always@(datainhi)
begin
dinhi_tmp <= datainhi;
end
always @(mux_sel) begin
if (half_rate_mode == "true")
muxsel_tmp <= mux_sel;
else
muxsel_tmp <= !mux_sel;
end
always@(areset)
begin
if(async_mode == "clear")
begin
ddioreg_aclr = !areset;
end
else if(async_mode == "preset")
begin
ddioreg_prn = !areset;
end
end
always@(sreset )
begin
if(sync_mode == "clear")
begin
ddioreg_sclr = sreset;
end
else if(sync_mode == "preset")
begin
ddioreg_sload = sreset;
end
end
//DDIO HIGH Register
dffeas ddioreg_hi(
.d(datainhi_tmp),
.clk(clk_hi),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(dffhi_tmp),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_hi.power_up = power_up;
assign clk_hi = (use_new_clocking_model == "true") ? clkhi : clk;
assign datainhi_tmp = dinhi_tmp;
//DDIO Low Register
dffeas ddioreg_lo(
.d(datainlo_tmp),
.clk(clk_lo),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(dfflo_tmp),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_lo.power_up = power_up;
assign clk_lo = (use_new_clocking_model == "true") ? clklo : clk;
assign datainlo_tmp = dinlo_tmp;
//DDIO High Register
dffeas ddioreg_hi1(
.d(dffhi_tmp),
.clk(!clk_hr),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(dffhi1_tmp),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_hi1.power_up = power_up;
assign clk_hr = (use_new_clocking_model == "true") ? clkhi : clk;
//registered output selection
stratixv_mux21 sel_mux(
.MO(dataout),
.A(sel_mux_lo_in),
.B(sel_mux_hi_in),
.S(muxsel_tmp)
);
assign muxsel3 = muxsel2;
assign clk3 = clk2;
assign mux_sel = (use_new_clocking_model == "true")? muxsel3 : clk3;
assign sel_mux_lo_in = sel_mux_lo_in_tmp;
assign sel_mux_hi_in = dffhi_tmp;
endmodule
//////////////////////////////////////////////////////////////////////////////////
//Module Name: stratixv_ddio_oe //
//Description: Simulation model for STRATIXV DDIO OE //
// //
//////////////////////////////////////////////////////////////////////////////////
module stratixv_ddio_oe (
oe,
clk,
ena,
areset,
sreset,
dataout,
dfflo,
dffhi,
devpor,
devclrn
);
//Parameters Declaration
parameter power_up = "low";
parameter async_mode = "none";
parameter sync_mode = "none";
parameter lpm_type = "stratixv_ddio_oe";
//Input Ports Declaration
input oe;
input clk;
input ena;
input areset;
input sreset;
input devpor;
input devclrn;
//Output Ports Declaration
output dataout;
//Buried Ports Declaration
output dfflo;
output dffhi;
tri1 devclrn;
tri1 devpor;
//Internal Signals
reg ddioreg_aclr;
reg ddioreg_prn;
reg ddioreg_adatasdata;
reg ddioreg_sclr;
reg ddioreg_sload;
reg viol_notifier;
initial
begin
ddioreg_aclr = 1'b1;
ddioreg_prn = 1'b1;
ddioreg_adatasdata = 1'b0;
ddioreg_sclr = 1'b0;
ddioreg_sload = 1'b0;
end
wire dfflo_tmp;
wire dffhi_tmp;
always@(areset or sreset )
begin
if(async_mode == "clear")
begin
ddioreg_aclr = !areset;
ddioreg_prn = 1'b1;
end
else if(async_mode == "preset")
begin
ddioreg_aclr = 'b1;
ddioreg_prn = !areset;
end
else
begin
ddioreg_aclr = 'b1;
ddioreg_prn = 'b1;
end
if(sync_mode == "clear")
begin
ddioreg_adatasdata = 'b0;
ddioreg_sclr = sreset;
ddioreg_sload = 'b0;
end
else if(sync_mode == "preset")
begin
ddioreg_adatasdata = 'b1;
ddioreg_sclr = 'b0;
ddioreg_sload = sreset;
end
else
begin
ddioreg_adatasdata = 'b0;
ddioreg_sclr = 'b0;
ddioreg_sload = 'b0;
end
end
//DDIO OE Register
dffeas ddioreg_hi(
.d(oe),
.clk(clk),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(dffhi_tmp),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_hi.power_up = power_up;
//DDIO Low Register
dffeas ddioreg_lo(
.d(dffhi_tmp),
.clk(!clk),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(dfflo_tmp),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_lo.power_up = power_up;
//registered output
stratixv_mux21 or_gate(
.MO(dataout),
.A(dffhi_tmp),
.B(dfflo_tmp),
.S(dfflo_tmp)
);
assign dfflo = dfflo_tmp;
assign dffhi = dffhi_tmp;
endmodule
////////////////////////////////////////////////////////////////////////////////
//Module Name: stratixv_ddio_in
//Description: Simulation model for STRATIXV DDIO IN
//
////////////////////////////////////////////////////////////////////////////////
module stratixv_ddio_in (
datain,
clk,
clkn,
ena,
areset,
sreset,
regoutlo,
regouthi,
dfflo,
devpor,
devclrn
);
//Parameters Declaration
parameter power_up = "low";
parameter async_mode = "none";
parameter sync_mode = "none";
parameter use_clkn = "false";
parameter lpm_type = "stratixv_ddio_in";
//Input Ports Declaration
input datain;
input clk;
input clkn;
input ena;
input areset;
input sreset;
input devpor;
input devclrn;
//Output Ports Declaration
output regoutlo;
output regouthi;
//burried port;
output dfflo;
tri1 devclrn;
tri1 devpor;
//Internal Signals
reg ddioreg_aclr;
reg ddioreg_prn;
reg ddioreg_adatasdata;
reg ddioreg_sclr;
reg ddioreg_sload;
reg viol_notifier;
wire ddioreg_clk;
wire dfflo_tmp;
wire regout_tmp_hi;
wire regout_tmp_lo;
wire dff_ena;
initial
begin
ddioreg_aclr = 1'b1;
ddioreg_prn = 1'b1;
ddioreg_adatasdata = 1'b0;
ddioreg_sclr = 1'b0;
ddioreg_sload = 1'b0;
end
assign ddioreg_clk = (use_clkn == "false") ? !clk : clkn;
//Decode the control values for the DDIO registers
always@(areset or sreset )
begin
if(async_mode == "clear")
begin
ddioreg_aclr = !areset;
ddioreg_prn = 1'b1;
end
else if(async_mode == "preset")
begin
ddioreg_aclr = 'b1;
ddioreg_prn = !areset;
end
else
begin
ddioreg_aclr = 'b1;
ddioreg_prn = 'b1;
end
if(sync_mode == "clear")
begin
ddioreg_adatasdata = 'b0;
ddioreg_sclr = sreset;
ddioreg_sload = 'b0;
end
else if(sync_mode == "preset")
begin
ddioreg_adatasdata = 'b1;
ddioreg_sclr = 'b0;
ddioreg_sload = sreset;
end
else
begin
ddioreg_adatasdata = 'b0;
ddioreg_sclr = 'b0;
ddioreg_sload = 'b0;
end
end
//DDIO high Register
dffeas ddioreg_hi(
.d(datain),
.clk(clk),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(regout_tmp_hi),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_hi.power_up = power_up;
//DDIO Low Register
dffeas ddioreg_lo(
.d(datain),
.clk(ddioreg_clk),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(dfflo_tmp),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_lo.power_up = power_up;
dffeas ddioreg_lo1(
.d(dfflo_tmp),
.clk(clk),
.clrn(ddioreg_aclr),
.aload(1'b0),
.sclr(ddioreg_sclr),
.sload(ddioreg_sload),
.asdata(ddioreg_adatasdata),
.ena(ena),
.prn(ddioreg_prn),
.q(regout_tmp_lo),
.devpor(devpor),
.devclrn(devclrn)
);
defparam ddioreg_lo1.power_up = power_up;
assign regouthi = regout_tmp_hi;
assign regoutlo = regout_tmp_lo;
assign dfflo = dfflo_tmp;
endmodule
//--------------------------------------------------------------------------
// Module Name : stratixv_io_pad
// Description : Simulation model for stratixv IO pad
//--------------------------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_io_pad (
padin,
padout
);
parameter lpm_type = "stratixv_io_pad";
//INPUT PORTS
input padin; //Input Pad
//OUTPUT PORTS
output padout;//Output Pad
//INTERNAL SIGNALS
wire padin_ipd;
wire padout_opd;
//INPUT BUFFER INSERTION FOR VERILOG-XL
buf padin_buf (padin_ipd,padin);
assign padout_opd = padin_ipd;
//OUTPUT BUFFER INSERTION FOR VERILOG-XL
buf padout_buf (padout, padout_opd);
endmodule
//////////////////////////////////////////////////////////////////////////////////
//Module Name: stratixv_pseudo_diff_out //
//Description: Simulation model for Stratixv Pseudo Differential //
// Output Buffer //
//////////////////////////////////////////////////////////////////////////////////
module stratixv_pseudo_diff_out(
i,
o,
obar,
// ports new for StratixV
dtcin,
dtc,
dtcbar,
oein,
oeout,
oebout
);
parameter lpm_type = "stratixv_pseudo_diff_out";
input i;
output o;
output obar;
// ports new for StratixV
input dtcin, oein;
output dtc, dtcbar, oeout, oebout;
reg o_tmp;
reg obar_tmp;
reg dtc_tmp, dtcbar_tmp, oeout_tmp, oebout_tmp;
assign dtc = dtcin;
assign dtcbar = dtcin;
assign oeout = oein;
assign oebout = oein;
assign o = o_tmp;
assign obar = obar_tmp;
always@(i)
begin
if( i == 1'b1)
begin
o_tmp = 1'b1;
obar_tmp = 1'b0;
end
else if( i == 1'b0)
begin
o_tmp = 1'b0;
obar_tmp = 1'b1;
end
else
begin
o_tmp = i;
obar_tmp = i;
end
end // always@ (i)
endmodule
// -----------------------------------------------------------
//
// Module Name : stratixv_bias_logic
//
// Description : STRATIXV Bias Block's Logic Block
// Verilog simulation model
//
// -----------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_bias_logic (
clk,
shiftnld,
captnupdt,
mainclk,
updateclk,
capture,
update
);
// INPUT PORTS
input clk;
input shiftnld;
input captnupdt;
// OUTPUTPUT PORTS
output mainclk;
output updateclk;
output capture;
output update;
// INTERNAL VARIABLES
reg mainclk_tmp;
reg updateclk_tmp;
reg capture_tmp;
reg update_tmp;
initial
begin
mainclk_tmp <= 'b0;
updateclk_tmp <= 'b0;
capture_tmp <= 'b0;
update_tmp <= 'b0;
end
always @(captnupdt or shiftnld or clk)
begin
case ({captnupdt, shiftnld})
2'b10, 2'b11 :
begin
mainclk_tmp <= 'b0;
updateclk_tmp <= clk;
capture_tmp <= 'b1;
update_tmp <= 'b0;
end
2'b01 :
begin
mainclk_tmp <= 'b0;
updateclk_tmp <= clk;
capture_tmp <= 'b0;
update_tmp <= 'b0;
end
2'b00 :
begin
mainclk_tmp <= clk;
updateclk_tmp <= 'b0;
capture_tmp <= 'b0;
update_tmp <= 'b1;
end
default :
begin
mainclk_tmp <= 'b0;
updateclk_tmp <= 'b0;
capture_tmp <= 'b0;
update_tmp <= 'b0;
end
endcase
end
and (mainclk, mainclk_tmp, 1'b1);
and (updateclk, updateclk_tmp, 1'b1);
and (capture, capture_tmp, 1'b1);
and (update, update_tmp, 1'b1);
endmodule // stratixv_bias_logic
// -----------------------------------------------------------
//
// Module Name : stratixv_bias_generator
//
// Description : STRATIXV Bias Generator Verilog simulation model
//
// -----------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_bias_generator (
din,
mainclk,
updateclk,
capture,
update,
dout
);
// INPUT PORTS
input din;
input mainclk;
input updateclk;
input capture;
input update;
// OUTPUTPUT PORTS
output dout;
parameter TOTAL_REG = 202;
// INTERNAL VARIABLES
reg dout_tmp;
reg generator_reg [TOTAL_REG - 1:0];
reg update_reg [TOTAL_REG - 1:0];
integer i;
initial
begin
dout_tmp <= 'b0;
for (i = 0; i < TOTAL_REG; i = i + 1)
begin
generator_reg [i] <= 'b0;
update_reg [i] <= 'b0;
end
end
// main generator registers
always @(posedge mainclk)
begin
if ((capture == 'b0) && (update == 'b1)) //update main registers
begin
for (i = 0; i < TOTAL_REG; i = i + 1)
begin
generator_reg[i] <= update_reg[i];
end
end
end
// update registers
always @(posedge updateclk)
begin
dout_tmp <= update_reg[TOTAL_REG - 1];
if ((capture == 'b0) && (update == 'b0)) //shift update registers
begin
for (i = (TOTAL_REG - 1); i > 0; i = i - 1)
begin
update_reg[i] <= update_reg[i - 1];
end
update_reg[0] <= din;
end
else if ((capture == 'b1) && (update == 'b0)) //load update registers
begin
for (i = 0; i < TOTAL_REG; i = i + 1)
begin
update_reg[i] <= generator_reg[i];
end
end
end
and (dout, dout_tmp, 1'b1);
endmodule // stratixv_bias_generator
// -----------------------------------------------------------
//
// Module Name : stratixv_bias_block
//
// Description : STRATIXV Bias Block Verilog simulation model
//
// -----------------------------------------------------------
`timescale 1 ps/1 ps
module stratixv_bias_block(
clk,
shiftnld,
captnupdt,
din,
dout
);
// INPUT PORTS
input clk;
input shiftnld;
input captnupdt;
input din;
// OUTPUTPUT PORTS
output dout;
parameter lpm_type = "stratixv_bias_block";
// INTERNAL VARIABLES
reg din_viol;
reg shiftnld_viol;
reg captnupdt_viol;
wire mainclk_wire;
wire updateclk_wire;
wire capture_wire;
wire update_wire;
wire dout_tmp;
specify
$setuphold (posedge clk, din, 0, 0, din_viol) ;
$setuphold (posedge clk, shiftnld, 0, 0, shiftnld_viol) ;
$setuphold (posedge clk, captnupdt, 0, 0, captnupdt_viol) ;
(posedge clk => (dout +: dout_tmp)) = 0 ;
endspecify
stratixv_bias_logic logic_block (
.clk(clk),
.shiftnld(shiftnld),
.captnupdt(captnupdt),
.mainclk(mainclk_wire),
.updateclk(updateclk_wire),
.capture(capture_wire),
.update(update_wire)
);
stratixv_bias_generator bias_generator (
.din(din),
.mainclk(mainclk_wire),
.updateclk(updateclk_wire),
.capture(capture_wire),
.update(update_wire),
.dout(dout_tmp)
);
and (dout, dout_tmp, 1'b1);
endmodule // stratixv_bias_block
///////////////////////////////////////////////////////////////////////////////
// Module Name: stratixv_mac //
// Description: Stratix-V MAC //
///////////////////////////////////////////////////////////////////////////////
`timescale 1 ps/1 ps
module stratixv_mac(
accumulate,
aclr,
ax,
ay,
az,
bx,
by,
chainin,
cin,
clk,
coefsela,
coefselb,
complex,
ena,
loadconst,
negate,
scanin,
sub,
chainout,
cout,
dftout,
resulta,
resultb,
scanout
);
//PARAMETERS
parameter lpm_type = "stratixv_mac";
parameter ax_width = 16;
parameter ay_scan_in_width = 16;
parameter az_width = 1;
parameter bx_width = 16;
parameter by_width = 16;
parameter scan_out_width = 1;
parameter result_a_width = 33;
parameter result_b_width = 1;
parameter operation_mode = "m18x18_sumof2";
parameter mode_sub_location = 0;
parameter operand_source_max = "input";
parameter operand_source_may = "input";
parameter operand_source_mbx = "input";
parameter operand_source_mby = "input";
parameter preadder_subtract_a = "false";
parameter preadder_subtract_b = "false";
parameter signed_max = "false";
parameter signed_may = "false";
parameter signed_mbx = "false";
parameter signed_mby = "false";
parameter ay_use_scan_in = "false";
parameter by_use_scan_in = "false";
parameter delay_scan_out_ay = "false";
parameter delay_scan_out_by = "false";
parameter use_chainadder = "false";
parameter [5:0] load_const_value = 6'b0;
parameter signed [26:0] coef_a_0 = 0;
parameter signed [26:0] coef_a_1 = 0;
parameter signed [26:0] coef_a_2 = 0;
parameter signed [26:0] coef_a_3 = 0;
parameter signed [26:0] coef_a_4 = 0;
parameter signed [26:0] coef_a_5 = 0;
parameter signed [26:0] coef_a_6 = 0;
parameter signed [26:0] coef_a_7 = 0;
parameter signed [17:0] coef_b_0 = 0;
parameter signed [17:0] coef_b_1 = 0;
parameter signed [17:0] coef_b_2 = 0;
parameter signed [17:0] coef_b_3 = 0;
parameter signed [17:0] coef_b_4 = 0;
parameter signed [17:0] coef_b_5 = 0;
parameter signed [17:0] coef_b_6 = 0;
parameter signed [17:0] coef_b_7 = 0;
parameter ax_clock = "none";
parameter ay_scan_in_clock = "none";
parameter az_clock = "none";
parameter bx_clock = "none";
parameter by_clock = "none";
parameter coef_sel_a_clock = "none";
parameter coef_sel_b_clock = "none";
parameter sub_clock = "none";
parameter negate_clock = "none";
parameter accumulate_clock = "none";
parameter load_const_clock = "none";
parameter complex_clock = "none";
parameter output_clock = "none";
//INPUT PORTS
input sub;
input negate;
input accumulate;
input loadconst;
input complex;
input cin;
input [ax_width-1 : 0] ax;
input [ay_scan_in_width-1 : 0] ay;
input [ay_scan_in_width-1 : 0] scanin;
input [az_width-1 : 0] az;
input [bx_width-1 : 0] bx;
input [by_width-1 : 0] by;
input [2:0] coefsela;
input [2:0] coefselb;
input [2:0] clk;
input [1:0] aclr;
input [2:0] ena;
input [63 : 0] chainin;
//OUTPUT PORTS
output cout;
output dftout;
output [result_a_width-1 : 0] resulta;
output [result_b_width-1 : 0] resultb;
output [scan_out_width-1 : 0] scanout;
output [63 : 0] chainout;
stratixv_mac_encrypted mac_core0
(
.sub(sub),
.negate(negate),
.accumulate(accumulate),
.loadconst(loadconst),
.complex(complex),
.cin(cin),
.ax(ax),
.ay(ay),
.az(az),
.bx(bx),
.by(by),
.scanin(scanin),
.coefsela(coefsela),
.coefselb(coefselb),
.clk(clk),
.aclr(aclr),
.ena(ena),
.chainin(chainin),
.dftout(dftout),
.cout(cout),
.resulta(resulta),
.resultb(resultb),
.scanout(scanout),
.chainout(chainout)
);
defparam mac_core0.ax_width = ax_width;
defparam mac_core0.ay_scan_in_width = ay_scan_in_width;
defparam mac_core0.az_width = az_width;
defparam mac_core0.bx_width = bx_width;
defparam mac_core0.by_width = by_width;
defparam mac_core0.scan_out_width = scan_out_width;
defparam mac_core0.result_a_width = result_a_width;
defparam mac_core0.result_b_width = result_b_width;
defparam mac_core0.operation_mode = operation_mode;
defparam mac_core0.mode_sub_location = mode_sub_location;
defparam mac_core0.operand_source_max = operand_source_max;
defparam mac_core0.operand_source_may = operand_source_may;
defparam mac_core0.operand_source_mbx = operand_source_mbx;
defparam mac_core0.operand_source_mby = operand_source_mby;
defparam mac_core0.preadder_subtract_a = preadder_subtract_a;
defparam mac_core0.preadder_subtract_b = preadder_subtract_b;
defparam mac_core0.signed_max = signed_max;
defparam mac_core0.signed_may = signed_may;
defparam mac_core0.signed_mbx = signed_mbx;
defparam mac_core0.signed_mby = signed_mby;
defparam mac_core0.ay_use_scan_in = ay_use_scan_in;
defparam mac_core0.by_use_scan_in = by_use_scan_in;
defparam mac_core0.delay_scan_out_ay = delay_scan_out_ay;
defparam mac_core0.delay_scan_out_by = delay_scan_out_by;
defparam mac_core0.use_chainadder = use_chainadder;
defparam mac_core0.load_const_value = load_const_value;
defparam mac_core0.coef_a_0 = coef_a_0;
defparam mac_core0.coef_a_1 = coef_a_1;
defparam mac_core0.coef_a_2 = coef_a_2;
defparam mac_core0.coef_a_3 = coef_a_3;
defparam mac_core0.coef_a_4 = coef_a_4;
defparam mac_core0.coef_a_5 = coef_a_5;
defparam mac_core0.coef_a_6 = coef_a_6;
defparam mac_core0.coef_a_7 = coef_a_7;
defparam mac_core0.coef_b_0 = coef_b_0;
defparam mac_core0.coef_b_1 = coef_b_1;
defparam mac_core0.coef_b_2 = coef_b_2;
defparam mac_core0.coef_b_3 = coef_b_3;
defparam mac_core0.coef_b_4 = coef_b_4;
defparam mac_core0.coef_b_5 = coef_b_5;
defparam mac_core0.coef_b_6 = coef_b_6;
defparam mac_core0.coef_b_7 = coef_b_7;
defparam mac_core0.ax_clock = ax_clock;
defparam mac_core0.ay_scan_in_clock = ay_scan_in_clock;
defparam mac_core0.az_clock = az_clock;
defparam mac_core0.bx_clock = bx_clock;
defparam mac_core0.by_clock = by_clock;
defparam mac_core0.coef_sel_a_clock = coef_sel_a_clock;
defparam mac_core0.coef_sel_b_clock = coef_sel_b_clock;
defparam mac_core0.sub_clock = sub_clock;
defparam mac_core0.negate_clock = negate_clock;
defparam mac_core0.accumulate_clock = accumulate_clock;
defparam mac_core0.load_const_clock = load_const_clock;
defparam mac_core0.complex_clock = complex_clock;
defparam mac_core0.output_clock = output_clock;
endmodule
`timescale 1 ps/1 ps
module stratixv_clk_phase_select (
clkin,
phasectrlin,
phaseinvertctrl,
powerdown,
clkout);
parameter use_phasectrlin = "true";
parameter phase_setting = 0;
parameter invert_phase = "false";
parameter physical_clock_source = "auto";
input [3:0] clkin;
input [1:0] phasectrlin;
input phaseinvertctrl;
input powerdown;
output clkout;
stratixv_clk_phase_select_encrypted inst (
.clkin(clkin),
.phasectrlin(phasectrlin),
.phaseinvertctrl(phaseinvertctrl),
.powerdown(powerdown),
.clkout(clkout) );
defparam inst.use_phasectrlin = use_phasectrlin;
defparam inst.phase_setting = phase_setting;
defparam inst.invert_phase = invert_phase;
defparam inst.physical_clock_source = physical_clock_source;
endmodule //stratixv_clk_phase_select
`timescale 1 ps/1 ps
module stratixv_clkena (
inclk,
ena,
enaout,
outclk);
// leda G_521_3_B off
parameter clock_type = "auto";
parameter ena_register_mode = "always enabled";
parameter lpm_type = "stratixv_clkena";
parameter ena_register_power_up = "high";
parameter disable_mode = "low";
parameter test_syn = "high";
// leda G_521_3_B on
input inclk;
input ena;
output enaout;
output outclk;
stratixv_clkena_encrypted inst (
.inclk(inclk),
.ena(ena),
.enaout(enaout),
.outclk(outclk) );
defparam inst.clock_type = clock_type;
defparam inst.ena_register_mode = ena_register_mode;
defparam inst.lpm_type = lpm_type;
defparam inst.ena_register_power_up = ena_register_power_up;
defparam inst.disable_mode = disable_mode;
defparam inst.test_syn = test_syn;
endmodule //stratixv_clkena
`timescale 1 ps/1 ps
module stratixv_clkselect (
inclk,
clkselect,
outclk);
// leda G_521_3_B off
parameter lpm_type = "stratixv_clkselect";
parameter test_cff = "low";
// leda G_521_3_B on
input [3:0] inclk;
input [1:0] clkselect;
output outclk;
stratixv_clkselect_encrypted inst (
.inclk(inclk),
.clkselect(clkselect),
.outclk(outclk) );
defparam inst.lpm_type = lpm_type;
defparam inst.test_cff = test_cff;
endmodule //stratixv_clkselect
`timescale 1 ps/1 ps
module stratixv_delay_chain (
datain,
delayctrlin,
dataout);
parameter sim_intrinsic_rising_delay = 200;
parameter sim_intrinsic_falling_delay = 200;
parameter sim_rising_delay_increment = 10;
parameter sim_falling_delay_increment = 10;
parameter lpm_type = "stratixv_delay_chain";
input datain;
input [7:0] delayctrlin;
output dataout;
stratixv_delay_chain_encrypted inst (
.datain(datain),
.delayctrlin(delayctrlin),
.dataout(dataout) );
defparam inst.sim_intrinsic_rising_delay = sim_intrinsic_rising_delay;
defparam inst.sim_intrinsic_falling_delay = sim_intrinsic_falling_delay;
defparam inst.sim_rising_delay_increment = sim_rising_delay_increment;
defparam inst.sim_falling_delay_increment = sim_falling_delay_increment;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_delay_chain
`timescale 1 ps/1 ps
module stratixv_dll_offset_ctrl (
clk,
offsetdelayctrlin,
offset,
addnsub,
aload,
offsetctrlout,
offsettestout);
parameter use_offset = "false";
parameter static_offset = 0;
parameter use_pvt_compensation = "false";
input clk;
input [6:0] offsetdelayctrlin;
input [6:0] offset;
input addnsub;
input aload;
output [6:0] offsetctrlout;
output [6:0] offsettestout;
stratixv_dll_offset_ctrl_encrypted inst (
.clk(clk),
.offsetdelayctrlin(offsetdelayctrlin),
.offset(offset),
.addnsub(addnsub),
.aload(aload),
.offsetctrlout(offsetctrlout),
.offsettestout(offsettestout) );
defparam inst.use_offset = use_offset;
defparam inst.static_offset = static_offset;
defparam inst.use_pvt_compensation = use_pvt_compensation;
endmodule //stratixv_dll_offset_ctrl
`timescale 1 ps/1 ps
module stratixv_dll (
aload,
clk,
upndnin,
upndninclkena,
delayctrlout,
dqsupdate,
offsetdelayctrlout,
offsetdelayctrlclkout,
upndnout,
dffin,
locked);
parameter input_frequency = "0 MHz";
parameter delayctrlout_mode = "normal";
parameter jitter_reduction = "false";
parameter use_upndnin = "false";
parameter use_upndninclkena = "false";
parameter dual_phase_comparators = "true";
parameter sim_valid_lock = 16;
parameter sim_valid_lockcount = 0;
parameter sim_buffer_intrinsic_delay = 175;
parameter sim_buffer_delay_increment = 10;
parameter static_delay_ctrl = 0;
parameter lpm_type = "stratixv_dll";
parameter delay_chain_length = 8;
input aload;
input clk;
input upndnin;
input upndninclkena;
output [6:0] delayctrlout;
output dqsupdate;
output [6:0] offsetdelayctrlout;
output offsetdelayctrlclkout;
output upndnout;
output dffin;
output locked;
stratixv_dll_encrypted inst (
.aload(aload),
.clk(clk),
.upndnin(upndnin),
.upndninclkena(upndninclkena),
.delayctrlout(delayctrlout),
.dqsupdate(dqsupdate),
.offsetdelayctrlout(offsetdelayctrlout),
.offsetdelayctrlclkout(offsetdelayctrlclkout),
.upndnout(upndnout),
.dffin(dffin),
.locked(locked));
defparam inst.input_frequency = input_frequency;
defparam inst.delayctrlout_mode = delayctrlout_mode;
defparam inst.jitter_reduction = jitter_reduction;
defparam inst.use_upndnin = use_upndnin;
defparam inst.use_upndninclkena = use_upndninclkena;
defparam inst.dual_phase_comparators = dual_phase_comparators;
defparam inst.sim_valid_lock = sim_valid_lock;
defparam inst.sim_valid_lockcount = sim_valid_lockcount;
defparam inst.sim_buffer_intrinsic_delay = sim_buffer_intrinsic_delay;
defparam inst.sim_buffer_delay_increment = sim_buffer_delay_increment;
defparam inst.static_delay_ctrl = static_delay_ctrl;
defparam inst.lpm_type = lpm_type;
defparam inst.delay_chain_length = delay_chain_length;
endmodule //stratixv_dll
`timescale 1 ps/1 ps
module stratixv_dqs_config (
datain,
clk,
ena,
update,
postamblepowerdown,
dqsbusoutdelaysetting,
dqsbusoutdelaysetting2,
dqsinputphasesetting,
dqsoutputphasesetting,
dqoutputphasesetting,
resyncinputphasesetting,
enaoctcycledelaysetting,
enainputcycledelaysetting,
enaoutputcycledelaysetting,
dqsenabledelaysetting,
octdelaysetting1,
octdelaysetting2,
enadqsenablephasetransferreg,
enaoctphasetransferreg,
enaoutputphasetransferreg,
enainputphasetransferreg,
enadqscycledelaysetting,
enadqsphasetransferreg,
resyncinputphaseinvert,
dqoutputphaseinvert,
dqsoutputphaseinvert,
dataout,
resyncinputzerophaseinvert,
dqs2xoutputphasesetting,
dqs2xoutputphaseinvert,
ck2xoutputphasesetting,
ck2xoutputphaseinvert,
dq2xoutputphasesetting,
dq2xoutputphaseinvert,
postamblephasesetting,
postamblephaseinvert,
dividerphaseinvert,
addrphasesetting,
addrphaseinvert,
dqoutputzerophasesetting,
postamblezerophasesetting,
dividerioehratephaseinvert,
dqsdisablendelaysetting,
addrpowerdown,
dqsoutputpowerdown,
dqoutputpowerdown,
resyncinputpowerdown,
dqs2xoutputpowerdown,
ck2xoutputpowerdown,
dq2xoutputpowerdown);
parameter lpm_type = "stratixv_dqs_config";
// INPUT PORTS
input datain;
input clk;
input ena;
input update;
// OUTPUT PORTS
output dqoutputpowerdown;
output dqsoutputpowerdown;
output resyncinputpowerdown;
output postamblepowerdown;
output [5:0] dqsbusoutdelaysetting;
output [5:0] dqsbusoutdelaysetting2; // SPR 362735
output [1:0] dqsinputphasesetting; // SPR 362735
output [1:0] dqsoutputphasesetting; // SPR 362735
output [1:0] dqoutputphasesetting; // SPR 362735
output [1:0] resyncinputphasesetting; // SPR 362735
output [2:0] enaoctcycledelaysetting; // SPR 362735
output enainputcycledelaysetting;
output [2:0] enaoutputcycledelaysetting; // SPR 362735
output [7:0] dqsenabledelaysetting; // SPR 362735
output [4:0] octdelaysetting1; // SPR 362735
output [4:0] octdelaysetting2; // SPR 362735
output enadqsenablephasetransferreg;
output enaoctphasetransferreg;
output enaoutputphasetransferreg;
output enainputphasetransferreg;
output [2:0] enadqscycledelaysetting; // SPR 362735
output enadqsphasetransferreg;
output resyncinputphaseinvert;
output dqoutputphaseinvert;
output dqsoutputphaseinvert;
output dataout;
output resyncinputzerophaseinvert;
output [1:0] dqs2xoutputphasesetting; // SPR 362735
output dqs2xoutputphaseinvert;
output [1:0] ck2xoutputphasesetting; // SPR 362735
output ck2xoutputphaseinvert;
output [1:0] dq2xoutputphasesetting; // SPR 362735
output dq2xoutputphaseinvert;
output [1:0] postamblephasesetting; // SPR 362735
output postamblephaseinvert;
output [1:0] addrphasesetting; // SPR 362735
output addrphaseinvert;
output [1:0] dqoutputzerophasesetting; //SPR 362735
output [2:0] postamblezerophasesetting; //SPR 362735
output dividerioehratephaseinvert; // SPR 362735
output dividerphaseinvert; // SPR 362735
output [7:0] dqsdisablendelaysetting; // SPR 362735
output addrpowerdown;
output dqs2xoutputpowerdown;
output ck2xoutputpowerdown;
output dq2xoutputpowerdown;
stratixv_dqs_config_encrypted inst (
.datain(datain),
.clk(clk),
.ena(ena),
.update(update),
.dqsbusoutdelaysetting(dqsbusoutdelaysetting),
.dqsbusoutdelaysetting2(dqsbusoutdelaysetting2),
.dqsinputphasesetting(dqsinputphasesetting),
.dqsoutputphasesetting(dqsoutputphasesetting),
.dqoutputphasesetting(dqoutputphasesetting),
.resyncinputphasesetting(resyncinputphasesetting),
.enaoctcycledelaysetting(enaoctcycledelaysetting),
.enainputcycledelaysetting(enainputcycledelaysetting),
.enaoutputcycledelaysetting(enaoutputcycledelaysetting),
.dqsenabledelaysetting(dqsenabledelaysetting),
.octdelaysetting1(octdelaysetting1),
.octdelaysetting2(octdelaysetting2),
.enadqsenablephasetransferreg(enadqsenablephasetransferreg),
.enaoctphasetransferreg(enaoctphasetransferreg),
.enaoutputphasetransferreg(enaoutputphasetransferreg),
.enainputphasetransferreg(enainputphasetransferreg),
.enadqscycledelaysetting(enadqscycledelaysetting),
.enadqsphasetransferreg(enadqsphasetransferreg),
.resyncinputphaseinvert(resyncinputphaseinvert),
.dqoutputphaseinvert(dqoutputphaseinvert),
.dqsoutputphaseinvert(dqsoutputphaseinvert),
.dataout(dataout),
.resyncinputzerophaseinvert(resyncinputzerophaseinvert),
.dqs2xoutputphasesetting(dqs2xoutputphasesetting),
.dqs2xoutputphaseinvert(dqs2xoutputphaseinvert),
.ck2xoutputphasesetting(ck2xoutputphasesetting),
.ck2xoutputphaseinvert(ck2xoutputphaseinvert),
.dq2xoutputphasesetting(dq2xoutputphasesetting),
.dq2xoutputphaseinvert(dq2xoutputphaseinvert),
.postamblephasesetting(postamblephasesetting),
.postamblephaseinvert(postamblephaseinvert),
.dividerphaseinvert(dividerphaseinvert),
.addrphasesetting(addrphasesetting),
.addrphaseinvert(addrphaseinvert),
.dqoutputzerophasesetting(dqoutputzerophasesetting),
.postamblezerophasesetting(postamblezerophasesetting),
.dividerioehratephaseinvert(dividerioehratephaseinvert),
.dqsdisablendelaysetting(dqsdisablendelaysetting),
.addrpowerdown(addrpowerdown),
.dqsoutputpowerdown(dqsoutputpowerdown),
.dqoutputpowerdown(dqoutputpowerdown),
.resyncinputpowerdown(resyncinputpowerdown),
.dqs2xoutputpowerdown(dqs2xoutputpowerdown),
.ck2xoutputpowerdown(ck2xoutputpowerdown),
.dq2xoutputpowerdown(dq2xoutputpowerdown),
.postamblepowerdown(postamblepowerdown));
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_dqs_config
`timescale 1 ps/1 ps
module stratixv_dqs_delay_chain (
dqsin,
dqsenable,
dqsdisablen,
delayctrlin,
offsetctrlin,
dqsupdateen,
phasectrlin,
testin,
dffin,
dqsbusout);
parameter dqs_input_frequency = "unused";
parameter dqs_phase_shift = 0;
parameter use_phasectrlin = "false";
parameter phase_setting = 0;
parameter dqs_offsetctrl_enable = "false";
parameter dqs_ctrl_latches_enable = "false";
parameter use_alternate_input_for_first_stage_delayctrl = "false";
parameter sim_buffer_intrinsic_delay = 175;
parameter sim_buffer_delay_increment = 10;
parameter test_enable = "false";
input dqsin;
input dqsenable;
input [6:0] delayctrlin;
input [6:0] offsetctrlin;
input dqsupdateen;
input [1:0] phasectrlin;
input testin;
input dqsdisablen;
output dffin;
output dqsbusout;
stratixv_dqs_delay_chain_encrypted inst (
.dqsin(dqsin),
.dqsenable(dqsenable),
.delayctrlin(delayctrlin),
.offsetctrlin(offsetctrlin),
.dqsupdateen(dqsupdateen),
.phasectrlin(phasectrlin),
.testin(testin),
.dqsdisablen(dqsdisablen),
.dffin(dffin),
.dqsbusout(dqsbusout) );
defparam inst.dqs_input_frequency = dqs_input_frequency;
defparam inst.dqs_phase_shift = dqs_phase_shift;
defparam inst.use_phasectrlin = use_phasectrlin;
defparam inst.phase_setting = phase_setting;
defparam inst.dqs_offsetctrl_enable = dqs_offsetctrl_enable;
defparam inst.dqs_ctrl_latches_enable = dqs_ctrl_latches_enable;
defparam inst.use_alternate_input_for_first_stage_delayctrl = use_alternate_input_for_first_stage_delayctrl;
defparam inst.sim_buffer_intrinsic_delay = sim_buffer_intrinsic_delay;
defparam inst.sim_buffer_delay_increment = sim_buffer_delay_increment;
defparam inst.test_enable = test_enable;
endmodule //stratixv_dqs_delay_chain
`timescale 1 ps/1 ps
module stratixv_dqs_enable_ctrl (
dqsenablein,
zerophaseclk,
enaphasetransferreg,
levelingclk,
dffin,
dffphasetransfer,
dffextenddqsenable,
dqsenableout,
prevphasevalid,
enatrackingreset,
enatrackingevent,
enatrackingupdwn,
nextphasealign,
prevphasealign,
prevphasedelaysetting);
parameter bypass_output_register = "false";
parameter delay_dqs_enable_by_half_cycle = "false";
parameter add_phase_transfer_reg = "false";
parameter ext_delay_chain_setting = 0;
parameter int_delay_chain_setting = 0;
parameter use_enable_tracking = "false";
parameter use_on_die_variation_tracking = "false";
parameter use_pvt_compensation = "false";
parameter sim_dqsenablein_pre_delay = 0;
input dqsenablein;
input zerophaseclk;
input enaphasetransferreg;
input levelingclk;
input enatrackingreset;
output dffin;
output dffphasetransfer;
output dffextenddqsenable;
output dqsenableout;
output prevphasevalid;
output enatrackingevent;
output enatrackingupdwn;
output nextphasealign;
output prevphasealign;
output [5:0] prevphasedelaysetting;
stratixv_dqs_enable_ctrl_encrypted inst (
.dqsenablein(dqsenablein),
.zerophaseclk(zerophaseclk),
.enaphasetransferreg(enaphasetransferreg),
.levelingclk(levelingclk),
.dffin(dffin),
.dffphasetransfer(dffphasetransfer),
.dffextenddqsenable(dffextenddqsenable),
.dqsenableout(dqsenableout),
.prevphasevalid(prevphasevalid),
.enatrackingreset(enatrackingreset),
.enatrackingevent(enatrackingevent),
.enatrackingupdwn(enatrackingupdwn),
.nextphasealign(nextphasealign),
.prevphasealign(prevphasealign),
.prevphasedelaysetting(prevphasedelaysetting));
defparam inst.bypass_output_register = bypass_output_register;
defparam inst.delay_dqs_enable_by_half_cycle = delay_dqs_enable_by_half_cycle;
defparam inst.add_phase_transfer_reg = add_phase_transfer_reg;
defparam inst.sim_dqsenablein_pre_delay = sim_dqsenablein_pre_delay;
defparam inst.ext_delay_chain_setting = ext_delay_chain_setting;
defparam inst.int_delay_chain_setting = int_delay_chain_setting;
defparam inst.use_enable_tracking = use_enable_tracking;
defparam inst.use_on_die_variation_tracking = use_on_die_variation_tracking;
defparam inst.use_pvt_compensation = use_pvt_compensation;
endmodule //stratixv_dqs_enable_ctrl
`timescale 1 ps/1 ps
module stratixv_duty_cycle_adjustment (
clkin,
delaymode,
delayctrlin,
clkout);
parameter duty_cycle_delay_mode = "none";
parameter lpm_type = "stratixv_duty_cycle_adjustment";
input clkin;
input delaymode;
input [3:0] delayctrlin;
output clkout;
stratixv_duty_cycle_adjustment_encrypted inst (
.clkin(clkin),
.delaymode(delaymode),
.delayctrlin(delayctrlin),
.clkout(clkout) );
defparam inst.duty_cycle_delay_mode = duty_cycle_delay_mode;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_duty_cycle_adjustment
`timescale 1 ps/1 ps
module stratixv_fractional_pll
#(
// parameter declaration and default value assignemnt
parameter output_clock_frequency = "", //Valid values:
parameter reference_clock_frequency = "", //Valid values:
parameter mimic_fbclk_type = "cdb_pll_mimic_fbclk_gclk", //Valid values: gclk|qclk|none
parameter dsm_accumulator_reset_value = 0, //Valid values: 0|1
parameter forcelock = "false", //Valid values: false|true
parameter nreset_invert = "false", //Valid values: false|true
parameter pll_atb = 0, //Valid values: 0..15
parameter pll_bwctrl = 10000, //Valid values: 18000|16000|14000|12000|10000|8000|6000|4000|2000|1000|500
parameter pll_cmp_buf_dly = "0 ps", //Valid values: 0 ps|1000 ps|2000 ps|3000 ps|4000 ps|5000 ps
parameter pll_cp_comp = "true", //Valid values: false|true
parameter pll_cp_current = 20, //Valid values: 5|10|20|30|40
parameter pll_ctrl_override_setting = "false", //Valid values: false|true
parameter pll_dsm_dither = "disable", //Valid values: disable|pattern1|pattern2|pattern3
parameter pll_dsm_out_sel = "disable", //Valid values: disable|1st_order|2nd_order|3rd_order
parameter pll_dsm_reset = "false", //Valid values: false|true
parameter pll_ecn_bypass = "false", //Valid values: false|true
parameter pll_ecn_test_en = "false", //Valid values: false|true
parameter pll_enable = "true", //Valid values: false|true
parameter pll_fbclk_mux_1 = "glb", //Valid values: glb|zbd|lvds|fbclk_fpll
parameter pll_fbclk_mux_2 = "fb_1", //Valid values: fb_1|m_cnt
parameter pll_fractional_carry_out = 24, //Valid values: 8|16|24|32
parameter pll_fractional_division = 1, //Valid values: 1..
parameter pll_fractional_value_ready = "true", //Valid values:
parameter pll_lf_testen = "false", //Valid values: false|true
parameter pll_lock_fltr_cfg = 0, //Valid values: 0..4095
parameter pll_lock_fltr_test = "false", //Valid values: false|true
parameter pll_m_cnt_bypass_en = "false", //Valid values: false|true
parameter pll_m_cnt_coarse_dly = "0 ps", //Valid values: 0 ps|200 ps|400 ps|600 ps|800 ps|1000 ps
parameter pll_m_cnt_fine_dly = "0 ps", //Valid values: 0 ps|50 ps|100 ps|150 ps
parameter pll_m_cnt_hi_div = 1, //Valid values: 1..256
parameter pll_m_cnt_in_src = "ph_mux_clk", //Valid values: ph_mux_clk|fblvds|test_clk|vss
parameter pll_m_cnt_lo_div = 1, //Valid values: 1..256
parameter pll_m_cnt_odd_div_duty_en = "false", //Valid values: false|true
parameter pll_m_cnt_ph_mux_prst = 0, //Valid values: 0..7
parameter pll_m_cnt_prst = 1, //Valid values: 1..256
parameter pll_n_cnt_bypass_en = "false", //Valid values: false|true
parameter pll_n_cnt_coarse_dly = "0 ps", //Valid values: 0 ps|200 ps|400 ps|600 ps|800 ps|1000 ps
parameter pll_n_cnt_fine_dly = "0 ps", //Valid values: 0 ps|50 ps|100 ps|150 ps
parameter pll_n_cnt_hi_div = 1, //Valid values: 1..256
parameter pll_n_cnt_lo_div = 1, //Valid values: 1..256
parameter pll_n_cnt_odd_div_duty_en = "false", //Valid values: false|true
parameter pll_ref_buf_dly = "0 ps", //Valid values: 0 ps|1000 ps|2000 ps|3000 ps|4000 ps|5000 ps
parameter pll_reg_boost = 0, //Valid values: 0|10|15|20|30|40|50|60
parameter pll_regulator_bypass = "false", //Valid values: false|true
parameter pll_ripplecap_ctrl = 0, //Valid values: 0|2
parameter pll_slf_rst = "false", //Valid values: false|true
parameter pll_tclk_mux_en = "false", //Valid values:
parameter pll_tclk_sel = "cdb_pll_tclk_sel_m_src", //Valid values: n_src|m_src
parameter pll_test_enable = "false", //Valid values: false|true
parameter pll_testdn_enable = "false", //Valid values: false|true
parameter pll_testup_enable = "false", //Valid values: false|true
parameter pll_unlock_fltr_cfg = 0, //Valid values: 0..7
parameter pll_vco_div = 2, //Valid values: 1|2
parameter pll_vco_ph0_en = "false", //Valid values: false|true
parameter pll_vco_ph1_en = "false", //Valid values: false|true
parameter pll_vco_ph2_en = "false", //Valid values: false|true
parameter pll_vco_ph3_en = "false", //Valid values: false|true
parameter pll_vco_ph4_en = "false", //Valid values: false|true
parameter pll_vco_ph5_en = "false", //Valid values: false|true
parameter pll_vco_ph6_en = "false", //Valid values: false|true
parameter pll_vco_ph7_en = "false", //Valid values: false|true
parameter pll_vctrl_test_voltage = 750, //Valid values: 0|450|600|750|900|1050|1350|1500
parameter vccd0g_atb = "disable", //Valid values: disable|vccregx_vregb|vregs_vregc
parameter vccd0g_output = 0, //Valid values: 0|4|8|13|16|-8|-14|-19
parameter vccd1g_atb = "disable", //Valid values: disable|vccregx_vregb|vregs_vregc
parameter vccd1g_output = 0, //Valid values: 0|4|8|13|16|-8|-14|-19
parameter vccm1g_tap = 2, //Valid values: 0..3
parameter vccr_pd = "false", //Valid values: false|true
parameter vcodiv_override = "false" //Valid values: false|true
)
(
//input and output port declaration
input [ 0:0 ] coreclkfb,
input [ 0:0 ] ecnc1test,
input [ 0:0 ] ecnc2test,
input [ 0:0 ] fbclkfpll,
input [ 0:0 ] lvdsfbin,
input [ 0:0 ] nresync,
input [ 0:0 ] pfden,
input [ 0:0 ] refclkin,
input [ 0:0 ] shift,
input [ 0:0 ] shiftdonein,
input [ 0:0 ] shiften,
input [ 0:0 ] up,
input [ 0:0 ] vsspl,
input [ 0:0 ] zdb,
output [ 0:0 ] cntnen,
output [ 0:0 ] fbclk,
output [ 0:0 ] fblvdsout,
output [ 0:0 ] lock,
output [ 7:0 ] mhi,
output [ 0:0 ] mcntout,
output [ 0:0 ] plniotribuf,
output [ 0:0 ] shiftdoneout,
output [ 0:0 ] tclk,
output [ 7:0 ] vcoph
);
stratixv_fractional_pll_encrypted
#(
.output_clock_frequency(output_clock_frequency),
.reference_clock_frequency(reference_clock_frequency),
.mimic_fbclk_type(mimic_fbclk_type),
.dsm_accumulator_reset_value(dsm_accumulator_reset_value),
.forcelock(forcelock),
.nreset_invert(nreset_invert),
.pll_atb(pll_atb),
.pll_bwctrl(pll_bwctrl),
.pll_cmp_buf_dly(pll_cmp_buf_dly),
.pll_cp_comp(pll_cp_comp),
.pll_cp_current(pll_cp_current),
.pll_ctrl_override_setting(pll_ctrl_override_setting),
.pll_dsm_dither(pll_dsm_dither),
.pll_dsm_out_sel(pll_dsm_out_sel),
.pll_dsm_reset(pll_dsm_reset),
.pll_ecn_bypass(pll_ecn_bypass),
.pll_ecn_test_en(pll_ecn_test_en),
.pll_enable(pll_enable),
.pll_fbclk_mux_1(pll_fbclk_mux_1),
.pll_fbclk_mux_2(pll_fbclk_mux_2),
.pll_fractional_carry_out(pll_fractional_carry_out),
.pll_fractional_division(pll_fractional_division),
.pll_fractional_value_ready(pll_fractional_value_ready),
.pll_lf_testen(pll_lf_testen),
.pll_lock_fltr_cfg(pll_lock_fltr_cfg),
.pll_lock_fltr_test(pll_lock_fltr_test),
.pll_m_cnt_bypass_en(pll_m_cnt_bypass_en),
.pll_m_cnt_coarse_dly(pll_m_cnt_coarse_dly),
.pll_m_cnt_fine_dly(pll_m_cnt_fine_dly),
.pll_m_cnt_hi_div(pll_m_cnt_hi_div),
.pll_m_cnt_in_src(pll_m_cnt_in_src),
.pll_m_cnt_lo_div(pll_m_cnt_lo_div),
.pll_m_cnt_odd_div_duty_en(pll_m_cnt_odd_div_duty_en),
.pll_m_cnt_ph_mux_prst(pll_m_cnt_ph_mux_prst),
.pll_m_cnt_prst(pll_m_cnt_prst),
.pll_n_cnt_bypass_en(pll_n_cnt_bypass_en),
.pll_n_cnt_coarse_dly(pll_n_cnt_coarse_dly),
.pll_n_cnt_fine_dly(pll_n_cnt_fine_dly),
.pll_n_cnt_hi_div(pll_n_cnt_hi_div),
.pll_n_cnt_lo_div(pll_n_cnt_lo_div),
.pll_n_cnt_odd_div_duty_en(pll_n_cnt_odd_div_duty_en),
.pll_ref_buf_dly(pll_ref_buf_dly),
.pll_reg_boost(pll_reg_boost),
.pll_regulator_bypass(pll_regulator_bypass),
.pll_ripplecap_ctrl(pll_ripplecap_ctrl),
.pll_slf_rst(pll_slf_rst),
.pll_tclk_mux_en(pll_tclk_mux_en),
.pll_tclk_sel(pll_tclk_sel),
.pll_test_enable(pll_test_enable),
.pll_testdn_enable(pll_testdn_enable),
.pll_testup_enable(pll_testup_enable),
.pll_unlock_fltr_cfg(pll_unlock_fltr_cfg),
.pll_vco_div(pll_vco_div),
.pll_vco_ph0_en(pll_vco_ph0_en),
.pll_vco_ph1_en(pll_vco_ph1_en),
.pll_vco_ph2_en(pll_vco_ph2_en),
.pll_vco_ph3_en(pll_vco_ph3_en),
.pll_vco_ph4_en(pll_vco_ph4_en),
.pll_vco_ph5_en(pll_vco_ph5_en),
.pll_vco_ph6_en(pll_vco_ph6_en),
.pll_vco_ph7_en(pll_vco_ph7_en),
.pll_vctrl_test_voltage(pll_vctrl_test_voltage),
.vccd0g_atb(vccd0g_atb),
.vccd0g_output(vccd0g_output),
.vccd1g_atb(vccd1g_atb),
.vccd1g_output(vccd1g_output),
.vccm1g_tap(vccm1g_tap),
.vccr_pd(vccr_pd),
.vcodiv_override(vcodiv_override)
)
stratixv_fractional_pll_encrypted_inst (
.cntnen(cntnen),
.coreclkfb(coreclkfb),
.ecnc1test(ecnc1test),
.ecnc2test(ecnc2test),
.fbclkfpll(fbclkfpll),
.lvdsfbin(lvdsfbin),
.nresync(nresync),
.pfden(pfden),
.refclkin(refclkin),
.shift(shift),
.shiftdonein(shiftdonein),
.shiften(shiften),
.up(up),
.vsspl(vsspl),
.zdb(zdb),
.fbclk(fbclk),
.fblvdsout(fblvdsout),
.lock(lock),
.mhi(mhi),
.mcntout(mcntout),
.plniotribuf(plniotribuf),
.shiftdoneout(shiftdoneout),
.tclk(tclk),
.vcoph(vcoph)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_dll_output
#(
// parameter declaration and default value assignemnt
parameter pll_dll_src = "vss" //Valid values: c_0_cnt|c_1_cnt|c_2_cnt|c_3_cnt|c_4_cnt|c_5_cnt|c_6_cnt|c_7_cnt|c_8_cnt|c_9_cnt|c_10_cnt|c_11_cnt|c_12_cnt|c_13_cnt|c_14_cnt|c_15_cnt|c_16_cnt|c_17_cnt|clkin_0|clkin_1|clkin_2|clkin_3|vss
)
(
//input and output port declaration
input [ 17:0 ] cclk,
input [ 3:0 ] clkin,
output [ 0:0 ] clkout
);
stratixv_pll_dll_output_encrypted
#(
.pll_dll_src(pll_dll_src)
)
stratixv_pll_dll_output_encrypted_inst (
.cclk(cclk),
.clkin(clkin),
.clkout(clkout)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_dpa_output
#(
// parameter declaration and default value assignemnt
parameter output_clock_frequency = "", //Valid values:
parameter pll_vcoph_div = 1 //Valid values: 1|2|4
)
(
//input and output port declaration
input [ 0:0 ] pd,
input [ 7:0 ] phin,
output [ 7:0 ] phout
);
stratixv_pll_dpa_output_encrypted
#(
.output_clock_frequency(output_clock_frequency),
.pll_vcoph_div(pll_vcoph_div)
)
stratixv_pll_dpa_output_encrypted_inst (
.pd(pd),
.phin(phin),
.phout(phout)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_extclk_output
#(
// parameter declaration and default value assignemnt
parameter pll_extclk_cnt_src = "vss", //Valid values: c_0_cnt|c_1_cnt|c_2_cnt|c_3_cnt|c_4_cnt|c_5_cnt|c_6_cnt|c_7_cnt|c_8_cnt|c_9_cnt|c_10_cnt|c_11_cnt|c_12_cnt|c_13_cnt|c_14_cnt|c_15_cnt|c_16_cnt|c_17_cnt|m0_cnt|m1_cnt|clkin0|clkin1|clkin2|clkin3|vss
parameter pll_extclk_enable = "true", //Valid values: false|true
parameter pll_extclk_invert = "false" //Valid values: false|true
)
(
//input and output port declaration
input [ 17:0 ] cclk,
input [ 0:0 ] clken,
input [ 0:0 ] mcnt0,
input [ 0:0 ] mcnt1,
output [ 0:0 ] extclk
);
stratixv_pll_extclk_output_encrypted
#(
.pll_extclk_cnt_src(pll_extclk_cnt_src),
.pll_extclk_enable(pll_extclk_enable),
.pll_extclk_invert(pll_extclk_invert)
)
stratixv_pll_extclk_output_encrypted_inst (
.cclk(cclk),
.clken(clken),
.mcnt0(mcnt0),
.mcnt1(mcnt1),
.extclk(extclk)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_lvds_output
#(
// parameter declaration and default value assignemnt
parameter pll_loaden_coarse_dly = "0 ps", //Valid values: 0 ps|200 ps|400 ps|600 ps|800 ps|1000 ps
parameter pll_loaden_enable_disable = "false", //Valid values: false|true
parameter pll_loaden_fine_dly = "0 ps", //Valid values: 0 ps|50 ps|100 ps|150 ps
parameter pll_lvdsclk_coarse_dly = "0 ps", //Valid values: 0 ps|200 ps|400 ps|600 ps|800 ps|1000 ps
parameter pll_lvdsclk_enable_disable = "false", //Valid values: false|true
parameter pll_lvdsclk_fine_dly = "0 ps" //Valid values: 0 ps|50 ps|100 ps|150 ps
)
(
//input and output port declaration
input [ 1:0 ] ccout,
output [ 0:0 ] loaden,
output [ 0:0 ] lvdsclk
);
stratixv_pll_lvds_output_encrypted
#(
.pll_loaden_coarse_dly(pll_loaden_coarse_dly),
.pll_loaden_enable_disable(pll_loaden_enable_disable),
.pll_loaden_fine_dly(pll_loaden_fine_dly),
.pll_lvdsclk_coarse_dly(pll_lvdsclk_coarse_dly),
.pll_lvdsclk_enable_disable(pll_lvdsclk_enable_disable),
.pll_lvdsclk_fine_dly(pll_lvdsclk_fine_dly)
)
stratixv_pll_lvds_output_encrypted_inst (
.ccout(ccout),
.loaden(loaden),
.lvdsclk(lvdsclk)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_output_counter
#(
// parameter declaration and default value assignemnt
parameter output_clock_frequency = "", //Valid values:
parameter phase_shift = "", //Valid values:
parameter duty_cycle = 50, //Valid values: 1..99
parameter c_cnt_coarse_dly = "0 ps", //Valid values: 0 ps|200 ps|400 ps|600 ps|800 ps|1000 ps
parameter c_cnt_fine_dly = "0 ps", //Valid values: 0 ps|50 ps|100 ps|150 ps
parameter c_cnt_in_src = "test_clk0", //Valid values: ph_mux_clk|cscd_clk|test_clk0|test_clk1
parameter c_cnt_ph_mux_prst = 0, //Valid values: 0..7
parameter c_cnt_prst = 1, //Valid values: 1..256
parameter cnt_fpll_src = "fpll_0", //Valid values: fpll_0|fpll_1
parameter dprio0_cnt_bypass_en = "false", //Valid values: false|true
parameter dprio0_cnt_hi_div = 1, //Valid values: 1..256
parameter dprio0_cnt_lo_div = 1, //Valid values: 1..256
parameter dprio0_cnt_odd_div_even_duty_en = "false", //Valid values: false|true
parameter dprio1_cnt_bypass_en = "false", //Valid values: false|true
parameter dprio1_cnt_hi_div = 1, //Valid values: 1..256
parameter dprio1_cnt_lo_div = 1, //Valid values: 1..256
parameter dprio1_cnt_odd_div_even_duty_en = "false" //Valid values: false|true
)
(
//input and output port declaration
input [ 0:0 ] cascadein,
input [ 0:0 ] nen0,
input [ 0:0 ] nen1,
input [ 0:0 ] shift0,
input [ 0:0 ] shift1,
input [ 0:0 ] shiftdone0i,
input [ 0:0 ] shiftdone1i,
input [ 0:0 ] shiften,
input [ 0:0 ] tclk0,
input [ 0:0 ] tclk1,
input [ 0:0 ] up0,
input [ 0:0 ] up1,
input [ 7:0 ] vco0ph,
input [ 7:0 ] vco1ph,
output [ 0:0 ] cascadeout,
output [ 0:0 ] divclk,
output [ 0:0 ] shiftdone0o,
output [ 0:0 ] shiftdone1o
);
stratixv_pll_output_counter_encrypted
#(
.output_clock_frequency(output_clock_frequency),
.phase_shift(phase_shift),
.duty_cycle(duty_cycle),
.c_cnt_coarse_dly(c_cnt_coarse_dly),
.c_cnt_fine_dly(c_cnt_fine_dly),
.c_cnt_in_src(c_cnt_in_src),
.c_cnt_ph_mux_prst(c_cnt_ph_mux_prst),
.c_cnt_prst(c_cnt_prst),
.cnt_fpll_src(cnt_fpll_src),
.dprio0_cnt_bypass_en(dprio0_cnt_bypass_en),
.dprio0_cnt_hi_div(dprio0_cnt_hi_div),
.dprio0_cnt_lo_div(dprio0_cnt_lo_div),
.dprio0_cnt_odd_div_even_duty_en(dprio0_cnt_odd_div_even_duty_en),
.dprio1_cnt_bypass_en(dprio1_cnt_bypass_en),
.dprio1_cnt_hi_div(dprio1_cnt_hi_div),
.dprio1_cnt_lo_div(dprio1_cnt_lo_div),
.dprio1_cnt_odd_div_even_duty_en(dprio1_cnt_odd_div_even_duty_en)
)
stratixv_pll_output_counter_encrypted_inst (
.cascadein(cascadein),
.nen0(nen0),
.nen1(nen1),
.shift0(shift0),
.shift1(shift1),
.shiftdone0i(shiftdone0i),
.shiftdone1i(shiftdone1i),
.shiften(shiften),
.tclk0(tclk0),
.tclk1(tclk1),
.up0(up0),
.up1(up1),
.vco0ph(vco0ph),
.vco1ph(vco1ph),
.cascadeout(cascadeout),
.divclk(divclk),
.shiftdone0o(shiftdone0o),
.shiftdone1o(shiftdone1o)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_reconfig
(
//input and output port declaration
input [ 5:0 ] addr,
input [ 0:0 ] atpgmode,
input [ 1:0 ] byteen,
input [ 0:0 ] clk,
input [ 0:0 ] cntnen,
input [ 4:0 ] cntsel,
input [ 15:0 ] din,
input [ 0:0 ] fpllcsrtest,
input [ 0:0 ] iocsrclkin,
input [ 0:0 ] iocsrdatain,
input [ 0:0 ] iocsren,
input [ 0:0 ] iocsrrstn,
input [ 0:0 ] mdiodis,
input [ 7:0 ] mhi,
input [ 0:0 ] phaseen,
input [ 0:0 ] read,
input [ 0:0 ] rstn,
input [ 0:0 ] scanen,
input [ 0:0 ] sershiftload,
input [ 0:0 ] shiftdonei,
input [ 0:0 ] updn,
input [ 0:0 ] write,
output [ 0:0 ] blockselect,
output [ 15:0 ] dout,
output [ 815:0 ] dprioout,
output [ 0:0 ] iocsrdataout,
output [ 0:0 ] iocsrenbuf,
output [ 0:0 ] iocsrrstnbuf,
output [ 0:0 ] phasedone,
output [ 0:0 ] shift,
output [ 17:0 ] shiften,
output [ 0:0 ] shiftenm,
output [ 0:0 ] up
);
stratixv_pll_reconfig_encrypted stratixv_pll_reconfig_encrypted_inst
(
.addr(addr),
.atpgmode ( atpgmode ),
.byteen(byteen),
.clk(clk),
.cntnen(cntnen),
.cntsel(cntsel),
.din(din),
.fpllcsrtest ( fpllcsrtest ),
.iocsrclkin(iocsrclkin),
.iocsrdatain(iocsrdatain),
.iocsren(iocsren),
.iocsrrstn(iocsrrstn),
.mdiodis(mdiodis),
.phaseen(phaseen),
.read(read),
.rstn(rstn),
.scanen(scanen),
.sershiftload(sershiftload),
.shiftdonei(shiftdonei),
.updn(updn),
.write(write),
.blockselect(blockselect),
.dout(dout),
.dprioout(dprioout),
.iocsrdataout(iocsrdataout),
.iocsrenbuf(iocsrenbuf),
.iocsrrstnbuf(iocsrrstnbuf),
.phasedone(phasedone),
.shift(shift),
.shiften(shiften),
.shiftenm(shiftenm),
.up(up)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_pll_refclk_select
#(
// parameter declaration and default value assignemnt
parameter pll_auto_clk_sw_en = "false", //Valid values: false|true
parameter pll_clk_loss_edge = "both_edges", //Valid values: both_edges|rising_edge
parameter pll_clk_loss_sw_en = "false", //Valid values: false|true
parameter pll_clk_sw_dly = 0, //Valid values: 0..7
parameter pll_clkin_0_src = "ref_clk0", //Valid values: core_ref_clk|adj_pll_clk|ref_clk0|ref_clk1|clk_0|clk_1|clk_2|clk_3|vss|cmu_iqclk|iqtxrxclk|fpll|pll_iqclk
parameter pll_clkin_1_src = "ref_clk1", //Valid values: core_ref_clk|adj_pll_clk|ref_clk0|ref_clk1|clk_0|clk_1|clk_2|clk_3|vss|cmu_iqclk|iqtxrxclk|fpll|pll_iqclk
parameter pll_manu_clk_sw_en = "false", //Valid values: false|true
parameter pll_sw_refclk_src = "clk_0" //Valid values: clk_0|clk_1
)
(
//input and output port declaration
input [ 0:0 ] adjpllin,
input [ 0:0 ] cclk,
input [ 3:0 ] clkin,
input [ 0:0 ] coreclkin,
input [ 0:0 ] extswitch,
input [ 0:0 ] iqtxrxclkin,
input [ 0:0 ] plliqclkin,
input [ 1:0 ] refiqclk,
input [ 0:0 ] rxiqclkin,
output [ 0:0 ] clk0bad,
output [ 0:0 ] clk1bad,
output [ 0:0 ] clkout,
output [ 0:0 ] extswitchbuf,
output [ 0:0 ] pllclksel
);
stratixv_pll_refclk_select_encrypted
#(
.pll_auto_clk_sw_en(pll_auto_clk_sw_en),
.pll_clk_loss_edge(pll_clk_loss_edge),
.pll_clk_loss_sw_en(pll_clk_loss_sw_en),
.pll_clk_sw_dly(pll_clk_sw_dly),
.pll_clkin_0_src(pll_clkin_0_src),
.pll_clkin_1_src(pll_clkin_1_src),
.pll_manu_clk_sw_en(pll_manu_clk_sw_en),
.pll_sw_refclk_src(pll_sw_refclk_src)
)
stratixv_pll_refclk_select_encrypted_inst (
.adjpllin(adjpllin),
.cclk(cclk),
.clkin(clkin),
.coreclkin(coreclkin),
.extswitch(extswitch),
.iqtxrxclkin(iqtxrxclkin),
.plliqclkin(plliqclkin),
.refiqclk(refiqclk),
.rxiqclkin(rxiqclkin),
.clk0bad(clk0bad),
.clk1bad(clk1bad),
.clkout(clkout),
.extswitchbuf(extswitchbuf),
.pllclksel(pllclksel)
);
endmodule
`timescale 1 ps/1 ps
module stratixv_half_rate_input (
datain,
directin,
clk,
areset,
dataoutbypass,
dataout,
dffin);
parameter power_up = "low";
parameter async_mode = "no_reset";
parameter use_dataoutbypass = "false";
input [1:0] datain;
input directin;
input clk;
input areset;
input dataoutbypass;
output [3:0] dataout;
output [1:0] dffin;
stratixv_half_rate_input_encrypted inst (
.datain(datain),
.directin(directin),
.clk(clk),
.areset(areset),
.dataoutbypass(dataoutbypass),
.dataout(dataout),
.dffin(dffin) );
defparam inst.power_up = power_up;
defparam inst.async_mode = async_mode;
defparam inst.use_dataoutbypass = use_dataoutbypass;
endmodule //stratixv_half_rate_input
`timescale 1 ps/1 ps
module stratixv_input_phase_alignment (
datain,
levelingclk,
zerophaseclk,
areset,
enainputcycledelay,
enaphasetransferreg,
dataout,
dffin,
dff1t,
dffphasetransfer);
parameter power_up = "low";
parameter async_mode = "no_reset";
parameter add_input_cycle_delay = "false";
parameter bypass_output_register = "false";
parameter add_phase_transfer_reg = "false";
parameter lpm_type = "stratixv_input_phase_alignment";
input datain;
input levelingclk;
input zerophaseclk;
input areset;
input enainputcycledelay;
input enaphasetransferreg;
output dataout;
output dffin;
output dff1t;
output dffphasetransfer;
stratixv_input_phase_alignment_encrypted inst (
.datain(datain),
.levelingclk(levelingclk),
.zerophaseclk(zerophaseclk),
.areset(areset),
.enainputcycledelay(enainputcycledelay),
.enaphasetransferreg(enaphasetransferreg),
.dataout(dataout),
.dffin(dffin),
.dff1t(dff1t),
.dffphasetransfer(dffphasetransfer) );
defparam inst.power_up = power_up;
defparam inst.async_mode = async_mode;
defparam inst.add_input_cycle_delay = add_input_cycle_delay;
defparam inst.bypass_output_register = bypass_output_register;
defparam inst.add_phase_transfer_reg = add_phase_transfer_reg;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_input_phase_alignment
`timescale 1 ps/1 ps
module stratixv_io_clock_divider (
clk,
phaseinvertctrl,
masterin,
clkout,
slaveout);
parameter power_up = "low";
parameter invert_phase = "false";
parameter use_masterin = "false";
parameter lpm_type = "stratixv_io_clock_divider";
input clk;
input phaseinvertctrl;
input masterin;
output clkout;
output slaveout;
stratixv_io_clock_divider_encrypted inst (
.clk(clk),
.phaseinvertctrl(phaseinvertctrl),
.masterin(masterin),
.clkout(clkout),
.slaveout(slaveout) );
defparam inst.power_up = power_up;
defparam inst.invert_phase = invert_phase;
defparam inst.use_masterin = use_masterin;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_io_clock_divider
`timescale 1 ps/1 ps
module stratixv_io_config (
datain,
clk,
ena,
update,
outputdelaysetting1,
outputdelaysetting2,
padtoinputregisterdelaysetting,
padtoinputregisterrisefalldelaysetting,
inputclkdelaysetting,
inputclkndelaysetting,
dutycycledelaymode,
dutycycledelaysetting,
dataout);
parameter lpm_type = "stratixv_io_config";
input datain;
input clk;
input ena;
input update;
output [5:0] outputdelaysetting1;
output [5:0] outputdelaysetting2;
output [5:0] padtoinputregisterdelaysetting;
output [5:0] padtoinputregisterrisefalldelaysetting;
output [1:0] inputclkdelaysetting;
output [1:0] inputclkndelaysetting;
output dutycycledelaymode;
output [3:0] dutycycledelaysetting;
output dataout;
stratixv_io_config_encrypted inst (
.datain(datain),
.clk(clk),
.ena(ena),
.update(update),
.outputdelaysetting1(outputdelaysetting1),
.outputdelaysetting2(outputdelaysetting2),
.padtoinputregisterdelaysetting(padtoinputregisterdelaysetting),
.padtoinputregisterrisefalldelaysetting(padtoinputregisterrisefalldelaysetting),
.inputclkdelaysetting(inputclkdelaysetting),
.inputclkndelaysetting(inputclkndelaysetting),
.dutycycledelaymode(dutycycledelaymode),
.dutycycledelaysetting(dutycycledelaysetting),
.dataout(dataout) );
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_io_config
`timescale 1 ps/1 ps
module stratixv_leveling_delay_chain (
clkin,
delayctrlin,
clkout);
parameter physical_clock_source = "dqs";
parameter sim_buffer_intrinsic_delay = 175;
parameter sim_buffer_delay_increment = 10;
parameter use_duty_cycle_correction = "false";
parameter test_mode = "false";
input clkin;
input [6:0] delayctrlin;
output [3:0] clkout;
stratixv_leveling_delay_chain_encrypted inst (
.clkin(clkin),
.delayctrlin(delayctrlin),
.clkout(clkout) );
//defparam inst.use_duty_cycle_correction = use_duty_cycle_correction;
defparam inst.physical_clock_source = physical_clock_source;
defparam inst.sim_buffer_intrinsic_delay = sim_buffer_intrinsic_delay;
defparam inst.sim_buffer_delay_increment = sim_buffer_delay_increment;
endmodule //stratixv_leveling_delay_chain
`timescale 1 ps/1 ps
module stratixv_lvds_rx (
clock0,
datain,
enable0,
dpareset,
dpahold,
dpaswitch,
fiforeset,
bitslip,
bitslipreset,
serialfbk,
devclrn,
devpor,
dpaclkin,
dataout,
dpalock,
bitslipmax,
serialdataout,
postdpaserialdataout,
divfwdclk,
dpaclkout,
observableout);
parameter data_align_rollover = 2;
parameter enable_dpa = "false";
parameter lose_lock_on_one_change = "false";
parameter reset_fifo_at_first_lock = "true";
parameter align_to_rising_edge_only = "true";
parameter use_serial_feedback_input = "off";
parameter dpa_debug = "false";
parameter x_on_bitslip = "true";
parameter enable_soft_cdr = "false";
parameter dpa_clock_output_phase_shift = 0;
parameter enable_dpa_initial_phase_selection = "false";
parameter dpa_initial_phase_value = 0;
parameter enable_dpa_align_to_rising_edge_only = "false";
parameter net_ppm_variation = 0;
parameter is_negative_ppm_drift = "false";
parameter rx_input_path_delay_engineering_bits = 2;
parameter lpm_type = "stratixv_lvds_rx";
parameter data_width = 10;
input clock0;
input datain;
input enable0;
input dpareset;
input dpahold;
input dpaswitch;
input fiforeset;
input bitslip;
input bitslipreset;
input serialfbk;
input devclrn;
input devpor;
input [7:0] dpaclkin;
output [data_width-1:0] dataout;
output dpalock;
output bitslipmax;
output serialdataout;
output postdpaserialdataout;
output divfwdclk;
output dpaclkout;
output [3:0] observableout;
stratixv_lvds_rx_encrypted inst (
.clock0(clock0),
.datain(datain),
.enable0(enable0),
.dpareset(dpareset),
.dpahold(dpahold),
.dpaswitch(dpaswitch),
.fiforeset(fiforeset),
.bitslip(bitslip),
.bitslipreset(bitslipreset),
.serialfbk(serialfbk),
.devclrn(devclrn),
.devpor(devpor),
.dpaclkin(dpaclkin),
.dataout(dataout),
.dpalock(dpalock),
.bitslipmax(bitslipmax),
.serialdataout(serialdataout),
.postdpaserialdataout(postdpaserialdataout),
.divfwdclk(divfwdclk),
.dpaclkout(dpaclkout),
.observableout(observableout) );
defparam inst.data_align_rollover = data_align_rollover;
defparam inst.enable_dpa = enable_dpa;
defparam inst.lose_lock_on_one_change = lose_lock_on_one_change;
defparam inst.reset_fifo_at_first_lock = reset_fifo_at_first_lock;
defparam inst.align_to_rising_edge_only = align_to_rising_edge_only;
defparam inst.use_serial_feedback_input = use_serial_feedback_input;
defparam inst.dpa_debug = dpa_debug;
defparam inst.x_on_bitslip = x_on_bitslip;
defparam inst.enable_soft_cdr = enable_soft_cdr;
defparam inst.dpa_clock_output_phase_shift = dpa_clock_output_phase_shift;
defparam inst.enable_dpa_initial_phase_selection = enable_dpa_initial_phase_selection;
defparam inst.dpa_initial_phase_value = dpa_initial_phase_value;
defparam inst.enable_dpa_align_to_rising_edge_only = enable_dpa_align_to_rising_edge_only;
defparam inst.net_ppm_variation = net_ppm_variation;
defparam inst.is_negative_ppm_drift = is_negative_ppm_drift;
defparam inst.rx_input_path_delay_engineering_bits = rx_input_path_delay_engineering_bits;
defparam inst.lpm_type = lpm_type;
defparam inst.data_width = data_width;
endmodule //stratixv_lvds_rx
`timescale 1 ps/1 ps
module stratixv_lvds_tx (
datain,
clock0,
enable0,
serialdatain,
postdpaserialdatain,
devclrn,
devpor,
dpaclkin,
dataout,
serialfdbkout,
observableout);
parameter bypass_serializer = "false";
parameter invert_clock = "false";
parameter use_falling_clock_edge = "false";
parameter use_serial_data_input = "false";
parameter use_post_dpa_serial_data_input = "false";
parameter is_used_as_outclk = "false";
parameter tx_output_path_delay_engineering_bits = -1;
parameter enable_dpaclk_to_lvdsout = "false";
parameter lpm_type = "stratixv_lvds_tx";
parameter data_width = 10;
input [data_width-1:0] datain;
input clock0;
input enable0;
input serialdatain;
input postdpaserialdatain;
input devclrn;
input devpor;
input dpaclkin;
output dataout;
output serialfdbkout;
output [2:0] observableout;
stratixv_lvds_tx_encrypted inst (
.datain(datain),
.clock0(clock0),
.enable0(enable0),
.serialdatain(serialdatain),
.postdpaserialdatain(postdpaserialdatain),
.devclrn(devclrn),
.devpor(devpor),
.dpaclkin(dpaclkin),
.dataout(dataout),
.serialfdbkout(serialfdbkout),
.observableout(observableout) );
defparam inst.bypass_serializer = bypass_serializer;
defparam inst.invert_clock = invert_clock;
defparam inst.use_falling_clock_edge = use_falling_clock_edge;
defparam inst.use_serial_data_input = use_serial_data_input;
defparam inst.use_post_dpa_serial_data_input = use_post_dpa_serial_data_input;
defparam inst.is_used_as_outclk = is_used_as_outclk;
defparam inst.tx_output_path_delay_engineering_bits = tx_output_path_delay_engineering_bits;
defparam inst.enable_dpaclk_to_lvdsout = enable_dpaclk_to_lvdsout;
defparam inst.lpm_type = lpm_type;
defparam inst.data_width = data_width;
endmodule //stratixv_lvds_tx
`timescale 1 ps/1 ps
module stratixv_output_alignment (
datain,
clk,
areset,
sreset,
enaoutputcycledelay,
enaphasetransferreg,
dataout,
dffin,
dff1t,
dff2t,
dffphasetransfer);
parameter power_up = "low";
parameter async_mode = "none";
parameter sync_mode = "none";
parameter add_output_cycle_delay = "false";
parameter add_phase_transfer_reg = "false";
input datain;
input clk;
input areset;
input sreset;
input [2:0] enaoutputcycledelay;
input enaphasetransferreg;
output dataout;
output dffin;
output dff1t;
output dff2t;
output dffphasetransfer;
stratixv_output_alignment_encrypted inst (
.datain(datain),
.clk(clk),
.areset(areset),
.sreset(sreset),
.enaoutputcycledelay(enaoutputcycledelay),
.enaphasetransferreg(enaphasetransferreg),
.dataout(dataout),
.dffin(dffin),
.dff1t(dff1t),
.dff2t(dff2t),
.dffphasetransfer(dffphasetransfer) );
defparam inst.power_up = power_up;
defparam inst.async_mode = async_mode;
defparam inst.sync_mode = sync_mode;
defparam inst.add_output_cycle_delay = add_output_cycle_delay;
defparam inst.add_phase_transfer_reg = add_phase_transfer_reg;
endmodule //stratixv_output_alignment
`timescale 1 ps/1 ps
module stratixv_termination_logic (
s2pload,
serdata,
scanenable,
scanclk,
enser,
seriesterminationcontrol,
parallelterminationcontrol
);
parameter lpm_type = "stratixv_termination_logic";
parameter a_iob_oct_test = "a_iob_oct_test_off";
input s2pload;
input serdata;
input scanenable;
input scanclk;
input enser;
output [15 : 0] parallelterminationcontrol;
output [15 : 0] seriesterminationcontrol;
stratixv_termination_logic_encrypted inst (
.s2pload(s2pload),
.serdata(serdata),
.scanenable(scanenable),
.scanclk(scanclk),
.enser(enser),
.seriesterminationcontrol(seriesterminationcontrol),
.parallelterminationcontrol(parallelterminationcontrol)
);
defparam inst.lpm_type = lpm_type;
defparam inst.a_iob_oct_test = a_iob_oct_test;
endmodule //stratixv_termination_logic
`timescale 1 ps/1 ps
module stratixv_termination (
rzqin,
enserusr,
nclrusr,
clkenusr,
clkusr,
scanen,
serdatafromcore,
scanclk,
otherenser,
serdatain,
serdataout,
enserout,
compoutrup,
compoutrdn,
serdatatocore,
scanin,
scanout,
clkusrdftout);
parameter lpm_type = "stratixv_termination";
parameter a_oct_cal_mode = "a_oct_cal_mode_none";
parameter a_oct_user_oct = "a_oct_user_oct_off";
parameter a_oct_nclrusr_inv = "a_oct_nclrusr_inv_off";
parameter a_oct_pwrdn = "a_oct_pwrdn_on";
parameter a_oct_intosc = "a_oct_intosc_none";
parameter a_oct_test_0 = "a_oct_test_0_off";
parameter a_oct_test_1 = "a_oct_test_1_off";
parameter a_oct_test_4 = "a_oct_test_4_off";
parameter a_oct_test_5 = "a_oct_test_5_off";
parameter a_oct_pllbiasen = "a_oct_pllbiasen_dis";
parameter a_oct_clkenusr_inv = "a_oct_clkenusr_inv_off";
parameter a_oct_enserusr_inv = "a_oct_enserusr_inv_off";
parameter a_oct_scanen_inv = "a_oct_scanen_inv_off";
parameter a_oct_vrefl = "a_oct_vrefl_m";
parameter a_oct_vrefh = "a_oct_vrefh_m";
parameter a_oct_rsmult = "a_oct_rsmult_1";
parameter a_oct_rsadjust = "a_oct_rsadjust_none";
parameter a_oct_calclr = "a_oct_calclr_off";
parameter a_oct_rshft_rup = "a_oct_rshft_rup_enable";
parameter a_oct_rshft_rdn = "a_oct_rshft_rdn_enable";
parameter a_oct_usermode = "false";
input rzqin;
input enserusr;
input nclrusr;
input clkenusr;
input clkusr;
input scanen;
input serdatafromcore;
input serdatain;
input scanclk;
input [9 : 0] otherenser;
output serdataout;
output enserout;
output compoutrup;
output compoutrdn;
output serdatatocore;
input scanin;
output scanout;
output clkusrdftout;
stratixv_termination_encrypted inst (
.rzqin(rzqin),
.enserusr(enserusr),
.nclrusr(nclrusr),
.clkenusr(clkenusr),
.clkusr(clkusr),
.scanen(scanen),
.serdatafromcore(serdatafromcore),
.scanclk(scanclk),
.otherenser(otherenser),
.serdataout(serdataout),
.enserout(enserout),
.compoutrup(compoutrup),
.compoutrdn(compoutrdn),
.serdatatocore(serdatatocore),
.scanin(scanin),
.scanout(scanout),
.clkusrdftout(clkusrdftout));
defparam inst.lpm_type = lpm_type;
/*
defparam inst.a_oct_nclrusr_inv = a_oct_nclrusr_inv;
defparam inst.a_oct_pwrdn = a_oct_pwrdn;
defparam inst.a_oct_clkdiv = a_oct_clkdiv;
defparam inst.a_oct_intosc = a_oct_intosc;
defparam inst.a_oct_vref = a_oct_vref;
defparam inst.a_oct_test_0 = a_oct_test_0;
defparam inst.a_oct_test_1 = a_oct_test_1;
defparam inst.a_oct_test_2 = a_oct_test_2;
defparam inst.a_oct_test_3 = a_oct_test_3;
defparam inst.a_oct_test_4 = a_oct_test_4;
defparam inst.a_oct_test_5 = a_oct_test_5;
defparam inst.a_oct_pllbiasen = a_oct_pllbiasen;
defparam inst.a_oct_usermode = a_oct_usermode;
*/
defparam inst.a_oct_cal_mode = "a_oct_cal_mode_none";
defparam inst.a_oct_user_oct = "a_oct_user_oct_off";
defparam inst.a_oct_nclrusr_inv = "a_oct_nclrusr_inv_off";
defparam inst.a_oct_pwrdn = "a_oct_pwrdn_on";
defparam inst.a_oct_intosc = "a_oct_intosc_none";
defparam inst.a_oct_test_0 = "a_oct_test_0_off";
defparam inst.a_oct_test_1 = "a_oct_test_1_off";
defparam inst.a_oct_test_4 = "a_oct_test_4_off";
defparam inst.a_oct_test_5 = "a_oct_test_5_off";
defparam inst.a_oct_pllbiasen = "a_oct_pllbiasen_dis";
defparam inst.a_oct_clkenusr_inv = "a_oct_clkenusr_inv_off";
defparam inst.a_oct_enserusr_inv = "a_oct_enserusr_inv_off";
defparam inst.a_oct_scanen_inv = "a_oct_scanen_inv_off";
defparam inst.a_oct_vrefl = "a_oct_vrefl_m";
defparam inst.a_oct_vrefh = "a_oct_vrefh_m";
defparam inst.a_oct_rsmult = "a_oct_rsmult_1";
defparam inst.a_oct_rsadjust = "a_oct_rsadjust_none";
defparam inst.a_oct_calclr = "a_oct_calclr_off";
defparam inst.a_oct_rshft_rup = "a_oct_rshft_rup_enable";
defparam inst.a_oct_rshft_rdn = "a_oct_rshft_rdn_enable";
defparam inst.a_oct_usermode = "false";
endmodule //stratixv_termination
`timescale 1 ps/1 ps
module stratixv_asmiblock (
dclk,
sce,
oe,
data0out,
data1out,
data2out,
data3out,
data0oe,
data1oe,
data2oe,
data3oe,
data0in,
data1in,
data2in,
data3in);
parameter lpm_type = "stratixv_asmiblock";
input dclk;
input sce;
input oe;
input data0out;
input data1out;
input data2out;
input data3out;
input data0oe;
input data1oe;
input data2oe;
input data3oe;
output data0in;
output data1in;
output data2in;
output data3in;
stratixv_asmiblock_encrypted inst (
.dclk(dclk),
.sce(sce),
.oe(oe),
.data0out(data0out),
.data1out(data1out),
.data2out(data2out),
.data3out(data3out),
.data0oe(data0oe),
.data1oe(data1oe),
.data2oe(data2oe),
.data3oe(data3oe),
.data0in(data0in),
.data1in(data1in),
.data2in(data2in),
.data3in(data3in) );
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_asmiblock
`timescale 1 ps/1 ps
module stratixv_chipidblock (
clk,
shiftnld,
regout);
parameter lpm_type = "stratixv_chipidblock";
input clk;
input shiftnld;
output regout;
stratixv_chipidblock_encrypted inst (
.clk(clk),
.shiftnld(shiftnld),
.regout(regout) );
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_chipidblock
`timescale 1 ps/1 ps
module stratixv_controller (
nceout);
parameter lpm_type = "stratixv_controller";
output nceout;
stratixv_controller_encrypted inst (
.nceout(nceout) );
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_controller
`timescale 1 ps/1 ps
module stratixv_crcblock (
clk,
shiftnld,
crcerror,
regout);
parameter oscillator_divider = 256;
parameter lpm_type = "stratixv_crcblock";
input clk;
input shiftnld;
output crcerror;
output regout;
stratixv_crcblock_encrypted inst (
.clk(clk),
.shiftnld(shiftnld),
.crcerror(crcerror),
.regout(regout) );
defparam inst.oscillator_divider = oscillator_divider;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_crcblock
`timescale 1 ps/1 ps
module stratixv_jtag (
tms,
tck,
tdi,
ntrst,
tdoutap,
tdouser,
tdo,
tmsutap,
tckutap,
tdiutap,
shiftuser,
clkdruser,
updateuser,
runidleuser,
usr1user,
ntrstcore);
parameter lpm_type = "stratixv_jtag";
input tms;
input tck;
input tdi;
input ntrst;
input tdoutap;
input tdouser;
output tdo;
output tmsutap;
output tckutap;
output tdiutap;
output shiftuser;
output clkdruser;
output updateuser;
output runidleuser;
output usr1user;
input ntrstcore;
stratixv_jtag_encrypted inst (
.tms(tms),
.tck(tck),
.tdi(tdi),
.ntrst(ntrst),
.tdoutap(tdoutap),
.tdouser(tdouser),
.tdo(tdo),
.tmsutap(tmsutap),
.tckutap(tckutap),
.tdiutap(tdiutap),
.shiftuser(shiftuser),
.clkdruser(clkdruser),
.updateuser(updateuser),
.runidleuser(runidleuser),
.usr1user(usr1user) );
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_jtag
`timescale 1 ps/1 ps
module stratixv_prblock (
clk,
corectl,
prrequest,
data,
externalrequest,
error,
ready,
done);
parameter lpm_type = "stratixv_prblock";
input clk;
input corectl;
input prrequest;
input [15:0] data;
output externalrequest;
output error;
output ready;
output done;
stratixv_prblock_encrypted inst (
.clk(clk),
.corectl(corectl),
.prrequest(prrequest),
.data(data),
.externalrequest(externalrequest),
.error(error),
.ready(ready),
.done(done) );
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_prblock
`timescale 1 ps/1 ps
module stratixv_rublock (
clk,
shiftnld,
captnupdt,
regin,
rsttimer,
rconfig,
regout);
parameter sim_init_watchdog_value = 0;
parameter sim_init_status = 0;
parameter sim_init_config_is_application = "false";
parameter sim_init_watchdog_enabled = "false";
parameter lpm_type = "stratixv_rublock";
input clk;
input shiftnld;
input captnupdt;
input regin;
input rsttimer;
input rconfig;
output regout;
stratixv_rublock_encrypted inst (
.clk(clk),
.shiftnld(shiftnld),
.captnupdt(captnupdt),
.regin(regin),
.rsttimer(rsttimer),
.rconfig(rconfig),
.regout(regout) );
defparam inst.sim_init_watchdog_value = sim_init_watchdog_value;
defparam inst.sim_init_status = sim_init_status;
defparam inst.sim_init_config_is_application = sim_init_config_is_application;
defparam inst.sim_init_watchdog_enabled = sim_init_watchdog_enabled;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_rublock
`timescale 1 ps/1 ps
module stratixv_tsdblock (
clk,
ce,
clr,
tsdcalo,
tsdcaldone);
parameter clock_divider_enable = "on";
parameter clock_divider_value = 40;
parameter sim_tsdcalo = 0;
parameter lpm_type = "stratixv_tsdblock";
input clk;
input ce;
input clr;
output [7:0] tsdcalo;
output tsdcaldone;
stratixv_tsdblock_encrypted inst (
.clk(clk),
.ce(ce),
.clr(clr),
.tsdcalo(tsdcalo),
.tsdcaldone(tsdcaldone) );
defparam inst.clock_divider_enable = clock_divider_enable;
defparam inst.clock_divider_value = clock_divider_value;
defparam inst.sim_tsdcalo = sim_tsdcalo;
defparam inst.lpm_type = lpm_type;
endmodule //stratixv_tsdblock
`timescale 1 ps/1 ps
module stratixv_read_fifo (
datain,
wclk,
we,
rclk,
re,
areset,
plus2,
dataout
);
parameter use_half_rate_read = "false";
parameter sim_wclk_pre_delay = 0;
input [1:0] datain;
input wclk;
input we;
input rclk;
input re;
input areset;
input plus2;
output [3:0]dataout;
stratixv_read_fifo_encrypted inst (
.datain(datain),
.wclk(wclk),
.we(we),
.rclk(rclk),
.re(re),
.areset(areset),
.plus2(plus2),
.dataout(dataout));
defparam inst.use_half_rate_read = use_half_rate_read;
defparam inst.sim_wclk_pre_delay = sim_wclk_pre_delay;
endmodule //stratixv_read_fifo
`timescale 1 ps/1 ps
module stratixv_read_fifo_read_enable (
re,
rclk,
plus2,
areset,
reout,
plus2out
);
parameter use_stalled_read_enable = "false";
input re;
input rclk;
input plus2;
input areset;
output reout;
output plus2out;
stratixv_read_fifo_read_enable_encrypted inst (
.re(re),
.rclk(rclk),
.plus2(plus2),
.areset(areset),
.reout(reout),
.plus2out(plus2out));
defparam inst.use_stalled_read_enable = use_stalled_read_enable;
endmodule //stratixv_read_fifo_read_enable
`timescale 1 ps/1 ps
module stratixv_phy_clkbuf (
inclk,
outclk
);
parameter level1_mux = "VALUE_FAST";
parameter level2_mux = "VALUE_FAST";
input [3:0] inclk;
output [3:0] outclk;
stratixv_phy_clkbuf_encrypted inst (
.inclk(inclk),
.outclk(outclk));
defparam inst.level1_mux = level1_mux;
defparam inst.level2_mux = level2_mux;
endmodule //stratixv_phy_clkbuf_atom
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__SDFSTP_BLACKBOX_V
`define SKY130_FD_SC_HD__SDFSTP_BLACKBOX_V
/**
* sdfstp: Scan delay flop, inverted set, non-inverted clock,
* single output.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__sdfstp (
Q ,
CLK ,
D ,
SCD ,
SCE ,
SET_B
);
output Q ;
input CLK ;
input D ;
input SCD ;
input SCE ;
input SET_B;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__SDFSTP_BLACKBOX_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__A31O_TB_V
`define SKY130_FD_SC_LP__A31O_TB_V
/**
* a31o: 3-input AND into first input of 2-input OR.
*
* X = ((A1 & A2 & A3) | B1)
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__a31o.v"
module top();
// Inputs are registered
reg A1;
reg A2;
reg A3;
reg B1;
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
wire X;
initial
begin
// Initial state is x for all inputs.
A1 = 1'bX;
A2 = 1'bX;
A3 = 1'bX;
B1 = 1'bX;
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 A1 = 1'b0;
#40 A2 = 1'b0;
#60 A3 = 1'b0;
#80 B1 = 1'b0;
#100 VGND = 1'b0;
#120 VNB = 1'b0;
#140 VPB = 1'b0;
#160 VPWR = 1'b0;
#180 A1 = 1'b1;
#200 A2 = 1'b1;
#220 A3 = 1'b1;
#240 B1 = 1'b1;
#260 VGND = 1'b1;
#280 VNB = 1'b1;
#300 VPB = 1'b1;
#320 VPWR = 1'b1;
#340 A1 = 1'b0;
#360 A2 = 1'b0;
#380 A3 = 1'b0;
#400 B1 = 1'b0;
#420 VGND = 1'b0;
#440 VNB = 1'b0;
#460 VPB = 1'b0;
#480 VPWR = 1'b0;
#500 VPWR = 1'b1;
#520 VPB = 1'b1;
#540 VNB = 1'b1;
#560 VGND = 1'b1;
#580 B1 = 1'b1;
#600 A3 = 1'b1;
#620 A2 = 1'b1;
#640 A1 = 1'b1;
#660 VPWR = 1'bx;
#680 VPB = 1'bx;
#700 VNB = 1'bx;
#720 VGND = 1'bx;
#740 B1 = 1'bx;
#760 A3 = 1'bx;
#780 A2 = 1'bx;
#800 A1 = 1'bx;
end
sky130_fd_sc_lp__a31o dut (.A1(A1), .A2(A2), .A3(A3), .B1(B1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__A31O_TB_V
|
// (C) 2001-2016 Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions, and any output
// files any of the foregoing (including device programming or simulation
// files), and any associated documentation or information are expressly subject
// to the terms and conditions of the Intel Program License Subscription
// Agreement, Intel MegaCore Function License Agreement, or other applicable
// license agreement, including, without limitation, that your use is for the
// sole purpose of programming logic devices manufactured by Intel and sold by
// Intel or its authorized distributors. Please refer to the applicable
// agreement for further details.
// THIS FILE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
// THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
// FROM, OUT OF OR IN CONNECTION WITH THIS FILE OR THE USE OR OTHER DEALINGS
// IN THIS FILE.
/******************************************************************************
* *
* This module decodes video input streams on the DE boards. *
* *
******************************************************************************/
module Raster_Laser_Projector_Video_In_video_decoder_0 (
// Inputs
clk,
reset,
TD_CLK27,
TD_DATA,
TD_HS,
TD_VS,
clk27_reset,
stream_out_ready,
// Bidirectional
// Outputs
TD_RESET,
overflow_flag,
stream_out_data,
stream_out_startofpacket,
stream_out_endofpacket,
stream_out_empty,
stream_out_valid
);
/*****************************************************************************
* Parameter Declarations *
*****************************************************************************/
parameter IW = 7;
parameter OW = 15;
parameter FW = 17;
parameter PIXELS = 1280;
/*****************************************************************************
* Port Declarations *
*****************************************************************************/
// Inputs
input clk;
input reset;
input TD_CLK27;
input [ 7: 0] TD_DATA;
input TD_HS;
input TD_VS;
input clk27_reset;
input stream_out_ready;
// Bidirectional
// Outputs
output TD_RESET;
output reg overflow_flag;
output [OW: 0] stream_out_data;
output stream_out_startofpacket;
output stream_out_endofpacket;
output stream_out_empty;
output stream_out_valid;
/*****************************************************************************
* Constant Declarations *
*****************************************************************************/
/*****************************************************************************
* Internal Wires and Registers Declarations *
*****************************************************************************/
// Internal Wires
wire video_clk;
wire video_clk_reset;
wire [OW: 0] decoded_pixel;
wire decoded_startofpacket;
wire decoded_endofpacket;
wire decoded_valid;
wire [FW: 0] data_from_fifo;
wire [ 6: 0] fifo_used_words;
wire [ 6: 0] wrusedw;
wire wrfull;
wire rdempty;
// Internal Registers
reg reached_start_of_frame;
// State Machine Registers
// Integers
/*****************************************************************************
* Finite State Machine(s) *
*****************************************************************************/
/*****************************************************************************
* Sequential Logic *
*****************************************************************************/
// Output Registers
always @(posedge video_clk)
begin
if (video_clk_reset)
overflow_flag <= 1'b0;
else if (decoded_valid & reached_start_of_frame & wrfull)
overflow_flag <= 1'b1;
end
// Internal Registers
always @(posedge video_clk)
begin
if (video_clk_reset)
reached_start_of_frame <= 1'b0;
else if (decoded_valid & decoded_startofpacket)
reached_start_of_frame <= 1'b1;
end
/*****************************************************************************
* Combinational Logic *
*****************************************************************************/
// Output Assignments
assign TD_RESET = 1'b1;
assign stream_out_data = data_from_fifo[OW: 0];
assign stream_out_startofpacket = data_from_fifo[(FW - 1)];
assign stream_out_endofpacket = data_from_fifo[FW];
assign stream_out_empty = 1'b0;
assign stream_out_valid = ~rdempty;
// Internal Assignments
assign video_clk = TD_CLK27;
assign video_clk_reset = clk27_reset;
/*****************************************************************************
* Internal Modules *
*****************************************************************************/
// NTSC Video In Decoding
altera_up_video_itu_656_decoder ITU_R_656_Decoder (
// Inputs
.clk (video_clk),
.reset (video_clk_reset),
.TD_DATA (TD_DATA),
.ready (decoded_valid & ~wrfull),
// Bidirectionals
// Outputs
.data (decoded_pixel),
.startofpacket (decoded_startofpacket),
.endofpacket (decoded_endofpacket),
.valid (decoded_valid)
);
altera_up_video_dual_clock_fifo Video_In_Dual_Clock_FIFO (
// Inputs
.wrclk (video_clk),
.wrreq (decoded_valid & reached_start_of_frame & ~wrfull),
// .data ({1'b0, decoded_startofpacket, decoded_pixel}),
.data ({decoded_endofpacket, decoded_startofpacket, decoded_pixel}),
.rdclk (clk),
.rdreq (stream_out_valid & stream_out_ready),
// Bidirectionals
// Outputs
.wrusedw (wrusedw),
.wrfull (wrfull),
.q (data_from_fifo),
.rdusedw (fifo_used_words),
.rdempty (rdempty)
);
defparam
Video_In_Dual_Clock_FIFO.DW = (FW + 1);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__INPUTISO0N_LP_V
`define SKY130_FD_SC_LP__INPUTISO0N_LP_V
/**
* inputiso0n: Input isolator with inverted enable.
*
* X = (A & SLEEP_B)
*
* Verilog wrapper for inputiso0n with size for low power.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__inputiso0n.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__inputiso0n_lp (
X ,
A ,
SLEEP_B,
VPWR ,
VGND ,
VPB ,
VNB
);
output X ;
input A ;
input SLEEP_B;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
sky130_fd_sc_lp__inputiso0n base (
.X(X),
.A(A),
.SLEEP_B(SLEEP_B),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__inputiso0n_lp (
X ,
A ,
SLEEP_B
);
output X ;
input A ;
input SLEEP_B;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__inputiso0n base (
.X(X),
.A(A),
.SLEEP_B(SLEEP_B)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__INPUTISO0N_LP_V
|
`timescale 1ns/100ps
/**
* `timescale time_unit base / precision base
*
* -Specifies the time units and precision for delays:
* -time_unit is the amount of time a delay of 1 represents.
* The time unit must be 1 10 or 100
* -base is the time base for each unit, ranging from seconds
* to femtoseconds, and must be: s ms us ns ps or fs
* -precision and base represent how many decimal points of
* precision to use relative to the time units.
*/
/**
* This is written by Zhiyang Ong
* for EE577b Homework 2, Question 2
*/
// Testbench for behavioral model for the decoder
// Import the modules that will be tested for in this testbench
`include "decoder4to16.v"
// IMPORTANT: To run this, try: ncverilog -f ee577bHw2q2.f +gui
module tb_decoder4to16();
/**
* Declare signal types for testbench to drive and monitor
* signals during the simulation of the arbiter
*
* The reg data type holds a value until a new value is driven
* onto it in an "initial" or "always" block. It can only be
* assigned a value in an "always" or "initial" block, and is
* used to apply stimulus to the inputs of the DUT.
*
* The wire type is a passive data type that holds a value driven
* onto it by a port, assign statement or reg type. Wires cannot be
* assigned values inside "always" and "initial" blocks. They can
* be used to hold the values of the DUT's outputs
*/
// Declare "wire" signals: outputs from the DUT
wire [15:1] dout;
// Declare "reg" signals: inputs to the DUT
reg [3:0] din;
/**
* Instantiate an instance of arbiter_LRU4 so that
* inputs can be passed to the Device Under Test (DUT)
* Given instance name is "arb"
*/
decoder4to16 dec4to16 (
// instance_name(signal name),
// Signal name can be the same as the instance name
din,dout);
/**
* Initial block start executing sequentially @ t=0
* If and when a delay is encountered, the execution of this block
* pauses or waits until the delay time has passed, before resuming
* execution
*
* Each intial or always block executes concurrently; that is,
* multiple "always" or "initial" blocks will execute simultaneously
*
* E.g.
* always
* begin
* #10 clk_50 = ~clk_50; // Invert clock signal every 10 ns
* // Clock signal has a period of 20 ns or 50 MHz
* end
*/
initial
begin
// "$time" indicates the current time in the simulation
$display(" << Starting the simulation >>");
din = 15'd0;
#1;
din = 15'd1;
// @ t=0,
#1;
din = 15'd2;
#1;
din = 15'd3;
#1;
din = 15'd4;
#1;
din = 15'd5;
#1;
din = 15'd6;
#1;
din = 15'd7;
#1;
din = 15'd8;
#1;
din = 15'd9;
#1;
din = 15'd10;
#1;
din = 15'd11;
#1;
din = 15'd12;
#1;
din = 15'd13;
#1;
din = 15'd14;
#1;
din = 15'd15;
#20;
$display(" << Finishing the simulation >>");
$finish;
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__A21O_TB_V
`define SKY130_FD_SC_HS__A21O_TB_V
/**
* a21o: 2-input AND into first input of 2-input OR.
*
* X = ((A1 & A2) | B1)
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hs__a21o.v"
module top();
// Inputs are registered
reg A1;
reg A2;
reg B1;
reg VPWR;
reg VGND;
// Outputs are wires
wire X;
initial
begin
// Initial state is x for all inputs.
A1 = 1'bX;
A2 = 1'bX;
B1 = 1'bX;
VGND = 1'bX;
VPWR = 1'bX;
#20 A1 = 1'b0;
#40 A2 = 1'b0;
#60 B1 = 1'b0;
#80 VGND = 1'b0;
#100 VPWR = 1'b0;
#120 A1 = 1'b1;
#140 A2 = 1'b1;
#160 B1 = 1'b1;
#180 VGND = 1'b1;
#200 VPWR = 1'b1;
#220 A1 = 1'b0;
#240 A2 = 1'b0;
#260 B1 = 1'b0;
#280 VGND = 1'b0;
#300 VPWR = 1'b0;
#320 VPWR = 1'b1;
#340 VGND = 1'b1;
#360 B1 = 1'b1;
#380 A2 = 1'b1;
#400 A1 = 1'b1;
#420 VPWR = 1'bx;
#440 VGND = 1'bx;
#460 B1 = 1'bx;
#480 A2 = 1'bx;
#500 A1 = 1'bx;
end
sky130_fd_sc_hs__a21o dut (.A1(A1), .A2(A2), .B1(B1), .VPWR(VPWR), .VGND(VGND), .X(X));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__A21O_TB_V
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: Cal Poly Pomona
// Engineer: Byron Phung
//
// Create Date: 15:18:15 04/17/2016
// Design Name:
// Module Name: Search
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module Search(
input clock,
input reset,
input [511:0] data,
input [511:0] key,
output reg match
);
reg [511:0] data1;
reg [510:0] data2;
reg [509:0] data3;
reg [508:0] data4;
wire [63:0] key1, key2, key3, key4;
reg match1, match2, match3, match4;
reg max_counter = 113;
reg [6:0] counter;
Comparator c1
(
.clock(clock),
.data(data1),
.key(key1),
.match(match1)
);
Comparator c2
(
.clock(clock),
.data(data2),
.key(key2),
.match(match2)
);
Comparator c3
(
.clock(clock),
.data(data3),
.key(key3),
.match(match3)
);
Comparator c4
(
.clock(clock),
.data(data4),
.key(key4),
.match(match4)
);
always @(posedge clock, posedge reset)
begin
if (reset)
begin
counter <= 0;
data1 <= data;
data2 <= data[511:1];
data3 <= data[511:2];
data4 <= data[511:3];
end
else
begin
if (counter == max_counter)
begin
counter <= 0;
data1 <= data;
data2 <= data[511:1];
data3 <= data[511:2];
data4 <= data[511:3];
end
else
begin
counter <= counter + 1;
data1 <= data1 << 1;
data2 <= data2 << 1;
data3 <= data3 << 1;
data4 <= data4 << 1;
end
if (match1 || match2 || match3 || match4)
match <= 1;
else
match <= 0;
end
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__AND2B_PP_SYMBOL_V
`define SKY130_FD_SC_HS__AND2B_PP_SYMBOL_V
/**
* and2b: 2-input AND, first input inverted.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__and2b (
//# {{data|Data Signals}}
input A_N ,
input B ,
output X ,
//# {{power|Power}}
input VPWR,
input VGND
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__AND2B_PP_SYMBOL_V
|
// Register file modeled off HW6 solutions #3
module reg_file(
input clk,
input regWrite,
//input wire regInit,
input [4:0] readReg1,
input [4:0] readReg2,
input [4:0] writeReg,
input [31:0] writeData,
output reg [31:0] readData1,
output reg [31:0] readData2
);
reg [31:0] regFile [31:0];
integer i;
initial begin
for (i=0; i<32; i=i+1) begin
regFile[i] <=0;
end
end
always@(readReg1 or readReg2 or regFile)
begin
readData1 <= regFile[readReg1];
readData2 <= regFile[readReg2];
end
// Register only updates when regWrite control signal enabled
// When regInit true, initialize all registers to 0 (avoids using for-loop)
always@(posedge clk)
begin
if(regWrite)
regFile[writeReg] <= writeData;
/*if (regInit) begin
regFile[31] <= 32'h00000000;
regFile[30] <= 32'h00000000;
regFile[29] <= 32'h00000000;
regFile[28] <= 32'h00000000;
regFile[27] <= 32'h00000000;
regFile[26] <= 32'h00000000;
regFile[25] <= 32'h00000000;
regFile[24] <= 32'h00000000;
regFile[23] <= 32'h00000000;
regFile[22] <= 32'h00000000;
regFile[21] <= 32'h00000000;
regFile[20] <= 32'h00000000;
regFile[19] <= 32'h00000000;
regFile[18] <= 32'h00000000;
regFile[17] <= 32'h00000000;
regFile[16] <= 32'h00000000;
regFile[15] <= 32'h00000000;
regFile[14] <= 32'h00000000;
regFile[13] <= 32'h00000000;
regFile[12] <= 32'h00000000;
regFile[11] <= 32'h00000000;
regFile[10] <= 32'h00000000;
regFile[9] <= 32'h00000000;
regFile[8] <= 32'h00000000;
regFile[7] <= 32'h00000000;
regFile[6] <= 32'h00000000;
regFile[5] <= 32'h00000000;
regFile[4] <= 32'h00000000;
regFile[3] <= 32'h00000000;
regFile[2] <= 32'h00000000;
regFile[1] <= 32'h00000000;
regFile[0] <= 32'h00000000;
end */
end
endmodule
|
module ram0(
// Read port
input rdclk,
input [9:0] rdaddr,
output reg [35:0] do);
(* ram_style = "block" *) reg [35:0] ram[0:1023];
genvar i;
generate
for (i=0; i<1024; i=i+1)
begin
initial begin
ram[i] <= i | (i << 16);
end
end
endgenerate
always @ (posedge rdclk) begin
do <= ram[rdaddr];
end
endmodule
module top (
input wire clk,
input wire rx,
output wire tx,
input wire [15:0] sw,
output wire [15:0] led
);
reg nrst = 0;
wire tx_baud_edge;
wire rx_baud_edge;
// Data in.
wire [7:0] rx_data_wire;
wire rx_data_ready_wire;
// Data out.
wire tx_data_ready;
wire tx_data_accepted;
wire [7:0] tx_data;
assign led[14:0] = sw[14:0];
assign led[15] = rx_data_ready_wire ^ sw[15];
UART #(
.COUNTER(25),
.OVERSAMPLE(8)
) uart (
.clk(clk),
.rst(!nrst),
.rx(rx),
.tx(tx),
.tx_data_ready(tx_data_ready),
.tx_data(tx_data),
.tx_data_accepted(tx_data_accepted),
.rx_data(rx_data_wire),
.rx_data_ready(rx_data_ready_wire)
);
wire [9:0] read_address;
wire [35:0] read_data;
wire [9:0] rom_read_address;
reg [35:0] rom_read_data;
always @(posedge clk) rom_read_data <= {2{6'd0, rom_read_address}};
wire loop_complete;
wire error_detected;
wire [7:0] error_state;
wire [9:0] error_address;
wire [35:0] expected_data;
wire [35:0] actual_data;
ROM_TEST #(
.ADDR_WIDTH(10),
.DATA_WIDTH(36),
.ADDRESS_STEP(1),
.MAX_ADDRESS(1023)
) dram_test (
.rst(!nrst),
.clk(clk),
// Memory connection
.read_data(read_data),
.read_address(read_address),
// INIT ROM connection
.rom_read_data(rom_read_data),
.rom_read_address(rom_read_address),
// Reporting
.loop_complete(loop_complete),
.error(error_detected),
.error_state(error_state),
.error_address(error_address),
.expected_data(expected_data),
.actual_data(actual_data)
);
ram0 #(
) bram (
// Read port
.rdclk(clk),
.rdaddr(read_address),
.do(read_data)
);
ERROR_OUTPUT_LOGIC #(
.DATA_WIDTH(32),
.ADDR_WIDTH(10)
) output_logic (
.clk(clk),
.rst(!nrst),
.loop_complete(loop_complete),
.error_detected(error_detected),
.error_state(error_state),
.error_address(error_address),
.expected_data(expected_data),
.actual_data(actual_data),
.tx_data(tx_data),
.tx_data_ready(tx_data_ready),
.tx_data_accepted(tx_data_accepted)
);
always @(posedge clk) begin
nrst <= 1;
end
endmodule
|
`timescale 1ns / 1ps
`include "def.v"
module AddrDecoder(reqType, ofs, base, count, lbType, addr, invalid);
input [5:0] reqType;
input [15:0] ofs;
input [15:0] base;
input [15:0] count;
input [5:0] lbType;
output [15:0] addr;
output invalid;
//
assign addr = base + ofs;
assign invalid = !(
isValidLabelType(reqType) &&
reqType == lbType &&
ofs < count
);
function isValidLabelType(input [5:0] type);
case(reqType)
//`LBTYPE_UNDEFINED:;
`LBTYPE_VPTR: isValidLabelType = 1'd1;
`LBTYPE_SINT8: isValidLabelType = 1'd1;
`LBTYPE_UINT8: isValidLabelType = 1'd1;
`LBTYPE_SINT16: isValidLabelType = 1'd1;
`LBTYPE_UINT16: isValidLabelType = 1'd1;
`LBTYPE_SINT32: isValidLabelType = 1'd1;
`LBTYPE_UINT32: isValidLabelType = 1'd1;
`LBTYPE_SINT4: isValidLabelType = 1'd1;
`LBTYPE_UINT4: isValidLabelType = 1'd1;
`LBTYPE_SINT2: isValidLabelType = 1'd1;
`LBTYPE_UINT2: isValidLabelType = 1'd1;
`LBTYPE_SINT1: isValidLabelType = 1'd1;
`LBTYPE_UINT1: isValidLabelType = 1'd1;
`LBTYPE_CODE: isValidLabelType = 1'd1;
default: isValidLabelType = 1'd0;
endcase
endfunction
endmodule
module testbench_addrdec();
reg clk;
//
reg [5:0] reqType;
reg [15:0] ofs;
reg [15:0] base;
reg [15:0] count;
reg [5:0] lbType;
wire [15:0] addr;
wire invalid;
AddrDecoder addrdec(reqType, ofs, base, count, lbType, addr, invalid);
initial begin
$dumpfile("addrdec.vcd");
$dumpvars(0, testbench_addrdec);
// all invalid
reqType = `LBTYPE_UNDEFINED;
ofs = 4;
base = 16'hffff;
count = 0;
lbType = `LBTYPE_UNDEFINED;
//
#1;
$display ("addr: %X", addr);
$display ("invalid: %X", invalid);
if(invalid == 1) $display("PASS");
else begin
$display("FAILED");
$finish;
end
// valid
reqType = `LBTYPE_CODE;
ofs = 4;
base = 16'hff00;
count = 16'h00ff;
lbType = `LBTYPE_CODE;
//
#1;
$display ("addr: %X", addr);
$display ("invalid: %X", invalid);
if(invalid == 0 && addr == 16'hff04) $display("PASS");
else begin
$display("FAILED");
$finish;
end
// type not matched
reqType = `LBTYPE_VPTR;
ofs = 4;
base = 16'hff00;
count = 16'h00ff;
lbType = `LBTYPE_CODE;
//
#1;
$display ("addr: %X", addr);
$display ("invalid: %X", invalid);
if(invalid == 1) $display("PASS");
else begin
$display("FAILED");
$finish;
end
// out of limit
reqType = `LBTYPE_CODE;
ofs = 4;
base = 16'hff00;
count = 16'h004;
lbType = `LBTYPE_CODE;
//
#1;
$display ("addr: %X", addr);
$display ("invalid: %X", invalid);
if(invalid == 1) $display("PASS");
else begin
$display("FAILED");
$finish;
end
// last element in bound
reqType = `LBTYPE_CODE;
ofs = 3;
base = 16'hff00;
count = 16'h004;
lbType = `LBTYPE_CODE;
//
#1;
$display ("addr: %X", addr);
$display ("invalid: %X", invalid);
if(invalid == 0 && addr == 16'hff03) $display("PASS");
else begin
$display("FAILED");
$finish;
end
//
$display ("Simulation end");
$finish;
end
always begin
// クロックを生成する。
// #1; は、1クロック待機する。
clk <= 0; #1;
clk <= 1; #1;
end
always @ (posedge clk)
begin
end
endmodule
|
`timescale 1ns / 1ps
/* This file is part of JT12.
JT12 program is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
JT12 program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with JT12. If not, see <http://www.gnu.org/licenses/>.
Author: Jose Tejada Gomez. Twitter: @topapate
Version: 1.0
Date: 19-3-2017
*/
module jt12_mod6
(
input [2:0] in, // only 0 to 5 are valid entries
input [2:0] sum,
output reg [2:0] out // output between 0 to 5
);
reg [3:0] aux;
always @(*) begin
aux <= in+sum;
case( aux )
4'd6: out <= 3'd0;
4'd7: out <= 3'd1;
4'd8: out <= 3'd2;
4'd9: out <= 3'd3;
4'ha: out <= 3'd4;
4'hb: out <= 3'd5;
4'hc: out <= 3'd0;
4'he: out <= 3'd1;
4'hf: out <= 3'd2;
default: out <= aux;
endcase
end
endmodule
|
/////////////////////////////////////////////////////////
//文件名称:{{module_name}}模块
//模块名称:{{module_name}}
//禁止修改,该文件由pj reg自动生成
////////////////////////////////////////////////////////////
module {{module_name}}
(
//======全局=========
input wire shiz
,input wire fuw_d
//======中间结点============
,input wire [15:0] zjjd__yjd__shuj
,output reg [15:0] yjd__zjjd__shuj
{% set mod_to_mod = module_name.split("_")[0] + "__" + module_name -%}
{% set mod_to_mod_reverse = module_name + "__" + module_name.split("_")[0] -%}
//======所在单元==========
{% block io_def -%}
{% for reg, parameters in data.items() -%}
{% for bits, items in parameters.fields.items() -%}
{% set definition = "_"+ items.definition if items.definition -%}
//-----{{reg}}{{definition}}-----
{% if parameters["ST/MT"] == "MT" -%}
{% for i in range(2) -%}
{% if 'hrw' not in items or items.hrw == 'NA' or items.hrw == 'rw' -%}
,input wire {{mod_to_mod}}__{{reg}}{{definition}}{{i}}_xsn //{{reg}}{{definition}}线程{{i}},写使能
,input wire {{items.interval}} {{mod_to_mod}}__{{reg}}{{definition}}{{i}}_sj //{{reg}}{{definition}}线程{{i}},所在单元要写的值
,output wire {{items.interval}} {{mod_to_mod_reverse}}__{{reg}}{{definition}}{{i}}_sj //{{reg}}{{definition}}线程{{i}},CR当前的值
{% elif items.hrw == "r" -%}
,output wire {{items.interval}} {{mod_to_mod_reverse}}__{{reg}}{{definition}}{{i}}_sj //{{reg}}{{definition}}线程{{i}},CR当前的值
{% elif items.hrw == "w" -%}
,input wire {{mod_to_mod}}__{{reg}}{{definition}}{{i}}_xsn //{{reg}}{{definition}}线程{{i}},写使能
,input wire {{items.interval}} {{mod_to_mod}}__{{reg}}{{definition}}{{i}}_sj //{{reg}}{{definition}}线程{{i}},所在单元要写的值
{% endif -%}
{% endfor %}{% else -%}
{% if 'hrw' not in items or items.hrw == 'NA' or items.hrw == 'rw' -%}
,input wire {{mod_to_mod}}__{{reg}}{{definition}}_xsn //{{reg}}{{definition}},写使能
,input wire {{items.interval}} {{mod_to_mod}}__{{reg}}{{definition}}_sj //{{reg}}{{definition}},所在单元要写的值
,output wire {{items.interval}} {{mod_to_mod_reverse}}__{{reg}}{{definition}}_sj //{{reg}}{{definition}},CR当前的值
{% elif items.hrw == "r" -%}
,output wire {{items.interval}} {{mod_to_mod_reverse}}__{{reg}}{{definition}}_sj //{{reg}}{{definition}},CR当前的值
{% elif items.hrw == "w" -%}
,input wire {{mod_to_mod}}__{{reg}}{{definition}}_xsn //{{reg}}{{definition}},写使能
,input wire {{items.interval}} {{mod_to_mod}}__{{reg}}{{definition}}_sj //{{reg}}{{definition}},所在单元要写的值
{% endif -%}
{% endif -%}
{% endfor -%}
{% endfor -%}
{% endblock io_def -%}
);
{% set prefix = ["yjdkz", "kzjcq"] %}
reg [15:0] zjjd_xie_shuj;
wire [15:0] zjjd_du_sj;
{% block wire_def %}
{% for reg, parameters in data.items() -%}
{% for bits, items in parameters.fields.items() -%}
{% set definition = "_"+ items.definition if items.definition -%}
wire [15:0] {{reg}}{{definition}}_du_shuj;
{% if parameters["ST/MT"] == "MT" -%}
{% for i in range(2) -%}
wire {{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}{{i}}_xsn;
wire {{items.interval}} {{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}{{i}}_sj;
wire {{items.interval}} {{prefix[1]}}__{{prefix[0]}}__{{reg}}{{definition}}{{i}}_sj;
{% endfor %}
{% else -%}
wire {{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}_xsn;
wire {{items.interval}} {{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}_sj;
wire {{items.interval}} {{prefix[1]}}__{{prefix[0]}}__{{reg}}{{definition}}_sj;
{% endif -%}
{% endfor -%}
{% endfor -%}
{% endblock wire_def -%}
always@(posedge shiz or negedge fuw_d)
begin
if(~fuw_d)
zjjd_xie_shuj <= 16'b0;
else
zjjd_xie_shuj <= zjjd__yjd__shuj;
end
{% block instances -%}
{% for reg, parameters in data.items() -%}
{% for bits, items in parameters.fields.items() -%}
{% set definition = "_"+ items.definition if items.definition -%}
{% set reg_def = reg + definition if definition else reg -%}
{% set ds = "s" if parameters["ST/MT"] == "MT" else "d" -%}
{% set bits = parameters["32bit/64bit"].replace("bit", "") if items.interval_type == "kbwk" else "" -%}
//========={{reg_def}}=================
{{prefix[0]}}_{{ds}}{{bits}}{{items.interval_type}}#(
{% if parameters["32bit/64bit"] == "64bit" -%}
.G_GDZ ({{parameters.hglobal_address}}),
.G_DDZ ({{parameters.global_address}}),
.L_GDZ ({{parameters.hlocal_address}}),
.L_DDZ ({{parameters.local_address}})
{%- else -%}
.G_DIZ ({{parameters.global_address}}),
.L_DIZ ({{parameters.local_address}})
{%- endif -%}
{% if items.interval_type == "kbwk"-%}
,
.KAISW({{items.st_ed[1]}}),
.JIESW({{items.st_ed[0]}}),
.SZ({{items.st_ed[0]-items.st_ed[1] +1 }})
{% endif -%}
)u_{{prefix[0]}}_{{reg_def}}(
.shiz (shiz ),
.fuw_d (fuw_d ),
.zjjd__{{prefix[0]}}__shuj (zjjd_xie_shuj ), //根结点来的数据
.{{prefix[0]}}__zjjd__shuj ({{reg_def}}_du_shuj ), //输出到根结点的数据
{% if ds == "s" -%}
{% for i in range(2) -%}
.{{prefix[0]}}__{{prefix[1]}}{{i}}__xsn ({{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}{{i}}_xsn ), //写使能
.{{prefix[0]}}__{{prefix[1]}}{{i}}__sj ({{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}{{i}}_sj ), //CRU要写的值
.{{prefix[1]}}{{i}}__{{prefix[0]}}__sj ({{prefix[1]}}__{{prefix[0]}}__{{reg}}{{definition}}{{i}}_sj ){%- if i==0 -%},{%- endif -%} //CR当前的值
{% endfor %}{% else -%}
.{{prefix[0]}}__{{prefix[1]}}__xsn ({{prefix[0]}}__{{prefix[1]}}__{{reg_def}}_xsn ), //写使能
.{{prefix[0]}}__{{prefix[1]}}__sj ({{prefix[0]}}__{{prefix[1]}}__{{reg_def}}_sj ), //CRU要写的值
.{{prefix[1]}}__{{prefix[0]}}__sj ({{prefix[1]}}__{{prefix[0]}}__{{reg_def}}_sj ) //CR当前的值
{% endif -%}
);
{% if ds == "s" -%}
{% for i in range(2) -%}
{{prefix[1]}}_{{items.interval_type}} #(
{% if items.interval_type == "kbwk" -%}
.SZ({{items.st_ed[0]-items.st_ed[1] +1 }}),
{% endif -%}
.MRZ ({{items.reset_value}})
)u_{{reg}}{{definition}}{{i}}(
.shiz (shiz ),
.fuw_d (fuw_d ),
.{{prefix[0]}}__{{prefix[1]}}__xsn ({{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}{{i}}_xsn ), //写使能//
.{{prefix[0]}}__{{prefix[1]}}__sj ({{prefix[0]}}__{{prefix[1]}}__{{reg}}{{definition}}{{i}}_sj ), //CRU要写的值//
.{{prefix[1]}}__{{prefix[0]}}__sj ({{prefix[1]}}__{{prefix[0]}}__{{reg}}{{definition}}{{i}}_sj ), //CR当前的值//
{% if 'hrw' not in items or items.hrw == 'NA' or items.hrw == 'rw' -%}
.szdy__{{prefix[1]}}__xsn ({{mod_to_mod}}__{{reg}}{{definition}}{{i}}_xsn ), //写使能//
.szdy__{{prefix[1]}}__sj ({{mod_to_mod}}__{{reg}}{{definition}}{{i}}_sj ), //所在单元要写的值//
.{{prefix[1]}}__szdy__sj ({{mod_to_mod_reverse}}__{{reg}}{{definition}}{{i}}_sj ) //CR当前的值//
{% elif items.hrw == "r" -%}
.szdy__{{prefix[1]}}__xsn (0), //写使能//
.szdy__{{prefix[1]}}__sj (0), //所在单元要写的值//
.{{prefix[1]}}__szdy__sj ({{mod_to_mod_reverse}}__{{reg}}{{definition}}{{i}}_sj ) //CR当前的值//
{% elif items.hrw == "w" -%}
.szdy__{{prefix[1]}}__xsn ({{mod_to_mod}}__{{reg}}{{definition}}{{i}}_xsn ), //写使能//
.szdy__{{prefix[1]}}__sj ({{mod_to_mod}}__{{reg}}{{definition}}{{i}}_sj ), //所在单元要写的值//
.{{prefix[1]}}__szdy__sj () //CR当前的值//
{% endif -%}
);
{% endfor %}{% else -%}
{{prefix[1]}}_{{items.interval_type}} #(
{% if items.interval_type == "kbwk" -%}
.SZ({{items.st_ed[0]-items.st_ed[1] +1 }}),
{% endif -%}
.MRZ ({{items.reset_value}})
)u_{{reg_def}}(
.shiz (shiz ),
.fuw_d (fuw_d ),
.{{prefix[0]}}__{{prefix[1]}}__xsn ({{prefix[0]}}__{{prefix[1]}}__{{reg_def}}_xsn ), //写使能//
.{{prefix[0]}}__{{prefix[1]}}__sj ({{prefix[0]}}__{{prefix[1]}}__{{reg_def}}_sj ), //CRU要写的值//
.{{prefix[1]}}__{{prefix[0]}}__sj ({{prefix[1]}}__{{prefix[0]}}__{{reg_def}}_sj ), //CR当前的值//
{% if 'hrw' not in items or items.hrw == 'NA' or items.hrw == 'rw' -%}
.szdy__{{prefix[1]}}__xsn ({{mod_to_mod}}__{{reg_def}}_xsn ), //写使能//
.szdy__{{prefix[1]}}__sj ({{mod_to_mod}}__{{reg_def}}_sj ), //所在单元要写的值//
.{{prefix[1]}}__szdy__sj ({{mod_to_mod_reverse}}__{{reg_def}}_sj ) //CR当前的值//
{% elif items.hrw == "r" -%}
.szdy__{{prefix[1]}}__xsn (0), //写使能//
.szdy__{{prefix[1]}}__sj (0), //所在单元要写的值//
.{{prefix[1]}}__szdy__sj ({{mod_to_mod_reverse}}__{{reg_def}}_sj ) //CR当前的值//
{% elif items.hrw == "w" -%}
.szdy__{{prefix[1]}}__xsn ({{mod_to_mod}}__{{reg_def}}_xsn ), //写使能//
.szdy__{{prefix[1]}}__sj ({{mod_to_mod}}__{{reg_def}}_sj ), //所在单元要写的值//
.{{prefix[1]}}__szdy__sj () //CR当前的值//
{% endif -%}
);
{% endif -%}
{% endfor -%}
{% endfor -%}
{% endblock instances -%}
{% block assign %}
{%- for reg, parameters in data.items() %}
{%- if loop.first %}
{%- for bits, items in parameters.fields.items() %}
{%- set definition = "_"+ items.definition if items.definition %}
{%- set reg_def = reg + definition if definition else reg %}
{%- if loop.first %}
assign zjjd_du_sj = {{reg_def}}_du_shuj
{%- else %}
|{{reg_def}}_du_shuj
{%- endif %}
{%- endfor %}
{%- else %}
{%- for bits, items in parameters.fields.items() %}
{%- set definition = "_"+ items.definition if items.definition %}
{%- set reg_def = reg + definition if definition else reg %}
|{{reg_def}}_du_shuj
{%- endfor %}
{%- endif %}
{%- endfor %}
;
{% endblock %}
always@(posedge shiz or negedge fuw_d)
begin
if(~fuw_d)
yjd__zjjd__shuj <= 16'b0;
else
yjd__zjjd__shuj <= zjjd_du_sj;
end
endmodule
|
//+FHDR------------------------------------------------------------------------
//Copyright (c) 2013 Latin Group American Integhrated Circuit, Inc. All rights reserved
//GLADIC Open Source RTL
//-----------------------------------------------------------------------------
//FILE NAME :
//DEPARTMENT : IC Design / Verification
//AUTHOR : Felipe Fernandes da Costa
//AUTHOR’S EMAIL :
//-----------------------------------------------------------------------------
//RELEASE HISTORY
//VERSION DATE AUTHOR DESCRIPTION
//1.0 YYYY-MM-DD name
//-----------------------------------------------------------------------------
//KEYWORDS : General file searching keywords, leave blank if none.
//-----------------------------------------------------------------------------
//PURPOSE : ECSS_E_ST_50_12C_31_july_2008
//-----------------------------------------------------------------------------
//PARAMETERS
//PARAM NAME RANGE : DESCRIPTION : DEFAULT : UNITS
//e.g.DATA_WIDTH [32,16] : width of the data : 32:
//-----------------------------------------------------------------------------
//REUSE ISSUES
//Reset Strategy :
//Clock Domains :
//Critical Timing :
//Test Features :
//Asynchronous I/F :
//Scan Methodology :
//Instantiations :
//Synthesizable (y/n) :
//Other :
//-FHDR------------------------------------------------------------------------
`timescale 1ns/1ns
module top_spw_ultra_light(
input pclk,
input ppllclk,
input resetn,
input top_sin,
input top_din,
input top_auto_start,
input top_link_start,
input top_link_disable,
input top_tx_write,
input [8:0] top_tx_data,
input top_tx_tick,
input [7:0] top_tx_time,
input credit_error_rx,
input top_send_fct_now,
output [8:0] datarx_flag,
output buffer_write,
output [7:0] time_out,
output tick_out,
output top_dout,
output top_sout,
output top_tx_ready,
output top_tx_ready_tick,
output [5:0] top_fsm
);
wire resetn_rx;
wire error_rx;
wire got_bit_rx;
wire got_null_rx;
wire got_nchar_rx;
wire got_time_code_rx;
wire got_fct_rx;
wire enable_tx;
wire send_null_tx;
wire send_fct_tx;
wire got_fct_flag_fsm;
FSM_SPW FSM(
.pclk(pclk),
.resetn(resetn),
.auto_start(top_auto_start),
.link_start(top_link_start),
.link_disable(top_link_disable),
.rx_error(error_rx),
.rx_credit_error(credit_error_rx),
.rx_got_bit(got_bit_rx),
.rx_got_null(got_null_rx),
.rx_got_nchar(got_nchar_rx),
.rx_got_time_code(got_time_code_rx),
.rx_got_fct(got_fct_flag_fsm),
.rx_resetn(resetn_rx),
.enable_tx(enable_tx),
.send_null_tx(send_null_tx),
.send_fct_tx(send_fct_tx),
.fsm_state(top_fsm)
);
RX_SPW RX(
.rx_din(top_din),
.rx_sin(top_sin),
.rx_resetn(resetn_rx),
.rx_error(error_rx),
.rx_got_bit(got_bit_rx),
.rx_got_null(got_null_rx),
.rx_got_nchar(got_nchar_rx),
.rx_got_time_code(got_time_code_rx),
.rx_got_fct(got_fct_rx),
.rx_got_fct_fsm(got_fct_flag_fsm),
.rx_data_flag(datarx_flag),
.rx_buffer_write(buffer_write),
.rx_time_out(time_out),
.rx_tick_out(tick_out)
);
TX_SPW TX(
.pclk_tx(ppllclk),
.data_tx_i(top_tx_data),
.txwrite_tx(top_tx_write),
.timecode_tx_i(top_tx_time),
.tickin_tx(top_tx_tick),
.enable_tx(enable_tx),
.send_null_tx(send_null_tx),
.send_fct_tx(send_fct_tx),
.gotfct_tx(got_fct_rx),
.send_fct_now(top_send_fct_now),
.tx_dout_e(top_dout),
.tx_sout_e(top_sout),
.ready_tx_data(top_tx_ready),
.ready_tx_timecode(top_tx_ready_tick)
);
endmodule
|
// ----------------------------------------------------------------------
// Copyright (c) 2015, The Regents of the University of California All
// rights reserved.
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are
// met:
//
// * Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
//
// * Redistributions in binary form must reproduce the above
// copyright notice, this list of conditions and the following
// disclaimer in the documentation and/or other materials provided
// with the distribution.
//
// * Neither the name of The Regents of the University of California
// nor the names of its contributors may be used to endorse or
// promote products derived from this software without specific
// prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
// "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
// LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
// A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE
// UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT,
// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
// BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS
// OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR
// TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE
// USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH
// DAMAGE.
// ----------------------------------------------------------------------
//----------------------------------------------------------------------------
// Filename: fifo.v
// Version: 1.00
// Verilog Standard: Verilog-2001
// Description: Standard 0-delay fifo implementation. Takes WR_DATA on WR_READY
// and WR_VALID. RD_DATA is read on RD_READY and RD_VALID
// Author: Dustin Richmond (@darichmond)
//-----------------------------------------------------------------------------
`timescale 1ns/1ns
`include "functions.vh"
module fifo
#(
parameter C_WIDTH = 32, // Data bus width
parameter C_DEPTH = 1024, // Depth of the FIFO
parameter C_DELAY = 2
)
(
input CLK, // Clock
input RST, // Sync reset, active high
input [C_WIDTH-1:0] WR_DATA, // Write data input
input WR_VALID, // Write enable, high active
output WR_READY, // ~Full condition
output [C_WIDTH-1:0] RD_DATA, // Read data output
input RD_READY, // Read enable, high active
output RD_VALID // ~Empty condition
);
// Local parameters
localparam C_POW2_DEPTH = 2**clog2(C_DEPTH);
localparam C_DEPTH_WIDTH = clog2s(C_POW2_DEPTH);
wire [C_DELAY:0] wDelayTaps;
wire wDelayWrEn;
wire wWrEn;
wire wRdEn;
wire wRdRdy;
wire wRdEnInternal;
wire wRdEnExternal;
wire wEmptyNow;
wire wEmptyNext;
wire wOutputEmpty;
wire wFullNow;
wire wFullNext;
reg rValid;
reg [C_DEPTH_WIDTH:0] rWrPtr,_rWrPtr;
reg [C_DEPTH_WIDTH:0] rWrPtrPlus1, _rWrPtrPlus1;
reg [C_DEPTH_WIDTH:0] rRdPtr,_rRdPtr;
reg [C_DEPTH_WIDTH:0] rRdPtrPlus1,_rRdPtrPlus1;
reg rFull,_rFull;
reg rEmpty,_rEmpty;
assign wRdEnInternal = ~wEmptyNow & ~rValid; // Read enable to propogate data to the BRAM output
assign wRdEnExternal = RD_READY & !rEmpty; // Read enable to change data on the output
assign wRdEn = wRdEnInternal | wRdEnExternal;
assign wRdRdy = RD_READY & rValid; // Read Data already on the output bus
assign wWrEn = WR_VALID & !rFull;
assign wEmptyNow = (rRdPtr == rWrPtr);
assign wEmptyNext = (wRdEn & ~wWrEn & (rWrPtr == rRdPtrPlus1));
assign wFullNow = (rRdPtr[C_DEPTH_WIDTH-1:0] == rWrPtr[C_DEPTH_WIDTH-1:0]) &
(rWrPtr[C_DEPTH_WIDTH] != rRdPtr[C_DEPTH_WIDTH]);
assign wFullNext = wWrEn & ~wRdEn & (rWrPtrPlus1[C_DEPTH_WIDTH-1:0] == rRdPtr[C_DEPTH_WIDTH-1:0]) &
(rWrPtrPlus1[C_DEPTH_WIDTH] != rRdPtr[C_DEPTH_WIDTH]);
// Calculate empty
assign RD_VALID = rValid;
always @ (posedge CLK) begin
rEmpty <= #1 (RST ? 1'd1 : _rEmpty);
end
always @ (*) begin
_rEmpty = (wEmptyNow & ~wWrEn) | wEmptyNext;
end
always @(posedge CLK) begin
if(RST) begin
rValid <= #1 0;
end else if(wRdEn | wRdRdy) begin
rValid <= #1 ~(wEmptyNow);
end
end
// Write pointer logic.
always @ (posedge CLK) begin
if (RST) begin
rWrPtr <= #1 0;
rWrPtrPlus1 <= #1 1;
end else begin
rWrPtr <= #1 _rWrPtr;
rWrPtrPlus1 <= #1 _rWrPtrPlus1;
end
end
always @ (*) begin
if (wWrEn) begin
_rWrPtr = rWrPtrPlus1;
_rWrPtrPlus1 = rWrPtrPlus1 + 1'd1;
end else begin
_rWrPtr = rWrPtr;
_rWrPtrPlus1 = rWrPtrPlus1;
end
end
// Read pointer logic.
always @ (posedge CLK) begin
if (RST) begin
rRdPtr <= #1 0;
rRdPtrPlus1 <= #1 1;
end else begin
rRdPtr <= #1 _rRdPtr;
rRdPtrPlus1 <= #1 _rRdPtrPlus1;
end
end
always @ (*) begin
if (wRdEn) begin
_rRdPtr = rRdPtrPlus1;
_rRdPtrPlus1 = rRdPtrPlus1 + 1'd1;
end else begin
_rRdPtr = rRdPtr;
_rRdPtrPlus1 = rRdPtrPlus1;
end
end
// Calculate full
assign WR_READY = ~rFull;
always @ (posedge CLK) begin
rFull <= #1 (RST ? 1'd0 : _rFull);
end
always @ (*) begin
_rFull = wFullNow | wFullNext;
end
// Memory block (synthesis attributes applied to this module will
// determine the memory option).
scsdpram
#(
.C_WIDTH(C_WIDTH),
.C_DEPTH(C_POW2_DEPTH)
/*AUTOINSTPARAM*/)
mem
(
.WR1_EN (wWrEn),
.WR1_ADDR (rWrPtr[C_DEPTH_WIDTH-1:0]),
.WR1_DATA (WR_DATA),
.RD1_EN (wRdEn),
.RD1_ADDR (rRdPtr[C_DEPTH_WIDTH-1:0]),
.RD1_DATA (RD_DATA),
/*AUTOINST*/
// Inputs
.CLK (CLK));
shiftreg
#(
// Parameters
.C_DEPTH (C_DELAY),
.C_WIDTH (1'b1)
/*AUTOINSTPARAM*/)
shiftreg_wr_delay_inst
(
// Outputs
.RD_DATA (wDelayTaps),
// Inputs
.RST_IN (RST),
.WR_DATA (wWrEn),
/*AUTOINST*/
// Inputs
.CLK (CLK));
endmodule
|
/*************************************************************************
* *
* Copyright (C) 2016,2017 Alves, Fredy. *
* All rights reserved. Email: [email protected] *
* *
* This design is free software; you can redistribute it and/or *
* modify it under the terms of EITHER: *
* (1) The GNU Lesser General Public License as published by the Free *
* Software Foundation; either version 2.1 of the License, or (at *
* your option) any later version. The text of the GNU Lesser *
* General Public License is included with this design in the *
* file LICENSE. *
* *
* This design is distributed in the hope that it will be useful, *
* but WITHOUT ANY WARRANTY; without even the implied warranty of *
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the files *
* LICENSE.TXT and LICENSE-BSD.TXT for more details. *
* *
*************************************************************************/
module dCalcPointsDistance3
(
input CLK,
input [31:0] a1,
input [31:0] a2,
input [31:0] a3,
input [31:0] b1,
input [31:0] b2,
input [31:0] b3,
input RST,
output [31:0] res,
output reg out_rdy
);
wire CLK2;
wire [31:0] b1_neg;
wire [31:0] b2_neg;
wire [31:0] b3_neg;
wire [31:0] out_add1;
wire output_z_ack_wire;
wire done_add1;
wire input_a_ack_wire;
wire input_b_ack_wire;
wire [31:0] out_add2;
wire output_z_ack_wire1;
wire done_add2;
wire input_a_ack_wire1;
wire input_b_ack_wire1;
wire [31:0] out_add3;
wire output_z_ack_wire2;
wire done_add3;
wire input_a_ack_wire2;
wire input_b_ack_wire2;
wire out_rdy_calclen;
reg resetlen = 1'b1;
assign b1_neg = {~b1[31],b1[30:0]};
assign b2_neg = {~b2[31],b2[30:0]};
assign b3_neg = {~b3[31],b3[30:0]};
assign CLK2 = (done_add1 & done_add2 & done_add3) ? 1:0;
//jtag_debug jtag8(.in_debug(debugtest1));
//
//jtag_debug debug6(.in_debug(out_add2));
//
//jtag_debug debug9(.in_debug(out_add3));
add_comb add1 (
.clk_en ( 1'b1 ),
.clock ( CLK ),
.dataa ( a1 ),
.datab ( b1_neg ),
.result ( out_add1 ),
.reset(RST),
.done(done_add1)
);
add_comb add2 (
.clk_en ( 1'b1 ),
.clock ( CLK ),
.dataa ( a2 ),
.datab ( b2_neg ),
.result ( out_add2 ),
.reset(RST),
.done(done_add2)
);
add_comb add3 (
.clk_en ( 1'b1 ),
.clock ( CLK ),
.dataa ( a3 ),
.datab ( b3_neg ),
.result ( out_add3 ),
.reset(RST),
.done(done_add3)
);
dCalcVectorLength3 calcLen
(
.CLK(CLK),
.a1(out_add1),
.a2(out_add2),
.a3(out_add3),
.b1(out_add1),
.b2(out_add2),
.b3(out_add3),
.RST(resetlen),
.res(res),
.out_rdy(out_rdy_calclen)
);
reg [31:0] debugtest1;
reg debugtest2;
always @(posedge CLK2 or negedge RST or posedge out_rdy_calclen)
begin
if(RST == 1'b0)
begin
resetlen <= 1'b0;
out_rdy <= 1'b0;
end
else
begin
if(CLK2)
begin
resetlen <= 1'b1;
end
if(out_rdy_calclen)
begin
out_rdy <= 1'b1;
debugtest1 <= res;
end
end
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HVL__UDP_DLATCH_P_SYMBOL_V
`define SKY130_FD_SC_HVL__UDP_DLATCH_P_SYMBOL_V
/**
* udp_dlatch$P: D-latch, gated standard drive / active high
* (Q output UDP)
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hvl__udp_dlatch$P (
//# {{data|Data Signals}}
input D ,
output Q ,
//# {{clocks|Clocking}}
input GATE
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HVL__UDP_DLATCH_P_SYMBOL_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__FILL_DIODE_8_V
`define SKY130_FD_SC_HS__FILL_DIODE_8_V
/**
* fill_diode: Fill diode.
*
* Verilog wrapper for fill_diode with size of 8 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hs__fill_diode.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hs__fill_diode_8 (
VPWR,
VGND,
VPB ,
VNB
);
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_hs__fill_diode base (
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hs__fill_diode_8 ();
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_hs__fill_diode base ();
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HS__FILL_DIODE_8_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__SDFSTP_PP_BLACKBOX_V
`define SKY130_FD_SC_MS__SDFSTP_PP_BLACKBOX_V
/**
* sdfstp: Scan delay flop, inverted set, non-inverted clock,
* single output.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ms__sdfstp (
Q ,
CLK ,
D ,
SCD ,
SCE ,
SET_B,
VPWR ,
VGND ,
VPB ,
VNB
);
output Q ;
input CLK ;
input D ;
input SCD ;
input SCE ;
input SET_B;
input VPWR ;
input VGND ;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_MS__SDFSTP_PP_BLACKBOX_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__A2BB2O_1_V
`define SKY130_FD_SC_LS__A2BB2O_1_V
/**
* a2bb2o: 2-input AND, both inputs inverted, into first input, and
* 2-input AND into 2nd input of 2-input OR.
*
* X = ((!A1 & !A2) | (B1 & B2))
*
* Verilog wrapper for a2bb2o with size of 1 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_ls__a2bb2o.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_ls__a2bb2o_1 (
X ,
A1_N,
A2_N,
B1 ,
B2 ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A1_N;
input A2_N;
input B1 ;
input B2 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_ls__a2bb2o base (
.X(X),
.A1_N(A1_N),
.A2_N(A2_N),
.B1(B1),
.B2(B2),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_ls__a2bb2o_1 (
X ,
A1_N,
A2_N,
B1 ,
B2
);
output X ;
input A1_N;
input A2_N;
input B1 ;
input B2 ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_ls__a2bb2o base (
.X(X),
.A1_N(A1_N),
.A2_N(A2_N),
.B1(B1),
.B2(B2)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LS__A2BB2O_1_V
|
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
// Date : Thu May 25 21:06:44 2017
// Host : GILAMONSTER running 64-bit major release (build 9200)
// Command : write_verilog -force -mode funcsim
// C:/ZyboIP/examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_sim_netlist.v
// Design : system_ov7670_vga_0_0
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xc7z020clg484-1
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
(* CHECK_LICENSE_TYPE = "system_ov7670_vga_0_0,ov7670_vga,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "ov7670_vga,Vivado 2016.4" *)
(* NotValidForBitStream *)
module system_ov7670_vga_0_0
(clk_x2,
active,
data,
rgb);
input clk_x2;
(* x_interface_info = "xilinx.com:signal:clock:1.0 clk CLK" *) input active;
input [7:0]data;
output [15:0]rgb;
wire active;
wire clk_x2;
wire [7:0]data;
wire [15:0]rgb;
system_ov7670_vga_0_0_ov7670_vga U0
(.active(active),
.clk_x2(clk_x2),
.data(data),
.rgb(rgb));
endmodule
(* ORIG_REF_NAME = "ov7670_vga" *)
module system_ov7670_vga_0_0_ov7670_vga
(rgb,
active,
clk_x2,
data);
output [15:0]rgb;
input active;
input clk_x2;
input [7:0]data;
wire active;
wire clk_x2;
wire cycle;
wire [7:0]data;
wire \data_pair[15]_i_1_n_0 ;
wire \data_pair[7]_i_1_n_0 ;
wire \data_pair_reg_n_0_[0] ;
wire \data_pair_reg_n_0_[10] ;
wire \data_pair_reg_n_0_[11] ;
wire \data_pair_reg_n_0_[12] ;
wire \data_pair_reg_n_0_[13] ;
wire \data_pair_reg_n_0_[14] ;
wire \data_pair_reg_n_0_[15] ;
wire \data_pair_reg_n_0_[1] ;
wire \data_pair_reg_n_0_[2] ;
wire \data_pair_reg_n_0_[3] ;
wire \data_pair_reg_n_0_[4] ;
wire \data_pair_reg_n_0_[5] ;
wire \data_pair_reg_n_0_[6] ;
wire \data_pair_reg_n_0_[7] ;
wire \data_pair_reg_n_0_[8] ;
wire \data_pair_reg_n_0_[9] ;
wire [15:0]rgb;
wire rgb_regn_0_0;
FDRE #(
.INIT(1'b0))
cycle_reg
(.C(clk_x2),
.CE(1'b1),
.D(\data_pair[7]_i_1_n_0 ),
.Q(cycle),
.R(1'b0));
LUT2 #(
.INIT(4'h8))
\data_pair[15]_i_1
(.I0(cycle),
.I1(active),
.O(\data_pair[15]_i_1_n_0 ));
LUT2 #(
.INIT(4'h2))
\data_pair[7]_i_1
(.I0(active),
.I1(cycle),
.O(\data_pair[7]_i_1_n_0 ));
FDRE \data_pair_reg[0]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[0]),
.Q(\data_pair_reg_n_0_[0] ),
.R(1'b0));
FDRE \data_pair_reg[10]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[2]),
.Q(\data_pair_reg_n_0_[10] ),
.R(1'b0));
FDRE \data_pair_reg[11]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[3]),
.Q(\data_pair_reg_n_0_[11] ),
.R(1'b0));
FDRE \data_pair_reg[12]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[4]),
.Q(\data_pair_reg_n_0_[12] ),
.R(1'b0));
FDRE \data_pair_reg[13]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[5]),
.Q(\data_pair_reg_n_0_[13] ),
.R(1'b0));
FDRE \data_pair_reg[14]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[6]),
.Q(\data_pair_reg_n_0_[14] ),
.R(1'b0));
FDRE \data_pair_reg[15]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[7]),
.Q(\data_pair_reg_n_0_[15] ),
.R(1'b0));
FDRE \data_pair_reg[1]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[1]),
.Q(\data_pair_reg_n_0_[1] ),
.R(1'b0));
FDRE \data_pair_reg[2]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[2]),
.Q(\data_pair_reg_n_0_[2] ),
.R(1'b0));
FDRE \data_pair_reg[3]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[3]),
.Q(\data_pair_reg_n_0_[3] ),
.R(1'b0));
FDRE \data_pair_reg[4]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[4]),
.Q(\data_pair_reg_n_0_[4] ),
.R(1'b0));
FDRE \data_pair_reg[5]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[5]),
.Q(\data_pair_reg_n_0_[5] ),
.R(1'b0));
FDRE \data_pair_reg[6]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[6]),
.Q(\data_pair_reg_n_0_[6] ),
.R(1'b0));
FDRE \data_pair_reg[7]
(.C(clk_x2),
.CE(\data_pair[7]_i_1_n_0 ),
.D(data[7]),
.Q(\data_pair_reg_n_0_[7] ),
.R(1'b0));
FDRE \data_pair_reg[8]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[0]),
.Q(\data_pair_reg_n_0_[8] ),
.R(1'b0));
FDRE \data_pair_reg[9]
(.C(clk_x2),
.CE(\data_pair[15]_i_1_n_0 ),
.D(data[1]),
.Q(\data_pair_reg_n_0_[9] ),
.R(1'b0));
FDRE \rgb_reg[0]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[0] ),
.Q(rgb[0]),
.R(1'b0));
FDRE \rgb_reg[10]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[10] ),
.Q(rgb[10]),
.R(1'b0));
FDRE \rgb_reg[11]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[11] ),
.Q(rgb[11]),
.R(1'b0));
FDRE \rgb_reg[12]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[12] ),
.Q(rgb[12]),
.R(1'b0));
FDRE \rgb_reg[13]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[13] ),
.Q(rgb[13]),
.R(1'b0));
FDRE \rgb_reg[14]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[14] ),
.Q(rgb[14]),
.R(1'b0));
FDRE \rgb_reg[15]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[15] ),
.Q(rgb[15]),
.R(1'b0));
FDRE \rgb_reg[1]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[1] ),
.Q(rgb[1]),
.R(1'b0));
FDRE \rgb_reg[2]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[2] ),
.Q(rgb[2]),
.R(1'b0));
FDRE \rgb_reg[3]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[3] ),
.Q(rgb[3]),
.R(1'b0));
FDRE \rgb_reg[4]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[4] ),
.Q(rgb[4]),
.R(1'b0));
FDRE \rgb_reg[5]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[5] ),
.Q(rgb[5]),
.R(1'b0));
FDRE \rgb_reg[6]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[6] ),
.Q(rgb[6]),
.R(1'b0));
FDRE \rgb_reg[7]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[7] ),
.Q(rgb[7]),
.R(1'b0));
FDRE \rgb_reg[8]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[8] ),
.Q(rgb[8]),
.R(1'b0));
FDRE \rgb_reg[9]
(.C(rgb_regn_0_0),
.CE(cycle),
.D(\data_pair_reg_n_0_[9] ),
.Q(rgb[9]),
.R(1'b0));
LUT1 #(
.INIT(2'h1))
rgb_regi_0
(.I0(clk_x2),
.O(rgb_regn_0_0));
endmodule
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (weak1, weak0) GSR = GSR_int;
assign (weak1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
endmodule
`endif
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__NOR4B_FUNCTIONAL_PP_V
`define SKY130_FD_SC_HDLL__NOR4B_FUNCTIONAL_PP_V
/**
* nor4b: 4-input NOR, first input inverted.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hdll__udp_pwrgood_pp_pg.v"
`celldefine
module sky130_fd_sc_hdll__nor4b (
Y ,
A ,
B ,
C ,
D_N ,
VPWR,
VGND,
VPB ,
VNB
);
// Module ports
output Y ;
input A ;
input B ;
input C ;
input D_N ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
// Local signals
wire not0_out ;
wire nor0_out_Y ;
wire pwrgood_pp0_out_Y;
// Name Output Other arguments
not not0 (not0_out , D_N );
nor nor0 (nor0_out_Y , A, B, C, not0_out );
sky130_fd_sc_hdll__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_Y, nor0_out_Y, VPWR, VGND);
buf buf0 (Y , pwrgood_pp0_out_Y );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__NOR4B_FUNCTIONAL_PP_V |
`include "chip_6502_nodes.inc"
module LOGIC (
input [`NUM_NODES-1:0] i,
output [`NUM_NODES-1:0] o);
`include "logic.inc"
endmodule
module chip_6502 (
input clk, // FPGA clock
input phi, // 6502 clock
input res,
input so,
input rdy,
input nmi,
input irq,
input [7:0] dbi,
output [7:0] dbo,
output rw,
output sync,
output [15:0] ab);
// Node states
wire [`NUM_NODES-1:0] no;
reg [`NUM_NODES-1:0] ni, q;
initial q = `NUM_NODES'b0;
LOGIC logic_00 (.i(ni), .o(no));
always @ (posedge clk)
q <= no;
always @* begin
ni = q;
ni[`NODE_vcc ] = 1'b1;
ni[`NODE_vss ] = 1'b0;
ni[`NODE_res ] = res;
ni[`NODE_clk0] = phi;
ni[`NODE_so ] = so;
ni[`NODE_rdy ] = rdy;
ni[`NODE_nmi ] = nmi;
ni[`NODE_irq ] = irq;
{ni[`NODE_db7],ni[`NODE_db6],ni[`NODE_db5],ni[`NODE_db4],
ni[`NODE_db3],ni[`NODE_db2],ni[`NODE_db1],ni[`NODE_db0]} = dbi[7:0];
end
assign dbo[7:0] = {
no[`NODE_db7],no[`NODE_db6],no[`NODE_db5],no[`NODE_db4],
no[`NODE_db3],no[`NODE_db2],no[`NODE_db1],no[`NODE_db0]
};
assign ab[15:0] = {
no[`NODE_ab15], no[`NODE_ab14], no[`NODE_ab13], no[`NODE_ab12],
no[`NODE_ab11], no[`NODE_ab10], no[`NODE_ab9], no[`NODE_ab8],
no[`NODE_ab7], no[`NODE_ab6], no[`NODE_ab5], no[`NODE_ab4],
no[`NODE_ab3], no[`NODE_ab2], no[`NODE_ab1], no[`NODE_ab0]
};
assign rw = no[`NODE_rw];
assign sync = no[`NODE_sync];
endmodule
|
/**
* This is written by Zhiyang Ong
* and Andrew Mattheisen
*/
`timescale 1ns/100ps
/**
* `timescale time_unit base / precision base
*
* -Specifies the time units and precision for delays:
* -time_unit is the amount of time a delay of 1 represents.
* The time unit must be 1 10 or 100
* -base is the time base for each unit, ranging from seconds
* to femtoseconds, and must be: s ms us ns ps or fs
* -precision and base represent how many decimal points of
* precision to use relative to the time units.
*/
/**
* Testbench for creating a string of random numbers and storing
* them into a file named "testfile.bit"
*/
// IMPORTANT: To run this, try: ncverilog -f ee577bHw2q2.f +gui
module tb_acs();
// Declare integers
// Counter for the FOR loop enumeration
integer count;
// Multichannel descriptor for associated file that is opened
integer md_fopen;
// Randomly generated input data bit for the transmitter
reg data;
// Declare constants
// Maximum number of data bits in the stream
parameter max_amt_of_data = 8'd255;
/**
* Initial block start executing sequentially @ t=0
* If and when a delay is encountered, the execution of this block
* pauses or waits until the delay time has passed, before resuming
* execution
*
* Each intial or always block executes concurrently; that is,
* multiple "always" or "initial" blocks will execute simultaneously
*
* E.g.
* always
* begin
* #10 clk_50 = ~clk_50; // Invert clock signal every 10 ns
* // Clock signal has a period of 20 ns or 50 MHz
* end
*/
initial
begin
// "$time" indicates the current time in the simulation
$display(" << Starting the simulation >>");
/**
* $display automatically adds a newline character to
* the end of its output, whereas the $write task does not.
*
* Any null parameter produces a single space character
* in the display. (A null parameter is characterized by
* two adjacent commas in the parameter list.)
*
*
*/
// Open a file channel descriptor for writing
md_fopen=$fopen("testfile.bit");
/**
* Assert that the file can be opened for read/write
* operations; else, end the simulation/execution
*/
if (md_fopen == 0) $finish;
// Write a stream of 256 bits to the output file
for(count=0; count<max_amt_of_data; count=count+1)
begin
/**
* Assign the input data bit to a randomly
* generated number
*/
data = $random;
//$fwrite(md_fopen,data);
$fdisplay(md_fopen,data);
end
/**
* Assign the last input data bit to a randomly
* generated number
*/
data = $random;
$fdisplay(md_fopen,data);
// Close a file channel descriptor for writing
$fclose(md_fopen);
#20;
$display(" << Finishing the simulation >>");
$finish;
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_IO__TOP_GROUND_HVC_WPAD_BLACKBOX_V
`define SKY130_FD_IO__TOP_GROUND_HVC_WPAD_BLACKBOX_V
/**
* top_ground_hvc_wpad: Ground pad.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_io__top_ground_hvc_wpad (
G_PAD ,
AMUXBUS_A,
AMUXBUS_B
);
inout G_PAD ;
inout AMUXBUS_A;
inout AMUXBUS_B;
// Voltage supply signals
supply1 OGC_HVC ;
supply1 DRN_HVC ;
supply0 SRC_BDY_HVC;
supply0 G_CORE ;
supply1 VDDIO ;
supply1 VDDIO_Q ;
supply1 VDDA ;
supply1 VCCD ;
supply1 VSWITCH ;
supply1 VCCHIB ;
supply0 VSSA ;
supply0 VSSD ;
supply0 VSSIO_Q ;
supply0 VSSIO ;
endmodule
`default_nettype wire
`endif // SKY130_FD_IO__TOP_GROUND_HVC_WPAD_BLACKBOX_V
|
/* Generated by Yosys 0.8 (git sha1 UNKNOWN, clang 10.0.0 -fPIC -Os) */
(* top = 1 *)
(* src = "/Users/tramyn/Documents/workspaces/cello_workspace/yosysRun/evenzeroes.v:4" *)
module bit1_bit0_parity1_parity0_ez_instance__state_net(bit1, bit0, parity1, parity0, ez_instance__state);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
(* src = "/Users/tramyn/Documents/workspaces/cello_workspace/yosysRun/evenzeroes.v:7" *)
input bit0;
(* src = "/Users/tramyn/Documents/workspaces/cello_workspace/yosysRun/evenzeroes.v:6" *)
input bit1;
(* src = "/Users/tramyn/Documents/workspaces/cello_workspace/yosysRun/evenzeroes.v:10" *)
output ez_instance__state;
(* src = "/Users/tramyn/Documents/workspaces/cello_workspace/yosysRun/evenzeroes.v:9" *)
output parity0;
(* src = "/Users/tramyn/Documents/workspaces/cello_workspace/yosysRun/evenzeroes.v:8" *)
output parity1;
assign ez_instance__state = ~(_04_ | _10_);
assign _11_ = ~(_14_ | ez_instance__state);
assign _12_ = ~(parity1 | _05_);
assign _13_ = ~(_00_ | _12_);
assign _02_ = ~(_11_ | _13_);
assign parity1 = ~_02_;
assign _14_ = ~bit1;
assign _00_ = ~bit0;
assign _01_ = ~ez_instance__state;
assign _03_ = ~(_14_ | _01_);
assign _04_ = ~(parity0 | _02_);
assign _05_ = ~(_01_ | parity0);
assign _06_ = ~(_00_ | _05_);
assign _07_ = ~_06_;
assign _08_ = ~(_04_ | _07_);
assign _09_ = ~(_03_ | _08_);
assign parity0 = ~_09_;
assign _10_ = ~(ez_instance__state | parity0);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__NAND2B_4_V
`define SKY130_FD_SC_HD__NAND2B_4_V
/**
* nand2b: 2-input NAND, first input inverted.
*
* Verilog wrapper for nand2b with size of 4 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__nand2b.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hd__nand2b_4 (
Y ,
A_N ,
B ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A_N ;
input B ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_hd__nand2b base (
.Y(Y),
.A_N(A_N),
.B(B),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hd__nand2b_4 (
Y ,
A_N,
B
);
output Y ;
input A_N;
input B ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_hd__nand2b base (
.Y(Y),
.A_N(A_N),
.B(B)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HD__NAND2B_4_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__SDFBBP_BLACKBOX_V
`define SKY130_FD_SC_HDLL__SDFBBP_BLACKBOX_V
/**
* sdfbbp: Scan delay flop, inverted set, inverted reset, non-inverted
* clock, complementary outputs.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__sdfbbp (
Q ,
Q_N ,
D ,
SCD ,
SCE ,
CLK ,
SET_B ,
RESET_B
);
output Q ;
output Q_N ;
input D ;
input SCD ;
input SCE ;
input CLK ;
input SET_B ;
input RESET_B;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__SDFBBP_BLACKBOX_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__NAND3_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND3_FUNCTIONAL_V
/**
* nand3: 3-input NAND.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_lp__nand3 (
Y,
A,
B,
C
);
// Module ports
output Y;
input A;
input B;
input C;
// Local signals
wire nand0_out_Y;
// Name Output Other arguments
nand nand0 (nand0_out_Y, B, A, C );
buf buf0 (Y , nand0_out_Y );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__NAND3_FUNCTIONAL_V |
//*****************************************************************************
// (c) Copyright 2009 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//*****************************************************************************
//Device: Spartan6
//Design Name: DDR/DDR2/DDR3/LPDDR
//Purpose:
//Reference:
// This module instantiates the AXI bridges
//
//*****************************************************************************
`timescale 1ps / 1ps
module mcb_ui_top #
(
///////////////////////////////////////////////////////////////////////////////
// Parameter Definitions
///////////////////////////////////////////////////////////////////////////////
// Raw Wrapper Parameters
parameter C_MEMCLK_PERIOD = 2500,
parameter C_PORT_ENABLE = 6'b111111,
parameter C_MEM_ADDR_ORDER = "BANK_ROW_COLUMN",
parameter C_ARB_ALGORITHM = 0,
parameter C_ARB_NUM_TIME_SLOTS = 12,
parameter C_ARB_TIME_SLOT_0 = 18'o012345,
parameter C_ARB_TIME_SLOT_1 = 18'o123450,
parameter C_ARB_TIME_SLOT_2 = 18'o234501,
parameter C_ARB_TIME_SLOT_3 = 18'o345012,
parameter C_ARB_TIME_SLOT_4 = 18'o450123,
parameter C_ARB_TIME_SLOT_5 = 18'o501234,
parameter C_ARB_TIME_SLOT_6 = 18'o012345,
parameter C_ARB_TIME_SLOT_7 = 18'o123450,
parameter C_ARB_TIME_SLOT_8 = 18'o234501,
parameter C_ARB_TIME_SLOT_9 = 18'o345012,
parameter C_ARB_TIME_SLOT_10 = 18'o450123,
parameter C_ARB_TIME_SLOT_11 = 18'o501234,
parameter C_PORT_CONFIG = "B128",
parameter C_MEM_TRAS = 45000,
parameter C_MEM_TRCD = 12500,
parameter C_MEM_TREFI = 7800,
parameter C_MEM_TRFC = 127500,
parameter C_MEM_TRP = 12500,
parameter C_MEM_TWR = 15000,
parameter C_MEM_TRTP = 7500,
parameter C_MEM_TWTR = 7500,
parameter C_NUM_DQ_PINS = 8,
parameter C_MEM_TYPE = "DDR3",
parameter C_MEM_DENSITY = "512M",
parameter C_MEM_BURST_LEN = 8,
parameter C_MEM_CAS_LATENCY = 4,
parameter C_MEM_ADDR_WIDTH = 13,
parameter C_MEM_BANKADDR_WIDTH = 3,
parameter C_MEM_NUM_COL_BITS = 11,
parameter C_MEM_DDR3_CAS_LATENCY = 7,
parameter C_MEM_MOBILE_PA_SR = "FULL",
parameter C_MEM_DDR1_2_ODS = "FULL",
parameter C_MEM_DDR3_ODS = "DIV6",
parameter C_MEM_DDR2_RTT = "50OHMS",
parameter C_MEM_DDR3_RTT = "DIV2",
parameter C_MEM_MDDR_ODS = "FULL",
parameter C_MEM_DDR2_DIFF_DQS_EN = "YES",
parameter C_MEM_DDR2_3_PA_SR = "OFF",
parameter C_MEM_DDR3_CAS_WR_LATENCY = 5,
parameter C_MEM_DDR3_AUTO_SR = "ENABLED",
parameter C_MEM_DDR2_3_HIGH_TEMP_SR = "NORMAL",
parameter C_MEM_DDR3_DYN_WRT_ODT = "OFF",
parameter C_MEM_TZQINIT_MAXCNT = 10'd512,
parameter C_MC_CALIB_BYPASS = "NO",
parameter C_MC_CALIBRATION_RA = 15'h0000,
parameter C_MC_CALIBRATION_BA = 3'h0,
parameter C_CALIB_SOFT_IP = "TRUE",
parameter C_SKIP_IN_TERM_CAL = 1'b0,
parameter C_SKIP_DYNAMIC_CAL = 1'b0,
parameter C_SKIP_DYN_IN_TERM = 1'b1,
parameter LDQSP_TAP_DELAY_VAL = 0,
parameter UDQSP_TAP_DELAY_VAL = 0,
parameter LDQSN_TAP_DELAY_VAL = 0,
parameter UDQSN_TAP_DELAY_VAL = 0,
parameter DQ0_TAP_DELAY_VAL = 0,
parameter DQ1_TAP_DELAY_VAL = 0,
parameter DQ2_TAP_DELAY_VAL = 0,
parameter DQ3_TAP_DELAY_VAL = 0,
parameter DQ4_TAP_DELAY_VAL = 0,
parameter DQ5_TAP_DELAY_VAL = 0,
parameter DQ6_TAP_DELAY_VAL = 0,
parameter DQ7_TAP_DELAY_VAL = 0,
parameter DQ8_TAP_DELAY_VAL = 0,
parameter DQ9_TAP_DELAY_VAL = 0,
parameter DQ10_TAP_DELAY_VAL = 0,
parameter DQ11_TAP_DELAY_VAL = 0,
parameter DQ12_TAP_DELAY_VAL = 0,
parameter DQ13_TAP_DELAY_VAL = 0,
parameter DQ14_TAP_DELAY_VAL = 0,
parameter DQ15_TAP_DELAY_VAL = 0,
parameter C_MC_CALIBRATION_CA = 12'h000,
parameter C_MC_CALIBRATION_CLK_DIV = 1,
parameter C_MC_CALIBRATION_MODE = "CALIBRATION",
parameter C_MC_CALIBRATION_DELAY = "HALF",
parameter C_SIMULATION = "FALSE",
parameter C_P0_MASK_SIZE = 4,
parameter C_P0_DATA_PORT_SIZE = 32,
parameter C_P1_MASK_SIZE = 4,
parameter C_P1_DATA_PORT_SIZE = 32,
parameter integer C_MCB_USE_EXTERNAL_BUFPLL = 1,
// AXI Parameters
parameter C_S0_AXI_BASEADDR = 32'h00000000,
parameter C_S0_AXI_HIGHADDR = 32'h00000000,
parameter integer C_S0_AXI_ENABLE = 0,
parameter integer C_S0_AXI_ID_WIDTH = 4,
parameter integer C_S0_AXI_ADDR_WIDTH = 64,
parameter integer C_S0_AXI_DATA_WIDTH = 32,
parameter integer C_S0_AXI_SUPPORTS_READ = 1,
parameter integer C_S0_AXI_SUPPORTS_WRITE = 1,
parameter integer C_S0_AXI_SUPPORTS_NARROW_BURST = 1,
parameter C_S0_AXI_REG_EN0 = 20'h00000,
parameter C_S0_AXI_REG_EN1 = 20'h01000,
parameter integer C_S0_AXI_STRICT_COHERENCY = 1,
parameter integer C_S0_AXI_ENABLE_AP = 0,
parameter C_S1_AXI_BASEADDR = 32'h00000000,
parameter C_S1_AXI_HIGHADDR = 32'h00000000,
parameter integer C_S1_AXI_ENABLE = 0,
parameter integer C_S1_AXI_ID_WIDTH = 4,
parameter integer C_S1_AXI_ADDR_WIDTH = 64,
parameter integer C_S1_AXI_DATA_WIDTH = 32,
parameter integer C_S1_AXI_SUPPORTS_READ = 1,
parameter integer C_S1_AXI_SUPPORTS_WRITE = 1,
parameter integer C_S1_AXI_SUPPORTS_NARROW_BURST = 1,
parameter C_S1_AXI_REG_EN0 = 20'h00000,
parameter C_S1_AXI_REG_EN1 = 20'h01000,
parameter integer C_S1_AXI_STRICT_COHERENCY = 1,
parameter integer C_S1_AXI_ENABLE_AP = 0,
parameter C_S2_AXI_BASEADDR = 32'h00000000,
parameter C_S2_AXI_HIGHADDR = 32'h00000000,
parameter integer C_S2_AXI_ENABLE = 0,
parameter integer C_S2_AXI_ID_WIDTH = 4,
parameter integer C_S2_AXI_ADDR_WIDTH = 64,
parameter integer C_S2_AXI_DATA_WIDTH = 32,
parameter integer C_S2_AXI_SUPPORTS_READ = 1,
parameter integer C_S2_AXI_SUPPORTS_WRITE = 1,
parameter integer C_S2_AXI_SUPPORTS_NARROW_BURST = 1,
parameter C_S2_AXI_REG_EN0 = 20'h00000,
parameter C_S2_AXI_REG_EN1 = 20'h01000,
parameter integer C_S2_AXI_STRICT_COHERENCY = 1,
parameter integer C_S2_AXI_ENABLE_AP = 0,
parameter C_S3_AXI_BASEADDR = 32'h00000000,
parameter C_S3_AXI_HIGHADDR = 32'h00000000,
parameter integer C_S3_AXI_ENABLE = 0,
parameter integer C_S3_AXI_ID_WIDTH = 4,
parameter integer C_S3_AXI_ADDR_WIDTH = 64,
parameter integer C_S3_AXI_DATA_WIDTH = 32,
parameter integer C_S3_AXI_SUPPORTS_READ = 1,
parameter integer C_S3_AXI_SUPPORTS_WRITE = 1,
parameter integer C_S3_AXI_SUPPORTS_NARROW_BURST = 1,
parameter C_S3_AXI_REG_EN0 = 20'h00000,
parameter C_S3_AXI_REG_EN1 = 20'h01000,
parameter integer C_S3_AXI_STRICT_COHERENCY = 1,
parameter integer C_S3_AXI_ENABLE_AP = 0,
parameter C_S4_AXI_BASEADDR = 32'h00000000,
parameter C_S4_AXI_HIGHADDR = 32'h00000000,
parameter integer C_S4_AXI_ENABLE = 0,
parameter integer C_S4_AXI_ID_WIDTH = 4,
parameter integer C_S4_AXI_ADDR_WIDTH = 64,
parameter integer C_S4_AXI_DATA_WIDTH = 32,
parameter integer C_S4_AXI_SUPPORTS_READ = 1,
parameter integer C_S4_AXI_SUPPORTS_WRITE = 1,
parameter integer C_S4_AXI_SUPPORTS_NARROW_BURST = 1,
parameter C_S4_AXI_REG_EN0 = 20'h00000,
parameter C_S4_AXI_REG_EN1 = 20'h01000,
parameter integer C_S4_AXI_STRICT_COHERENCY = 1,
parameter integer C_S4_AXI_ENABLE_AP = 0,
parameter C_S5_AXI_BASEADDR = 32'h00000000,
parameter C_S5_AXI_HIGHADDR = 32'h00000000,
parameter integer C_S5_AXI_ENABLE = 0,
parameter integer C_S5_AXI_ID_WIDTH = 4,
parameter integer C_S5_AXI_ADDR_WIDTH = 64,
parameter integer C_S5_AXI_DATA_WIDTH = 32,
parameter integer C_S5_AXI_SUPPORTS_READ = 1,
parameter integer C_S5_AXI_SUPPORTS_WRITE = 1,
parameter integer C_S5_AXI_SUPPORTS_NARROW_BURST = 1,
parameter C_S5_AXI_REG_EN0 = 20'h00000,
parameter C_S5_AXI_REG_EN1 = 20'h01000,
parameter integer C_S5_AXI_STRICT_COHERENCY = 1,
parameter integer C_S5_AXI_ENABLE_AP = 0
)
(
///////////////////////////////////////////////////////////////////////////////
// Port Declarations
///////////////////////////////////////////////////////////////////////////////
// Raw Wrapper Signals
input sysclk_2x ,
input sysclk_2x_180 ,
input pll_ce_0 ,
input pll_ce_90 ,
output sysclk_2x_bufpll_o ,
output sysclk_2x_180_bufpll_o,
output pll_ce_0_bufpll_o ,
output pll_ce_90_bufpll_o ,
output pll_lock_bufpll_o ,
input pll_lock ,
input sys_rst ,
input p0_arb_en ,
input p0_cmd_clk ,
input p0_cmd_en ,
input [2:0] p0_cmd_instr ,
input [5:0] p0_cmd_bl ,
input [29:0] p0_cmd_byte_addr ,
output p0_cmd_empty ,
output p0_cmd_full ,
input p0_wr_clk ,
input p0_wr_en ,
input [C_P0_MASK_SIZE-1:0] p0_wr_mask ,
input [C_P0_DATA_PORT_SIZE-1:0] p0_wr_data ,
output p0_wr_full ,
output p0_wr_empty ,
output [6:0] p0_wr_count ,
output p0_wr_underrun ,
output p0_wr_error ,
input p0_rd_clk ,
input p0_rd_en ,
output [C_P0_DATA_PORT_SIZE-1:0] p0_rd_data ,
output p0_rd_full ,
output p0_rd_empty ,
output [6:0] p0_rd_count ,
output p0_rd_overflow ,
output p0_rd_error ,
input p1_arb_en ,
input p1_cmd_clk ,
input p1_cmd_en ,
input [2:0] p1_cmd_instr ,
input [5:0] p1_cmd_bl ,
input [29:0] p1_cmd_byte_addr ,
output p1_cmd_empty ,
output p1_cmd_full ,
input p1_wr_clk ,
input p1_wr_en ,
input [C_P1_MASK_SIZE-1:0] p1_wr_mask ,
input [C_P1_DATA_PORT_SIZE-1:0] p1_wr_data ,
output p1_wr_full ,
output p1_wr_empty ,
output [6:0] p1_wr_count ,
output p1_wr_underrun ,
output p1_wr_error ,
input p1_rd_clk ,
input p1_rd_en ,
output [C_P1_DATA_PORT_SIZE-1:0] p1_rd_data ,
output p1_rd_full ,
output p1_rd_empty ,
output [6:0] p1_rd_count ,
output p1_rd_overflow ,
output p1_rd_error ,
input p2_arb_en ,
input p2_cmd_clk ,
input p2_cmd_en ,
input [2:0] p2_cmd_instr ,
input [5:0] p2_cmd_bl ,
input [29:0] p2_cmd_byte_addr ,
output p2_cmd_empty ,
output p2_cmd_full ,
input p2_wr_clk ,
input p2_wr_en ,
input [3:0] p2_wr_mask ,
input [31:0] p2_wr_data ,
output p2_wr_full ,
output p2_wr_empty ,
output [6:0] p2_wr_count ,
output p2_wr_underrun ,
output p2_wr_error ,
input p2_rd_clk ,
input p2_rd_en ,
output [31:0] p2_rd_data ,
output p2_rd_full ,
output p2_rd_empty ,
output [6:0] p2_rd_count ,
output p2_rd_overflow ,
output p2_rd_error ,
input p3_arb_en ,
input p3_cmd_clk ,
input p3_cmd_en ,
input [2:0] p3_cmd_instr ,
input [5:0] p3_cmd_bl ,
input [29:0] p3_cmd_byte_addr ,
output p3_cmd_empty ,
output p3_cmd_full ,
input p3_wr_clk ,
input p3_wr_en ,
input [3:0] p3_wr_mask ,
input [31:0] p3_wr_data ,
output p3_wr_full ,
output p3_wr_empty ,
output [6:0] p3_wr_count ,
output p3_wr_underrun ,
output p3_wr_error ,
input p3_rd_clk ,
input p3_rd_en ,
output [31:0] p3_rd_data ,
output p3_rd_full ,
output p3_rd_empty ,
output [6:0] p3_rd_count ,
output p3_rd_overflow ,
output p3_rd_error ,
input p4_arb_en ,
input p4_cmd_clk ,
input p4_cmd_en ,
input [2:0] p4_cmd_instr ,
input [5:0] p4_cmd_bl ,
input [29:0] p4_cmd_byte_addr ,
output p4_cmd_empty ,
output p4_cmd_full ,
input p4_wr_clk ,
input p4_wr_en ,
input [3:0] p4_wr_mask ,
input [31:0] p4_wr_data ,
output p4_wr_full ,
output p4_wr_empty ,
output [6:0] p4_wr_count ,
output p4_wr_underrun ,
output p4_wr_error ,
input p4_rd_clk ,
input p4_rd_en ,
output [31:0] p4_rd_data ,
output p4_rd_full ,
output p4_rd_empty ,
output [6:0] p4_rd_count ,
output p4_rd_overflow ,
output p4_rd_error ,
input p5_arb_en ,
input p5_cmd_clk ,
input p5_cmd_en ,
input [2:0] p5_cmd_instr ,
input [5:0] p5_cmd_bl ,
input [29:0] p5_cmd_byte_addr ,
output p5_cmd_empty ,
output p5_cmd_full ,
input p5_wr_clk ,
input p5_wr_en ,
input [3:0] p5_wr_mask ,
input [31:0] p5_wr_data ,
output p5_wr_full ,
output p5_wr_empty ,
output [6:0] p5_wr_count ,
output p5_wr_underrun ,
output p5_wr_error ,
input p5_rd_clk ,
input p5_rd_en ,
output [31:0] p5_rd_data ,
output p5_rd_full ,
output p5_rd_empty ,
output [6:0] p5_rd_count ,
output p5_rd_overflow ,
output p5_rd_error ,
output [C_MEM_ADDR_WIDTH-1:0] mcbx_dram_addr ,
output [C_MEM_BANKADDR_WIDTH-1:0] mcbx_dram_ba ,
output mcbx_dram_ras_n ,
output mcbx_dram_cas_n ,
output mcbx_dram_we_n ,
output mcbx_dram_cke ,
output mcbx_dram_clk ,
output mcbx_dram_clk_n ,
inout [C_NUM_DQ_PINS-1:0] mcbx_dram_dq ,
inout mcbx_dram_dqs ,
inout mcbx_dram_dqs_n ,
inout mcbx_dram_udqs ,
inout mcbx_dram_udqs_n ,
output mcbx_dram_udm ,
output mcbx_dram_ldm ,
output mcbx_dram_odt ,
output mcbx_dram_ddr3_rst ,
input calib_recal ,
inout rzq ,
inout zio ,
input ui_read ,
input ui_add ,
input ui_cs ,
input ui_clk ,
input ui_sdi ,
input [4:0] ui_addr ,
input ui_broadcast ,
input ui_drp_update ,
input ui_done_cal ,
input ui_cmd ,
input ui_cmd_in ,
input ui_cmd_en ,
input [3:0] ui_dqcount ,
input ui_dq_lower_dec ,
input ui_dq_lower_inc ,
input ui_dq_upper_dec ,
input ui_dq_upper_inc ,
input ui_udqs_inc ,
input ui_udqs_dec ,
input ui_ldqs_inc ,
input ui_ldqs_dec ,
output [7:0] uo_data ,
output uo_data_valid ,
output uo_done_cal ,
output uo_cmd_ready_in ,
output uo_refrsh_flag ,
output uo_cal_start ,
output uo_sdo ,
output [31:0] status ,
input selfrefresh_enter ,
output selfrefresh_mode ,
// AXI Signals
input wire s0_axi_aclk ,
input wire s0_axi_aresetn ,
input wire [C_S0_AXI_ID_WIDTH-1:0] s0_axi_awid ,
input wire [C_S0_AXI_ADDR_WIDTH-1:0] s0_axi_awaddr ,
input wire [7:0] s0_axi_awlen ,
input wire [2:0] s0_axi_awsize ,
input wire [1:0] s0_axi_awburst ,
input wire [0:0] s0_axi_awlock ,
input wire [3:0] s0_axi_awcache ,
input wire [2:0] s0_axi_awprot ,
input wire [3:0] s0_axi_awqos ,
input wire s0_axi_awvalid ,
output wire s0_axi_awready ,
input wire [C_S0_AXI_DATA_WIDTH-1:0] s0_axi_wdata ,
input wire [C_S0_AXI_DATA_WIDTH/8-1:0] s0_axi_wstrb ,
input wire s0_axi_wlast ,
input wire s0_axi_wvalid ,
output wire s0_axi_wready ,
output wire [C_S0_AXI_ID_WIDTH-1:0] s0_axi_bid ,
output wire [1:0] s0_axi_bresp ,
output wire s0_axi_bvalid ,
input wire s0_axi_bready ,
input wire [C_S0_AXI_ID_WIDTH-1:0] s0_axi_arid ,
input wire [C_S0_AXI_ADDR_WIDTH-1:0] s0_axi_araddr ,
input wire [7:0] s0_axi_arlen ,
input wire [2:0] s0_axi_arsize ,
input wire [1:0] s0_axi_arburst ,
input wire [0:0] s0_axi_arlock ,
input wire [3:0] s0_axi_arcache ,
input wire [2:0] s0_axi_arprot ,
input wire [3:0] s0_axi_arqos ,
input wire s0_axi_arvalid ,
output wire s0_axi_arready ,
output wire [C_S0_AXI_ID_WIDTH-1:0] s0_axi_rid ,
output wire [C_S0_AXI_DATA_WIDTH-1:0] s0_axi_rdata ,
output wire [1:0] s0_axi_rresp ,
output wire s0_axi_rlast ,
output wire s0_axi_rvalid ,
input wire s0_axi_rready ,
input wire s1_axi_aclk ,
input wire s1_axi_aresetn ,
input wire [C_S1_AXI_ID_WIDTH-1:0] s1_axi_awid ,
input wire [C_S1_AXI_ADDR_WIDTH-1:0] s1_axi_awaddr ,
input wire [7:0] s1_axi_awlen ,
input wire [2:0] s1_axi_awsize ,
input wire [1:0] s1_axi_awburst ,
input wire [0:0] s1_axi_awlock ,
input wire [3:0] s1_axi_awcache ,
input wire [2:0] s1_axi_awprot ,
input wire [3:0] s1_axi_awqos ,
input wire s1_axi_awvalid ,
output wire s1_axi_awready ,
input wire [C_S1_AXI_DATA_WIDTH-1:0] s1_axi_wdata ,
input wire [C_S1_AXI_DATA_WIDTH/8-1:0] s1_axi_wstrb ,
input wire s1_axi_wlast ,
input wire s1_axi_wvalid ,
output wire s1_axi_wready ,
output wire [C_S1_AXI_ID_WIDTH-1:0] s1_axi_bid ,
output wire [1:0] s1_axi_bresp ,
output wire s1_axi_bvalid ,
input wire s1_axi_bready ,
input wire [C_S1_AXI_ID_WIDTH-1:0] s1_axi_arid ,
input wire [C_S1_AXI_ADDR_WIDTH-1:0] s1_axi_araddr ,
input wire [7:0] s1_axi_arlen ,
input wire [2:0] s1_axi_arsize ,
input wire [1:0] s1_axi_arburst ,
input wire [0:0] s1_axi_arlock ,
input wire [3:0] s1_axi_arcache ,
input wire [2:0] s1_axi_arprot ,
input wire [3:0] s1_axi_arqos ,
input wire s1_axi_arvalid ,
output wire s1_axi_arready ,
output wire [C_S1_AXI_ID_WIDTH-1:0] s1_axi_rid ,
output wire [C_S1_AXI_DATA_WIDTH-1:0] s1_axi_rdata ,
output wire [1:0] s1_axi_rresp ,
output wire s1_axi_rlast ,
output wire s1_axi_rvalid ,
input wire s1_axi_rready ,
input wire s2_axi_aclk ,
input wire s2_axi_aresetn ,
input wire [C_S2_AXI_ID_WIDTH-1:0] s2_axi_awid ,
input wire [C_S2_AXI_ADDR_WIDTH-1:0] s2_axi_awaddr ,
input wire [7:0] s2_axi_awlen ,
input wire [2:0] s2_axi_awsize ,
input wire [1:0] s2_axi_awburst ,
input wire [0:0] s2_axi_awlock ,
input wire [3:0] s2_axi_awcache ,
input wire [2:0] s2_axi_awprot ,
input wire [3:0] s2_axi_awqos ,
input wire s2_axi_awvalid ,
output wire s2_axi_awready ,
input wire [C_S2_AXI_DATA_WIDTH-1:0] s2_axi_wdata ,
input wire [C_S2_AXI_DATA_WIDTH/8-1:0] s2_axi_wstrb ,
input wire s2_axi_wlast ,
input wire s2_axi_wvalid ,
output wire s2_axi_wready ,
output wire [C_S2_AXI_ID_WIDTH-1:0] s2_axi_bid ,
output wire [1:0] s2_axi_bresp ,
output wire s2_axi_bvalid ,
input wire s2_axi_bready ,
input wire [C_S2_AXI_ID_WIDTH-1:0] s2_axi_arid ,
input wire [C_S2_AXI_ADDR_WIDTH-1:0] s2_axi_araddr ,
input wire [7:0] s2_axi_arlen ,
input wire [2:0] s2_axi_arsize ,
input wire [1:0] s2_axi_arburst ,
input wire [0:0] s2_axi_arlock ,
input wire [3:0] s2_axi_arcache ,
input wire [2:0] s2_axi_arprot ,
input wire [3:0] s2_axi_arqos ,
input wire s2_axi_arvalid ,
output wire s2_axi_arready ,
output wire [C_S2_AXI_ID_WIDTH-1:0] s2_axi_rid ,
output wire [C_S2_AXI_DATA_WIDTH-1:0] s2_axi_rdata ,
output wire [1:0] s2_axi_rresp ,
output wire s2_axi_rlast ,
output wire s2_axi_rvalid ,
input wire s2_axi_rready ,
input wire s3_axi_aclk ,
input wire s3_axi_aresetn ,
input wire [C_S3_AXI_ID_WIDTH-1:0] s3_axi_awid ,
input wire [C_S3_AXI_ADDR_WIDTH-1:0] s3_axi_awaddr ,
input wire [7:0] s3_axi_awlen ,
input wire [2:0] s3_axi_awsize ,
input wire [1:0] s3_axi_awburst ,
input wire [0:0] s3_axi_awlock ,
input wire [3:0] s3_axi_awcache ,
input wire [2:0] s3_axi_awprot ,
input wire [3:0] s3_axi_awqos ,
input wire s3_axi_awvalid ,
output wire s3_axi_awready ,
input wire [C_S3_AXI_DATA_WIDTH-1:0] s3_axi_wdata ,
input wire [C_S3_AXI_DATA_WIDTH/8-1:0] s3_axi_wstrb ,
input wire s3_axi_wlast ,
input wire s3_axi_wvalid ,
output wire s3_axi_wready ,
output wire [C_S3_AXI_ID_WIDTH-1:0] s3_axi_bid ,
output wire [1:0] s3_axi_bresp ,
output wire s3_axi_bvalid ,
input wire s3_axi_bready ,
input wire [C_S3_AXI_ID_WIDTH-1:0] s3_axi_arid ,
input wire [C_S3_AXI_ADDR_WIDTH-1:0] s3_axi_araddr ,
input wire [7:0] s3_axi_arlen ,
input wire [2:0] s3_axi_arsize ,
input wire [1:0] s3_axi_arburst ,
input wire [0:0] s3_axi_arlock ,
input wire [3:0] s3_axi_arcache ,
input wire [2:0] s3_axi_arprot ,
input wire [3:0] s3_axi_arqos ,
input wire s3_axi_arvalid ,
output wire s3_axi_arready ,
output wire [C_S3_AXI_ID_WIDTH-1:0] s3_axi_rid ,
output wire [C_S3_AXI_DATA_WIDTH-1:0] s3_axi_rdata ,
output wire [1:0] s3_axi_rresp ,
output wire s3_axi_rlast ,
output wire s3_axi_rvalid ,
input wire s3_axi_rready ,
input wire s4_axi_aclk ,
input wire s4_axi_aresetn ,
input wire [C_S4_AXI_ID_WIDTH-1:0] s4_axi_awid ,
input wire [C_S4_AXI_ADDR_WIDTH-1:0] s4_axi_awaddr ,
input wire [7:0] s4_axi_awlen ,
input wire [2:0] s4_axi_awsize ,
input wire [1:0] s4_axi_awburst ,
input wire [0:0] s4_axi_awlock ,
input wire [3:0] s4_axi_awcache ,
input wire [2:0] s4_axi_awprot ,
input wire [3:0] s4_axi_awqos ,
input wire s4_axi_awvalid ,
output wire s4_axi_awready ,
input wire [C_S4_AXI_DATA_WIDTH-1:0] s4_axi_wdata ,
input wire [C_S4_AXI_DATA_WIDTH/8-1:0] s4_axi_wstrb ,
input wire s4_axi_wlast ,
input wire s4_axi_wvalid ,
output wire s4_axi_wready ,
output wire [C_S4_AXI_ID_WIDTH-1:0] s4_axi_bid ,
output wire [1:0] s4_axi_bresp ,
output wire s4_axi_bvalid ,
input wire s4_axi_bready ,
input wire [C_S4_AXI_ID_WIDTH-1:0] s4_axi_arid ,
input wire [C_S4_AXI_ADDR_WIDTH-1:0] s4_axi_araddr ,
input wire [7:0] s4_axi_arlen ,
input wire [2:0] s4_axi_arsize ,
input wire [1:0] s4_axi_arburst ,
input wire [0:0] s4_axi_arlock ,
input wire [3:0] s4_axi_arcache ,
input wire [2:0] s4_axi_arprot ,
input wire [3:0] s4_axi_arqos ,
input wire s4_axi_arvalid ,
output wire s4_axi_arready ,
output wire [C_S4_AXI_ID_WIDTH-1:0] s4_axi_rid ,
output wire [C_S4_AXI_DATA_WIDTH-1:0] s4_axi_rdata ,
output wire [1:0] s4_axi_rresp ,
output wire s4_axi_rlast ,
output wire s4_axi_rvalid ,
input wire s4_axi_rready ,
input wire s5_axi_aclk ,
input wire s5_axi_aresetn ,
input wire [C_S5_AXI_ID_WIDTH-1:0] s5_axi_awid ,
input wire [C_S5_AXI_ADDR_WIDTH-1:0] s5_axi_awaddr ,
input wire [7:0] s5_axi_awlen ,
input wire [2:0] s5_axi_awsize ,
input wire [1:0] s5_axi_awburst ,
input wire [0:0] s5_axi_awlock ,
input wire [3:0] s5_axi_awcache ,
input wire [2:0] s5_axi_awprot ,
input wire [3:0] s5_axi_awqos ,
input wire s5_axi_awvalid ,
output wire s5_axi_awready ,
input wire [C_S5_AXI_DATA_WIDTH-1:0] s5_axi_wdata ,
input wire [C_S5_AXI_DATA_WIDTH/8-1:0] s5_axi_wstrb ,
input wire s5_axi_wlast ,
input wire s5_axi_wvalid ,
output wire s5_axi_wready ,
output wire [C_S5_AXI_ID_WIDTH-1:0] s5_axi_bid ,
output wire [1:0] s5_axi_bresp ,
output wire s5_axi_bvalid ,
input wire s5_axi_bready ,
input wire [C_S5_AXI_ID_WIDTH-1:0] s5_axi_arid ,
input wire [C_S5_AXI_ADDR_WIDTH-1:0] s5_axi_araddr ,
input wire [7:0] s5_axi_arlen ,
input wire [2:0] s5_axi_arsize ,
input wire [1:0] s5_axi_arburst ,
input wire [0:0] s5_axi_arlock ,
input wire [3:0] s5_axi_arcache ,
input wire [2:0] s5_axi_arprot ,
input wire [3:0] s5_axi_arqos ,
input wire s5_axi_arvalid ,
output wire s5_axi_arready ,
output wire [C_S5_AXI_ID_WIDTH-1:0] s5_axi_rid ,
output wire [C_S5_AXI_DATA_WIDTH-1:0] s5_axi_rdata ,
output wire [1:0] s5_axi_rresp ,
output wire s5_axi_rlast ,
output wire s5_axi_rvalid ,
input wire s5_axi_rready
);
////////////////////////////////////////////////////////////////////////////////
// Functions
////////////////////////////////////////////////////////////////////////////////
// Barrel Left Shift Octal
function [17:0] blso (
input [17:0] a,
input integer shift,
input integer width
);
begin : func_blso
integer i;
integer w;
integer s;
w = width*3;
s = (shift*3) % w;
blso = 18'o000000;
for (i = 0; i < w; i = i + 1) begin
blso[i] = a[(i+w-s)%w];
//bls[i] = 1'b1;
end
end
endfunction
// For a given port_config, port_enable and slot, calculate the round robin
// arbitration that would be generated by the gui.
function [17:0] rr (
input [5:0] port_enable,
input integer port_config,
input integer slot_num
);
begin : func_rr
integer i;
integer max_ports;
integer num_ports;
integer port_cnt;
case (port_config)
1: max_ports = 6;
2: max_ports = 4;
3: max_ports = 3;
4: max_ports = 2;
5: max_ports = 1;
// synthesis translate_off
default : $display("ERROR: Port Config can't be %d", port_config);
// synthesis translate_on
endcase
num_ports = 0;
for (i = 0; i < max_ports; i = i + 1) begin
if (port_enable[i] == 1'b1) begin
num_ports = num_ports + 1;
end
end
rr = 18'o000000;
port_cnt = 0;
for (i = (num_ports-1); i >= 0; i = i - 1) begin
while (port_enable[port_cnt] != 1'b1) begin
port_cnt = port_cnt + 1;
end
rr[i*3 +: 3] = port_cnt[2:0];
port_cnt = port_cnt +1;
end
rr = blso(rr, slot_num, num_ports);
end
endfunction
function [17:0] convert_arb_slot (
input [5:0] port_enable,
input integer port_config,
input [17:0] mig_arb_slot
);
begin : func_convert_arb_slot
integer i;
integer num_ports;
integer mig_port_num;
reg [17:0] port_map;
num_ports = 0;
// Enumerated port configuration for ease of use
case (port_config)
1: port_map = 18'o543210;
2: port_map = 18'o774210;
3: port_map = 18'o777420;
4: port_map = 18'o777720;
5: port_map = 18'o777770;
// synthesis translate_off
default : $display ("ERROR: Invalid Port Configuration.");
// synthesis translate_on
endcase
// Count the number of ports
for (i = 0; i < 6; i = i + 1) begin
if (port_enable[i] == 1'b1) begin
num_ports = num_ports + 1;
end
end
// Map the ports from the MIG GUI to the MCB Wrapper
for (i = 0; i < 6; i = i + 1) begin
if (i < num_ports) begin
mig_port_num = mig_arb_slot[3*(num_ports-i-1) +: 3];
convert_arb_slot[3*i +: 3] = port_map[3*mig_port_num +: 3];
end else begin
convert_arb_slot[3*i +: 3] = 3'b111;
end
end
end
endfunction
// Function to calculate the number of time slots automatically based on the
// number of ports used. Will choose 10 if the number of valid ports is 5,
// otherwise it will be 12.
function integer calc_num_time_slots (
input [5:0] port_enable,
input integer port_config
);
begin : func_calc_num_tim_slots
integer num_ports;
integer i;
num_ports = 0;
for (i = 0; i < 6; i = i + 1) begin
if (port_enable[i] == 1'b1) begin
num_ports = num_ports + 1;
end
end
calc_num_time_slots = (port_config == 1 && num_ports == 5) ? 10 : 12;
end
endfunction
////////////////////////////////////////////////////////////////////////////////
// Local Parameters
////////////////////////////////////////////////////////////////////////////////
localparam P_S0_AXI_ADDRMASK = C_S0_AXI_BASEADDR ^ C_S0_AXI_HIGHADDR;
localparam P_S1_AXI_ADDRMASK = C_S1_AXI_BASEADDR ^ C_S1_AXI_HIGHADDR;
localparam P_S2_AXI_ADDRMASK = C_S2_AXI_BASEADDR ^ C_S2_AXI_HIGHADDR;
localparam P_S3_AXI_ADDRMASK = C_S3_AXI_BASEADDR ^ C_S3_AXI_HIGHADDR;
localparam P_S4_AXI_ADDRMASK = C_S4_AXI_BASEADDR ^ C_S4_AXI_HIGHADDR;
localparam P_S5_AXI_ADDRMASK = C_S5_AXI_BASEADDR ^ C_S5_AXI_HIGHADDR;
localparam P_PORT_CONFIG = (C_PORT_CONFIG == "B32_B32_B32_B32") ? 2 :
(C_PORT_CONFIG == "B64_B32_B32" ) ? 3 :
(C_PORT_CONFIG == "B64_B64" ) ? 4 :
(C_PORT_CONFIG == "B128" ) ? 5 :
1; // B32_B32_x32_x32_x32_x32 case
localparam P_ARB_NUM_TIME_SLOTS = (C_ARB_ALGORITHM == 0) ? calc_num_time_slots(C_PORT_ENABLE, P_PORT_CONFIG) : C_ARB_NUM_TIME_SLOTS;
localparam P_0_ARB_TIME_SLOT_0 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 0 ) : C_ARB_TIME_SLOT_0 ;
localparam P_0_ARB_TIME_SLOT_1 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 1 ) : C_ARB_TIME_SLOT_1 ;
localparam P_0_ARB_TIME_SLOT_2 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 2 ) : C_ARB_TIME_SLOT_2 ;
localparam P_0_ARB_TIME_SLOT_3 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 3 ) : C_ARB_TIME_SLOT_3 ;
localparam P_0_ARB_TIME_SLOT_4 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 4 ) : C_ARB_TIME_SLOT_4 ;
localparam P_0_ARB_TIME_SLOT_5 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 5 ) : C_ARB_TIME_SLOT_5 ;
localparam P_0_ARB_TIME_SLOT_6 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 6 ) : C_ARB_TIME_SLOT_6 ;
localparam P_0_ARB_TIME_SLOT_7 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 7 ) : C_ARB_TIME_SLOT_7 ;
localparam P_0_ARB_TIME_SLOT_8 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 8 ) : C_ARB_TIME_SLOT_8 ;
localparam P_0_ARB_TIME_SLOT_9 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 9 ) : C_ARB_TIME_SLOT_9 ;
localparam P_0_ARB_TIME_SLOT_10 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 10) : C_ARB_TIME_SLOT_10;
localparam P_0_ARB_TIME_SLOT_11 = (C_ARB_ALGORITHM == 0) ? rr(C_PORT_ENABLE, P_PORT_CONFIG, 11) : C_ARB_TIME_SLOT_11;
localparam P_ARB_TIME_SLOT_0 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_0);
localparam P_ARB_TIME_SLOT_1 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_1);
localparam P_ARB_TIME_SLOT_2 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_2);
localparam P_ARB_TIME_SLOT_3 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_3);
localparam P_ARB_TIME_SLOT_4 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_4);
localparam P_ARB_TIME_SLOT_5 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_5);
localparam P_ARB_TIME_SLOT_6 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_6);
localparam P_ARB_TIME_SLOT_7 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_7);
localparam P_ARB_TIME_SLOT_8 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_8);
localparam P_ARB_TIME_SLOT_9 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_9);
localparam P_ARB_TIME_SLOT_10 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_10);
localparam P_ARB_TIME_SLOT_11 = convert_arb_slot(C_PORT_ENABLE, P_PORT_CONFIG, P_0_ARB_TIME_SLOT_11);
////////////////////////////////////////////////////////////////////////////////
// Wires/Reg declarations
////////////////////////////////////////////////////////////////////////////////
wire [C_S0_AXI_ADDR_WIDTH-1:0] s0_axi_araddr_i;
wire [C_S0_AXI_ADDR_WIDTH-1:0] s0_axi_awaddr_i;
wire p0_arb_en_i;
wire p0_cmd_clk_i;
wire p0_cmd_en_i;
wire [2:0] p0_cmd_instr_i;
wire [5:0] p0_cmd_bl_i;
wire [29:0] p0_cmd_byte_addr_i;
wire p0_cmd_empty_i;
wire p0_cmd_full_i;
wire p0_wr_clk_i;
wire p0_wr_en_i;
wire [C_P0_MASK_SIZE-1:0] p0_wr_mask_i;
wire [C_P0_DATA_PORT_SIZE-1:0] p0_wr_data_i;
wire p0_wr_full_i;
wire p0_wr_empty_i;
wire [6:0] p0_wr_count_i;
wire p0_wr_underrun_i;
wire p0_wr_error_i;
wire p0_rd_clk_i;
wire p0_rd_en_i;
wire [C_P0_DATA_PORT_SIZE-1:0] p0_rd_data_i;
wire p0_rd_full_i;
wire p0_rd_empty_i;
wire [6:0] p0_rd_count_i;
wire p0_rd_overflow_i;
wire p0_rd_error_i;
wire [C_S1_AXI_ADDR_WIDTH-1:0] s1_axi_araddr_i;
wire [C_S1_AXI_ADDR_WIDTH-1:0] s1_axi_awaddr_i;
wire p1_arb_en_i;
wire p1_cmd_clk_i;
wire p1_cmd_en_i;
wire [2:0] p1_cmd_instr_i;
wire [5:0] p1_cmd_bl_i;
wire [29:0] p1_cmd_byte_addr_i;
wire p1_cmd_empty_i;
wire p1_cmd_full_i;
wire p1_wr_clk_i;
wire p1_wr_en_i;
wire [C_P1_MASK_SIZE-1:0] p1_wr_mask_i;
wire [C_P1_DATA_PORT_SIZE-1:0] p1_wr_data_i;
wire p1_wr_full_i;
wire p1_wr_empty_i;
wire [6:0] p1_wr_count_i;
wire p1_wr_underrun_i;
wire p1_wr_error_i;
wire p1_rd_clk_i;
wire p1_rd_en_i;
wire [C_P1_DATA_PORT_SIZE-1:0] p1_rd_data_i;
wire p1_rd_full_i;
wire p1_rd_empty_i;
wire [6:0] p1_rd_count_i;
wire p1_rd_overflow_i;
wire p1_rd_error_i;
wire [C_S2_AXI_ADDR_WIDTH-1:0] s2_axi_araddr_i;
wire [C_S2_AXI_ADDR_WIDTH-1:0] s2_axi_awaddr_i;
wire p2_arb_en_i;
wire p2_cmd_clk_i;
wire p2_cmd_en_i;
wire [2:0] p2_cmd_instr_i;
wire [5:0] p2_cmd_bl_i;
wire [29:0] p2_cmd_byte_addr_i;
wire p2_cmd_empty_i;
wire p2_cmd_full_i;
wire p2_wr_clk_i;
wire p2_wr_en_i;
wire [3:0] p2_wr_mask_i;
wire [31:0] p2_wr_data_i;
wire p2_wr_full_i;
wire p2_wr_empty_i;
wire [6:0] p2_wr_count_i;
wire p2_wr_underrun_i;
wire p2_wr_error_i;
wire p2_rd_clk_i;
wire p2_rd_en_i;
wire [31:0] p2_rd_data_i;
wire p2_rd_full_i;
wire p2_rd_empty_i;
wire [6:0] p2_rd_count_i;
wire p2_rd_overflow_i;
wire p2_rd_error_i;
wire [C_S3_AXI_ADDR_WIDTH-1:0] s3_axi_araddr_i;
wire [C_S3_AXI_ADDR_WIDTH-1:0] s3_axi_awaddr_i;
wire p3_arb_en_i;
wire p3_cmd_clk_i;
wire p3_cmd_en_i;
wire [2:0] p3_cmd_instr_i;
wire [5:0] p3_cmd_bl_i;
wire [29:0] p3_cmd_byte_addr_i;
wire p3_cmd_empty_i;
wire p3_cmd_full_i;
wire p3_wr_clk_i;
wire p3_wr_en_i;
wire [3:0] p3_wr_mask_i;
wire [31:0] p3_wr_data_i;
wire p3_wr_full_i;
wire p3_wr_empty_i;
wire [6:0] p3_wr_count_i;
wire p3_wr_underrun_i;
wire p3_wr_error_i;
wire p3_rd_clk_i;
wire p3_rd_en_i;
wire [31:0] p3_rd_data_i;
wire p3_rd_full_i;
wire p3_rd_empty_i;
wire [6:0] p3_rd_count_i;
wire p3_rd_overflow_i;
wire p3_rd_error_i;
wire [C_S4_AXI_ADDR_WIDTH-1:0] s4_axi_araddr_i;
wire [C_S4_AXI_ADDR_WIDTH-1:0] s4_axi_awaddr_i;
wire p4_arb_en_i;
wire p4_cmd_clk_i;
wire p4_cmd_en_i;
wire [2:0] p4_cmd_instr_i;
wire [5:0] p4_cmd_bl_i;
wire [29:0] p4_cmd_byte_addr_i;
wire p4_cmd_empty_i;
wire p4_cmd_full_i;
wire p4_wr_clk_i;
wire p4_wr_en_i;
wire [3:0] p4_wr_mask_i;
wire [31:0] p4_wr_data_i;
wire p4_wr_full_i;
wire p4_wr_empty_i;
wire [6:0] p4_wr_count_i;
wire p4_wr_underrun_i;
wire p4_wr_error_i;
wire p4_rd_clk_i;
wire p4_rd_en_i;
wire [31:0] p4_rd_data_i;
wire p4_rd_full_i;
wire p4_rd_empty_i;
wire [6:0] p4_rd_count_i;
wire p4_rd_overflow_i;
wire p4_rd_error_i;
wire [C_S5_AXI_ADDR_WIDTH-1:0] s5_axi_araddr_i;
wire [C_S5_AXI_ADDR_WIDTH-1:0] s5_axi_awaddr_i;
wire p5_arb_en_i;
wire p5_cmd_clk_i;
wire p5_cmd_en_i;
wire [2:0] p5_cmd_instr_i;
wire [5:0] p5_cmd_bl_i;
wire [29:0] p5_cmd_byte_addr_i;
wire p5_cmd_empty_i;
wire p5_cmd_full_i;
wire p5_wr_clk_i;
wire p5_wr_en_i;
wire [3:0] p5_wr_mask_i;
wire [31:0] p5_wr_data_i;
wire p5_wr_full_i;
wire p5_wr_empty_i;
wire [6:0] p5_wr_count_i;
wire p5_wr_underrun_i;
wire p5_wr_error_i;
wire p5_rd_clk_i;
wire p5_rd_en_i;
wire [31:0] p5_rd_data_i;
wire p5_rd_full_i;
wire p5_rd_empty_i;
wire [6:0] p5_rd_count_i;
wire p5_rd_overflow_i;
wire p5_rd_error_i;
wire ioclk0;
wire ioclk180;
wire pll_ce_0_i;
wire pll_ce_90_i;
generate
if (C_MCB_USE_EXTERNAL_BUFPLL == 0) begin : gen_spartan6_bufpll_mcb
// Instantiate the PLL for MCB.
BUFPLL_MCB #
(
.DIVIDE (2),
.LOCK_SRC ("LOCK_TO_0")
)
bufpll_0
(
.IOCLK0 (ioclk0),
.IOCLK1 (ioclk180),
.GCLK (ui_clk),
.LOCKED (pll_lock),
.LOCK (pll_lock_bufpll_o),
.SERDESSTROBE0(pll_ce_0_i),
.SERDESSTROBE1(pll_ce_90_i),
.PLLIN0 (sysclk_2x),
.PLLIN1 (sysclk_2x_180)
);
end else begin : gen_spartan6_no_bufpll_mcb
// Use external bufpll_mcb.
assign pll_ce_0_i = pll_ce_0;
assign pll_ce_90_i = pll_ce_90;
assign ioclk0 = sysclk_2x;
assign ioclk180 = sysclk_2x_180;
assign pll_lock_bufpll_o = pll_lock;
end
endgenerate
assign sysclk_2x_bufpll_o = ioclk0;
assign sysclk_2x_180_bufpll_o = ioclk180;
assign pll_ce_0_bufpll_o = pll_ce_0_i;
assign pll_ce_90_bufpll_o = pll_ce_90_i;
mcb_raw_wrapper #
(
.C_MEMCLK_PERIOD ( C_MEMCLK_PERIOD ),
.C_PORT_ENABLE ( C_PORT_ENABLE ),
.C_MEM_ADDR_ORDER ( C_MEM_ADDR_ORDER ),
.C_ARB_NUM_TIME_SLOTS ( P_ARB_NUM_TIME_SLOTS ),
.C_ARB_TIME_SLOT_0 ( P_ARB_TIME_SLOT_0 ),
.C_ARB_TIME_SLOT_1 ( P_ARB_TIME_SLOT_1 ),
.C_ARB_TIME_SLOT_2 ( P_ARB_TIME_SLOT_2 ),
.C_ARB_TIME_SLOT_3 ( P_ARB_TIME_SLOT_3 ),
.C_ARB_TIME_SLOT_4 ( P_ARB_TIME_SLOT_4 ),
.C_ARB_TIME_SLOT_5 ( P_ARB_TIME_SLOT_5 ),
.C_ARB_TIME_SLOT_6 ( P_ARB_TIME_SLOT_6 ),
.C_ARB_TIME_SLOT_7 ( P_ARB_TIME_SLOT_7 ),
.C_ARB_TIME_SLOT_8 ( P_ARB_TIME_SLOT_8 ),
.C_ARB_TIME_SLOT_9 ( P_ARB_TIME_SLOT_9 ),
.C_ARB_TIME_SLOT_10 ( P_ARB_TIME_SLOT_10 ),
.C_ARB_TIME_SLOT_11 ( P_ARB_TIME_SLOT_11 ),
.C_PORT_CONFIG ( C_PORT_CONFIG ),
.C_MEM_TRAS ( C_MEM_TRAS ),
.C_MEM_TRCD ( C_MEM_TRCD ),
.C_MEM_TREFI ( C_MEM_TREFI ),
.C_MEM_TRFC ( C_MEM_TRFC ),
.C_MEM_TRP ( C_MEM_TRP ),
.C_MEM_TWR ( C_MEM_TWR ),
.C_MEM_TRTP ( C_MEM_TRTP ),
.C_MEM_TWTR ( C_MEM_TWTR ),
.C_NUM_DQ_PINS ( C_NUM_DQ_PINS ),
.C_MEM_TYPE ( C_MEM_TYPE ),
.C_MEM_DENSITY ( C_MEM_DENSITY ),
.C_MEM_BURST_LEN ( C_MEM_BURST_LEN ),
.C_MEM_CAS_LATENCY ( C_MEM_CAS_LATENCY ),
.C_MEM_ADDR_WIDTH ( C_MEM_ADDR_WIDTH ),
.C_MEM_BANKADDR_WIDTH ( C_MEM_BANKADDR_WIDTH ),
.C_MEM_NUM_COL_BITS ( C_MEM_NUM_COL_BITS ),
.C_MEM_DDR3_CAS_LATENCY ( C_MEM_DDR3_CAS_LATENCY ),
.C_MEM_MOBILE_PA_SR ( C_MEM_MOBILE_PA_SR ),
.C_MEM_DDR1_2_ODS ( C_MEM_DDR1_2_ODS ),
.C_MEM_DDR3_ODS ( C_MEM_DDR3_ODS ),
.C_MEM_DDR2_RTT ( C_MEM_DDR2_RTT ),
.C_MEM_DDR3_RTT ( C_MEM_DDR3_RTT ),
.C_MEM_MDDR_ODS ( C_MEM_MDDR_ODS ),
.C_MEM_DDR2_DIFF_DQS_EN ( C_MEM_DDR2_DIFF_DQS_EN ),
.C_MEM_DDR2_3_PA_SR ( C_MEM_DDR2_3_PA_SR ),
.C_MEM_DDR3_CAS_WR_LATENCY ( C_MEM_DDR3_CAS_WR_LATENCY ),
.C_MEM_DDR3_AUTO_SR ( C_MEM_DDR3_AUTO_SR ),
.C_MEM_DDR2_3_HIGH_TEMP_SR ( C_MEM_DDR2_3_HIGH_TEMP_SR ),
.C_MEM_DDR3_DYN_WRT_ODT ( C_MEM_DDR3_DYN_WRT_ODT ),
// Subtract 16 to stop TRFC violations.
.C_MEM_TZQINIT_MAXCNT ( C_MEM_TZQINIT_MAXCNT - 16 ),
.C_MC_CALIB_BYPASS ( C_MC_CALIB_BYPASS ),
.C_MC_CALIBRATION_RA ( C_MC_CALIBRATION_RA ),
.C_MC_CALIBRATION_BA ( C_MC_CALIBRATION_BA ),
.C_CALIB_SOFT_IP ( C_CALIB_SOFT_IP ),
.C_SKIP_IN_TERM_CAL ( C_SKIP_IN_TERM_CAL ),
.C_SKIP_DYNAMIC_CAL ( C_SKIP_DYNAMIC_CAL ),
.C_SKIP_DYN_IN_TERM ( C_SKIP_DYN_IN_TERM ),
.LDQSP_TAP_DELAY_VAL ( LDQSP_TAP_DELAY_VAL ),
.UDQSP_TAP_DELAY_VAL ( UDQSP_TAP_DELAY_VAL ),
.LDQSN_TAP_DELAY_VAL ( LDQSN_TAP_DELAY_VAL ),
.UDQSN_TAP_DELAY_VAL ( UDQSN_TAP_DELAY_VAL ),
.DQ0_TAP_DELAY_VAL ( DQ0_TAP_DELAY_VAL ),
.DQ1_TAP_DELAY_VAL ( DQ1_TAP_DELAY_VAL ),
.DQ2_TAP_DELAY_VAL ( DQ2_TAP_DELAY_VAL ),
.DQ3_TAP_DELAY_VAL ( DQ3_TAP_DELAY_VAL ),
.DQ4_TAP_DELAY_VAL ( DQ4_TAP_DELAY_VAL ),
.DQ5_TAP_DELAY_VAL ( DQ5_TAP_DELAY_VAL ),
.DQ6_TAP_DELAY_VAL ( DQ6_TAP_DELAY_VAL ),
.DQ7_TAP_DELAY_VAL ( DQ7_TAP_DELAY_VAL ),
.DQ8_TAP_DELAY_VAL ( DQ8_TAP_DELAY_VAL ),
.DQ9_TAP_DELAY_VAL ( DQ9_TAP_DELAY_VAL ),
.DQ10_TAP_DELAY_VAL ( DQ10_TAP_DELAY_VAL ),
.DQ11_TAP_DELAY_VAL ( DQ11_TAP_DELAY_VAL ),
.DQ12_TAP_DELAY_VAL ( DQ12_TAP_DELAY_VAL ),
.DQ13_TAP_DELAY_VAL ( DQ13_TAP_DELAY_VAL ),
.DQ14_TAP_DELAY_VAL ( DQ14_TAP_DELAY_VAL ),
.DQ15_TAP_DELAY_VAL ( DQ15_TAP_DELAY_VAL ),
.C_MC_CALIBRATION_CA ( C_MC_CALIBRATION_CA ),
.C_MC_CALIBRATION_CLK_DIV ( C_MC_CALIBRATION_CLK_DIV ),
.C_MC_CALIBRATION_MODE ( C_MC_CALIBRATION_MODE ),
.C_MC_CALIBRATION_DELAY ( C_MC_CALIBRATION_DELAY ),
// synthesis translate_off
.C_SIMULATION ( C_SIMULATION ),
// synthesis translate_on
.C_P0_MASK_SIZE ( C_P0_MASK_SIZE ),
.C_P0_DATA_PORT_SIZE ( C_P0_DATA_PORT_SIZE ),
.C_P1_MASK_SIZE ( C_P1_MASK_SIZE ),
.C_P1_DATA_PORT_SIZE ( C_P1_DATA_PORT_SIZE )
)
mcb_raw_wrapper_inst
(
.sysclk_2x ( ioclk0 ),
.sysclk_2x_180 ( ioclk180 ),
.pll_ce_0 ( pll_ce_0_i ),
.pll_ce_90 ( pll_ce_90_i ),
.pll_lock ( pll_lock_bufpll_o ),
.sys_rst ( sys_rst ),
.p0_arb_en ( p0_arb_en_i ),
.p0_cmd_clk ( p0_cmd_clk_i ),
.p0_cmd_en ( p0_cmd_en_i ),
.p0_cmd_instr ( p0_cmd_instr_i ),
.p0_cmd_bl ( p0_cmd_bl_i ),
.p0_cmd_byte_addr ( p0_cmd_byte_addr_i ),
.p0_cmd_empty ( p0_cmd_empty_i ),
.p0_cmd_full ( p0_cmd_full_i ),
.p0_wr_clk ( p0_wr_clk_i ),
.p0_wr_en ( p0_wr_en_i ),
.p0_wr_mask ( p0_wr_mask_i ),
.p0_wr_data ( p0_wr_data_i ),
.p0_wr_full ( p0_wr_full_i ),
.p0_wr_empty ( p0_wr_empty_i ),
.p0_wr_count ( p0_wr_count_i ),
.p0_wr_underrun ( p0_wr_underrun_i ),
.p0_wr_error ( p0_wr_error_i ),
.p0_rd_clk ( p0_rd_clk_i ),
.p0_rd_en ( p0_rd_en_i ),
.p0_rd_data ( p0_rd_data_i ),
.p0_rd_full ( p0_rd_full_i ),
.p0_rd_empty ( p0_rd_empty_i ),
.p0_rd_count ( p0_rd_count_i ),
.p0_rd_overflow ( p0_rd_overflow_i ),
.p0_rd_error ( p0_rd_error_i ),
.p1_arb_en ( p1_arb_en_i ),
.p1_cmd_clk ( p1_cmd_clk_i ),
.p1_cmd_en ( p1_cmd_en_i ),
.p1_cmd_instr ( p1_cmd_instr_i ),
.p1_cmd_bl ( p1_cmd_bl_i ),
.p1_cmd_byte_addr ( p1_cmd_byte_addr_i ),
.p1_cmd_empty ( p1_cmd_empty_i ),
.p1_cmd_full ( p1_cmd_full_i ),
.p1_wr_clk ( p1_wr_clk_i ),
.p1_wr_en ( p1_wr_en_i ),
.p1_wr_mask ( p1_wr_mask_i ),
.p1_wr_data ( p1_wr_data_i ),
.p1_wr_full ( p1_wr_full_i ),
.p1_wr_empty ( p1_wr_empty_i ),
.p1_wr_count ( p1_wr_count_i ),
.p1_wr_underrun ( p1_wr_underrun_i ),
.p1_wr_error ( p1_wr_error_i ),
.p1_rd_clk ( p1_rd_clk_i ),
.p1_rd_en ( p1_rd_en_i ),
.p1_rd_data ( p1_rd_data_i ),
.p1_rd_full ( p1_rd_full_i ),
.p1_rd_empty ( p1_rd_empty_i ),
.p1_rd_count ( p1_rd_count_i ),
.p1_rd_overflow ( p1_rd_overflow_i ),
.p1_rd_error ( p1_rd_error_i ),
.p2_arb_en ( p2_arb_en_i ),
.p2_cmd_clk ( p2_cmd_clk_i ),
.p2_cmd_en ( p2_cmd_en_i ),
.p2_cmd_instr ( p2_cmd_instr_i ),
.p2_cmd_bl ( p2_cmd_bl_i ),
.p2_cmd_byte_addr ( p2_cmd_byte_addr_i ),
.p2_cmd_empty ( p2_cmd_empty_i ),
.p2_cmd_full ( p2_cmd_full_i ),
.p2_wr_clk ( p2_wr_clk_i ),
.p2_wr_en ( p2_wr_en_i ),
.p2_wr_mask ( p2_wr_mask_i ),
.p2_wr_data ( p2_wr_data_i ),
.p2_wr_full ( p2_wr_full_i ),
.p2_wr_empty ( p2_wr_empty_i ),
.p2_wr_count ( p2_wr_count_i ),
.p2_wr_underrun ( p2_wr_underrun_i ),
.p2_wr_error ( p2_wr_error_i ),
.p2_rd_clk ( p2_rd_clk_i ),
.p2_rd_en ( p2_rd_en_i ),
.p2_rd_data ( p2_rd_data_i ),
.p2_rd_full ( p2_rd_full_i ),
.p2_rd_empty ( p2_rd_empty_i ),
.p2_rd_count ( p2_rd_count_i ),
.p2_rd_overflow ( p2_rd_overflow_i ),
.p2_rd_error ( p2_rd_error_i ),
.p3_arb_en ( p3_arb_en_i ),
.p3_cmd_clk ( p3_cmd_clk_i ),
.p3_cmd_en ( p3_cmd_en_i ),
.p3_cmd_instr ( p3_cmd_instr_i ),
.p3_cmd_bl ( p3_cmd_bl_i ),
.p3_cmd_byte_addr ( p3_cmd_byte_addr_i ),
.p3_cmd_empty ( p3_cmd_empty_i ),
.p3_cmd_full ( p3_cmd_full_i ),
.p3_wr_clk ( p3_wr_clk_i ),
.p3_wr_en ( p3_wr_en_i ),
.p3_wr_mask ( p3_wr_mask_i ),
.p3_wr_data ( p3_wr_data_i ),
.p3_wr_full ( p3_wr_full_i ),
.p3_wr_empty ( p3_wr_empty_i ),
.p3_wr_count ( p3_wr_count_i ),
.p3_wr_underrun ( p3_wr_underrun_i ),
.p3_wr_error ( p3_wr_error_i ),
.p3_rd_clk ( p3_rd_clk_i ),
.p3_rd_en ( p3_rd_en_i ),
.p3_rd_data ( p3_rd_data_i ),
.p3_rd_full ( p3_rd_full_i ),
.p3_rd_empty ( p3_rd_empty_i ),
.p3_rd_count ( p3_rd_count_i ),
.p3_rd_overflow ( p3_rd_overflow_i ),
.p3_rd_error ( p3_rd_error_i ),
.p4_arb_en ( p4_arb_en_i ),
.p4_cmd_clk ( p4_cmd_clk_i ),
.p4_cmd_en ( p4_cmd_en_i ),
.p4_cmd_instr ( p4_cmd_instr_i ),
.p4_cmd_bl ( p4_cmd_bl_i ),
.p4_cmd_byte_addr ( p4_cmd_byte_addr_i ),
.p4_cmd_empty ( p4_cmd_empty_i ),
.p4_cmd_full ( p4_cmd_full_i ),
.p4_wr_clk ( p4_wr_clk_i ),
.p4_wr_en ( p4_wr_en_i ),
.p4_wr_mask ( p4_wr_mask_i ),
.p4_wr_data ( p4_wr_data_i ),
.p4_wr_full ( p4_wr_full_i ),
.p4_wr_empty ( p4_wr_empty_i ),
.p4_wr_count ( p4_wr_count_i ),
.p4_wr_underrun ( p4_wr_underrun_i ),
.p4_wr_error ( p4_wr_error_i ),
.p4_rd_clk ( p4_rd_clk_i ),
.p4_rd_en ( p4_rd_en_i ),
.p4_rd_data ( p4_rd_data_i ),
.p4_rd_full ( p4_rd_full_i ),
.p4_rd_empty ( p4_rd_empty_i ),
.p4_rd_count ( p4_rd_count_i ),
.p4_rd_overflow ( p4_rd_overflow_i ),
.p4_rd_error ( p4_rd_error_i ),
.p5_arb_en ( p5_arb_en_i ),
.p5_cmd_clk ( p5_cmd_clk_i ),
.p5_cmd_en ( p5_cmd_en_i ),
.p5_cmd_instr ( p5_cmd_instr_i ),
.p5_cmd_bl ( p5_cmd_bl_i ),
.p5_cmd_byte_addr ( p5_cmd_byte_addr_i ),
.p5_cmd_empty ( p5_cmd_empty_i ),
.p5_cmd_full ( p5_cmd_full_i ),
.p5_wr_clk ( p5_wr_clk_i ),
.p5_wr_en ( p5_wr_en_i ),
.p5_wr_mask ( p5_wr_mask_i ),
.p5_wr_data ( p5_wr_data_i ),
.p5_wr_full ( p5_wr_full_i ),
.p5_wr_empty ( p5_wr_empty_i ),
.p5_wr_count ( p5_wr_count_i ),
.p5_wr_underrun ( p5_wr_underrun_i ),
.p5_wr_error ( p5_wr_error_i ),
.p5_rd_clk ( p5_rd_clk_i ),
.p5_rd_en ( p5_rd_en_i ),
.p5_rd_data ( p5_rd_data_i ),
.p5_rd_full ( p5_rd_full_i ),
.p5_rd_empty ( p5_rd_empty_i ),
.p5_rd_count ( p5_rd_count_i ),
.p5_rd_overflow ( p5_rd_overflow_i ),
.p5_rd_error ( p5_rd_error_i ),
.mcbx_dram_addr ( mcbx_dram_addr ),
.mcbx_dram_ba ( mcbx_dram_ba ),
.mcbx_dram_ras_n ( mcbx_dram_ras_n ),
.mcbx_dram_cas_n ( mcbx_dram_cas_n ),
.mcbx_dram_we_n ( mcbx_dram_we_n ),
.mcbx_dram_cke ( mcbx_dram_cke ),
.mcbx_dram_clk ( mcbx_dram_clk ),
.mcbx_dram_clk_n ( mcbx_dram_clk_n ),
.mcbx_dram_dq ( mcbx_dram_dq ),
.mcbx_dram_dqs ( mcbx_dram_dqs ),
.mcbx_dram_dqs_n ( mcbx_dram_dqs_n ),
.mcbx_dram_udqs ( mcbx_dram_udqs ),
.mcbx_dram_udqs_n ( mcbx_dram_udqs_n ),
.mcbx_dram_udm ( mcbx_dram_udm ),
.mcbx_dram_ldm ( mcbx_dram_ldm ),
.mcbx_dram_odt ( mcbx_dram_odt ),
.mcbx_dram_ddr3_rst ( mcbx_dram_ddr3_rst ),
.calib_recal ( calib_recal ),
.rzq ( rzq ),
.zio ( zio ),
.ui_read ( ui_read ),
.ui_add ( ui_add ),
.ui_cs ( ui_cs ),
.ui_clk ( ui_clk ),
.ui_sdi ( ui_sdi ),
.ui_addr ( ui_addr ),
.ui_broadcast ( ui_broadcast ),
.ui_drp_update ( ui_drp_update ),
.ui_done_cal ( ui_done_cal ),
.ui_cmd ( ui_cmd ),
.ui_cmd_in ( ui_cmd_in ),
.ui_cmd_en ( ui_cmd_en ),
.ui_dqcount ( ui_dqcount ),
.ui_dq_lower_dec ( ui_dq_lower_dec ),
.ui_dq_lower_inc ( ui_dq_lower_inc ),
.ui_dq_upper_dec ( ui_dq_upper_dec ),
.ui_dq_upper_inc ( ui_dq_upper_inc ),
.ui_udqs_inc ( ui_udqs_inc ),
.ui_udqs_dec ( ui_udqs_dec ),
.ui_ldqs_inc ( ui_ldqs_inc ),
.ui_ldqs_dec ( ui_ldqs_dec ),
.uo_data ( uo_data ),
.uo_data_valid ( uo_data_valid ),
.uo_done_cal ( uo_done_cal ),
.uo_cmd_ready_in ( uo_cmd_ready_in ),
.uo_refrsh_flag ( uo_refrsh_flag ),
.uo_cal_start ( uo_cal_start ),
.uo_sdo ( uo_sdo ),
.status ( status ),
.selfrefresh_enter ( selfrefresh_enter ),
.selfrefresh_mode ( selfrefresh_mode )
);
// P0 AXI Bridge Mux
generate
if (C_S0_AXI_ENABLE == 0) begin : P0_UI_MCB
assign p0_arb_en_i = p0_arb_en ; //
assign p0_cmd_clk_i = p0_cmd_clk ; //
assign p0_cmd_en_i = p0_cmd_en ; //
assign p0_cmd_instr_i = p0_cmd_instr ; // [2:0]
assign p0_cmd_bl_i = p0_cmd_bl ; // [5:0]
assign p0_cmd_byte_addr_i = p0_cmd_byte_addr ; // [29:0]
assign p0_cmd_empty = p0_cmd_empty_i ; //
assign p0_cmd_full = p0_cmd_full_i ; //
assign p0_wr_clk_i = p0_wr_clk ; //
assign p0_wr_en_i = p0_wr_en ; //
assign p0_wr_mask_i = p0_wr_mask ; // [C_P0_MASK_SIZE-1:0]
assign p0_wr_data_i = p0_wr_data ; // [C_P0_DATA_PORT_SIZE-1:0]
assign p0_wr_full = p0_wr_full_i ; //
assign p0_wr_empty = p0_wr_empty_i ; //
assign p0_wr_count = p0_wr_count_i ; // [6:0]
assign p0_wr_underrun = p0_wr_underrun_i ; //
assign p0_wr_error = p0_wr_error_i ; //
assign p0_rd_clk_i = p0_rd_clk ; //
assign p0_rd_en_i = p0_rd_en ; //
assign p0_rd_data = p0_rd_data_i ; // [C_P0_DATA_PORT_SIZE-1:0]
assign p0_rd_full = p0_rd_full_i ; //
assign p0_rd_empty = p0_rd_empty_i ; //
assign p0_rd_count = p0_rd_count_i ; // [6:0]
assign p0_rd_overflow = p0_rd_overflow_i ; //
assign p0_rd_error = p0_rd_error_i ; //
end
else begin : P0_UI_AXI
assign p0_arb_en_i = p0_arb_en;
assign s0_axi_araddr_i = s0_axi_araddr & P_S0_AXI_ADDRMASK;
assign s0_axi_awaddr_i = s0_axi_awaddr & P_S0_AXI_ADDRMASK;
wire calib_done_synch;
mcb_ui_top_synch #(
.C_SYNCH_WIDTH ( 1 )
)
axi_mcb_synch
(
.clk ( s0_axi_aclk ) ,
.synch_in ( uo_done_cal ) ,
.synch_out ( calib_done_synch )
);
axi_mcb #
(
.C_FAMILY ( "spartan6" ) ,
.C_S_AXI_ID_WIDTH ( C_S0_AXI_ID_WIDTH ) ,
.C_S_AXI_ADDR_WIDTH ( C_S0_AXI_ADDR_WIDTH ) ,
.C_S_AXI_DATA_WIDTH ( C_S0_AXI_DATA_WIDTH ) ,
.C_S_AXI_SUPPORTS_READ ( C_S0_AXI_SUPPORTS_READ ) ,
.C_S_AXI_SUPPORTS_WRITE ( C_S0_AXI_SUPPORTS_WRITE ) ,
.C_S_AXI_REG_EN0 ( C_S0_AXI_REG_EN0 ) ,
.C_S_AXI_REG_EN1 ( C_S0_AXI_REG_EN1 ) ,
.C_S_AXI_SUPPORTS_NARROW_BURST ( C_S0_AXI_SUPPORTS_NARROW_BURST ) ,
.C_MCB_ADDR_WIDTH ( 30 ) ,
.C_MCB_DATA_WIDTH ( C_P0_DATA_PORT_SIZE ) ,
.C_STRICT_COHERENCY ( C_S0_AXI_STRICT_COHERENCY ) ,
.C_ENABLE_AP ( C_S0_AXI_ENABLE_AP )
)
p0_axi_mcb
(
.aclk ( s0_axi_aclk ),
.aresetn ( s0_axi_aresetn ),
.s_axi_awid ( s0_axi_awid ),
.s_axi_awaddr ( s0_axi_awaddr_i ),
.s_axi_awlen ( s0_axi_awlen ),
.s_axi_awsize ( s0_axi_awsize ),
.s_axi_awburst ( s0_axi_awburst ),
.s_axi_awlock ( s0_axi_awlock ),
.s_axi_awcache ( s0_axi_awcache ),
.s_axi_awprot ( s0_axi_awprot ),
.s_axi_awqos ( s0_axi_awqos ),
.s_axi_awvalid ( s0_axi_awvalid ),
.s_axi_awready ( s0_axi_awready ),
.s_axi_wdata ( s0_axi_wdata ),
.s_axi_wstrb ( s0_axi_wstrb ),
.s_axi_wlast ( s0_axi_wlast ),
.s_axi_wvalid ( s0_axi_wvalid ),
.s_axi_wready ( s0_axi_wready ),
.s_axi_bid ( s0_axi_bid ),
.s_axi_bresp ( s0_axi_bresp ),
.s_axi_bvalid ( s0_axi_bvalid ),
.s_axi_bready ( s0_axi_bready ),
.s_axi_arid ( s0_axi_arid ),
.s_axi_araddr ( s0_axi_araddr_i ),
.s_axi_arlen ( s0_axi_arlen ),
.s_axi_arsize ( s0_axi_arsize ),
.s_axi_arburst ( s0_axi_arburst ),
.s_axi_arlock ( s0_axi_arlock ),
.s_axi_arcache ( s0_axi_arcache ),
.s_axi_arprot ( s0_axi_arprot ),
.s_axi_arqos ( s0_axi_arqos ),
.s_axi_arvalid ( s0_axi_arvalid ),
.s_axi_arready ( s0_axi_arready ),
.s_axi_rid ( s0_axi_rid ),
.s_axi_rdata ( s0_axi_rdata ),
.s_axi_rresp ( s0_axi_rresp ),
.s_axi_rlast ( s0_axi_rlast ),
.s_axi_rvalid ( s0_axi_rvalid ),
.s_axi_rready ( s0_axi_rready ),
.mcb_cmd_clk ( p0_cmd_clk_i ),
.mcb_cmd_en ( p0_cmd_en_i ),
.mcb_cmd_instr ( p0_cmd_instr_i ),
.mcb_cmd_bl ( p0_cmd_bl_i ),
.mcb_cmd_byte_addr ( p0_cmd_byte_addr_i ),
.mcb_cmd_empty ( p0_cmd_empty_i ),
.mcb_cmd_full ( p0_cmd_full_i ),
.mcb_wr_clk ( p0_wr_clk_i ),
.mcb_wr_en ( p0_wr_en_i ),
.mcb_wr_mask ( p0_wr_mask_i ),
.mcb_wr_data ( p0_wr_data_i ),
.mcb_wr_full ( p0_wr_full_i ),
.mcb_wr_empty ( p0_wr_empty_i ),
.mcb_wr_count ( p0_wr_count_i ),
.mcb_wr_underrun ( p0_wr_underrun_i ),
.mcb_wr_error ( p0_wr_error_i ),
.mcb_rd_clk ( p0_rd_clk_i ),
.mcb_rd_en ( p0_rd_en_i ),
.mcb_rd_data ( p0_rd_data_i ),
.mcb_rd_full ( p0_rd_full_i ),
.mcb_rd_empty ( p0_rd_empty_i ),
.mcb_rd_count ( p0_rd_count_i ),
.mcb_rd_overflow ( p0_rd_overflow_i ),
.mcb_rd_error ( p0_rd_error_i ),
.mcb_calib_done ( calib_done_synch )
);
end
endgenerate
// P1 AXI Bridge Mux
generate
if (C_S1_AXI_ENABLE == 0) begin : P1_UI_MCB
assign p1_arb_en_i = p1_arb_en ; //
assign p1_cmd_clk_i = p1_cmd_clk ; //
assign p1_cmd_en_i = p1_cmd_en ; //
assign p1_cmd_instr_i = p1_cmd_instr ; // [2:0]
assign p1_cmd_bl_i = p1_cmd_bl ; // [5:0]
assign p1_cmd_byte_addr_i = p1_cmd_byte_addr ; // [29:0]
assign p1_cmd_empty = p1_cmd_empty_i ; //
assign p1_cmd_full = p1_cmd_full_i ; //
assign p1_wr_clk_i = p1_wr_clk ; //
assign p1_wr_en_i = p1_wr_en ; //
assign p1_wr_mask_i = p1_wr_mask ; // [C_P1_MASK_SIZE-1:0]
assign p1_wr_data_i = p1_wr_data ; // [C_P1_DATA_PORT_SIZE-1:0]
assign p1_wr_full = p1_wr_full_i ; //
assign p1_wr_empty = p1_wr_empty_i ; //
assign p1_wr_count = p1_wr_count_i ; // [6:0]
assign p1_wr_underrun = p1_wr_underrun_i ; //
assign p1_wr_error = p1_wr_error_i ; //
assign p1_rd_clk_i = p1_rd_clk ; //
assign p1_rd_en_i = p1_rd_en ; //
assign p1_rd_data = p1_rd_data_i ; // [C_P1_DATA_PORT_SIZE-1:0]
assign p1_rd_full = p1_rd_full_i ; //
assign p1_rd_empty = p1_rd_empty_i ; //
assign p1_rd_count = p1_rd_count_i ; // [6:0]
assign p1_rd_overflow = p1_rd_overflow_i ; //
assign p1_rd_error = p1_rd_error_i ; //
end
else begin : P1_UI_AXI
assign p1_arb_en_i = p1_arb_en;
assign s1_axi_araddr_i = s1_axi_araddr & P_S1_AXI_ADDRMASK;
assign s1_axi_awaddr_i = s1_axi_awaddr & P_S1_AXI_ADDRMASK;
wire calib_done_synch;
mcb_ui_top_synch #(
.C_SYNCH_WIDTH ( 1 )
)
axi_mcb_synch
(
.clk ( s1_axi_aclk ),
.synch_in ( uo_done_cal ),
.synch_out ( calib_done_synch )
);
axi_mcb #
(
.C_FAMILY ( "spartan6" ) ,
.C_S_AXI_ID_WIDTH ( C_S1_AXI_ID_WIDTH ) ,
.C_S_AXI_ADDR_WIDTH ( C_S1_AXI_ADDR_WIDTH ) ,
.C_S_AXI_DATA_WIDTH ( C_S1_AXI_DATA_WIDTH ) ,
.C_S_AXI_SUPPORTS_READ ( C_S1_AXI_SUPPORTS_READ ) ,
.C_S_AXI_SUPPORTS_WRITE ( C_S1_AXI_SUPPORTS_WRITE ) ,
.C_S_AXI_REG_EN0 ( C_S1_AXI_REG_EN0 ) ,
.C_S_AXI_REG_EN1 ( C_S1_AXI_REG_EN1 ) ,
.C_S_AXI_SUPPORTS_NARROW_BURST ( C_S1_AXI_SUPPORTS_NARROW_BURST ) ,
.C_MCB_ADDR_WIDTH ( 30 ) ,
.C_MCB_DATA_WIDTH ( C_P1_DATA_PORT_SIZE ) ,
.C_STRICT_COHERENCY ( C_S1_AXI_STRICT_COHERENCY ) ,
.C_ENABLE_AP ( C_S1_AXI_ENABLE_AP )
)
p1_axi_mcb
(
.aclk ( s1_axi_aclk ),
.aresetn ( s1_axi_aresetn ),
.s_axi_awid ( s1_axi_awid ),
.s_axi_awaddr ( s1_axi_awaddr_i ),
.s_axi_awlen ( s1_axi_awlen ),
.s_axi_awsize ( s1_axi_awsize ),
.s_axi_awburst ( s1_axi_awburst ),
.s_axi_awlock ( s1_axi_awlock ),
.s_axi_awcache ( s1_axi_awcache ),
.s_axi_awprot ( s1_axi_awprot ),
.s_axi_awqos ( s1_axi_awqos ),
.s_axi_awvalid ( s1_axi_awvalid ),
.s_axi_awready ( s1_axi_awready ),
.s_axi_wdata ( s1_axi_wdata ),
.s_axi_wstrb ( s1_axi_wstrb ),
.s_axi_wlast ( s1_axi_wlast ),
.s_axi_wvalid ( s1_axi_wvalid ),
.s_axi_wready ( s1_axi_wready ),
.s_axi_bid ( s1_axi_bid ),
.s_axi_bresp ( s1_axi_bresp ),
.s_axi_bvalid ( s1_axi_bvalid ),
.s_axi_bready ( s1_axi_bready ),
.s_axi_arid ( s1_axi_arid ),
.s_axi_araddr ( s1_axi_araddr_i ),
.s_axi_arlen ( s1_axi_arlen ),
.s_axi_arsize ( s1_axi_arsize ),
.s_axi_arburst ( s1_axi_arburst ),
.s_axi_arlock ( s1_axi_arlock ),
.s_axi_arcache ( s1_axi_arcache ),
.s_axi_arprot ( s1_axi_arprot ),
.s_axi_arqos ( s1_axi_arqos ),
.s_axi_arvalid ( s1_axi_arvalid ),
.s_axi_arready ( s1_axi_arready ),
.s_axi_rid ( s1_axi_rid ),
.s_axi_rdata ( s1_axi_rdata ),
.s_axi_rresp ( s1_axi_rresp ),
.s_axi_rlast ( s1_axi_rlast ),
.s_axi_rvalid ( s1_axi_rvalid ),
.s_axi_rready ( s1_axi_rready ),
.mcb_cmd_clk ( p1_cmd_clk_i ),
.mcb_cmd_en ( p1_cmd_en_i ),
.mcb_cmd_instr ( p1_cmd_instr_i ),
.mcb_cmd_bl ( p1_cmd_bl_i ),
.mcb_cmd_byte_addr ( p1_cmd_byte_addr_i ),
.mcb_cmd_empty ( p1_cmd_empty_i ),
.mcb_cmd_full ( p1_cmd_full_i ),
.mcb_wr_clk ( p1_wr_clk_i ),
.mcb_wr_en ( p1_wr_en_i ),
.mcb_wr_mask ( p1_wr_mask_i ),
.mcb_wr_data ( p1_wr_data_i ),
.mcb_wr_full ( p1_wr_full_i ),
.mcb_wr_empty ( p1_wr_empty_i ),
.mcb_wr_count ( p1_wr_count_i ),
.mcb_wr_underrun ( p1_wr_underrun_i ),
.mcb_wr_error ( p1_wr_error_i ),
.mcb_rd_clk ( p1_rd_clk_i ),
.mcb_rd_en ( p1_rd_en_i ),
.mcb_rd_data ( p1_rd_data_i ),
.mcb_rd_full ( p1_rd_full_i ),
.mcb_rd_empty ( p1_rd_empty_i ),
.mcb_rd_count ( p1_rd_count_i ),
.mcb_rd_overflow ( p1_rd_overflow_i ),
.mcb_rd_error ( p1_rd_error_i ),
.mcb_calib_done ( calib_done_synch )
);
end
endgenerate
// P2 AXI Bridge Mux
generate
if (C_S2_AXI_ENABLE == 0) begin : P2_UI_MCB
assign p2_arb_en_i = p2_arb_en ; //
assign p2_cmd_clk_i = p2_cmd_clk ; //
assign p2_cmd_en_i = p2_cmd_en ; //
assign p2_cmd_instr_i = p2_cmd_instr ; // [2:0]
assign p2_cmd_bl_i = p2_cmd_bl ; // [5:0]
assign p2_cmd_byte_addr_i = p2_cmd_byte_addr ; // [29:0]
assign p2_cmd_empty = p2_cmd_empty_i ; //
assign p2_cmd_full = p2_cmd_full_i ; //
assign p2_wr_clk_i = p2_wr_clk ; //
assign p2_wr_en_i = p2_wr_en ; //
assign p2_wr_mask_i = p2_wr_mask ; // [3:0]
assign p2_wr_data_i = p2_wr_data ; // [31:0]
assign p2_wr_full = p2_wr_full_i ; //
assign p2_wr_empty = p2_wr_empty_i ; //
assign p2_wr_count = p2_wr_count_i ; // [6:0]
assign p2_wr_underrun = p2_wr_underrun_i ; //
assign p2_wr_error = p2_wr_error_i ; //
assign p2_rd_clk_i = p2_rd_clk ; //
assign p2_rd_en_i = p2_rd_en ; //
assign p2_rd_data = p2_rd_data_i ; // [31:0]
assign p2_rd_full = p2_rd_full_i ; //
assign p2_rd_empty = p2_rd_empty_i ; //
assign p2_rd_count = p2_rd_count_i ; // [6:0]
assign p2_rd_overflow = p2_rd_overflow_i ; //
assign p2_rd_error = p2_rd_error_i ; //
end
else begin : P2_UI_AXI
assign p2_arb_en_i = p2_arb_en;
assign s2_axi_araddr_i = s2_axi_araddr & P_S2_AXI_ADDRMASK;
assign s2_axi_awaddr_i = s2_axi_awaddr & P_S2_AXI_ADDRMASK;
wire calib_done_synch;
mcb_ui_top_synch #(
.C_SYNCH_WIDTH ( 1 )
)
axi_mcb_synch
(
.clk ( s2_axi_aclk ),
.synch_in ( uo_done_cal ),
.synch_out ( calib_done_synch )
);
axi_mcb #
(
.C_FAMILY ( "spartan6" ) ,
.C_S_AXI_ID_WIDTH ( C_S2_AXI_ID_WIDTH ) ,
.C_S_AXI_ADDR_WIDTH ( C_S2_AXI_ADDR_WIDTH ) ,
.C_S_AXI_DATA_WIDTH ( 32 ) ,
.C_S_AXI_SUPPORTS_READ ( C_S2_AXI_SUPPORTS_READ ) ,
.C_S_AXI_SUPPORTS_WRITE ( C_S2_AXI_SUPPORTS_WRITE ) ,
.C_S_AXI_REG_EN0 ( C_S2_AXI_REG_EN0 ) ,
.C_S_AXI_REG_EN1 ( C_S2_AXI_REG_EN1 ) ,
.C_S_AXI_SUPPORTS_NARROW_BURST ( C_S2_AXI_SUPPORTS_NARROW_BURST ) ,
.C_MCB_ADDR_WIDTH ( 30 ) ,
.C_MCB_DATA_WIDTH ( 32 ) ,
.C_STRICT_COHERENCY ( C_S2_AXI_STRICT_COHERENCY ) ,
.C_ENABLE_AP ( C_S2_AXI_ENABLE_AP )
)
p2_axi_mcb
(
.aclk ( s2_axi_aclk ),
.aresetn ( s2_axi_aresetn ),
.s_axi_awid ( s2_axi_awid ),
.s_axi_awaddr ( s2_axi_awaddr_i ),
.s_axi_awlen ( s2_axi_awlen ),
.s_axi_awsize ( s2_axi_awsize ),
.s_axi_awburst ( s2_axi_awburst ),
.s_axi_awlock ( s2_axi_awlock ),
.s_axi_awcache ( s2_axi_awcache ),
.s_axi_awprot ( s2_axi_awprot ),
.s_axi_awqos ( s2_axi_awqos ),
.s_axi_awvalid ( s2_axi_awvalid ),
.s_axi_awready ( s2_axi_awready ),
.s_axi_wdata ( s2_axi_wdata ),
.s_axi_wstrb ( s2_axi_wstrb ),
.s_axi_wlast ( s2_axi_wlast ),
.s_axi_wvalid ( s2_axi_wvalid ),
.s_axi_wready ( s2_axi_wready ),
.s_axi_bid ( s2_axi_bid ),
.s_axi_bresp ( s2_axi_bresp ),
.s_axi_bvalid ( s2_axi_bvalid ),
.s_axi_bready ( s2_axi_bready ),
.s_axi_arid ( s2_axi_arid ),
.s_axi_araddr ( s2_axi_araddr_i ),
.s_axi_arlen ( s2_axi_arlen ),
.s_axi_arsize ( s2_axi_arsize ),
.s_axi_arburst ( s2_axi_arburst ),
.s_axi_arlock ( s2_axi_arlock ),
.s_axi_arcache ( s2_axi_arcache ),
.s_axi_arprot ( s2_axi_arprot ),
.s_axi_arqos ( s2_axi_arqos ),
.s_axi_arvalid ( s2_axi_arvalid ),
.s_axi_arready ( s2_axi_arready ),
.s_axi_rid ( s2_axi_rid ),
.s_axi_rdata ( s2_axi_rdata ),
.s_axi_rresp ( s2_axi_rresp ),
.s_axi_rlast ( s2_axi_rlast ),
.s_axi_rvalid ( s2_axi_rvalid ),
.s_axi_rready ( s2_axi_rready ),
.mcb_cmd_clk ( p2_cmd_clk_i ),
.mcb_cmd_en ( p2_cmd_en_i ),
.mcb_cmd_instr ( p2_cmd_instr_i ),
.mcb_cmd_bl ( p2_cmd_bl_i ),
.mcb_cmd_byte_addr ( p2_cmd_byte_addr_i ),
.mcb_cmd_empty ( p2_cmd_empty_i ),
.mcb_cmd_full ( p2_cmd_full_i ),
.mcb_wr_clk ( p2_wr_clk_i ),
.mcb_wr_en ( p2_wr_en_i ),
.mcb_wr_mask ( p2_wr_mask_i ),
.mcb_wr_data ( p2_wr_data_i ),
.mcb_wr_full ( p2_wr_full_i ),
.mcb_wr_empty ( p2_wr_empty_i ),
.mcb_wr_count ( p2_wr_count_i ),
.mcb_wr_underrun ( p2_wr_underrun_i ),
.mcb_wr_error ( p2_wr_error_i ),
.mcb_rd_clk ( p2_rd_clk_i ),
.mcb_rd_en ( p2_rd_en_i ),
.mcb_rd_data ( p2_rd_data_i ),
.mcb_rd_full ( p2_rd_full_i ),
.mcb_rd_empty ( p2_rd_empty_i ),
.mcb_rd_count ( p2_rd_count_i ),
.mcb_rd_overflow ( p2_rd_overflow_i ),
.mcb_rd_error ( p2_rd_error_i ),
.mcb_calib_done ( calib_done_synch )
);
end
endgenerate
// P3 AXI Bridge Mux
generate
if (C_S3_AXI_ENABLE == 0) begin : P3_UI_MCB
assign p3_arb_en_i = p3_arb_en ; //
assign p3_cmd_clk_i = p3_cmd_clk ; //
assign p3_cmd_en_i = p3_cmd_en ; //
assign p3_cmd_instr_i = p3_cmd_instr ; // [2:0]
assign p3_cmd_bl_i = p3_cmd_bl ; // [5:0]
assign p3_cmd_byte_addr_i = p3_cmd_byte_addr ; // [29:0]
assign p3_cmd_empty = p3_cmd_empty_i ; //
assign p3_cmd_full = p3_cmd_full_i ; //
assign p3_wr_clk_i = p3_wr_clk ; //
assign p3_wr_en_i = p3_wr_en ; //
assign p3_wr_mask_i = p3_wr_mask ; // [3:0]
assign p3_wr_data_i = p3_wr_data ; // [31:0]
assign p3_wr_full = p3_wr_full_i ; //
assign p3_wr_empty = p3_wr_empty_i ; //
assign p3_wr_count = p3_wr_count_i ; // [6:0]
assign p3_wr_underrun = p3_wr_underrun_i ; //
assign p3_wr_error = p3_wr_error_i ; //
assign p3_rd_clk_i = p3_rd_clk ; //
assign p3_rd_en_i = p3_rd_en ; //
assign p3_rd_data = p3_rd_data_i ; // [31:0]
assign p3_rd_full = p3_rd_full_i ; //
assign p3_rd_empty = p3_rd_empty_i ; //
assign p3_rd_count = p3_rd_count_i ; // [6:0]
assign p3_rd_overflow = p3_rd_overflow_i ; //
assign p3_rd_error = p3_rd_error_i ; //
end
else begin : P3_UI_AXI
assign p3_arb_en_i = p3_arb_en;
assign s3_axi_araddr_i = s3_axi_araddr & P_S3_AXI_ADDRMASK;
assign s3_axi_awaddr_i = s3_axi_awaddr & P_S3_AXI_ADDRMASK;
wire calib_done_synch;
mcb_ui_top_synch #(
.C_SYNCH_WIDTH ( 1 )
)
axi_mcb_synch
(
.clk ( s3_axi_aclk ),
.synch_in ( uo_done_cal ),
.synch_out ( calib_done_synch )
);
axi_mcb #
(
.C_FAMILY ( "spartan6" ) ,
.C_S_AXI_ID_WIDTH ( C_S3_AXI_ID_WIDTH ) ,
.C_S_AXI_ADDR_WIDTH ( C_S3_AXI_ADDR_WIDTH ) ,
.C_S_AXI_DATA_WIDTH ( 32 ) ,
.C_S_AXI_SUPPORTS_READ ( C_S3_AXI_SUPPORTS_READ ) ,
.C_S_AXI_SUPPORTS_WRITE ( C_S3_AXI_SUPPORTS_WRITE ) ,
.C_S_AXI_REG_EN0 ( C_S3_AXI_REG_EN0 ) ,
.C_S_AXI_REG_EN1 ( C_S3_AXI_REG_EN1 ) ,
.C_S_AXI_SUPPORTS_NARROW_BURST ( C_S3_AXI_SUPPORTS_NARROW_BURST ) ,
.C_MCB_ADDR_WIDTH ( 30 ) ,
.C_MCB_DATA_WIDTH ( 32 ) ,
.C_STRICT_COHERENCY ( C_S3_AXI_STRICT_COHERENCY ) ,
.C_ENABLE_AP ( C_S3_AXI_ENABLE_AP )
)
p3_axi_mcb
(
.aclk ( s3_axi_aclk ),
.aresetn ( s3_axi_aresetn ),
.s_axi_awid ( s3_axi_awid ),
.s_axi_awaddr ( s3_axi_awaddr_i ),
.s_axi_awlen ( s3_axi_awlen ),
.s_axi_awsize ( s3_axi_awsize ),
.s_axi_awburst ( s3_axi_awburst ),
.s_axi_awlock ( s3_axi_awlock ),
.s_axi_awcache ( s3_axi_awcache ),
.s_axi_awprot ( s3_axi_awprot ),
.s_axi_awqos ( s3_axi_awqos ),
.s_axi_awvalid ( s3_axi_awvalid ),
.s_axi_awready ( s3_axi_awready ),
.s_axi_wdata ( s3_axi_wdata ),
.s_axi_wstrb ( s3_axi_wstrb ),
.s_axi_wlast ( s3_axi_wlast ),
.s_axi_wvalid ( s3_axi_wvalid ),
.s_axi_wready ( s3_axi_wready ),
.s_axi_bid ( s3_axi_bid ),
.s_axi_bresp ( s3_axi_bresp ),
.s_axi_bvalid ( s3_axi_bvalid ),
.s_axi_bready ( s3_axi_bready ),
.s_axi_arid ( s3_axi_arid ),
.s_axi_araddr ( s3_axi_araddr_i ),
.s_axi_arlen ( s3_axi_arlen ),
.s_axi_arsize ( s3_axi_arsize ),
.s_axi_arburst ( s3_axi_arburst ),
.s_axi_arlock ( s3_axi_arlock ),
.s_axi_arcache ( s3_axi_arcache ),
.s_axi_arprot ( s3_axi_arprot ),
.s_axi_arqos ( s3_axi_arqos ),
.s_axi_arvalid ( s3_axi_arvalid ),
.s_axi_arready ( s3_axi_arready ),
.s_axi_rid ( s3_axi_rid ),
.s_axi_rdata ( s3_axi_rdata ),
.s_axi_rresp ( s3_axi_rresp ),
.s_axi_rlast ( s3_axi_rlast ),
.s_axi_rvalid ( s3_axi_rvalid ),
.s_axi_rready ( s3_axi_rready ),
.mcb_cmd_clk ( p3_cmd_clk_i ),
.mcb_cmd_en ( p3_cmd_en_i ),
.mcb_cmd_instr ( p3_cmd_instr_i ),
.mcb_cmd_bl ( p3_cmd_bl_i ),
.mcb_cmd_byte_addr ( p3_cmd_byte_addr_i ),
.mcb_cmd_empty ( p3_cmd_empty_i ),
.mcb_cmd_full ( p3_cmd_full_i ),
.mcb_wr_clk ( p3_wr_clk_i ),
.mcb_wr_en ( p3_wr_en_i ),
.mcb_wr_mask ( p3_wr_mask_i ),
.mcb_wr_data ( p3_wr_data_i ),
.mcb_wr_full ( p3_wr_full_i ),
.mcb_wr_empty ( p3_wr_empty_i ),
.mcb_wr_count ( p3_wr_count_i ),
.mcb_wr_underrun ( p3_wr_underrun_i ),
.mcb_wr_error ( p3_wr_error_i ),
.mcb_rd_clk ( p3_rd_clk_i ),
.mcb_rd_en ( p3_rd_en_i ),
.mcb_rd_data ( p3_rd_data_i ),
.mcb_rd_full ( p3_rd_full_i ),
.mcb_rd_empty ( p3_rd_empty_i ),
.mcb_rd_count ( p3_rd_count_i ),
.mcb_rd_overflow ( p3_rd_overflow_i ),
.mcb_rd_error ( p3_rd_error_i ),
.mcb_calib_done ( calib_done_synch )
);
end
endgenerate
// P4 AXI Bridge Mux
generate
if (C_S4_AXI_ENABLE == 0) begin : P4_UI_MCB
assign p4_arb_en_i = p4_arb_en ; //
assign p4_cmd_clk_i = p4_cmd_clk ; //
assign p4_cmd_en_i = p4_cmd_en ; //
assign p4_cmd_instr_i = p4_cmd_instr ; // [2:0]
assign p4_cmd_bl_i = p4_cmd_bl ; // [5:0]
assign p4_cmd_byte_addr_i = p4_cmd_byte_addr ; // [29:0]
assign p4_cmd_empty = p4_cmd_empty_i ; //
assign p4_cmd_full = p4_cmd_full_i ; //
assign p4_wr_clk_i = p4_wr_clk ; //
assign p4_wr_en_i = p4_wr_en ; //
assign p4_wr_mask_i = p4_wr_mask ; // [3:0]
assign p4_wr_data_i = p4_wr_data ; // [31:0]
assign p4_wr_full = p4_wr_full_i ; //
assign p4_wr_empty = p4_wr_empty_i ; //
assign p4_wr_count = p4_wr_count_i ; // [6:0]
assign p4_wr_underrun = p4_wr_underrun_i ; //
assign p4_wr_error = p4_wr_error_i ; //
assign p4_rd_clk_i = p4_rd_clk ; //
assign p4_rd_en_i = p4_rd_en ; //
assign p4_rd_data = p4_rd_data_i ; // [31:0]
assign p4_rd_full = p4_rd_full_i ; //
assign p4_rd_empty = p4_rd_empty_i ; //
assign p4_rd_count = p4_rd_count_i ; // [6:0]
assign p4_rd_overflow = p4_rd_overflow_i ; //
assign p4_rd_error = p4_rd_error_i ; //
end
else begin : P4_UI_AXI
assign p4_arb_en_i = p4_arb_en;
assign s4_axi_araddr_i = s4_axi_araddr & P_S4_AXI_ADDRMASK;
assign s4_axi_awaddr_i = s4_axi_awaddr & P_S4_AXI_ADDRMASK;
wire calib_done_synch;
mcb_ui_top_synch #(
.C_SYNCH_WIDTH ( 1 )
)
axi_mcb_synch
(
.clk ( s4_axi_aclk ),
.synch_in ( uo_done_cal ),
.synch_out ( calib_done_synch )
);
axi_mcb #
(
.C_FAMILY ( "spartan6" ) ,
.C_S_AXI_ID_WIDTH ( C_S4_AXI_ID_WIDTH ) ,
.C_S_AXI_ADDR_WIDTH ( C_S4_AXI_ADDR_WIDTH ) ,
.C_S_AXI_DATA_WIDTH ( 32 ) ,
.C_S_AXI_SUPPORTS_READ ( C_S4_AXI_SUPPORTS_READ ) ,
.C_S_AXI_SUPPORTS_WRITE ( C_S4_AXI_SUPPORTS_WRITE ) ,
.C_S_AXI_REG_EN0 ( C_S4_AXI_REG_EN0 ) ,
.C_S_AXI_REG_EN1 ( C_S4_AXI_REG_EN1 ) ,
.C_S_AXI_SUPPORTS_NARROW_BURST ( C_S4_AXI_SUPPORTS_NARROW_BURST ) ,
.C_MCB_ADDR_WIDTH ( 30 ) ,
.C_MCB_DATA_WIDTH ( 32 ) ,
.C_STRICT_COHERENCY ( C_S4_AXI_STRICT_COHERENCY ) ,
.C_ENABLE_AP ( C_S4_AXI_ENABLE_AP )
)
p4_axi_mcb
(
.aclk ( s4_axi_aclk ),
.aresetn ( s4_axi_aresetn ),
.s_axi_awid ( s4_axi_awid ),
.s_axi_awaddr ( s4_axi_awaddr_i ),
.s_axi_awlen ( s4_axi_awlen ),
.s_axi_awsize ( s4_axi_awsize ),
.s_axi_awburst ( s4_axi_awburst ),
.s_axi_awlock ( s4_axi_awlock ),
.s_axi_awcache ( s4_axi_awcache ),
.s_axi_awprot ( s4_axi_awprot ),
.s_axi_awqos ( s4_axi_awqos ),
.s_axi_awvalid ( s4_axi_awvalid ),
.s_axi_awready ( s4_axi_awready ),
.s_axi_wdata ( s4_axi_wdata ),
.s_axi_wstrb ( s4_axi_wstrb ),
.s_axi_wlast ( s4_axi_wlast ),
.s_axi_wvalid ( s4_axi_wvalid ),
.s_axi_wready ( s4_axi_wready ),
.s_axi_bid ( s4_axi_bid ),
.s_axi_bresp ( s4_axi_bresp ),
.s_axi_bvalid ( s4_axi_bvalid ),
.s_axi_bready ( s4_axi_bready ),
.s_axi_arid ( s4_axi_arid ),
.s_axi_araddr ( s4_axi_araddr_i ),
.s_axi_arlen ( s4_axi_arlen ),
.s_axi_arsize ( s4_axi_arsize ),
.s_axi_arburst ( s4_axi_arburst ),
.s_axi_arlock ( s4_axi_arlock ),
.s_axi_arcache ( s4_axi_arcache ),
.s_axi_arprot ( s4_axi_arprot ),
.s_axi_arqos ( s4_axi_arqos ),
.s_axi_arvalid ( s4_axi_arvalid ),
.s_axi_arready ( s4_axi_arready ),
.s_axi_rid ( s4_axi_rid ),
.s_axi_rdata ( s4_axi_rdata ),
.s_axi_rresp ( s4_axi_rresp ),
.s_axi_rlast ( s4_axi_rlast ),
.s_axi_rvalid ( s4_axi_rvalid ),
.s_axi_rready ( s4_axi_rready ),
.mcb_cmd_clk ( p4_cmd_clk_i ),
.mcb_cmd_en ( p4_cmd_en_i ),
.mcb_cmd_instr ( p4_cmd_instr_i ),
.mcb_cmd_bl ( p4_cmd_bl_i ),
.mcb_cmd_byte_addr ( p4_cmd_byte_addr_i ),
.mcb_cmd_empty ( p4_cmd_empty_i ),
.mcb_cmd_full ( p4_cmd_full_i ),
.mcb_wr_clk ( p4_wr_clk_i ),
.mcb_wr_en ( p4_wr_en_i ),
.mcb_wr_mask ( p4_wr_mask_i ),
.mcb_wr_data ( p4_wr_data_i ),
.mcb_wr_full ( p4_wr_full_i ),
.mcb_wr_empty ( p4_wr_empty_i ),
.mcb_wr_count ( p4_wr_count_i ),
.mcb_wr_underrun ( p4_wr_underrun_i ),
.mcb_wr_error ( p4_wr_error_i ),
.mcb_rd_clk ( p4_rd_clk_i ),
.mcb_rd_en ( p4_rd_en_i ),
.mcb_rd_data ( p4_rd_data_i ),
.mcb_rd_full ( p4_rd_full_i ),
.mcb_rd_empty ( p4_rd_empty_i ),
.mcb_rd_count ( p4_rd_count_i ),
.mcb_rd_overflow ( p4_rd_overflow_i ),
.mcb_rd_error ( p4_rd_error_i ),
.mcb_calib_done ( calib_done_synch )
);
end
endgenerate
// P5 AXI Bridge Mux
generate
if (C_S5_AXI_ENABLE == 0) begin : P5_UI_MCB
assign p5_arb_en_i = p5_arb_en ; //
assign p5_cmd_clk_i = p5_cmd_clk ; //
assign p5_cmd_en_i = p5_cmd_en ; //
assign p5_cmd_instr_i = p5_cmd_instr ; // [2:0]
assign p5_cmd_bl_i = p5_cmd_bl ; // [5:0]
assign p5_cmd_byte_addr_i = p5_cmd_byte_addr ; // [29:0]
assign p5_cmd_empty = p5_cmd_empty_i ; //
assign p5_cmd_full = p5_cmd_full_i ; //
assign p5_wr_clk_i = p5_wr_clk ; //
assign p5_wr_en_i = p5_wr_en ; //
assign p5_wr_mask_i = p5_wr_mask ; // [3:0]
assign p5_wr_data_i = p5_wr_data ; // [31:0]
assign p5_wr_full = p5_wr_full_i ; //
assign p5_wr_empty = p5_wr_empty_i ; //
assign p5_wr_count = p5_wr_count_i ; // [6:0]
assign p5_wr_underrun = p5_wr_underrun_i ; //
assign p5_wr_error = p5_wr_error_i ; //
assign p5_rd_clk_i = p5_rd_clk ; //
assign p5_rd_en_i = p5_rd_en ; //
assign p5_rd_data = p5_rd_data_i ; // [31:0]
assign p5_rd_full = p5_rd_full_i ; //
assign p5_rd_empty = p5_rd_empty_i ; //
assign p5_rd_count = p5_rd_count_i ; // [6:0]
assign p5_rd_overflow = p5_rd_overflow_i ; //
assign p5_rd_error = p5_rd_error_i ; //
end
else begin : P5_UI_AXI
assign p5_arb_en_i = p5_arb_en;
assign s5_axi_araddr_i = s5_axi_araddr & P_S5_AXI_ADDRMASK;
assign s5_axi_awaddr_i = s5_axi_awaddr & P_S5_AXI_ADDRMASK;
wire calib_done_synch;
mcb_ui_top_synch #(
.C_SYNCH_WIDTH ( 1 )
)
axi_mcb_synch
(
.clk ( s5_axi_aclk ),
.synch_in ( uo_done_cal ),
.synch_out ( calib_done_synch )
);
axi_mcb #
(
.C_FAMILY ( "spartan6" ) ,
.C_S_AXI_ID_WIDTH ( C_S5_AXI_ID_WIDTH ) ,
.C_S_AXI_ADDR_WIDTH ( C_S5_AXI_ADDR_WIDTH ) ,
.C_S_AXI_DATA_WIDTH ( 32 ) ,
.C_S_AXI_SUPPORTS_READ ( C_S5_AXI_SUPPORTS_READ ) ,
.C_S_AXI_SUPPORTS_WRITE ( C_S5_AXI_SUPPORTS_WRITE ) ,
.C_S_AXI_REG_EN0 ( C_S5_AXI_REG_EN0 ) ,
.C_S_AXI_REG_EN1 ( C_S5_AXI_REG_EN1 ) ,
.C_S_AXI_SUPPORTS_NARROW_BURST ( C_S5_AXI_SUPPORTS_NARROW_BURST ) ,
.C_MCB_ADDR_WIDTH ( 30 ) ,
.C_MCB_DATA_WIDTH ( 32 ) ,
.C_STRICT_COHERENCY ( C_S5_AXI_STRICT_COHERENCY ) ,
.C_ENABLE_AP ( C_S5_AXI_ENABLE_AP )
)
p5_axi_mcb
(
.aclk ( s5_axi_aclk ),
.aresetn ( s5_axi_aresetn ),
.s_axi_awid ( s5_axi_awid ),
.s_axi_awaddr ( s5_axi_awaddr_i ),
.s_axi_awlen ( s5_axi_awlen ),
.s_axi_awsize ( s5_axi_awsize ),
.s_axi_awburst ( s5_axi_awburst ),
.s_axi_awlock ( s5_axi_awlock ),
.s_axi_awcache ( s5_axi_awcache ),
.s_axi_awprot ( s5_axi_awprot ),
.s_axi_awqos ( s5_axi_awqos ),
.s_axi_awvalid ( s5_axi_awvalid ),
.s_axi_awready ( s5_axi_awready ),
.s_axi_wdata ( s5_axi_wdata ),
.s_axi_wstrb ( s5_axi_wstrb ),
.s_axi_wlast ( s5_axi_wlast ),
.s_axi_wvalid ( s5_axi_wvalid ),
.s_axi_wready ( s5_axi_wready ),
.s_axi_bid ( s5_axi_bid ),
.s_axi_bresp ( s5_axi_bresp ),
.s_axi_bvalid ( s5_axi_bvalid ),
.s_axi_bready ( s5_axi_bready ),
.s_axi_arid ( s5_axi_arid ),
.s_axi_araddr ( s5_axi_araddr_i ),
.s_axi_arlen ( s5_axi_arlen ),
.s_axi_arsize ( s5_axi_arsize ),
.s_axi_arburst ( s5_axi_arburst ),
.s_axi_arlock ( s5_axi_arlock ),
.s_axi_arcache ( s5_axi_arcache ),
.s_axi_arprot ( s5_axi_arprot ),
.s_axi_arqos ( s5_axi_arqos ),
.s_axi_arvalid ( s5_axi_arvalid ),
.s_axi_arready ( s5_axi_arready ),
.s_axi_rid ( s5_axi_rid ),
.s_axi_rdata ( s5_axi_rdata ),
.s_axi_rresp ( s5_axi_rresp ),
.s_axi_rlast ( s5_axi_rlast ),
.s_axi_rvalid ( s5_axi_rvalid ),
.s_axi_rready ( s5_axi_rready ),
.mcb_cmd_clk ( p5_cmd_clk_i ),
.mcb_cmd_en ( p5_cmd_en_i ),
.mcb_cmd_instr ( p5_cmd_instr_i ),
.mcb_cmd_bl ( p5_cmd_bl_i ),
.mcb_cmd_byte_addr ( p5_cmd_byte_addr_i ),
.mcb_cmd_empty ( p5_cmd_empty_i ),
.mcb_cmd_full ( p5_cmd_full_i ),
.mcb_wr_clk ( p5_wr_clk_i ),
.mcb_wr_en ( p5_wr_en_i ),
.mcb_wr_mask ( p5_wr_mask_i ),
.mcb_wr_data ( p5_wr_data_i ),
.mcb_wr_full ( p5_wr_full_i ),
.mcb_wr_empty ( p5_wr_empty_i ),
.mcb_wr_count ( p5_wr_count_i ),
.mcb_wr_underrun ( p5_wr_underrun_i ),
.mcb_wr_error ( p5_wr_error_i ),
.mcb_rd_clk ( p5_rd_clk_i ),
.mcb_rd_en ( p5_rd_en_i ),
.mcb_rd_data ( p5_rd_data_i ),
.mcb_rd_full ( p5_rd_full_i ),
.mcb_rd_empty ( p5_rd_empty_i ),
.mcb_rd_count ( p5_rd_count_i ),
.mcb_rd_overflow ( p5_rd_overflow_i ),
.mcb_rd_error ( p5_rd_error_i ),
.mcb_calib_done ( calib_done_synch )
);
end
endgenerate
endmodule
|
/*
Copyright (c) 2018 Alex Forencich
Permission is hereby granted, free of charge, to any person obtaining a copy
of this software and associated documentation files (the "Software"), to deal
in the Software without restriction, including without limitation the rights
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
copies of the Software, and to permit persons to whom the Software is
furnished to do so, subject to the following conditions:
The above copyright notice and this permission notice shall be included in
all copies or substantial portions of the Software.
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
THE SOFTWARE.
*/
// Language: Verilog 2001
`timescale 1ns / 1ps
/*
* AXI4-Stream pipeline register
*/
module axis_pipeline_register #
(
// Width of AXI stream interfaces in bits
parameter DATA_WIDTH = 8,
// Propagate tkeep signal
parameter KEEP_ENABLE = (DATA_WIDTH>8),
// tkeep signal width (words per cycle)
parameter KEEP_WIDTH = (DATA_WIDTH/8),
// Propagate tlast signal
parameter LAST_ENABLE = 1,
// Propagate tid signal
parameter ID_ENABLE = 0,
// tid signal width
parameter ID_WIDTH = 8,
// Propagate tdest signal
parameter DEST_ENABLE = 0,
// tdest signal width
parameter DEST_WIDTH = 8,
// Propagate tuser signal
parameter USER_ENABLE = 1,
// tuser signal width
parameter USER_WIDTH = 1,
// Register type
// 0 to bypass, 1 for simple buffer, 2 for skid buffer
parameter REG_TYPE = 2,
// Number of registers in pipeline
parameter LENGTH = 2
)
(
input wire clk,
input wire rst,
/*
* AXI input
*/
input wire [DATA_WIDTH-1:0] s_axis_tdata,
input wire [KEEP_WIDTH-1:0] s_axis_tkeep,
input wire s_axis_tvalid,
output wire s_axis_tready,
input wire s_axis_tlast,
input wire [ID_WIDTH-1:0] s_axis_tid,
input wire [DEST_WIDTH-1:0] s_axis_tdest,
input wire [USER_WIDTH-1:0] s_axis_tuser,
/*
* AXI output
*/
output wire [DATA_WIDTH-1:0] m_axis_tdata,
output wire [KEEP_WIDTH-1:0] m_axis_tkeep,
output wire m_axis_tvalid,
input wire m_axis_tready,
output wire m_axis_tlast,
output wire [ID_WIDTH-1:0] m_axis_tid,
output wire [DEST_WIDTH-1:0] m_axis_tdest,
output wire [USER_WIDTH-1:0] m_axis_tuser
);
wire [DATA_WIDTH-1:0] axis_tdata[0:LENGTH];
wire [KEEP_WIDTH-1:0] axis_tkeep[0:LENGTH];
wire axis_tvalid[0:LENGTH];
wire axis_tready[0:LENGTH];
wire axis_tlast[0:LENGTH];
wire [ID_WIDTH-1:0] axis_tid[0:LENGTH];
wire [DEST_WIDTH-1:0] axis_tdest[0:LENGTH];
wire [USER_WIDTH-1:0] axis_tuser[0:LENGTH];
assign axis_tdata[0] = s_axis_tdata;
assign axis_tkeep[0] = s_axis_tkeep;
assign axis_tvalid[0] = s_axis_tvalid;
assign s_axis_tready = axis_tready[0];
assign axis_tlast[0] = s_axis_tlast;
assign axis_tid[0] = s_axis_tid;
assign axis_tdest[0] = s_axis_tdest;
assign axis_tuser[0] = s_axis_tuser;
assign m_axis_tdata = axis_tdata[LENGTH];
assign m_axis_tkeep = axis_tkeep[LENGTH];
assign m_axis_tvalid = axis_tvalid[LENGTH];
assign axis_tready[LENGTH] = m_axis_tready;
assign m_axis_tlast = axis_tlast[LENGTH];
assign m_axis_tid = axis_tid[LENGTH];
assign m_axis_tdest = axis_tdest[LENGTH];
assign m_axis_tuser = axis_tuser[LENGTH];
generate
genvar i;
for (i = 0; i < LENGTH; i = i + 1) begin : pipe_reg
axis_register #(
.DATA_WIDTH(DATA_WIDTH),
.KEEP_ENABLE(KEEP_ENABLE),
.KEEP_WIDTH(KEEP_WIDTH),
.LAST_ENABLE(LAST_ENABLE),
.ID_ENABLE(ID_ENABLE),
.ID_WIDTH(ID_WIDTH),
.DEST_ENABLE(DEST_ENABLE),
.DEST_WIDTH(DEST_WIDTH),
.USER_ENABLE(USER_ENABLE),
.USER_WIDTH(USER_WIDTH),
.REG_TYPE(REG_TYPE)
)
reg_inst (
.clk(clk),
.rst(rst),
// AXI input
.s_axis_tdata(axis_tdata[i]),
.s_axis_tkeep(axis_tkeep[i]),
.s_axis_tvalid(axis_tvalid[i]),
.s_axis_tready(axis_tready[i]),
.s_axis_tlast(axis_tlast[i]),
.s_axis_tid(axis_tid[i]),
.s_axis_tdest(axis_tdest[i]),
.s_axis_tuser(axis_tuser[i]),
// AXI output
.m_axis_tdata(axis_tdata[i+1]),
.m_axis_tkeep(axis_tkeep[i+1]),
.m_axis_tvalid(axis_tvalid[i+1]),
.m_axis_tready(axis_tready[i+1]),
.m_axis_tlast(axis_tlast[i+1]),
.m_axis_tid(axis_tid[i+1]),
.m_axis_tdest(axis_tdest[i+1]),
.m_axis_tuser(axis_tuser[i+1])
);
end
endgenerate
endmodule
|
module testbench;
parameter NO_OF_MSGS=128, MSG_WIDTH=4, B=3, PATTERN_WIDTH=74, SIGN_DEPTH=128,
SHIFT_WIDTH=$clog2(PATTERN_WIDTH-B+1),DATA_WIDTH=MSG_WIDTH*NO_OF_MSGS,
NOS_SHIFTER=2*NO_OF_MSGS, POINTER_WIDTH=$clog2(NOS_SHIFTER), NOS_KEY=4, NOS_CMPS=SIGN_DEPTH/NOS_KEY,NOS_STGS=$clog2(SIGN_DEPTH/NOS_KEY),SFT_DEL_WDH=$clog2(NOS_STGS+NOS_KEY+2),MAX_PAT_SZ=78;
localparam clk_prd = 2, nos_inputs=80;
reg clk;
reg reset;
reg datInReady;
reg [DATA_WIDTH-1:0] DataIn;
wire getDATA;
wire [NOS_STGS:1] fullCompare;
wire [POINTER_WIDTH-1:0] shift_pnt;
wire [6:0]dout;
reg [DATA_WIDTH-1:0] ram [nos_inputs-1:0];
integer i=0;
Wu_Manber_shiftPE #(NO_OF_MSGS,MSG_WIDTH,B,PATTERN_WIDTH, SIGN_DEPTH,SHIFT_WIDTH,DATA_WIDTH,NOS_SHIFTER,POINTER_WIDTH,NOS_KEY,NOS_CMPS,NOS_STGS,SFT_DEL_WDH,MAX_PAT_SZ)
uut (.clk(clk),
.reset(reset),
.datInReady(datInReady),
.DataIn(DataIn),
.getDATA(getDATA),
.fullCompare(fullCompare),
.shift_pnt(shift_pnt),
.dout(dout)
);
initial begin
$readmemh("/home/ashikpoojari/Desktop/xilinx_codes/codes100/input.txt", ram); // memory_list is memory file
end
initial begin
clk <= 1'b1;
reset <= 1'b0;
datInReady <= 1'b0;
#(2*clk_prd) reset <= 1'b1;
#(4*clk_prd) reset <= 1'b0;
#(5*clk_prd) datInReady <= 1'b1;
#(1*clk_prd) datInReady <= 1'b0;
end
always
#(clk_prd/2) clk <= ~clk;
always@(getDATA)begin
if(getDATA)begin
DataIn=ram[i];
i=i+1;
end
end
endmodule |
module wallace(x,y,p);
input [7:0] x,y;
output [15:0] p;
wire [6:0] ip1;
wire [7:0] ip2,ip3,ip4,ip5,ip6,ip7,ip8,si,iip;
wire [6:0] s1,s2,s3,s4,s5,s6;
wire [7:0] c1,c2,c3,c4,c5,c6,c7;
wire c;
// first AND array
and fi1(p[0],y[0],x[0]);
and fi2(ip1[0],y[0],x[1]);
and fi3(ip1[1],y[0],x[2]);
and fi4(ip1[2],y[0],x[3]);
and fi5(ip1[3],y[0],x[4]);
and fi6(ip1[4],y[0],x[5]);
and fi7(ip1[5],y[0],x[6]);
and fi8(ip1[6],y[0],x[7]);
not n1(si[0],ip1[6]);
// second AND array and NOT GATES
and se1(ip2[0],y[1],x[0]);
and se2(ip2[1],y[1],x[1]);
and se3(ip2[2],y[1],x[2]);
and se4(ip2[3],y[1],x[3]);
and se5(ip2[4],y[1],x[4]);
and se6(ip2[5],y[1],x[5]);
and se7(ip2[6],y[1],x[6]);
and se8(ip2[7],y[1],x[7]);
not n2(si[1],ip2[7]);
//third
and th1(ip3[0],y[2],x[0]);
and th2(ip3[1],y[2],x[1]);
and th3(ip3[2],y[2],x[2]);
and th4(ip3[3],y[2],x[3]);
and th5(ip3[4],y[2],x[4]);
and th6(ip3[5],y[2],x[5]);
and th7(ip3[6],y[2],x[6]);
and th8(ip3[7],y[2],x[7]);
not n3(si[2],ip3[7]);
//fourth
and fo1(ip4[0],y[3],x[0]);
and fo2(ip4[1],y[3],x[1]);
and fo3(ip4[2],y[3],x[2]);
and fo4(ip4[3],y[3],x[3]);
and fo5(ip4[4],y[3],x[4]);
and fo6(ip4[5],y[3],x[5]);
and fo7(ip4[6],y[3],x[6]);
and fo8(ip4[7],y[3],x[7]);
not n4(si[3],ip4[7]);
//fifth
and fif1(ip5[0],y[4],x[0]);
and fif2(ip5[1],y[4],x[1]);
and fif3(ip5[2],y[4],x[2]);
and fif4(ip5[3],y[4],x[3]);
and fif5(ip5[4],y[4],x[4]);
and fif6(ip5[5],y[4],x[5]);
and fif7(ip5[6],y[4],x[6]);
and fif8(ip5[7],y[4],x[7]);
not n5(si[4],ip5[7]);
//sixth
and si1(ip6[0],y[5],x[0]);
and si2(ip6[1],y[5],x[1]);
and si3(ip6[2],y[5],x[2]);
and si4(ip6[3],y[5],x[3]);
and si5(ip6[4],y[5],x[4]);
and si6(ip6[5],y[5],x[5]);
and si7(ip6[6],y[5],x[6]);
and si8(ip6[7],y[5],x[7]);
not n6(si[5],ip6[7]);
//seventh
and sev1(ip7[0],y[6],x[0]);
and sev2(ip7[1],y[6],x[1]);
and sev3(ip7[2],y[6],x[2]);
and sev4(ip7[3],y[6],x[3]);
and sev5(ip7[4],y[6],x[4]);
and sev6(ip7[5],y[6],x[5]);
and sev7(ip7[6],y[6],x[6]);
and sev8(ip7[7],y[6],x[7]);
not n7(si[6],ip7[7]);
//eight
and eii1(iip[0],y[7],x[0]);
and eii2(iip[1],y[7],x[1]);
and eii3(iip[2],y[7],x[2]);
and eii4(iip[3],y[7],x[3]);
and eii5(iip[4],y[7],x[4]);
and eii6(iip[5],y[7],x[5]);
and eii7(iip[6],y[7],x[6]);
and eii8(iip[7],y[7],x[7]);
xor ei1(ip8[0],y[7],iip[0]);
xor ei2(ip8[1],y[7],iip[1]);
xor ei3(ip8[2],y[7],iip[2]);
xor ei4(ip8[3],y[7],iip[3]);
xor ei5(ip8[4],y[7],iip[4]);
xor ei6(ip8[5],y[7],iip[5]);
xor ei7(ip8[6],y[7],iip[6]);
xor ei8(ip8[7],y[7],iip[7]);
not n8(si[7],ip8[7]);
//first adder array
HA ha1(ip1[0],ip2[0],c1[0],p[1]);
FA fa1(ip1[1],ip2[1],ip3[0],c1[1],s1[0]);
FA fa2(ip1[2],ip2[2],ip3[1],c1[2],s1[1]);
FA fa3(ip1[3],ip2[3],ip3[2],c1[3],s1[2]);
FA fa4(ip1[4],ip2[4],ip3[3],c1[4],s1[3]);
FA fa5(ip1[5],ip2[5],ip3[4],c1[5],s1[4]);
FA fa6(ip1[6],ip2[6],ip3[5],c1[6],s1[5]);
FA fa7(si[0],si[1],ip3[6],c1[7],s1[6]);
//second adder array
HA ha2(s1[0],c1[0],c2[0],p[2]);
FA sa1(s1[1],c1[1],ip4[0],c2[1],s2[0]);
FA sa2(s1[2],c1[2],ip4[1],c2[2],s2[1]);
FA sa3(s1[3],c1[3],ip4[2],c2[3],s2[2]);
FA sa4(s1[4],c1[4],ip4[3],c2[4],s2[3]);
FA sa5(s1[5],c1[5],ip4[4],c2[5],s2[4]);
FA sa6(s1[6],c1[6],ip4[5],c2[6],s2[5]);
FA sa7(si[2],c1[7],ip4[6],c2[7],s2[6]);
//third adder
HA ha3(s2[0],c2[0],c3[0],p[3]);
FA ta1(s2[1],ip5[0],c2[1],c3[1],s3[0]);
FA ta2(s2[2],ip5[1],c2[2],c3[2],s3[1]);
FA ta3(s2[3],ip5[2],c2[3],c3[3],s3[2]);
FA ta4(s2[4],ip5[3],c2[4],c3[4],s3[3]);
FA ta5(s2[5],ip5[4],c2[5],c3[5],s3[4]);
FA ta6(s2[6],ip5[5],c2[6],c3[6],s3[5]);
FA ta7(si[3],ip5[6],c2[7],c3[7],s3[6]);
//fourth adder
HA ha4(s3[0],c3[0],c4[0],p[4]);
FA foa1(s3[1],ip6[0],c3[1],c4[1],s4[0]);
FA foa2(s3[2],ip6[1],c3[2],c4[2],s4[1]);
FA foa3(s3[3],ip6[2],c3[3],c4[3],s4[2]);
FA foa4(s3[4],ip6[3],c3[4],c4[4],s4[3]);
FA foa5(s3[5],ip6[4],c3[5],c4[5],s4[4]);
FA foa6(s3[6],ip6[5],c3[6],c4[6],s4[5]);
FA foa7(si[4],ip6[6],c3[7],c4[7],s4[6]);
//fifth adder
HA ha5(s4[0],c4[0],c5[0],p[5]);
FA fia1(s4[1],ip7[0],c4[1],c5[1],s5[0]);
FA fia2(s4[2],ip7[1],c4[2],c5[2],s5[1]);
FA fia3(s4[3],ip7[2],c4[3],c5[3],s5[2]);
FA fia4(s4[4],ip7[3],c4[4],c5[4],s5[3]);
FA fia5(s4[5],ip7[4],c4[5],c5[5],s5[4]);
FA fia6(s4[6],ip7[5],c4[6],c5[6],s5[5]);
FA fia7(si[5],ip7[6],c4[7],c5[7],s5[6]);
//sixth adder
HA ha6(s5[0],c5[0],c6[0],p[6]);
FA sia1(s5[1],ip8[0],c5[1],c6[1],s6[0]);
FA sia2(s5[2],ip8[1],c5[2],c6[2],s6[1]);
FA sia3(s5[3],ip8[2],c5[3],c6[3],s6[2]);
FA sia4(s5[4],ip8[3],c5[4],c6[4],s6[3]);
FA sia5(s5[5],ip8[4],c5[5],c6[5],s6[4]);
FA sia6(s5[6],ip8[5],c5[6],c6[6],s6[5]);
FA sia7(si[6],ip8[6],c5[7],c6[7],s6[6]);
//seventh adder
FAd sea0(s6[0],c6[0],y[7],c7[0],p[7]);
FAd sea1(s6[1],c6[1],c7[0],c7[1],p[8]);
FAd sea2(s6[2],c6[2],c7[1],c7[2],p[9]);
FAd sea3(s6[3],c6[3],c7[2],c7[3],p[10]);
FAd sea4(s6[4],c6[4],c7[3],c7[4],p[11]);
FAd sea5(s6[5],c6[5],c7[4],c7[5],p[12]);
FAd sea6(s6[6],c6[6],c7[5],c7[6],p[13]);
FAd sea7(si[7],c6[7],c7[6],c7[7],p[14]);
HA ha8(c7[7],1'b1,c,p[15]);
endmodule
module HA(a,b,c,s);
input a,b;
output c,s;
xor x1(s,a,b);
and a1(c,a,b);
endmodule
module FA(a,b,c,cy,sm);
input a,b,c;
output cy,sm;
wire x,y;
xor x1(x,a,b);
xnor x2(y,a,b);
MUX m1(x,y,c,sm);
MUX m2(a,c,x,cy);
endmodule
module MUX(i0,i1,s,o);
input i0,i1,s;
output o;
wire t,p,q;
and a1(t,s,i1);
not n0(p,s);
and a2(q,p,i0);
or a3(o,t,q);
endmodule
module FAd(a,b,c,cy,sm);
input a,b,c;
output cy,sm;
wire x,y,z;
xor x1(x,a,b);
xor x2(sm,x,c);
and a1(y,a,b);
and a2(z,x,c);
or o1(cy,y,z);
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__DECAPHE_FUNCTIONAL_V
`define SKY130_FD_SC_LS__DECAPHE_FUNCTIONAL_V
/**
* decaphe: Shielded Decoupling capacitance filler.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_ls__decaphe ();
// No contents.
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LS__DECAPHE_FUNCTIONAL_V |
`ifndef ALU_V
`define ALU_V
`define OP_NOP 2'h0
`define OP_ADD 2'h1
`define OP_SUB 2'h2
// Simple single cycle adder
module alu(
input clk,
input reset,
input [31:0] i_a, // 1st operand
input [31:0] i_b, // 2nd operand
input [1:0] i_cmd, // command
output [31:0] o_result,
output o_valid, // result is valid
output o_ready // ready to take input
);
reg [31:0] reg_result;
reg reg_valid = 1'b0;
// ALU state machine macros
`define ST_RESET 2'h0
`define ST_READY 2'h1
`define ST_BUSY 2'h2
// begin in reset state
reg [1:0] reg_status = `ST_RESET;
// Synchronous reset
always @(posedge clk && reset) begin
reg_status <= `ST_READY;
end
// Assign outputs
assign o_ready = ((reg_status == `ST_READY) && !reset);
assign o_valid = (reg_valid && (reg_status == `ST_READY));
assign o_result = o_valid ? reg_result : 32'hx; // Ternary operator
// Main processing loop
always @(posedge clk && !reset) begin
case (reg_status)
`ST_READY: begin
reg_status <= `ST_BUSY;
if (i_cmd == `OP_ADD) begin
reg_result = i_a + i_b;
end
// TODO: add OP_SUB
end
`ST_BUSY: begin
reg_valid <= 1'b1;
reg_status <= `ST_READY;
end
default: begin
$display("should not happen");
$finish;
end
endcase
end
endmodule
`endif
|
`timescale 1ns / 1ps
`default_nettype none
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 10/12/2015 12:22:18 PM
// Design Name:
// Module Name: SerialHandler
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module SerialHandler(
input wire clk100MHz,
input wire clk1MHz,
input wire rst,
input wire ext_clk,
input wire ext_flush,
input wire serial,
input wire [CHANNEL_WIDTH-1:0] channel,
output reg [CHANNEL_WIDTH-1:0] ser_channel,
output reg [POSITION_WIDTH-1:0] ser_pos
);
parameter POSITION_WIDTH = 11;
parameter CHANNEL_WIDTH = 5;
parameter BUFFER_LENGTH = 16;
reg [BUFFER_LENGTH-1:0] buffer;
reg [CHANNEL_WIDTH-1:0] channel_select;
reg [POSITION_WIDTH-1:0] position;
wire clk;
wire flush;
ExtToIntSync U0(
.clk(clk100MHz),
.rst(rst),
.ext_signal(ext_clk),
.int_signal(clk)
);
ExtToIntSync U1(
.clk(clk100MHz),
.rst(rst),
.ext_signal(ext_flush),
.int_signal(flush)
);
integer ptr;
always @(posedge clk or posedge rst) begin
if(rst) begin
position = 0;
buffer = 0;
ptr = 0;
end
else if(!flush) begin
if(ptr < 15) begin
buffer[(BUFFER_LENGTH-1)-ptr] = serial;
ptr = ptr + 1;
end
else begin
// Channel Select: 15th-11th bit (5 bit width)
channel_select = buffer[BUFFER_LENGTH-1:BUFFER_LENGTH-5];
// Position: 11th-0th bit (11 bit width)
position = buffer[BUFFER_LENGTH-6:0];
// Write to position file @ channel select point
// Make position a 11 bit signal and OR a 1 with it.
//position_file[channel_select] = (position << 1) | 1'b1;
if(channel_select == channel) begin
ser_pos = position;
end
//ser_pos = position;
ser_channel = channel_select;
// Reset buffer and ptr
buffer = 0;
ptr = 0;
end
end
else begin
position = 0;
buffer = 0;
ptr = 0;
end
end
endmodule |
// ============================================================================
// Copyright (c) 2014 by Terasic Technologies Inc.
// ============================================================================
//
// Permission:
//
// Terasic grants permission to use and modify this code for use
// in synthesis for all Terasic Development Boards and Altera Development
// Kits made by Terasic. Other use of this code, including the selling
// ,duplication, or modification of any portion is strictly prohibited.
//
// Disclaimer:
//
// This VHDL/Verilog or C/C++ source code is intended as a design reference
// which illustrates how these types of functions can be implemented.
// It is the user's responsibility to verify their design for
// consistency and functionality through the use of formal
// verification methods. Terasic provides no warranty regarding the use
// or functionality of this code.
//
// ============================================================================
//
// Terasic Technologies Inc
// 9F., No.176, Sec.2, Gongdao 5th Rd, East Dist, Hsinchu City, 30070. Taiwan
//
//
// web: http://www.terasic.com/
// email: [email protected]
//
// ============================================================================
//Date: Tue Dec 2 09:28:38 2014
// ============================================================================
`define ENABLE_HPS
//`define ENABLE_CLK
module ghrd(
///////// ADC /////////
output ADC_CONVST,
output ADC_SCK,
output ADC_SDI,
input ADC_SDO,
///////// ARDUINO /////////
inout [15:0] ARDUINO_IO,
inout ARDUINO_RESET_N,
`ifdef ENABLE_CLK
///////// CLK /////////
output CLK_I2C_SCL,
inout CLK_I2C_SDA,
`endif /*ENABLE_CLK*/
///////// FPGA /////////
input FPGA_CLK1_50,
input FPGA_CLK2_50,
input FPGA_CLK3_50,
///////// GPIO /////////
inout [35:0] GPIO_0,
inout [35:0] GPIO_1,
`ifdef ENABLE_HPS
///////// HPS /////////
inout HPS_CONV_USB_N,
output [14:0] HPS_DDR3_ADDR,
output [2:0] HPS_DDR3_BA,
output HPS_DDR3_CAS_N,
output HPS_DDR3_CKE,
output HPS_DDR3_CK_N,
output HPS_DDR3_CK_P,
output HPS_DDR3_CS_N,
output [3:0] HPS_DDR3_DM,
inout [31:0] HPS_DDR3_DQ,
inout [3:0] HPS_DDR3_DQS_N,
inout [3:0] HPS_DDR3_DQS_P,
output HPS_DDR3_ODT,
output HPS_DDR3_RAS_N,
output HPS_DDR3_RESET_N,
input HPS_DDR3_RZQ,
output HPS_DDR3_WE_N,
output HPS_ENET_GTX_CLK,
inout HPS_ENET_INT_N,
output HPS_ENET_MDC,
inout HPS_ENET_MDIO,
input HPS_ENET_RX_CLK,
input [3:0] HPS_ENET_RX_DATA,
input HPS_ENET_RX_DV,
output [3:0] HPS_ENET_TX_DATA,
output HPS_ENET_TX_EN,
inout HPS_GSENSOR_INT,
inout HPS_I2C0_SCLK,
inout HPS_I2C0_SDAT,
inout HPS_I2C1_SCLK,
inout HPS_I2C1_SDAT,
inout HPS_KEY,
inout HPS_LED,
inout HPS_LTC_GPIO,
output HPS_SD_CLK,
inout HPS_SD_CMD,
inout [3:0] HPS_SD_DATA,
output HPS_SPIM_CLK,
input HPS_SPIM_MISO,
output HPS_SPIM_MOSI,
inout HPS_SPIM_SS,
input HPS_UART_RX,
output HPS_UART_TX,
input HPS_USB_CLKOUT,
inout [7:0] HPS_USB_DATA,
input HPS_USB_DIR,
input HPS_USB_NXT,
output HPS_USB_STP,
`endif /*ENABLE_HPS*/
///////// KEY /////////
input [1:0] KEY,
///////// LED /////////
output [7:0] LED,
///////// SW /////////
input [3:0] SW
);
//=======================================================
// REG/WIRE declarations
//=======================================================
// internal wires and registers declaration
wire [1:0] fpga_debounced_buttons;
wire [7:0] fpga_led_internal;
wire hps_fpga_reset_n;
wire [2:0] hps_reset_req;
wire hps_cold_reset;
wire hps_warm_reset;
wire hps_debug_reset;
wire [27:0] stm_hw_events;
// connection of internal logics
assign stm_hw_events = {{13{1'b0}},SW, fpga_led_internal, fpga_debounced_buttons};
//=======================================================
// Structural coding
//=======================================================
soc_system u0 (
//Clock&Reset
.clk_clk (FPGA_CLK1_50 ), // clk.clk
.reset_reset_n (1'b1 ), // reset.reset_n
//HPS ddr3
.memory_mem_a ( HPS_DDR3_ADDR), // memory.mem_a
.memory_mem_ba ( HPS_DDR3_BA), // .mem_ba
.memory_mem_ck ( HPS_DDR3_CK_P), // .mem_ck
.memory_mem_ck_n ( HPS_DDR3_CK_N), // .mem_ck_n
.memory_mem_cke ( HPS_DDR3_CKE), // .mem_cke
.memory_mem_cs_n ( HPS_DDR3_CS_N), // .mem_cs_n
.memory_mem_ras_n ( HPS_DDR3_RAS_N), // .mem_ras_n
.memory_mem_cas_n ( HPS_DDR3_CAS_N), // .mem_cas_n
.memory_mem_we_n ( HPS_DDR3_WE_N), // .mem_we_n
.memory_mem_reset_n ( HPS_DDR3_RESET_N), // .mem_reset_n
.memory_mem_dq ( HPS_DDR3_DQ), // .mem_dq
.memory_mem_dqs ( HPS_DDR3_DQS_P), // .mem_dqs
.memory_mem_dqs_n ( HPS_DDR3_DQS_N), // .mem_dqs_n
.memory_mem_odt ( HPS_DDR3_ODT), // .mem_odt
.memory_mem_dm ( HPS_DDR3_DM), // .mem_dm
.memory_oct_rzqin ( HPS_DDR3_RZQ), // .oct_rzqin
//HPS ethernet
.hps_0_hps_io_hps_io_emac1_inst_TX_CLK ( HPS_ENET_GTX_CLK), // hps_0_hps_io.hps_io_emac1_inst_TX_CLK
.hps_0_hps_io_hps_io_emac1_inst_TXD0 ( HPS_ENET_TX_DATA[0] ), // .hps_io_emac1_inst_TXD0
.hps_0_hps_io_hps_io_emac1_inst_TXD1 ( HPS_ENET_TX_DATA[1] ), // .hps_io_emac1_inst_TXD1
.hps_0_hps_io_hps_io_emac1_inst_TXD2 ( HPS_ENET_TX_DATA[2] ), // .hps_io_emac1_inst_TXD2
.hps_0_hps_io_hps_io_emac1_inst_TXD3 ( HPS_ENET_TX_DATA[3] ), // .hps_io_emac1_inst_TXD3
.hps_0_hps_io_hps_io_emac1_inst_RXD0 ( HPS_ENET_RX_DATA[0] ), // .hps_io_emac1_inst_RXD0
.hps_0_hps_io_hps_io_emac1_inst_MDIO ( HPS_ENET_MDIO ), // .hps_io_emac1_inst_MDIO
.hps_0_hps_io_hps_io_emac1_inst_MDC ( HPS_ENET_MDC ), // .hps_io_emac1_inst_MDC
.hps_0_hps_io_hps_io_emac1_inst_RX_CTL ( HPS_ENET_RX_DV), // .hps_io_emac1_inst_RX_CTL
.hps_0_hps_io_hps_io_emac1_inst_TX_CTL ( HPS_ENET_TX_EN), // .hps_io_emac1_inst_TX_CTL
.hps_0_hps_io_hps_io_emac1_inst_RX_CLK ( HPS_ENET_RX_CLK), // .hps_io_emac1_inst_RX_CLK
.hps_0_hps_io_hps_io_emac1_inst_RXD1 ( HPS_ENET_RX_DATA[1] ), // .hps_io_emac1_inst_RXD1
.hps_0_hps_io_hps_io_emac1_inst_RXD2 ( HPS_ENET_RX_DATA[2] ), // .hps_io_emac1_inst_RXD2
.hps_0_hps_io_hps_io_emac1_inst_RXD3 ( HPS_ENET_RX_DATA[3] ), // .hps_io_emac1_inst_RXD3
//HPS SD card
.hps_0_hps_io_hps_io_sdio_inst_CMD ( HPS_SD_CMD ), // .hps_io_sdio_inst_CMD
.hps_0_hps_io_hps_io_sdio_inst_D0 ( HPS_SD_DATA[0] ), // .hps_io_sdio_inst_D0
.hps_0_hps_io_hps_io_sdio_inst_D1 ( HPS_SD_DATA[1] ), // .hps_io_sdio_inst_D1
.hps_0_hps_io_hps_io_sdio_inst_CLK ( HPS_SD_CLK ), // .hps_io_sdio_inst_CLK
.hps_0_hps_io_hps_io_sdio_inst_D2 ( HPS_SD_DATA[2] ), // .hps_io_sdio_inst_D2
.hps_0_hps_io_hps_io_sdio_inst_D3 ( HPS_SD_DATA[3] ), // .hps_io_sdio_inst_D3
//HPS USB
.hps_0_hps_io_hps_io_usb1_inst_D0 ( HPS_USB_DATA[0] ), // .hps_io_usb1_inst_D0
.hps_0_hps_io_hps_io_usb1_inst_D1 ( HPS_USB_DATA[1] ), // .hps_io_usb1_inst_D1
.hps_0_hps_io_hps_io_usb1_inst_D2 ( HPS_USB_DATA[2] ), // .hps_io_usb1_inst_D2
.hps_0_hps_io_hps_io_usb1_inst_D3 ( HPS_USB_DATA[3] ), // .hps_io_usb1_inst_D3
.hps_0_hps_io_hps_io_usb1_inst_D4 ( HPS_USB_DATA[4] ), // .hps_io_usb1_inst_D4
.hps_0_hps_io_hps_io_usb1_inst_D5 ( HPS_USB_DATA[5] ), // .hps_io_usb1_inst_D5
.hps_0_hps_io_hps_io_usb1_inst_D6 ( HPS_USB_DATA[6] ), // .hps_io_usb1_inst_D6
.hps_0_hps_io_hps_io_usb1_inst_D7 ( HPS_USB_DATA[7] ), // .hps_io_usb1_inst_D7
.hps_0_hps_io_hps_io_usb1_inst_CLK ( HPS_USB_CLKOUT ), // .hps_io_usb1_inst_CLK
.hps_0_hps_io_hps_io_usb1_inst_STP ( HPS_USB_STP ), // .hps_io_usb1_inst_STP
.hps_0_hps_io_hps_io_usb1_inst_DIR ( HPS_USB_DIR ), // .hps_io_usb1_inst_DIR
.hps_0_hps_io_hps_io_usb1_inst_NXT ( HPS_USB_NXT ), // .hps_io_usb1_inst_NXT
//HPS SPI
.hps_0_hps_io_hps_io_spim1_inst_CLK ( HPS_SPIM_CLK ), // .hps_io_spim1_inst_CLK
.hps_0_hps_io_hps_io_spim1_inst_MOSI ( HPS_SPIM_MOSI ), // .hps_io_spim1_inst_MOSI
.hps_0_hps_io_hps_io_spim1_inst_MISO ( HPS_SPIM_MISO ), // .hps_io_spim1_inst_MISO
.hps_0_hps_io_hps_io_spim1_inst_SS0 ( HPS_SPIM_SS ), // .hps_io_spim1_inst_SS0
//HPS UART
.hps_0_hps_io_hps_io_uart0_inst_RX ( HPS_UART_RX ), // .hps_io_uart0_inst_RX
.hps_0_hps_io_hps_io_uart0_inst_TX ( HPS_UART_TX ), // .hps_io_uart0_inst_TX
//HPS I2C1
.hps_0_hps_io_hps_io_i2c0_inst_SDA ( HPS_I2C0_SDAT ), // .hps_io_i2c0_inst_SDA
.hps_0_hps_io_hps_io_i2c0_inst_SCL ( HPS_I2C0_SCLK ), // .hps_io_i2c0_inst_SCL
//HPS I2C2
.hps_0_hps_io_hps_io_i2c1_inst_SDA ( HPS_I2C1_SDAT ), // .hps_io_i2c1_inst_SDA
.hps_0_hps_io_hps_io_i2c1_inst_SCL ( HPS_I2C1_SCLK ), // .hps_io_i2c1_inst_SCL
//GPIO
.hps_0_hps_io_hps_io_gpio_inst_GPIO09 ( HPS_CONV_USB_N ), // .hps_io_gpio_inst_GPIO09
.hps_0_hps_io_hps_io_gpio_inst_GPIO35 ( HPS_ENET_INT_N ), // .hps_io_gpio_inst_GPIO35
.hps_0_hps_io_hps_io_gpio_inst_GPIO40 ( HPS_LTC_GPIO ), // .hps_io_gpio_inst_GPIO40
.hps_0_hps_io_hps_io_gpio_inst_GPIO53 ( HPS_LED ), // .hps_io_gpio_inst_GPIO53
.hps_0_hps_io_hps_io_gpio_inst_GPIO54 ( HPS_KEY ), // .hps_io_gpio_inst_GPIO54
.hps_0_hps_io_hps_io_gpio_inst_GPIO61 ( HPS_GSENSOR_INT ), // .hps_io_gpio_inst_GPIO61
.hps_0_f2h_stm_hw_events_stm_hwevents (stm_hw_events), // hps_0_f2h_stm_hw_events.stm_hwevents
.hps_0_h2f_reset_reset_n (hps_fpga_reset_n), // hps_0_h2f_reset.reset_n
.hps_0_f2h_warm_reset_req_reset_n (~hps_warm_reset), // hps_0_f2h_warm_reset_req.reset_n
.hps_0_f2h_debug_reset_req_reset_n (~hps_debug_reset), // hps_0_f2h_debug_reset_req.reset_n
.hps_0_f2h_cold_reset_req_reset_n (~hps_cold_reset) // hps_0_f2h_cold_reset_req.reset_n
);
// Source/Probe megawizard instance
hps_reset hps_reset_inst (
.source_clk (FPGA_CLK1_50),
.source (hps_reset_req)
);
altera_edge_detector pulse_cold_reset (
.clk (FPGA_CLK1_50),
.rst_n (hps_fpga_reset_n),
.signal_in (hps_reset_req[0]),
.pulse_out (hps_cold_reset)
);
defparam pulse_cold_reset.PULSE_EXT = 6;
defparam pulse_cold_reset.EDGE_TYPE = 1;
defparam pulse_cold_reset.IGNORE_RST_WHILE_BUSY = 1;
altera_edge_detector pulse_warm_reset (
.clk (FPGA_CLK1_50),
.rst_n (hps_fpga_reset_n),
.signal_in (hps_reset_req[1]),
.pulse_out (hps_warm_reset)
);
defparam pulse_warm_reset.PULSE_EXT = 2;
defparam pulse_warm_reset.EDGE_TYPE = 1;
defparam pulse_warm_reset.IGNORE_RST_WHILE_BUSY = 1;
altera_edge_detector pulse_debug_reset (
.clk (FPGA_CLK1_50),
.rst_n (hps_fpga_reset_n),
.signal_in (hps_reset_req[2]),
.pulse_out (hps_debug_reset)
);
defparam pulse_debug_reset.PULSE_EXT = 32;
defparam pulse_debug_reset.EDGE_TYPE = 1;
defparam pulse_debug_reset.IGNORE_RST_WHILE_BUSY = 1;
endmodule
|
//*****************************************************************************
// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//*****************************************************************************
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : %version
// \ \ Application : MIG
// / / Filename : mc.v
// /___/ /\ Date Last Modified : $date$
// \ \ / \ Date Created : Tue Jun 30 2009
// \___\/\___\
//
//Device : 7-Series
//Design Name : DDR3 SDRAM
//Purpose :
//Reference :
//Revision History :
//*****************************************************************************
//*****************************************************************************
// Top level memory sequencer structural block. This block
// instantiates the rank, bank, and column machines.
//*****************************************************************************
`timescale 1ps/1ps
module mig_7series_v2_0_mc #
(
parameter TCQ = 100, // clk->out delay(sim only)
parameter ADDR_CMD_MODE = "1T", // registered or
// 1Tfered mem?
parameter BANK_WIDTH = 3, // bank address width
parameter BM_CNT_WIDTH = 2, // # BM counter width
// i.e., log2(nBANK_MACHS)
parameter BURST_MODE = "8", // Burst length
parameter CL = 5, // Read CAS latency
// (in clk cyc)
parameter CMD_PIPE_PLUS1 = "ON", // add register stage
// between MC and PHY
parameter COL_WIDTH = 12, // column address width
parameter CS_WIDTH = 4, // # of unique CS outputs
parameter CWL = 5, // Write CAS latency
// (in clk cyc)
parameter DATA_BUF_ADDR_WIDTH = 8, // User request tag (e.g.
// user src/dest buf addr)
parameter DATA_BUF_OFFSET_WIDTH = 1, // User buffer offset width
parameter DATA_WIDTH = 64, // Data bus width
parameter DQ_WIDTH = 64, // # of DQ (data)
parameter DQS_WIDTH = 8, // # of DQS (strobe)
parameter DRAM_TYPE = "DDR3", // Memory I/F type:
// "DDR3", "DDR2"
parameter ECC = "OFF", // ECC ON/OFF?
parameter ECC_WIDTH = 8, // # of ECC bits
parameter MAINT_PRESCALER_PERIOD= 200000, // maintenance period (ps)
parameter MC_ERR_ADDR_WIDTH = 31, // # of error address bits
parameter nBANK_MACHS = 4, // # of bank machines (BM)
parameter nCK_PER_CLK = 4, // DRAM clock : MC clock
// frequency ratio
parameter nCS_PER_RANK = 1, // # of unique CS outputs
// per rank
parameter nREFRESH_BANK = 1, // # of REF cmds to pull-in
parameter nSLOTS = 1, // # DIMM slots in system
parameter ORDERING = "NORM", // request ordering mode
parameter PAYLOAD_WIDTH = 64, // Width of data payload
// from PHY
parameter RANK_WIDTH = 2, // # of bits to count ranks
parameter RANKS = 4, // # of ranks of DRAM
parameter REG_CTRL = "ON", // "ON" for registered DIMM
parameter ROW_WIDTH = 16, // row address width
parameter RTT_NOM = "40", // Nominal ODT value
parameter RTT_WR = "120", // Write ODT value
parameter SLOT_0_CONFIG = 8'b0000_0101, // ranks allowed in slot 0
parameter SLOT_1_CONFIG = 8'b0000_1010, // ranks allowed in slot 1
parameter STARVE_LIMIT = 2, // max # of times a user
// request is allowed to
// lose arbitration when
// reordering is enabled
parameter tCK = 2500, // memory clk period(ps)
parameter tCKE = 10000, // CKE minimum pulse (ps)
parameter tFAW = 40000, // four activate window(ps)
parameter tRAS = 37500, // ACT->PRE cmd period (ps)
parameter tRCD = 12500, // ACT->R/W delay (ps)
parameter tREFI = 7800000, // average periodic
// refresh interval(ps)
parameter CKE_ODT_AUX = "FALSE", //Parameter to turn on/off the aux_out signal
parameter tRFC = 110000, // REF->ACT/REF delay (ps)
parameter tRP = 12500, // PRE cmd period (ps)
parameter tRRD = 10000, // ACT->ACT period (ps)
parameter tRTP = 7500, // Read->PRE cmd delay (ps)
parameter tWTR = 7500, // Internal write->read
// delay (ps)
// requiring DLL lock (CKs)
parameter tZQCS = 64, // ZQCS cmd period (CKs)
parameter tZQI = 128_000_000, // ZQCS interval (ps)
parameter tPRDI = 1_000_000, // pS
parameter USER_REFRESH = "OFF" // Whether user manages REF
)
(
// System inputs
input clk,
input rst,
// Physical memory slot presence
input [7:0] slot_0_present,
input [7:0] slot_1_present,
// Native Interface
input [2:0] cmd,
input [DATA_BUF_ADDR_WIDTH-1:0] data_buf_addr,
input hi_priority,
input size,
input [BANK_WIDTH-1:0] bank,
input [COL_WIDTH-1:0] col,
input [RANK_WIDTH-1:0] rank,
input [ROW_WIDTH-1:0] row,
input use_addr,
input [2*nCK_PER_CLK*PAYLOAD_WIDTH-1:0] wr_data,
input [2*nCK_PER_CLK*DATA_WIDTH/8-1:0] wr_data_mask,
output accept,
output accept_ns,
output [BM_CNT_WIDTH-1:0] bank_mach_next,
output wire [2*nCK_PER_CLK*PAYLOAD_WIDTH-1:0] rd_data,
output [DATA_BUF_ADDR_WIDTH-1:0] rd_data_addr,
output rd_data_en,
output rd_data_end,
output [DATA_BUF_OFFSET_WIDTH-1:0] rd_data_offset,
output reg [DATA_BUF_ADDR_WIDTH-1:0] wr_data_addr /* synthesis syn_maxfan = 30 */,
output reg wr_data_en,
output reg [DATA_BUF_OFFSET_WIDTH-1:0] wr_data_offset /* synthesis syn_maxfan = 30 */,
output mc_read_idle,
output mc_ref_zq_wip,
// ECC interface
input correct_en,
input [2*nCK_PER_CLK-1:0] raw_not_ecc,
input [DQS_WIDTH - 1:0] fi_xor_we,
input [DQ_WIDTH -1 :0 ] fi_xor_wrdata,
output [MC_ERR_ADDR_WIDTH-1:0] ecc_err_addr,
output [2*nCK_PER_CLK-1:0] ecc_single,
output [2*nCK_PER_CLK-1:0] ecc_multiple,
// User maintenance requests
input app_periodic_rd_req,
input app_ref_req,
input app_zq_req,
input app_sr_req,
output app_sr_active,
output app_ref_ack,
output app_zq_ack,
// MC <==> PHY Interface
output reg [nCK_PER_CLK-1:0] mc_ras_n,
output reg [nCK_PER_CLK-1:0] mc_cas_n,
output reg [nCK_PER_CLK-1:0] mc_we_n,
output reg [nCK_PER_CLK*ROW_WIDTH-1:0] mc_address,
output reg [nCK_PER_CLK*BANK_WIDTH-1:0] mc_bank,
output reg [CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1:0] mc_cs_n,
output reg [1:0] mc_odt,
output reg [nCK_PER_CLK-1:0] mc_cke,
output wire mc_reset_n,
output wire [2*nCK_PER_CLK*DQ_WIDTH-1:0] mc_wrdata,
output wire [2*nCK_PER_CLK*DQ_WIDTH/8-1:0]mc_wrdata_mask,
output reg mc_wrdata_en,
output wire mc_cmd_wren,
output wire mc_ctl_wren,
output reg [2:0] mc_cmd,
output reg [5:0] mc_data_offset,
output reg [5:0] mc_data_offset_1,
output reg [5:0] mc_data_offset_2,
output reg [1:0] mc_cas_slot,
output reg [3:0] mc_aux_out0,
output reg [3:0] mc_aux_out1,
output reg [1:0] mc_rank_cnt,
input phy_mc_ctl_full,
input phy_mc_cmd_full,
input phy_mc_data_full,
input [2*nCK_PER_CLK*DQ_WIDTH-1:0] phy_rd_data,
input phy_rddata_valid,
input init_calib_complete,
input [6*RANKS-1:0] calib_rd_data_offset,
input [6*RANKS-1:0] calib_rd_data_offset_1,
input [6*RANKS-1:0] calib_rd_data_offset_2
);
assign mc_reset_n = 1'b1; // never reset memory
assign mc_cmd_wren = 1'b1; // always write CMD FIFO(issue DSEL when idle)
assign mc_ctl_wren = 1'b1; // always write CTL FIFO(issue nondata when idle)
// Ensure there is always at least one rank present during operation
`ifdef MC_SVA
ranks_present: assert property
(@(posedge clk) (rst || (|(slot_0_present | slot_1_present))));
`endif
// Reserved. Do not change.
localparam nPHY_WRLAT = 2;
// always delay write data control unless ECC mode is enabled
localparam DELAY_WR_DATA_CNTRL = ECC == "ON" ? 0 : 1;
// Ensure that write control is delayed for appropriate CWL
/*`ifdef MC_SVA
delay_wr_data_zero_CWL_le_6: assert property
(@(posedge clk) ((CWL > 6) || (DELAY_WR_DATA_CNTRL == 0)));
`endif*/
// Never retrieve WR_DATA_ADDR early
localparam EARLY_WR_DATA_ADDR = "OFF";
//***************************************************************************
// Convert timing parameters from time to clock cycles
//***************************************************************************
localparam nCKE = cdiv(tCKE, tCK);
localparam nRP = cdiv(tRP, tCK);
localparam nRCD = cdiv(tRCD, tCK);
localparam nRAS = cdiv(tRAS, tCK);
localparam nFAW = cdiv(tFAW, tCK);
localparam nRFC = cdiv(tRFC, tCK);
// Convert tWR. As per specification, write recover for autoprecharge
// cycles doesn't support values of 9 and 11. Round up 9 to 10 and 11 to 12
localparam nWR_CK = cdiv(15000, tCK) ;
localparam nWR = (nWR_CK == 9) ? 10 : (nWR_CK == 11) ? 12 : nWR_CK;
// tRRD, tWTR at tRTP have a 4 cycle floor in DDR3 and 2 cycle floor in DDR2
localparam nRRD_CK = cdiv(tRRD, tCK);
localparam nRRD = (DRAM_TYPE == "DDR3") ? (nRRD_CK < 4) ? 4 : nRRD_CK
: (nRRD_CK < 2) ? 2 : nRRD_CK;
localparam nWTR_CK = cdiv(tWTR, tCK);
localparam nWTR = (DRAM_TYPE == "DDR3") ? (nWTR_CK < 4) ? 4 : nWTR_CK
: (nWTR_CK < 2) ? 2 : nWTR_CK;
localparam nRTP_CK = cdiv(tRTP, tCK);
localparam nRTP = (DRAM_TYPE == "DDR3") ? (nRTP_CK < 4) ? 4 : nRTP_CK
: (nRTP_CK < 2) ? 2 : nRTP_CK;
// Add a cycle to CL/CWL for the register in RDIMM devices
localparam CWL_M = (REG_CTRL == "ON") ? CWL + 1 : CWL;
localparam CL_M = (REG_CTRL == "ON") ? CL + 1 : CL;
// Tuneable delay between read and write data on the DQ bus
localparam DQRD2DQWR_DLY = 4;
// CKE minimum pulse width for self-refresh (SRE->SRX minimum time)
localparam nCKESR = nCKE + 1;
// Delay from SRE to command requiring locked DLL. Currently fixed at 512 for
// all devices per JEDEC spec.
localparam tXSDLL = 512;
//***************************************************************************
// Set up maintenance counter dividers
//***************************************************************************
// CK clock divisor to generate maintenance prescaler period (round down)
localparam MAINT_PRESCALER_DIV = MAINT_PRESCALER_PERIOD / (tCK*nCK_PER_CLK);
// Maintenance prescaler divisor for refresh timer. Essentially, this is
// just (tREFI / MAINT_PRESCALER_PERIOD), but we must account for the worst
// case delay from the time we get a tick from the refresh counter to the
// time that we can actually issue the REF command. Thus, subtract tRCD, CL,
// data burst time and tRP for each implemented bank machine to ensure that
// all transactions can complete before tREFI expires
localparam REFRESH_TIMER_DIV =
USER_REFRESH == "ON" ? 0 :
(tREFI-((tRCD+((CL+4)*tCK)+tRP)*nBANK_MACHS)) / MAINT_PRESCALER_PERIOD;
// Periodic read (RESERVED - not currently required or supported in 7 series)
// tPRDI should only be set to 0
// localparam tPRDI = 0; // Do NOT change.
localparam PERIODIC_RD_TIMER_DIV = tPRDI / MAINT_PRESCALER_PERIOD;
// Convert maintenance prescaler from ps to ns
localparam MAINT_PRESCALER_PERIOD_NS = MAINT_PRESCALER_PERIOD / 1000;
// Maintenance prescaler divisor for ZQ calibration (ZQCS) timer
localparam ZQ_TIMER_DIV = tZQI / MAINT_PRESCALER_PERIOD_NS;
// Bus width required to broadcast a single bit rank signal among all the
// bank machines - 1 bit per rank, per bank
localparam RANK_BM_BV_WIDTH = nBANK_MACHS * RANKS;
//***************************************************************************
// Define 2T, CWL-even mode to enable multi-fabric-cycle 2T commands
//***************************************************************************
localparam EVEN_CWL_2T_MODE =
((ADDR_CMD_MODE == "2T") && (!(CWL % 2))) ? "ON" : "OFF";
//***************************************************************************
// Reserved feature control.
//***************************************************************************
// Open page wait mode is reserved.
// nOP_WAIT is the number of states a bank machine will park itself
// on an otherwise inactive open page before closing the page. If
// nOP_WAIT == 0, open page wait mode is disabled. If nOP_WAIT == -1,
// the bank machine will remain parked until the pool of idle bank machines
// are less than LOW_IDLE_CNT. At which point parked bank machines
// are selected to exit until the number of idle bank machines exceeds the
// LOW_IDLE_CNT.
localparam nOP_WAIT = 0; // Open page mode
localparam LOW_IDLE_CNT = 0; // Low idle bank machine threshold
//***************************************************************************
// Internal wires
//***************************************************************************
wire [RANK_BM_BV_WIDTH-1:0] act_this_rank_r;
wire [ROW_WIDTH-1:0] col_a;
wire [BANK_WIDTH-1:0] col_ba;
wire [DATA_BUF_ADDR_WIDTH-1:0] col_data_buf_addr;
wire col_periodic_rd;
wire [RANK_WIDTH-1:0] col_ra;
wire col_rmw;
wire col_rd_wr;
wire [ROW_WIDTH-1:0] col_row;
wire col_size;
wire [DATA_BUF_ADDR_WIDTH-1:0] col_wr_data_buf_addr;
wire dq_busy_data;
wire ecc_status_valid;
wire [RANKS-1:0] inhbt_act_faw_r;
wire [RANKS-1:0] inhbt_rd;
wire [RANKS-1:0] inhbt_wr;
wire insert_maint_r1;
wire [RANK_WIDTH-1:0] maint_rank_r;
wire maint_req_r;
wire maint_wip_r;
wire maint_zq_r;
wire maint_sre_r;
wire maint_srx_r;
wire periodic_rd_ack_r;
wire periodic_rd_r;
wire [RANK_WIDTH-1:0] periodic_rd_rank_r;
wire [(RANKS*nBANK_MACHS)-1:0] rank_busy_r;
wire rd_rmw;
wire [RANK_BM_BV_WIDTH-1:0] rd_this_rank_r;
wire [nBANK_MACHS-1:0] sending_col;
wire [nBANK_MACHS-1:0] sending_row;
wire sent_col;
wire sent_col_r;
wire wr_ecc_buf;
wire [RANK_BM_BV_WIDTH-1:0] wr_this_rank_r;
// MC/PHY optional pipeline stage support
wire [nCK_PER_CLK-1:0] mc_ras_n_ns;
wire [nCK_PER_CLK-1:0] mc_cas_n_ns;
wire [nCK_PER_CLK-1:0] mc_we_n_ns;
wire [nCK_PER_CLK*ROW_WIDTH-1:0] mc_address_ns;
wire [nCK_PER_CLK*BANK_WIDTH-1:0] mc_bank_ns;
wire [CS_WIDTH*nCS_PER_RANK*nCK_PER_CLK-1:0] mc_cs_n_ns;
wire [1:0] mc_odt_ns;
wire [nCK_PER_CLK-1:0] mc_cke_ns;
wire [3:0] mc_aux_out0_ns;
wire [3:0] mc_aux_out1_ns;
wire [1:0] mc_rank_cnt_ns = col_ra;
wire [2:0] mc_cmd_ns;
wire [5:0] mc_data_offset_ns;
wire [5:0] mc_data_offset_1_ns;
wire [5:0] mc_data_offset_2_ns;
wire [1:0] mc_cas_slot_ns;
wire mc_wrdata_en_ns;
wire [DATA_BUF_ADDR_WIDTH-1:0] wr_data_addr_ns;
wire wr_data_en_ns;
wire [DATA_BUF_OFFSET_WIDTH-1:0] wr_data_offset_ns;
integer i;
// MC Read idle support
wire col_read_fifo_empty;
wire mc_read_idle_ns;
reg mc_read_idle_r;
// MC Maintenance in progress with bus idle indication
wire maint_ref_zq_wip;
wire mc_ref_zq_wip_ns;
reg mc_ref_zq_wip_r;
//***************************************************************************
// Function cdiv
// Description:
// This function performs ceiling division (divide and round-up)
// Inputs:
// num: integer to be divided
// div: divisor
// Outputs:
// cdiv: result of ceiling division (num/div, rounded up)
//***************************************************************************
function integer cdiv (input integer num, input integer div);
begin
// perform division, then add 1 if and only if remainder is non-zero
cdiv = (num/div) + (((num%div)>0) ? 1 : 0);
end
endfunction // cdiv
//***************************************************************************
// Optional pipeline register stage on MC/PHY interface
//***************************************************************************
generate
if (CMD_PIPE_PLUS1 == "ON") begin : cmd_pipe_plus // register interface
always @(posedge clk) begin
mc_address <= #TCQ mc_address_ns;
mc_bank <= #TCQ mc_bank_ns;
mc_cas_n <= #TCQ mc_cas_n_ns;
mc_cs_n <= #TCQ mc_cs_n_ns;
mc_odt <= #TCQ mc_odt_ns;
mc_cke <= #TCQ mc_cke_ns;
mc_aux_out0 <= #TCQ mc_aux_out0_ns;
mc_aux_out1 <= #TCQ mc_aux_out1_ns;
mc_cmd <= #TCQ mc_cmd_ns;
mc_ras_n <= #TCQ mc_ras_n_ns;
mc_we_n <= #TCQ mc_we_n_ns;
mc_data_offset <= #TCQ mc_data_offset_ns;
mc_data_offset_1 <= #TCQ mc_data_offset_1_ns;
mc_data_offset_2 <= #TCQ mc_data_offset_2_ns;
mc_cas_slot <= #TCQ mc_cas_slot_ns;
mc_wrdata_en <= #TCQ mc_wrdata_en_ns;
mc_rank_cnt <= #TCQ mc_rank_cnt_ns;
wr_data_addr <= #TCQ wr_data_addr_ns;
wr_data_en <= #TCQ wr_data_en_ns;
wr_data_offset <= #TCQ wr_data_offset_ns;
end // always @ (posedge clk)
end // block: cmd_pipe_plus
else begin : cmd_pipe_plus0 // don't register interface
always @( mc_address_ns or mc_aux_out0_ns or mc_aux_out1_ns or
mc_bank_ns or mc_cas_n_ns or mc_cmd_ns or mc_cs_n_ns or
mc_odt_ns or mc_cke_ns or mc_data_offset_ns or
mc_data_offset_1_ns or mc_data_offset_2_ns or mc_rank_cnt_ns or
mc_ras_n_ns or mc_we_n_ns or mc_wrdata_en_ns or
wr_data_addr_ns or wr_data_en_ns or wr_data_offset_ns or
mc_cas_slot_ns)
begin
mc_address = #TCQ mc_address_ns;
mc_bank = #TCQ mc_bank_ns;
mc_cas_n = #TCQ mc_cas_n_ns;
mc_cs_n = #TCQ mc_cs_n_ns;
mc_odt = #TCQ mc_odt_ns;
mc_cke = #TCQ mc_cke_ns;
mc_aux_out0 = #TCQ mc_aux_out0_ns;
mc_aux_out1 = #TCQ mc_aux_out1_ns;
mc_cmd = #TCQ mc_cmd_ns;
mc_ras_n = #TCQ mc_ras_n_ns;
mc_we_n = #TCQ mc_we_n_ns;
mc_data_offset = #TCQ mc_data_offset_ns;
mc_data_offset_1 = #TCQ mc_data_offset_1_ns;
mc_data_offset_2 = #TCQ mc_data_offset_2_ns;
mc_cas_slot = #TCQ mc_cas_slot_ns;
mc_wrdata_en = #TCQ mc_wrdata_en_ns;
mc_rank_cnt = #TCQ mc_rank_cnt_ns;
wr_data_addr = #TCQ wr_data_addr_ns;
wr_data_en = #TCQ wr_data_en_ns;
wr_data_offset = #TCQ wr_data_offset_ns;
end // always @ (...
end // block: cmd_pipe_plus0
endgenerate
//***************************************************************************
// Indicate when there are no pending reads so that input features can be
// powered down
//***************************************************************************
assign mc_read_idle_ns = col_read_fifo_empty & init_calib_complete;
always @(posedge clk) mc_read_idle_r <= #TCQ mc_read_idle_ns;
assign mc_read_idle = mc_read_idle_r;
//***************************************************************************
// Indicate when there is a refresh in progress and the bus is idle so that
// tap adjustments can be made
//***************************************************************************
assign mc_ref_zq_wip_ns = maint_ref_zq_wip && col_read_fifo_empty;
always @(posedge clk) mc_ref_zq_wip_r <= mc_ref_zq_wip_ns;
assign mc_ref_zq_wip = mc_ref_zq_wip_r;
//***************************************************************************
// Manage rank-level timing and maintanence
//***************************************************************************
mig_7series_v2_0_rank_mach #
(
// Parameters
.BURST_MODE (BURST_MODE),
.CL (CL),
.CWL (CWL),
.CS_WIDTH (CS_WIDTH),
.DQRD2DQWR_DLY (DQRD2DQWR_DLY),
.DRAM_TYPE (DRAM_TYPE),
.MAINT_PRESCALER_DIV (MAINT_PRESCALER_DIV),
.nBANK_MACHS (nBANK_MACHS),
.nCKESR (nCKESR),
.nCK_PER_CLK (nCK_PER_CLK),
.nFAW (nFAW),
.nREFRESH_BANK (nREFRESH_BANK),
.nRRD (nRRD),
.nWTR (nWTR),
.PERIODIC_RD_TIMER_DIV (PERIODIC_RD_TIMER_DIV),
.RANK_BM_BV_WIDTH (RANK_BM_BV_WIDTH),
.RANK_WIDTH (RANK_WIDTH),
.RANKS (RANKS),
.REFRESH_TIMER_DIV (REFRESH_TIMER_DIV),
.ZQ_TIMER_DIV (ZQ_TIMER_DIV)
)
rank_mach0
(
// Outputs
.inhbt_act_faw_r (inhbt_act_faw_r[RANKS-1:0]),
.inhbt_rd (inhbt_rd[RANKS-1:0]),
.inhbt_wr (inhbt_wr[RANKS-1:0]),
.maint_rank_r (maint_rank_r[RANK_WIDTH-1:0]),
.maint_req_r (maint_req_r),
.maint_zq_r (maint_zq_r),
.maint_sre_r (maint_sre_r),
.maint_srx_r (maint_srx_r),
.maint_ref_zq_wip (maint_ref_zq_wip),
.periodic_rd_r (periodic_rd_r),
.periodic_rd_rank_r (periodic_rd_rank_r[RANK_WIDTH-1:0]),
// Inputs
.act_this_rank_r (act_this_rank_r[RANK_BM_BV_WIDTH-1:0]),
.app_periodic_rd_req (app_periodic_rd_req),
.app_ref_req (app_ref_req),
.app_ref_ack (app_ref_ack),
.app_zq_req (app_zq_req),
.app_zq_ack (app_zq_ack),
.app_sr_req (app_sr_req),
.app_sr_active (app_sr_active),
.col_rd_wr (col_rd_wr),
.clk (clk),
.init_calib_complete (init_calib_complete),
.insert_maint_r1 (insert_maint_r1),
.maint_wip_r (maint_wip_r),
.periodic_rd_ack_r (periodic_rd_ack_r),
.rank_busy_r (rank_busy_r[(RANKS*nBANK_MACHS)-1:0]),
.rd_this_rank_r (rd_this_rank_r[RANK_BM_BV_WIDTH-1:0]),
.rst (rst),
.sending_col (sending_col[nBANK_MACHS-1:0]),
.sending_row (sending_row[nBANK_MACHS-1:0]),
.slot_0_present (slot_0_present[7:0]),
.slot_1_present (slot_1_present[7:0]),
.wr_this_rank_r (wr_this_rank_r[RANK_BM_BV_WIDTH-1:0])
);
//***************************************************************************
// Manage requests, reordering and bank timing
//***************************************************************************
mig_7series_v2_0_bank_mach #
(
// Parameters
.TCQ (TCQ),
.EVEN_CWL_2T_MODE (EVEN_CWL_2T_MODE),
.ADDR_CMD_MODE (ADDR_CMD_MODE),
.BANK_WIDTH (BANK_WIDTH),
.BM_CNT_WIDTH (BM_CNT_WIDTH),
.BURST_MODE (BURST_MODE),
.COL_WIDTH (COL_WIDTH),
.CS_WIDTH (CS_WIDTH),
.CL (CL_M),
.CWL (CWL_M),
.CKE_ODT_AUX (CKE_ODT_AUX),
.DATA_BUF_ADDR_WIDTH (DATA_BUF_ADDR_WIDTH),
.DRAM_TYPE (DRAM_TYPE),
.EARLY_WR_DATA_ADDR (EARLY_WR_DATA_ADDR),
.ECC (ECC),
.LOW_IDLE_CNT (LOW_IDLE_CNT),
.nBANK_MACHS (nBANK_MACHS),
.nCK_PER_CLK (nCK_PER_CLK),
.nCS_PER_RANK (nCS_PER_RANK),
.nOP_WAIT (nOP_WAIT),
.nRAS (nRAS),
.nRCD (nRCD),
.nRFC (nRFC),
.nRP (nRP),
.nRTP (nRTP),
.nSLOTS (nSLOTS),
.nWR (nWR),
.nXSDLL (tXSDLL),
.ORDERING (ORDERING),
.RANK_BM_BV_WIDTH (RANK_BM_BV_WIDTH),
.RANK_WIDTH (RANK_WIDTH),
.RANKS (RANKS),
.ROW_WIDTH (ROW_WIDTH),
.RTT_NOM (RTT_NOM),
.RTT_WR (RTT_WR),
.SLOT_0_CONFIG (SLOT_0_CONFIG),
.SLOT_1_CONFIG (SLOT_1_CONFIG),
.STARVE_LIMIT (STARVE_LIMIT),
.tZQCS (tZQCS)
)
bank_mach0
(
// Outputs
.accept (accept),
.accept_ns (accept_ns),
.act_this_rank_r (act_this_rank_r[RANK_BM_BV_WIDTH-1:0]),
.bank_mach_next (bank_mach_next[BM_CNT_WIDTH-1:0]),
.col_a (col_a[ROW_WIDTH-1:0]),
.col_ba (col_ba[BANK_WIDTH-1:0]),
.col_data_buf_addr (col_data_buf_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.col_periodic_rd (col_periodic_rd),
.col_ra (col_ra[RANK_WIDTH-1:0]),
.col_rmw (col_rmw),
.col_rd_wr (col_rd_wr),
.col_row (col_row[ROW_WIDTH-1:0]),
.col_size (col_size),
.col_wr_data_buf_addr (col_wr_data_buf_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.mc_bank (mc_bank_ns),
.mc_address (mc_address_ns),
.mc_ras_n (mc_ras_n_ns),
.mc_cas_n (mc_cas_n_ns),
.mc_we_n (mc_we_n_ns),
.mc_cs_n (mc_cs_n_ns),
.mc_odt (mc_odt_ns),
.mc_cke (mc_cke_ns),
.mc_aux_out0 (mc_aux_out0_ns),
.mc_aux_out1 (mc_aux_out1_ns),
.mc_cmd (mc_cmd_ns),
.mc_data_offset (mc_data_offset_ns),
.mc_data_offset_1 (mc_data_offset_1_ns),
.mc_data_offset_2 (mc_data_offset_2_ns),
.mc_cas_slot (mc_cas_slot_ns),
.insert_maint_r1 (insert_maint_r1),
.maint_wip_r (maint_wip_r),
.periodic_rd_ack_r (periodic_rd_ack_r),
.rank_busy_r (rank_busy_r[(RANKS*nBANK_MACHS)-1:0]),
.rd_this_rank_r (rd_this_rank_r[RANK_BM_BV_WIDTH-1:0]),
.sending_row (sending_row[nBANK_MACHS-1:0]),
.sending_col (sending_col[nBANK_MACHS-1:0]),
.sent_col (sent_col),
.sent_col_r (sent_col_r),
.wr_this_rank_r (wr_this_rank_r[RANK_BM_BV_WIDTH-1:0]),
// Inputs
.bank (bank[BANK_WIDTH-1:0]),
.calib_rddata_offset (calib_rd_data_offset),
.calib_rddata_offset_1 (calib_rd_data_offset_1),
.calib_rddata_offset_2 (calib_rd_data_offset_2),
.clk (clk),
.cmd (cmd[2:0]),
.col (col[COL_WIDTH-1:0]),
.data_buf_addr (data_buf_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.init_calib_complete (init_calib_complete),
.phy_rddata_valid (phy_rddata_valid),
.dq_busy_data (dq_busy_data),
.hi_priority (hi_priority),
.inhbt_act_faw_r (inhbt_act_faw_r[RANKS-1:0]),
.inhbt_rd (inhbt_rd[RANKS-1:0]),
.inhbt_wr (inhbt_wr[RANKS-1:0]),
.maint_rank_r (maint_rank_r[RANK_WIDTH-1:0]),
.maint_req_r (maint_req_r),
.maint_zq_r (maint_zq_r),
.maint_sre_r (maint_sre_r),
.maint_srx_r (maint_srx_r),
.periodic_rd_r (periodic_rd_r),
.periodic_rd_rank_r (periodic_rd_rank_r[RANK_WIDTH-1:0]),
.phy_mc_cmd_full (phy_mc_cmd_full),
.phy_mc_ctl_full (phy_mc_ctl_full),
.phy_mc_data_full (phy_mc_data_full),
.rank (rank[RANK_WIDTH-1:0]),
.rd_data_addr (rd_data_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.rd_rmw (rd_rmw),
.row (row[ROW_WIDTH-1:0]),
.rst (rst),
.size (size),
.slot_0_present (slot_0_present[7:0]),
.slot_1_present (slot_1_present[7:0]),
.use_addr (use_addr)
);
//***************************************************************************
// Manage DQ bus
//***************************************************************************
mig_7series_v2_0_col_mach #
(
// Parameters
.TCQ (TCQ),
.BANK_WIDTH (BANK_WIDTH),
.BURST_MODE (BURST_MODE),
.COL_WIDTH (COL_WIDTH),
.CS_WIDTH (CS_WIDTH),
.DATA_BUF_ADDR_WIDTH (DATA_BUF_ADDR_WIDTH),
.DATA_BUF_OFFSET_WIDTH (DATA_BUF_OFFSET_WIDTH),
.DELAY_WR_DATA_CNTRL (DELAY_WR_DATA_CNTRL),
.DQS_WIDTH (DQS_WIDTH),
.DRAM_TYPE (DRAM_TYPE),
.EARLY_WR_DATA_ADDR (EARLY_WR_DATA_ADDR),
.ECC (ECC),
.MC_ERR_ADDR_WIDTH (MC_ERR_ADDR_WIDTH),
.nCK_PER_CLK (nCK_PER_CLK),
.nPHY_WRLAT (nPHY_WRLAT),
.RANK_WIDTH (RANK_WIDTH),
.ROW_WIDTH (ROW_WIDTH)
)
col_mach0
(
// Outputs
.mc_wrdata_en (mc_wrdata_en_ns),
.dq_busy_data (dq_busy_data),
.ecc_err_addr (ecc_err_addr[MC_ERR_ADDR_WIDTH-1:0]),
.ecc_status_valid (ecc_status_valid),
.rd_data_addr (rd_data_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.rd_data_en (rd_data_en),
.rd_data_end (rd_data_end),
.rd_data_offset (rd_data_offset),
.rd_rmw (rd_rmw),
.wr_data_addr (wr_data_addr_ns),
.wr_data_en (wr_data_en_ns),
.wr_data_offset (wr_data_offset_ns),
.wr_ecc_buf (wr_ecc_buf),
.col_read_fifo_empty (col_read_fifo_empty),
// Inputs
.clk (clk),
.rst (rst),
.col_a (col_a[ROW_WIDTH-1:0]),
.col_ba (col_ba[BANK_WIDTH-1:0]),
.col_data_buf_addr (col_data_buf_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.col_periodic_rd (col_periodic_rd),
.col_ra (col_ra[RANK_WIDTH-1:0]),
.col_rmw (col_rmw),
.col_rd_wr (col_rd_wr),
.col_row (col_row[ROW_WIDTH-1:0]),
.col_size (col_size),
.col_wr_data_buf_addr (col_wr_data_buf_addr[DATA_BUF_ADDR_WIDTH-1:0]),
.phy_rddata_valid (phy_rddata_valid),
.sent_col (EVEN_CWL_2T_MODE == "ON" ? sent_col_r : sent_col)
);
//***************************************************************************
// Implement ECC
//***************************************************************************
// Total ECC word length = ECC code width + Data width
localparam CODE_WIDTH = DATA_WIDTH + ECC_WIDTH;
generate
if (ECC == "OFF") begin : ecc_off
assign rd_data = phy_rd_data;
assign mc_wrdata = wr_data;
assign mc_wrdata_mask = wr_data_mask;
assign ecc_single = 4'b0;
assign ecc_multiple = 4'b0;
end
else begin : ecc_on
wire [CODE_WIDTH*ECC_WIDTH-1:0] h_rows;
wire [2*nCK_PER_CLK*DATA_WIDTH-1:0] rd_merge_data;
wire [2*nCK_PER_CLK*DQ_WIDTH-1:0] mc_wrdata_i;
// Merge and encode
mig_7series_v2_0_ecc_merge_enc #
(
// Parameters
.TCQ (TCQ),
.CODE_WIDTH (CODE_WIDTH),
.DATA_BUF_ADDR_WIDTH (DATA_BUF_ADDR_WIDTH),
.DATA_WIDTH (DATA_WIDTH),
.DQ_WIDTH (DQ_WIDTH),
.ECC_WIDTH (ECC_WIDTH),
.PAYLOAD_WIDTH (PAYLOAD_WIDTH),
.nCK_PER_CLK (nCK_PER_CLK)
)
ecc_merge_enc0
(
// Outputs
.mc_wrdata (mc_wrdata_i),
.mc_wrdata_mask (mc_wrdata_mask),
// Inputs
.clk (clk),
.rst (rst),
.h_rows (h_rows),
.rd_merge_data (rd_merge_data),
.raw_not_ecc (raw_not_ecc),
.wr_data (wr_data),
.wr_data_mask (wr_data_mask)
);
// Decode and fix
mig_7series_v2_0_ecc_dec_fix #
(
// Parameters
.TCQ (TCQ),
.CODE_WIDTH (CODE_WIDTH),
.DATA_WIDTH (DATA_WIDTH),
.DQ_WIDTH (DQ_WIDTH),
.ECC_WIDTH (ECC_WIDTH),
.PAYLOAD_WIDTH (PAYLOAD_WIDTH),
.nCK_PER_CLK (nCK_PER_CLK)
)
ecc_dec_fix0
(
// Outputs
.ecc_multiple (ecc_multiple),
.ecc_single (ecc_single),
.rd_data (rd_data),
// Inputs
.clk (clk),
.rst (rst),
.correct_en (correct_en),
.phy_rddata (phy_rd_data),
.ecc_status_valid (ecc_status_valid),
.h_rows (h_rows)
);
// ECC Buffer
mig_7series_v2_0_ecc_buf #
(
// Parameters
.TCQ (TCQ),
.DATA_BUF_ADDR_WIDTH (DATA_BUF_ADDR_WIDTH),
.DATA_BUF_OFFSET_WIDTH (DATA_BUF_OFFSET_WIDTH),
.DATA_WIDTH (DATA_WIDTH),
.PAYLOAD_WIDTH (PAYLOAD_WIDTH),
.nCK_PER_CLK (nCK_PER_CLK)
)
ecc_buf0
(
// Outputs
.rd_merge_data (rd_merge_data),
// Inputs
.clk (clk),
.rst (rst),
.rd_data (rd_data),
.rd_data_addr (rd_data_addr),
.rd_data_offset (rd_data_offset),
.wr_data_addr (wr_data_addr),
.wr_data_offset (wr_data_offset),
.wr_ecc_buf (wr_ecc_buf)
);
// Generate ECC table
mig_7series_v2_0_ecc_gen #
(
// Parameters
.CODE_WIDTH (CODE_WIDTH),
.DATA_WIDTH (DATA_WIDTH),
.ECC_WIDTH (ECC_WIDTH)
)
ecc_gen0
(
// Outputs
.h_rows (h_rows)
);
if (ECC == "ON") begin : gen_fi_xor_inst
reg mc_wrdata_en_r;
wire mc_wrdata_en_i;
always @(posedge clk) begin
mc_wrdata_en_r <= mc_wrdata_en;
end
assign mc_wrdata_en_i = mc_wrdata_en_r;
mig_7series_v2_0_fi_xor #(
.DQ_WIDTH (DQ_WIDTH),
.DQS_WIDTH (DQS_WIDTH),
.nCK_PER_CLK (nCK_PER_CLK)
)
fi_xor0
(
.clk (clk),
.wrdata_in (mc_wrdata_i),
.wrdata_out (mc_wrdata),
.wrdata_en (mc_wrdata_en_i),
.fi_xor_we (fi_xor_we),
.fi_xor_wrdata (fi_xor_wrdata)
);
end
else begin : gen_wrdata_passthru
assign mc_wrdata = mc_wrdata_i;
end
`ifdef DISPLAY_H_MATRIX
integer i;
always @(negedge rst) begin
$display ("**********************************************");
$display ("H Matrix:");
for (i=0; i<ECC_WIDTH; i=i+1)
$display ("%b", h_rows[i*CODE_WIDTH+:CODE_WIDTH]);
$display ("**********************************************");
end
`endif
end
endgenerate
endmodule // mc
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__DFBBP_BLACKBOX_V
`define SKY130_FD_SC_HS__DFBBP_BLACKBOX_V
/**
* dfbbp: Delay flop, inverted set, inverted reset,
* complementary outputs.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__dfbbp (
Q ,
Q_N ,
D ,
CLK ,
SET_B ,
RESET_B
);
output Q ;
output Q_N ;
input D ;
input CLK ;
input SET_B ;
input RESET_B;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__DFBBP_BLACKBOX_V
|
// -------------------------------------------------------------
//
// File Name: hdl_prj\hdlsrc\controllerPeripheralHdlAdi\controllerHdl\controllerHdl_MATLAB_Function.v
// Created: 2014-09-08 14:12:04
//
// Generated by MATLAB 8.2 and HDL Coder 3.3
//
// -------------------------------------------------------------
// -------------------------------------------------------------
//
// Module: controllerHdl_MATLAB_Function
// Source Path: controllerHdl/Encoder_To_Position_And_Velocity/Rotor_To_Electrical_Position/Mod_2pi_Scale_And_Bit_Slice/Mark_Extract_Bits/MATLAB Function
// Hierarchy Level: 6
//
// -------------------------------------------------------------
`timescale 1 ns / 1 ns
module controllerHdl_MATLAB_Function
(
u,
y
);
input [35:0] u; // ufix36
output [17:0] y; // ufix18
//MATLAB Function 'Encoder_To_Position_And_Velocity/Rotor_To_Electrical_Position/Mod_2pi_Scale_And_Bit_Slice/Mark_Extract_Bits/MATLAB Function': '<S13>:1'
// Non-tunable mask parameter
//'<S13>:1:8'
//'<S13>:1:10'
assign y = u[17:0];
//'<S13>:1:14'
endmodule // controllerHdl_MATLAB_Function
|
/*
* Copyright (C) 2017 Systems Group, ETHZ
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
* http://www.apache.org/licenses/LICENSE-2.0
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
`include "../afu_defines.vh"
module AFU #(parameter AFU_OPERATOR = `UNDEF_AFU, // AFU Common parameters
parameter MAX_AFU_CONFIG_WIDTH = 1536,
parameter USER_RD_TAG = `AFU_TAG,
parameter USER_WR_TAG = `AFU_TAG,
//------ AFU Specific Paramters ----//
parameter DATA_WIDTH_IN = 4,
parameter DATA_WIDTH_OUT = 4,
parameter USER_AFU_PARAMETER1 = 1,
parameter USER_AFU_PARAMETER2 = 1
) (
input wire clk,
input wire Clk_400,
input wire rst_n,
//-------------------------------------------------//
input wire start_um,
input wire [MAX_AFU_CONFIG_WIDTH-1:0] um_params,
output wire um_done,
output wire [`NUM_USER_STATE_COUNTERS*32-1:0] um_state_counters,
output wire um_state_counters_valid,
// TX RD
output wire [57:0] um_tx_rd_addr,
output wire [USER_RD_TAG-1:0] um_tx_rd_tag,
output wire um_tx_rd_valid,
input wire um_tx_rd_ready,
// TX WR
output wire [57:0] um_tx_wr_addr,
output wire [USER_WR_TAG-1:0] um_tx_wr_tag,
output wire um_tx_wr_valid,
output wire [511:0] um_tx_data,
input wire um_tx_wr_ready,
// RX RD
input wire [USER_RD_TAG-1:0] um_rx_rd_tag,
input wire [511:0] um_rx_data,
input wire um_rx_rd_valid,
output wire um_rx_rd_ready,
// RX WR
input wire um_rx_wr_valid,
input wire [USER_WR_TAG-1:0] um_rx_wr_tag
);
generate
if (AFU_OPERATOR == `REGEX_AFU) begin
regex_mdb regex_mdb(
.clk (clk),
.Clk_400 (Clk_400),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[1023:0]),
.um_done (um_done),
.um_state_counters (um_state_counters),
.um_state_counters_valid (um_state_counters_valid),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
end
else if ((AFU_OPERATOR == `SKYLINE256_AFU) | (AFU_OPERATOR == `SKYLINE128_AFU) | (AFU_OPERATOR == `SKYLINE64_AFU) ) begin
skyline #(.NUM_CORES(USER_AFU_PARAMETER1),
.NUM_DIMENSIONS(USER_AFU_PARAMETER2))
skyline_0(
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[1535:0]),
.um_done (um_done),
.um_state_counters (um_state_counters),
.um_state_counters_valid (um_state_counters_valid),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
end
else if (AFU_OPERATOR == `COPY32_AFU) begin
copy copy (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[511:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else if (AFU_OPERATOR == `TEST_AND_COUNT_AFU) begin
test_count test_count (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[511:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else if (AFU_OPERATOR == `SELECTION) begin
selection selection (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[511:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else if (AFU_OPERATOR == `SGD_AFU) begin
sgd sgd (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[1535:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else if (AFU_OPERATOR == `MAX_MIN_SUM_AFU) begin
minmaxsum minmaxsum (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[511:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else if (AFU_OPERATOR == `PERCENTAGE_AFU) begin
precentage_um precentage_um (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[511:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else if (AFU_OPERATOR == `MAC_AFU) begin
addmul addmul (
.clk (clk),
.rst_n (rst_n),
//-------------------------------------------------//
.start_um (start_um),
.um_params (um_params[511:0]),
.um_done (um_done),
// User Module TX RD
.um_tx_rd_addr (um_tx_rd_addr),
.um_tx_rd_tag (um_tx_rd_tag),
.um_tx_rd_valid (um_tx_rd_valid),
.um_tx_rd_ready (um_tx_rd_ready),
// User Module TX WR
.um_tx_wr_addr (um_tx_wr_addr),
.um_tx_wr_tag (um_tx_wr_tag),
.um_tx_wr_valid (um_tx_wr_valid),
.um_tx_data (um_tx_data),
.um_tx_wr_ready (um_tx_wr_ready),
// User Module RX RD
.um_rx_rd_tag (um_rx_rd_tag),
.um_rx_data (um_rx_data),
.um_rx_rd_valid (um_rx_rd_valid),
.um_rx_rd_ready (um_rx_rd_ready),
// User Module RX WR
.um_rx_wr_valid (um_rx_wr_valid),
.um_rx_wr_tag (um_rx_wr_tag)
);
assign um_state_counters_valid = 1'b0;
end
else begin
assign um_tx_rd_valid = 1'b0;
assign um_tx_wr_valid = 1'b0;
assign um_rd_done = 1'b0;
assign um_wr_done = 1'b0;
assign um_state_counters_valid = 1'b0;
end
endgenerate
endmodule // AFU
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__LPFLOW_LSBUF_LH_ISOWELL_BEHAVIORAL_V
`define SKY130_FD_SC_HD__LPFLOW_LSBUF_LH_ISOWELL_BEHAVIORAL_V
/**
* lpflow_lsbuf_lh_isowell: Level-shift buffer, low-to-high, isolated
* well on input buffer, no taps,
* double-row-height cell.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell (
X,
A
);
// Module ports
output X;
input A;
// Module supplies
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
// Name Output Other arguments
buf buf0 (X , A );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HD__LPFLOW_LSBUF_LH_ISOWELL_BEHAVIORAL_V |
// $Id: PCI_EXP_X1_11/technology/ver1.0/testbench/beh/tbrx.v 1.12 2006/08/30 16:37:31PDT uananthi Exp $
// =============================================================================
// COPYRIGHT NOTICE
// Copyright 2000-2002 (c) Lattice Semiconductor Corporation
// ALL RIGHTS RESERVED
// This confidential and proprietary software may be used only as authorised by
// a licensing agreement from Lattice Semiconductor Corporation.
// The entire notice above must be reproduced on all authorized copies and
// copies may only be made to the extent permitted by a licensing agreement from
// Lattice Semiconductor Corporation.
//
// Lattice Semiconductor Corporation TEL : 1-800-Lattice (USA and Canada)
// 5555 NE Moore Court 408-826-6000 (other locations)
// Hillsboro, OR 97124 web : http://www.latticesemi.com/
// U.S.A email: [email protected]
// =============================================================================
// FILE DETAILS
// Project : PCI Express 4X
// File : tbrx.v
// Title : RX User interface
// Dependencies : pci_exp_params.v
// Description : This module implements the the PER VC user side RX interface
// =============================================================================
// REVISION HISTORY
// Version : 1.0
// Mod. Date : Feb 14, 2006
// Changes Made : Initial Creation
//
// =============================================================================
module tbrx
(
//---------Inputs------------
input wire sys_clk,
input wire rst_n,
input wire [2:0] rx_tc,
input wire rx_st,
input wire rx_end,
input wire [15:0] rx_data,
`ifdef ECRC
input wire rx_ecrc_err,
`endif
input wire rx_malf_tlp,
input wire rx_us_req,
//---------Outputs------------
output wire tbrx_cmd_prsnt,
output reg ph_buf_status,
output reg pd_buf_status,
output reg nph_buf_status,
output reg npd_buf_status,
output reg cplh_buf_status,
output reg cpld_buf_status,
output reg ph_processed,
output reg pd_processed,
output reg nph_processed,
output reg npd_processed,
output reg cplh_processed,
output reg cpld_processed,
output reg [7:0] pd_num,
output reg [7:0] npd_num,
output reg [7:0] cpld_num,
output reg [7:0] INIT_PH_FC,
output reg [7:0] INIT_NPH_FC,
output reg [7:0] INIT_CPLH_FC,
output reg [11:0] INIT_PD_FC,
output reg [11:0] INIT_NPD_FC,
output reg [11:0] INIT_CPLD_FC
);
// =============================================================================
//`define DEBUG 1
// =============================================================================
`define TBRX_UPPER32_ADDR 32'h1000_0000
`define TBRX_REQ_ID 16'hAAAA
`define TBRX_CPL_ID 16'hBBBB
parameter R = 1'b0;
parameter HEAD_4DW = 1'b1;
parameter HEAD_3DW = 1'b0;
parameter MEM_TYPE = 5'b0_0000;
parameter IO_TYPE = 5'b0_0010;
parameter CFG0_TYPE = 5'b0_0100;
parameter CFG1_TYPE = 5'b0_0101;
parameter MSG_TYPE = 5'b1_0xxx;
//parameter MSG_TYPE = 5'b1_0000; //Chosen "Routed to Root Complex"
parameter CPL_TYPE = 5'b0_1010;
parameter MEM_RD = 4'b0000;
parameter MEM_WR = 4'b0001;
parameter IO_RD = 4'b0010;
parameter IO_WR = 4'b0011;
parameter CFG_RD = 4'b0100;
parameter CFG_WR = 4'b0101;
parameter MSG = 4'b0110;
parameter MSG_D = 4'b0111;
parameter CPL = 4'b1000;
parameter CPL_D = 4'b1001;
parameter TLP = 4'b1010;
// Error Code Parameters for display Error
parameter TYPE_C = 5'd0;
parameter FMT_C = 5'd1;
parameter TC_C = 5'd2;
parameter TD_C = 5'd3;
parameter EP_C = 5'd4;
parameter ATTR_C = 5'd5;
parameter LEN_C = 5'd6;
parameter RSRV_C = 5'd7;
parameter REQ_ID_C = 5'd8;
parameter TAG_C = 5'd9;
parameter LastDW_BE_C = 5'd10;
parameter FirstDW_BE_C = 5'd11;
parameter ADDR_C = 5'd12;
parameter DATA_C = 5'd13;
parameter MSG_C = 5'd14;
parameter MSGCODE_C = 5'd15;
parameter CPL_ID_C = 5'd16;
parameter STATUS_C = 5'd17;
parameter BCM_C = 5'd18;
parameter BYTECNT_C = 5'd19;
parameter LOWERADDR_C = 5'd20;
parameter TBRX_IDLE = 2'b00;
parameter TBRX_SOP = 2'b01;
parameter TBRX_DATA = 2'b10;
parameter CHECK_LSW = 2'b01;
parameter CHECK_MSW = 2'b10;
parameter CHECK_BOTH = 2'b11;
//-------- ERROR Types
parameter NO_TLP_ERR = 4'b0000;
parameter ECRC_ERR = 4'b0001;
parameter UNSUP_ERR = 4'b0010;
parameter MALF_ERR = 4'b0011;
parameter FMT_TYPE_ERR = 4'b1111;
//-------- For Flow Control Tasks
parameter P = 2'b00;
parameter NP = 2'b01;
parameter CPLX = 2'b10; //CPL is already used in some other paramter
parameter PH = 3'b000;
parameter PD = 3'b001;
parameter NPH = 3'b010;
parameter NPD = 3'b011;
parameter CPLH = 3'b100;
parameter CPLD = 3'b101;
// =============================================================================
// Define all inputs / outputs
// =============================================================================
// =============================================================================
// Define Wire & Registers
// =============================================================================
//---- Registers
reg [71:0] TBRX_WAIT_FIFO [1023:0];
reg [3:0] TBRX_FIFO_TC [1023:0];
reg [9:0] got_cnt;
reg [9:0] wt_cnt;
reg pkt_inprogress;
reg rx_st_del1, rx_st_del2, rx_st_del3, rx_st_del4;
reg rx_st_del5, rx_st_del6, rx_st_del7, rx_st_del8;
reg [1:0] tbrx_state;
reg TBRX_Error;
reg [10:0] di, dj;
reg [63:0] H1_got;
reg [63:0] H2_got;
reg [31:0] H1_ms_exp;
reg [31:0] H1_ls_exp;
reg [31:0] H2_ms_exp;
reg [31:0] H2_ls_exp;
reg [15:0] data0, data1;
reg [31:0] D [9:0]; //For User Manual Data
reg short_pkt;
reg exp_dwen;
reg fmt_type_err;
reg man_tlp_pkt;
reg [1:0] stored_fmt;
reg [4:0] stored_type;
reg [9:0] stored_len;
reg [3:0] stored_error;
reg [3:0] stored_kind;
reg [4:0] Error_code;
reg toggle;
reg [15:0] d1, d2, d3, d4, d5, d6, d7, d8, rx_data_del;
//---- Wires
wire [71:0] wt_info;
wire [71:0] wt_info2;
wire [3:0] tc_info;
wire wt_cfg;
wire [7:0] wt_be;
wire wt_hdr;
wire wt_td;
wire wt_ep;
wire [9:0] wt_len;
wire [31:0] wt_addr;
wire [3:0] wt_type;
wire [3:0] wt_et;
wire [11:0] wt_bytecnt;
wire [6:0] wt_loweraddr;
wire [2:0] wt_status;
wire [2:0] wt_tc;
wire wt_tc_set;
wire rx_st_x4, rx_st_x4_del;
// =============================================================================
// The following signals are task CHECK_HEADER internal signals
// Kept them outside so that ERROR_TASK can access these signals for display
// =============================================================================
reg TD, EP;
reg [7:0] TAG;
reg [1:0] ATTR;
reg [2:0] TC;
reg [1:0] fmt;
reg [4:0] type;
reg [9:0] len;
reg [3:0] LastDW_BE;
reg [3:0] FirstDW_BE;
reg [3:0] Error_Type;
reg R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12;
reg TDx, EPx;
reg [7:0] TAGx;
reg [1:0] ATTRx;
reg [2:0] TCx;
reg [1:0] fmtx;
reg [4:0] typex;
reg [9:0] lenx;
reg [3:0] LastDW_BEx;
reg [3:0] FirstDW_BEx;
reg [31:0] TBRX_UPPER32_ADDRx;
reg [15:0] TBRX_REQ_IDx;
reg [7:0] TBRX_MSG_CODEx;
reg [15:0] TBRX_CPL_IDx;
reg [63:0] TBRX_MSG_TYPEx;
reg TBRX_BCMx;
reg [31:0] wt_addrx;
reg [11:0] wt_bytecntx;
reg [6:0] wt_loweraddrx;
reg [2:0] wt_statusx;
reg [31:0] FirstDatax;
// =============================================================================
// -------- GLOBAL REGISTERS THAT CAN BE SET BY THE USER IN THE TEST ----------
// TD : Set indicates Presence of TLP Digest (ECRC)
// EP : Set indicates data Poisoned
// First DW BE :
// Last DW BE :
// REQUESTER ID : 16 bits
// Tag :8 bits
// Attr : 2 bits {Ordering, Snoop} = {0,0} -> {Strong Order, Snoop}
// =============================================================================
reg [31:0] TBRX_UPPER32_ADDR;
reg [15:0] TBRX_REQ_ID;
reg [15:0] TBRX_CPL_ID;
reg [7:0] TBRX_TAG;
reg TBRX_TD;
reg TBRX_EP;
reg TBRX_BCM; //For CPL Header
reg [2:0] TBRX_TC;
reg [1:0] TBRX_ATTR;
reg [9:0] TBRX_LEN;
reg [3:0] TBRX_LastDW_BE;
reg [2:0] TBRX_MSG_ROUTE;
reg [7:0] TBRX_MSG_CODE;
reg [63:0] TBRX_MSG_TYPE;
reg [3:0] First_DW_BE;
reg [3:0] Last_DW_BE;
reg TBRX_MANUAL_DATA;
reg TBRX_FIXED_PATTERN;
// =============================================================================
// TX Request Fifo
// =============================================================================
assign tbrx_cmd_prsnt = (wt_cnt == got_cnt) ? 1'b0 : 1'b1;
initial begin
TBRX_UPPER32_ADDR = `TBRX_UPPER32_ADDR;
TBRX_REQ_ID = `TBRX_REQ_ID;
TBRX_CPL_ID = `TBRX_CPL_ID;
TBRX_TAG = 8'h00;
TBRX_TD = 1'b0;
TBRX_EP = 1'b0;
TBRX_BCM = 1'b0;
//For IO & CFG Pkts
TBRX_TC = 3'b000;
TBRX_ATTR = 2'b00;
TBRX_LEN = 10'd1;
TBRX_LastDW_BE = 4'b0000;
TBRX_MSG_ROUTE = 3'b000; // Refer PNo 63
TBRX_MSG_CODE = 8'h00; //Refer Page No 64-69
TBRX_MSG_TYPE = 64'h0000_0000_0000_0000;
First_DW_BE = 4'b1111;
Last_DW_BE = 4'b1111;
D[0] = 0; D[1] = 0; D[2] = 0; D[3] = 0; D[4] = 0;
D[5] = 0; D[6] = 0; D[7] = 0; D[8] = 0; D[9] = 0;
end
initial begin
wt_cnt = 'd0;
man_tlp_pkt = 0;
end
// =============================================================================
// 4 + 4 + 32 + 10 + 1 + 1 + 1 + 4 + 4 + 11 = 72
// Error Type + kind + addr + len + 3dw/4dw + TD + EP + FirstDwBE + LastDwBE +
// Extra bits 11
// For Manual TLP type: 4 + 4 + 64 bit header = 72 bits
// =============================================================================
assign wt_info = TBRX_WAIT_FIFO[got_cnt];
assign wt_info2 = TBRX_WAIT_FIFO[got_cnt+1];
assign tc_info = TBRX_FIFO_TC[got_cnt];
//10:0 is not used for Normal Pkt, but for Manula TLP pkt
assign wt_cfg = wt_info[11]; //For Config (Config0 or Config1)
assign wt_be = wt_info[18:11];
assign wt_ep = wt_info[19];
assign wt_td = wt_info[20];
assign wt_hdr = wt_info[21];
assign wt_len = wt_info[31:22]; // Len21h of Pkt
assign wt_addr = wt_info[63:32]; // Addr
assign wt_type = wt_info[67:64]; // Type of pkt
assign wt_et = wt_info[71:68]; // Error Type
assign wt_bytecnt = wt_addr[31:20];
assign wt_loweraddr = wt_addr[19:13];
assign wt_status = wt_addr[12:10];
assign wt_tc = tc_info[2:0];
assign wt_tc_set = tc_info[3];
reg [1:0] NEW_fmt;
always @(*) begin
case (wt_type)
MEM_RD : NEW_fmt = {1'b0, wt_hdr};
MEM_WR : NEW_fmt = {1'b1, wt_hdr};
CFG_RD : NEW_fmt = {1'b0, 1'b0};
CFG_WR : NEW_fmt = {1'b1, 1'b0};
IO_RD : NEW_fmt = {1'b0, 1'b0};
IO_WR : NEW_fmt = {1'b1, 1'b0};
MSG : NEW_fmt = {1'b0, 1'b1};
MSG_D : NEW_fmt = {1'b1, 1'b1};
CPL : NEW_fmt = {1'b0, 1'b0};
CPL_D : NEW_fmt = {1'b1, 1'b0};
TLP : NEW_fmt = wt_info[62:61];
endcase
end
reg [39:0] HEADER_s;
always @(*) begin
case (NEW_fmt)
2'b00 : HEADER_s = "3DW "; //3DW with No data
2'b01 : HEADER_s = "4DW "; //4DW with No data
2'b10 : HEADER_s = "3DW_D"; //3DW with data (may be 1 or more)
2'b11 : HEADER_s = "4DW_D"; //4DW with data (may be 1 or more)
endcase
end
reg [47:0] TYPE_EXP_s;
always @(*) begin
case (wt_type)
MEM_RD : TYPE_EXP_s = "MEM_RD";
MEM_WR : TYPE_EXP_s = "MEM_WR";
CFG_RD : TYPE_EXP_s = "CFG_RD";
CFG_WR : TYPE_EXP_s = "CFG_WR";
IO_RD : TYPE_EXP_s = "IO_RD";
IO_WR : TYPE_EXP_s = "IO_WR";
MSG : TYPE_EXP_s = "MSG";
MSG_D : TYPE_EXP_s = "MSG_D";
CPL : TYPE_EXP_s = "CPL";
CPL_D : TYPE_EXP_s = "CPL_D";
TLP : TYPE_EXP_s = "TLP";
endcase
end
reg [31:0] TBRX_STATE_s;
always @(*) begin
case(tbrx_state)
TBRX_IDLE : TBRX_STATE_s = "IDLE";
TBRX_SOP : TBRX_STATE_s = "SOP ";
TBRX_DATA : TBRX_STATE_s = "DATA";
endcase
end
// =============================================================================
// Unsupported TLP : fmt & Type field errors (? Undefined) + Locked transactions
// Malfunction TLP : Max len err + length error + undefined TLP + IO & CFG VCID err
// =============================================================================
assign rx_st_x4 = (rx_st | rx_st_del1 | rx_st_del2 | rx_st_del3);
assign rx_st_x4_del = (rx_st_del4 | rx_st_del5 | rx_st_del6 | rx_st_del7);
always @(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
pkt_inprogress <= 1'b0;
rx_st_del1 <= 1'b0; rx_st_del2 <= 1'b0; rx_st_del3 <= 1'b0; rx_st_del4 <= 1'b0;
rx_st_del5 <= 1'b0; rx_st_del6 <= 1'b0; rx_st_del7 <= 1'b0; rx_st_del8 <= 1'b0;
tbrx_state <= TBRX_IDLE;
got_cnt = 0;
H1_got = 0;
H2_got = 0;
di = 0;
data0 = 0;
data1 = 0;
end
else begin
rx_st_del1 <= rx_st; rx_st_del2 <= rx_st_del1; rx_st_del3 <= rx_st_del2; rx_st_del4 <= rx_st_del3;
rx_st_del5 <= rx_st_del4; rx_st_del6 <= rx_st_del5; rx_st_del7 <= rx_st_del6; rx_st_del8 <= rx_st_del7;
if(rx_st)
pkt_inprogress <= 1'b1;
else if(rx_end)
pkt_inprogress <= 1'b0;
//State Machine for Header & Data check
//d1 = d2; d2 = d3 ; d3 = d4 ; d4 = rx_data;
d1 = (rx_st) ? rx_data : d1;
d2 = (rx_st_del1) ? rx_data : d2;
d3 = (rx_st_del2) ? rx_data : d3;
d4 = (rx_st_del3) ? rx_data : d4;
d5 = (rx_st_del4) ? rx_data : d5;
d6 = (rx_st_del5) ? rx_data : d6;
d7 = (rx_st_del6) ? rx_data : d7;
d8 = (rx_st_del7) ? rx_data : d8;
rx_data_del <= rx_data;
case(tbrx_state)
TBRX_IDLE : begin
if(wt_cnt != got_cnt) begin
tbrx_state <= TBRX_SOP;
end
end
TBRX_SOP : begin //Wait for SOP, Header & check the Header
//------------Header check ---------------
if(rx_st_x4)
H1_got = {d1, d2, d3, d4};
if(rx_st_x4_del)
H2_got = {d5, d6, d7, d8};
//H2_got = {d1, d2, d3, d4};
//if(rx_st_del1) begin
if(rx_st_del7 || (rx_st_del5 && (NEW_fmt == 2'b00))) begin //3dw with no data or Others
CHECK_HEADER;
if(!fmt_type_err) begin
if(stored_fmt == 2'b10) //3dw with data
CHECK_DATA(0);
end
else begin
if(rx_end)
if(!rx_malf_tlp && !rx_us_req) begin //FMT/TYPE error found
//if(!rx_malf_tlp && (stored_error != NO_TLP_ERR)) begin
$display ("TBRX-TC%d: **** ERROR **** : MALF PKT - rx_malf_tlp is not Asserted at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
end
end
//------------Error Signal check ---------------
di = stored_fmt[0] ? 0 : 1; //3dw or 4dw header
toggle = 0;
//if(rx_st_del1) begin
if(rx_st_del7 || (rx_st_del5 && (NEW_fmt == 2'b00))) begin //3dw with no data or Others
if(rx_end) begin
EOP_TASK;
tbrx_state <= TBRX_IDLE;
got_cnt = (man_tlp_pkt) ? (got_cnt + 2) : (got_cnt + 1);
rx_st_del6 <= 0; rx_st_del7 <= 0; rx_st_del8 <= 0;
end
else
tbrx_state <= TBRX_DATA;
end
end
TBRX_DATA : begin
if(!fmt_type_err) begin
if(toggle) begin
//------------Data check ---------------
CHECK_DATA(di);
//------------Len check ---------------
di = di+1;
if(rx_end) begin
if((di > stored_len) || (di < stored_len)) begin
if(!rx_malf_tlp) begin
$display ("TBRX-TC%d: **** ERROR **** : Length & Actual Data Length Mismatch at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
else begin
if (`DEBUG) $display ("TBRX-TC%d: INFO: RECEIVED MALFORMED TLP at time %0t", rx_tc, $time);
end
end
else begin
`ifdef ECRC
if(!rx_ecrc_err && !rx_malf_tlp && !rx_us_req && (stored_error != NO_TLP_ERR)) begin
`else
if(!rx_malf_tlp && !rx_us_req && (stored_error != NO_TLP_ERR)) begin
`endif
$display ("TBRX-TC%d: **** ERROR **** : MALF PKT - rx_malf_tlp is not Asserted at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
end //rx_end check
end
end //toggle check
else if(rx_end) begin
$display ("TBRX-TC%d: **** ERROR **** : Non-Dword aligned pkt at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
end //fmt_type_err
else begin
if(rx_end)
if(!rx_malf_tlp && !rx_us_req) begin //FMT/TYPE error found
//if(!rx_malf_tlp && (stored_error != NO_TLP_ERR)) begin
$display ("TBRX-TC%d: **** ERROR **** : MALF PKT - rx_malf_tlp is not Asserted at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
end
//------------Error Signal check ---------------
if(rx_end) begin
EOP_TASK;
tbrx_state <= TBRX_IDLE;
got_cnt = (man_tlp_pkt) ? (got_cnt + 2) : (got_cnt + 1);
end
toggle = ~toggle;
end
endcase
//SOP & EOP checking
case(tbrx_state)
TBRX_IDLE : begin
if((wt_cnt == got_cnt) && (rx_st || rx_end)) begin
$display ("TBRX-TC%d: **** ERROR **** : Unexpected SOP/EOP signals at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
end
TBRX_SOP : begin
if(rx_st && rx_end) begin
TBRX_Error = 1'b1;
$display ("TBRX-TC%d: **** ERROR **** : SOP & EOP at the same time at time %0t", rx_tc, $time);
end
/*****
if(rx_st_del5 && short_pkt && !rx_end) begin
TBRX_Error = 1'b1;
$display ("TBRX-TC%d: **** ERROR **** : Short Pkt, but EOP missing at time %0t", rx_tc, $time);
end
*****/
if(!fmt_type_err) begin
/*****
if((rx_st_x4 || rx_st_del4 || rx_st_del5) && !short_pkt && rx_end) begin
TBRX_Error = 1'b1;
$display ("TBRX-TC%d: **** ERROR **** : Not a Short Pkt, but EOP has come at time %0t", rx_tc, $time);
end
*****/
if((rx_st_x4 || rx_st_del4) && rx_end) begin
TBRX_Error = 1'b1;
$display ("TBRX-TC%d: **** ERROR **** : PKT smaller than 3 Dwords at time %0t", rx_tc, $time);
end
end
end
TBRX_DATA : begin
if(!fmt_type_err) begin
if(rx_st) begin
$display ("TBRX-TC%d: **** ERROR **** : SOP before the end of current PKT at time %0t", rx_tc, $time);
TBRX_Error = 1'b1;
end
end
end
endcase
end
end
// =============================================================================
// HEADER Check for the Received Pkt
// =============================================================================
// Input is H1_got & H2_got
task CHECK_HEADER;
begin
TAG = TBRX_TAG + 1;
TBRX_TAG = TAG;
TAG = {3'b000, TBRX_TAG[4:0]};
TD = wt_td;
EP = wt_ep;
Error_Type = wt_et;
//Reset First
{R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12} = 12'd0;
TBRX_UPPER32_ADDRx = TBRX_UPPER32_ADDR;
FirstDatax = 32'd0;
short_pkt = 0; //no data OR 3 DW with 1 data
exp_dwen = 0;
fmt_type_err = 0;
man_tlp_pkt = 0;
case (wt_type)
MEM_RD,
MEM_WR : begin
//TC = rx_tc;
TC = (wt_tc_set) ? wt_tc : rx_tc;
ATTR = 2'b00; // Attr : 2 bits {Ordering, Snoop} = {0,0} -> {Strong Order, Snoop}
fmt[1] = (wt_type == MEM_RD) ? 1'b0 : 1'b1;
fmt[0] = (wt_hdr) ? 1'b1 : 1'b0; //32-bit /64-bit addressing (3 DW /4 DW)
type = MEM_TYPE;
len = wt_len;
FirstDW_BE = wt_be[7:4];
LastDW_BE = wt_be[3:0];
{R1, fmtx, typex, R2, TCx, R3,R4,R5,R6, TDx, EPx, ATTRx, R7,R8, lenx} = H1_got[63:32];
{TBRX_REQ_IDx, TAGx, LastDW_BEx, FirstDW_BEx} = H1_got[31:0];
if(wt_hdr) //64-bit Addr / 4 DW header
{TBRX_UPPER32_ADDRx, wt_addrx[31:2], R9, R10} = H2_got;
//else if(wt_type == MEM_RD) //3DW with No data -- 03.17.06
//{wt_addrx[31:2], R11, R12} = H2_got[31:0];
else //3 DW with data
{wt_addrx[31:2], R11, R12, FirstDatax} = H2_got;
`ifdef DIS_TAG_CHK
TAG = TAGx;
`endif
//First 8 bytes of Header
H1_ms_exp = {R, fmt, type, R, TC, R,R,R,R, TD, EP, ATTR, R,R, len};
H1_ls_exp = {TBRX_REQ_ID, TAG, LastDW_BE, FirstDW_BE};
//Second 4 bytes of Header
if(wt_hdr) begin //64-bit Addr / 4 DW header
H2_ms_exp = TBRX_UPPER32_ADDR;
H2_ls_exp = {wt_addr[31:2], R, R};
end
else begin
H2_ms_exp = {wt_addr[31:2], R, R};
H2_ls_exp = H2_got[31:0]; //This is not header , but may be first data
end
Error_code = (typex != type) ? TYPE_C : Error_code; //_C : Code
Error_code = (fmtx != fmt) ? FMT_C : Error_code;
Error_code = (TCx != TC) ? TC_C : Error_code;
Error_code = (TDx != TD) ? TD_C : Error_code;
Error_code = (EPx != EP) ? EP_C : Error_code;
Error_code = (ATTRx != ATTR) ? ATTR_C : Error_code;
Error_code = (lenx != len) ? LEN_C : Error_code;
Error_code = (TBRX_REQ_IDx != TBRX_REQ_ID) ? REQ_ID_C : Error_code;
Error_code = (TAGx != TAG) ? TAG_C : Error_code;
Error_code = (LastDW_BEx != LastDW_BE) ? LastDW_BE_C : Error_code;
Error_code = (FirstDW_BEx != FirstDW_BE) ? FirstDW_BE_C : Error_code;
Error_code = (wt_addrx[31:2] != wt_addr[31:2]) ? ADDR_C : Error_code;
//Error_code = (FirstDatax != 32'd0) ? DATA_C : Error_code;
Error_code = ({R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12} != 12'd0) ? RSRV_C : Error_code;
//MEM_RD with 3DW/4DW, MEM_WR with 3DW Header & 1 data
if((wt_type == MEM_RD) || ((wt_type == MEM_WR) && (wt_hdr == 0) && (len == 1))) begin
short_pkt = 1;
exp_dwen = ((wt_type == MEM_RD) && (!wt_hdr)) ? 1'b0 : 1'b1;
end
if((H1_got != {H1_ms_exp, H1_ls_exp}) || (H2_got != {H2_ms_exp, H2_ls_exp})) begin
if(wt_type == MEM_RD)
$display ("TBRX-TC%d: **** ERROR in Mem RD Header **** : at time %0t", rx_tc, $time);
else
$display ("TBRX-TC%d: **** ERROR in Mem WR Header **** : at time %0t", rx_tc, $time);
TBRX_Error = 1;
ERROR_TASK;
end
end
CFG_RD,
CFG_WR : begin
//TC = 3'b000; //Always
//ATTR = 2'b00; //Always
//len = 10'd1; //Always
//LastDW_BE = 4'b0000; //Always
TC = TBRX_TC;
ATTR = TBRX_ATTR;
LastDW_BE = TBRX_LastDW_BE;
len = TBRX_LEN;
fmt[1] = (wt_type == CFG_RD) ? 1'b0 : 1'b1;
fmt[0] = 1'b0; //Always 3 DW
type = (wt_cfg) ? CFG1_TYPE : CFG0_TYPE;
FirstDW_BE = wt_be[7:4];
{R1, fmtx, typex, R2, TCx, R3,R4,R5,R6, TDx, EPx, ATTRx, R7,R8, lenx} = H1_got[63:32];
{TBRX_REQ_IDx, TAGx, LastDW_BEx, FirstDW_BEx} = H1_got[31:0];
wt_addrx = H2_got[63:32];
`ifdef DIS_TAG_CHK
TAG = TAGx;
`endif
//First 8 bytes of Header
H1_ms_exp = {R, fmt, type, R, TC, R,R,R,R, TD, EP, ATTR, R,R, len};
H1_ls_exp = {TBRX_REQ_ID, TAG, LastDW_BE, FirstDW_BE};
//Second 4 bytes of Header
H2_ms_exp = wt_addr;
H2_ls_exp = 32'd0;
Error_code = (typex != type) ? TYPE_C : Error_code; //_C : Code
Error_code = (fmtx != fmt) ? FMT_C : Error_code;
Error_code = (TCx != TC) ? TC_C : Error_code;
Error_code = (TDx != TD) ? TD_C : Error_code;
Error_code = (EPx != EP) ? EP_C : Error_code;
Error_code = (ATTRx != ATTR) ? ATTR_C : Error_code;
Error_code = (lenx != len) ? LEN_C : Error_code;
Error_code = (TBRX_REQ_IDx != TBRX_REQ_ID) ? REQ_ID_C : Error_code;
Error_code = (TAGx != TAG) ? TAG_C : Error_code;
Error_code = (LastDW_BEx != LastDW_BE) ? LastDW_BE_C : Error_code;
Error_code = (FirstDW_BEx != FirstDW_BE) ? FirstDW_BE_C : Error_code;
Error_code = (wt_addrx[31:2] != wt_addr[31:2]) ? ADDR_C : Error_code;
Error_code = ({R1,R2,R3,R4,R5,R6,R7,R8} != 8'd0) ? RSRV_C : Error_code;
short_pkt = 1;
exp_dwen = (wt_type == CFG_RD) ? 1'b0 : 1'b1;
if((H1_got != {H1_ms_exp, H1_ls_exp}) || (H2_got[63:32] != H2_ms_exp)) begin
case({wt_cfg, fmt[1]}) //or wt_type
2'b00 : $display ("TBRX-TC%d: **** ERROR in CFG0 RD Header **** : at time %0t", rx_tc, $time);
2'b01 : $display ("TBRX-TC%d: **** ERROR in CFG0 WR Header **** : at time %0t", rx_tc, $time);
2'b10 : $display ("TBRX-TC%d: **** ERROR in CFG1 RD Header **** : at time %0t", rx_tc, $time);
2'b11 : $display ("TBRX-TC%d: **** ERROR in CFG1 WR Header **** : at time %0t", rx_tc, $time);
endcase
TBRX_Error = 1;
ERROR_TASK;
end
end
IO_RD,
IO_WR : begin
//TC = 3'b000; //Always
//ATTR = 2'b00; //Always
//len = 10'd1; //Always
//LastDW_BE = 4'b0000; //Always
TC = TBRX_TC;
ATTR = TBRX_ATTR;
LastDW_BE = TBRX_LastDW_BE;
len = TBRX_LEN;
fmt[1] = (wt_type == IO_RD) ? 1'b0 : 1'b1;
//fmt[0] = (wt_hdr) ? 1'b1 : 1'b0; //32-bit /64-bit addressing (3 DW /4 DW)
fmt[0] = 1'b0; //Always 3 DW
type = IO_TYPE;
FirstDW_BE = wt_be[7:4];
//Second 4/8 bytes of Header
/****
if(wt_hdr) begin //64-bit Addr / 4 DW header
H2_ms_exp = TBRX_UPPER32_ADDR;
H2_ls_exp = {wt_addr[31:2], R, R};
end
else begin
H2_ms_exp = {wt_addr[31:2], R, R};
H2_ls_exp = H2_got[31:0]; //This is not header , but may be first data
end
****/
{R1, fmtx, typex, R2, TCx, R3,R4,R5,R6, TDx, EPx, ATTRx, R7,R8, lenx} = H1_got[63:32];
{TBRX_REQ_IDx, TAGx, LastDW_BEx, FirstDW_BEx} = H1_got[31:0];
if(wt_hdr) //64-bit Addr / 4 DW header
{TBRX_UPPER32_ADDRx, wt_addrx[31:2], R9, R10} = H2_got;
else
{wt_addrx[31:2], R11, R12, FirstDatax} = H2_got;
`ifdef DIS_TAG_CHK
TAG = TAGx;
`endif
//First 8 bytes of Header
H1_ms_exp = {R, fmt, type, R, TC, R,R,R,R, TD, EP, ATTR, R,R, len};
H1_ls_exp = {TBRX_REQ_ID, TAG, LastDW_BE, FirstDW_BE};
//Second 4/8 bytes of Header
H2_ms_exp = {wt_addr[31:2], R, R};
H2_ls_exp = H2_got[31:0]; //This is not header , but may be first data
Error_code = (typex != type) ? TYPE_C : Error_code; //_C : Code
Error_code = (fmtx != fmt) ? FMT_C : Error_code;
Error_code = (TCx != TC) ? TC_C : Error_code;
Error_code = (TDx != TD) ? TD_C : Error_code;
Error_code = (EPx != EP) ? EP_C : Error_code;
Error_code = (ATTRx != ATTR) ? ATTR_C : Error_code;
Error_code = (lenx != len) ? LEN_C : Error_code;
Error_code = (TBRX_REQ_IDx != TBRX_REQ_ID) ? REQ_ID_C : Error_code;
Error_code = (TAGx != TAG) ? TAG_C : Error_code;
Error_code = (LastDW_BEx != LastDW_BE) ? LastDW_BE_C : Error_code;
Error_code = (FirstDW_BEx != FirstDW_BE) ? FirstDW_BE_C : Error_code;
Error_code = (wt_addrx[31:2] != wt_addr[31:2]) ? ADDR_C : Error_code;
//Error_code = (FirstDatax != 32'd0) ? DATA_C : Error_code;
Error_code = ({R1,R2,R3,R4,R5,R6,R7,R8,R9,R10,R11,R12} != 12'd0) ? RSRV_C : Error_code;
//IO_RD with 3DW/4DW, IO_WR with 3DW Header & 1 data
//if((wt_type == IO_RD) || ((wt_type == IO_WR) && (wt_hdr == 0) && (len == 1))) begin
short_pkt = 1;
exp_dwen = (wt_type == IO_RD) ? 1'b0 : 1'b1;
//end
if((H1_got != {H1_ms_exp, H1_ls_exp}) || (H2_got != {H2_ms_exp, H2_ls_exp})) begin
if(wt_type == IO_RD)
$display ("TBRX-TC%d: **** ERROR in IO RD Header **** : at time %0t", rx_tc, $time);
else
$display ("TBRX-TC%d: **** ERROR in IO WR Header **** : at time %0t", rx_tc, $time);
TBRX_Error = 1;
ERROR_TASK;
end
end
MSG,
MSG_D : begin
//TC = rx_tc;
TC = (wt_tc_set) ? wt_tc : rx_tc;
//ATTR = 2'b00; // Attr : 2 bits {Ordering, Snoop} = {0,0} -> {Strong Order, Snoop}
ATTR = TBRX_ATTR;
fmt[1] = (wt_type == MSG) ? 1'b0 : 1'b1;
fmt[0] = 1'b1; //Always 4 DW
type = {MSG_TYPE[4:3], TBRX_MSG_ROUTE};
len = wt_len;
{R1, fmtx, typex, R2, TCx, R3,R4,R5,R6, TDx, EPx, ATTRx, R7,R8, lenx} = H1_got[63:32];
{TBRX_REQ_IDx, TAGx, TBRX_MSG_CODEx} = H1_got[31:0];
TBRX_MSG_TYPEx = H2_got;
`ifdef DIS_TAG_CHK
TAG = TAGx;
`endif
`ifdef DIS_TC_CHK
TC = TCx;
`endif
//First 8 bytes of Header
H1_ms_exp = {R, fmt, type, R, TC, R,R,R,R, TD, EP, ATTR, R,R, len};
H1_ls_exp = {TBRX_REQ_ID, TAG, TBRX_MSG_CODE};
//Second 8 bytes of Header
{H2_ms_exp, H2_ls_exp} = TBRX_MSG_TYPE;
Error_code = (typex != type) ? TYPE_C : Error_code; //_C : Code
Error_code = (fmtx != fmt) ? FMT_C : Error_code;
Error_code = (TCx != TC) ? TC_C : Error_code;
Error_code = (TDx != TD) ? TD_C : Error_code;
Error_code = (EPx != EP) ? EP_C : Error_code;
Error_code = (ATTRx != ATTR) ? ATTR_C : Error_code;
Error_code = (lenx != len) ? LEN_C : Error_code;
Error_code = (TBRX_REQ_IDx != TBRX_REQ_ID) ? REQ_ID_C : Error_code;
Error_code = (TAGx != TAG) ? TAG_C : Error_code;
Error_code = (TBRX_MSG_CODEx != TBRX_MSG_CODE) ? MSGCODE_C : Error_code;
Error_code = (TBRX_MSG_TYPEx != TBRX_MSG_TYPE) ? MSG_C : Error_code;
Error_code = ({R1,R2,R3,R4,R5,R6,R7,R8} != 8'd0) ? RSRV_C : Error_code;
if(wt_type == MSG) begin
short_pkt = 1;
exp_dwen = 1;
end
if((H1_got != {H1_ms_exp, H1_ls_exp}) || (H2_got != {H2_ms_exp, H2_ls_exp})) begin
TBRX_Error = 1;
ERROR_TASK;
end
end
CPL,
CPL_D : begin
//TC = rx_tc;
TC = (wt_tc_set) ? wt_tc : rx_tc;
ATTR = 2'b00; // Attr : 2 bits {Ordering, Snoop} = {0,0} -> {Strong Order, Snoop}
fmt[1] = (wt_type == CPL) ? 1'b0 : 1'b1;
fmt[0] = 1'b0; //Always 3 DW
type = CPL_TYPE;
len = wt_len;
{R1, fmtx, typex, R2, TCx, R3,R4,R5,R6, TDx, EPx, ATTRx, R7,R8, lenx} = H1_got[63:32];
{TBRX_CPL_IDx, wt_statusx, TBRX_BCMx, wt_bytecntx} = H1_got[31:0];
{TBRX_REQ_IDx, TAGx, R9, wt_loweraddrx} = H2_got[63:32];
`ifdef DIS_TAG_CHK
TAG = TAGx;
`endif
//First 8 bytes of Header
H1_ms_exp = {R, fmt, type, R, TC, R,R,R,R, TD, EP, ATTR, R,R, len};
H1_ls_exp = {TBRX_CPL_ID, wt_status, TBRX_BCM, wt_bytecnt};
//Second 4 bytes of Header
H2_ms_exp = {TBRX_REQ_ID, TAG, R, wt_loweraddr};
H2_ls_exp = 32'd0;
Error_code = (typex != type) ? TYPE_C : Error_code; //_C : Code
Error_code = (fmtx != fmt) ? FMT_C : Error_code;
Error_code = (TCx != TC) ? TC_C : Error_code;
Error_code = (TDx != TD) ? TD_C : Error_code;
Error_code = (EPx != EP) ? EP_C : Error_code;
Error_code = (ATTRx != ATTR) ? ATTR_C : Error_code;
Error_code = (lenx != len) ? LEN_C : Error_code;
Error_code = (TBRX_CPL_IDx != TBRX_CPL_ID) ? CPL_ID_C : Error_code;
Error_code = (wt_statusx != wt_status) ? STATUS_C : Error_code;
Error_code = (TBRX_BCMx != TBRX_BCM) ? BCM_C : Error_code;
Error_code = (wt_bytecntx != wt_bytecnt) ? BYTECNT_C : Error_code;
Error_code = (TBRX_REQ_IDx != TBRX_REQ_ID) ? REQ_ID_C : Error_code;
Error_code = (TAGx != TAG) ? TAG_C : Error_code;
Error_code = (wt_loweraddrx != wt_loweraddr) ? LOWERADDR_C : Error_code;
Error_code = ({R1,R2,R3,R4,R5,R6,R7,R8,R9} != 9'd0) ? RSRV_C : Error_code;
if((wt_type == CPL) || ((wt_type == CPL_D) && len == 1)) begin
short_pkt = 1;
exp_dwen = (wt_type == CPL) ? 1'b0 : 1'b1;
end
if((H1_got != {H1_ms_exp, H1_ls_exp}) || (H2_got[63:32] != H2_ms_exp)) begin
TBRX_Error = 1;
ERROR_TASK;
end
end
TLP : begin
fmt_type_err = (Error_Type == FMT_TYPE_ERR) ? 1'b1 : 1'b0;
man_tlp_pkt = 1;
H1_ms_exp = wt_info[63:32];
H1_ls_exp = wt_info[31:0];
H2_ms_exp = wt_info2[63:32];
H2_ls_exp = (wt_info2[64]) ? wt_info2[31:0] : H2_got[31:0]; //For 3DW header dont check [31:0]
fmt = wt_info[62:61];
type = wt_info[60:56];
TC = wt_info[54:52];
TD = wt_info[47];
EP = wt_info[46];
ATTR = wt_info[45:44];
len = wt_info[41:32];
//{R, fmt, type, R, TC, R,R,R,R, TD, EP, ATTR, R,R, len} = wt_info[63:32];
{R1, fmtx, typex, R2, TCx, R3,R4,R5,R6, TDx, EPx, ATTRx, R7,R8, lenx} = H1_got[63:32];
Error_code = (typex != type) ? TYPE_C : Error_code; //_C : Code
Error_code = (fmtx != fmt) ? FMT_C : Error_code;
Error_code = (TCx != TC) ? TC_C : Error_code;
Error_code = (TDx != TD) ? TD_C : Error_code;
Error_code = (EPx != EP) ? EP_C : Error_code;
Error_code = (ATTRx != ATTR) ? ATTR_C : Error_code;
Error_code = (lenx != len) ? LEN_C : Error_code;
Error_code = ({R1,R2,R3,R4,R5,R6,R7,R8} != 8'd0) ? RSRV_C : Error_code;
if((H1_got != {H1_ms_exp, H1_ls_exp}) || (H2_got != {H2_ms_exp, H2_ls_exp})) begin
TBRX_Error = 1;
ERROR_TASK;
end
end
endcase
stored_type = type;
stored_fmt = fmt;
stored_len = len;
stored_error = Error_Type;
stored_kind = wt_type; //Mem or cfg or IO or TLP (rd or wr)
end
endtask
// =============================================================================
// TD : Set indicates Presence of TLP Digest (ECRC)
// EP : Set indicates data Poisoned
// First DW BE :
// Last DW BE :
// REQUESTER ID : 16 bits
// Tag :8 bits
// Attr : 2 bits {Ordering, Snoop} = {0,0} -> {Strong Order, Snoop}
// =============================================================================
// =============================================================================
// 4 + 4 + 32 + 10 + 1 + 1 + 1 + 4 + 4 = 61
// Error Type + kind + addr + len + 3dw/4dw + TD + EP + FirstDwBE + LastDwBE
// For TLP type: 4 + 4 + 64 bit header = 72 bits
// =============================================================================
// =============================================================================
task tbrx_mem_rd;
input [31:0] addr;
input [9:0] length;
input hdr_type; //3 DW or 4 DW
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MEM_RD, addr, length, hdr_type, TBRX_TD, TBRX_EP, First_DW_BE, 4'h0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_mem_wr;
input [31:0] addr;
input [9:0] length;
input hdr_type; //3 DW or 4 DW
input [3:0] Error_Type;
begin
if(length == 1)
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MEM_WR, addr, length, hdr_type, TBRX_TD, TBRX_EP, First_DW_BE, 4'h0, 11'd0};
else
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MEM_WR, addr, length, hdr_type, TBRX_TD, TBRX_EP, First_DW_BE, Last_DW_BE, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_msg;
input [9:0] length;
input [3:0] Error_Type;
begin
//Meassge Route & Meassge Code are default values
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MSG, 32'd0, length, HEAD_4DW, TBRX_TD, TBRX_EP, 4'b0, 4'b0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_msg_d;
input [9:0] length;
input [3:0] Error_Type;
begin
//Meaasge Route & Meassge Code are default values
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MSG_D, 32'd0, length, HEAD_4DW, TBRX_TD, TBRX_EP, 4'b0, 4'b0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_cfg_rd;
input cfg; //0: cfg0, 1: cfg1
input [31:0] addr; //{Bus No, Dev. No, Function No, 4'h0, Ext Reg No, Reg No, 2'b00}
input [9:0] length;
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, CFG_RD, addr, length, HEAD_3DW, TBRX_TD, TBRX_EP, First_DW_BE, 3'h0, cfg, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_cfg_wr;
input cfg; //0: cfg0, 1: cfg1
input [31:0] addr; //{Bus No, Dev. No, Function No, 4'h0, Ext Reg No, Reg No, 2'b00}
input [9:0] length;
input [3:0] Error_Type;
begin
//addr = {Bus No, Dev. No, Function No, 4'h0, Ext Reg No, Reg No, 2'b00}
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, CFG_WR, addr, length, HEAD_3DW, TBRX_TD, TBRX_EP, First_DW_BE, 3'h0, cfg, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_io_rd;
input [31:0] addr;
input [9:0] length;
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, IO_RD, addr, length, HEAD_3DW, TBRX_TD, TBRX_EP, First_DW_BE, 4'h0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_io_wr;
input [31:0] addr;
input [9:0] length;
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, IO_WR, addr, length, HEAD_3DW, TBRX_TD, TBRX_EP, First_DW_BE, 4'h0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_cpl;
input [11:0] byte_cnt;
input [6:0] lower_addr;
input [2:0] status;
input [9:0] length;
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, CPL, byte_cnt, lower_addr, status, 10'd0, length, HEAD_3DW, TBRX_TD, TBRX_EP, 8'h0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_cpl_d;
input [11:0] byte_cnt;
input [6:0] lower_addr;
input [2:0] status;
input [9:0] length;
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, CPL_D, byte_cnt, lower_addr, status, 10'd0, length, HEAD_3DW, TBRX_TD, TBRX_EP, 8'h0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// Good Pkt : User has to form the Header
// Malformed Pkt : For sending a pkt with fmt & Type Error Only
// =============================================================================
task tbrx_tlp; //When Giving Malformed TLP (Only fmt & Type error)
input [3:0] Error_Type;
input hdr_type; //3 DW or 4 DW
input [31:0] h1_msb;
input [31:0] h1_lsb;
input [31:0] h2_msb;
input [31:0] h2_lsb;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, TLP, h1_msb, h1_lsb};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
TBRX_WAIT_FIFO[wt_cnt] = {7'b0, hdr_type, h2_msb, h2_lsb};
TBRX_FIFO_TC[wt_cnt] = 0;
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// TASKS that require TC change
// =============================================================================
task tbrx_mem_rd_tc;
input [2:0] tc;
input [31:0] addr;
input [9:0] length;
input hdr_type; //3 DW or 4 DW
input [3:0] Error_Type;
begin
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MEM_RD, addr, length, hdr_type, TBRX_TD, TBRX_EP, First_DW_BE, 4'h0, 11'd0};
TBRX_FIFO_TC[wt_cnt] = {1'b1, tc};
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// =============================================================================
task tbrx_mem_wr_tc;
input [2:0] tc;
input [31:0] addr;
input [9:0] length;
input hdr_type; //3 DW or 4 DW
input [3:0] Error_Type;
begin
if(length == 1)
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MEM_WR, addr, length, hdr_type, TBRX_TD, TBRX_EP, First_DW_BE, 4'h0, 11'd0};
else
TBRX_WAIT_FIFO[wt_cnt] = {Error_Type, MEM_WR, addr, length, hdr_type, TBRX_TD, TBRX_EP, First_DW_BE, Last_DW_BE, 11'd0};
TBRX_FIFO_TC[wt_cnt] = {1'b1, tc};
wt_cnt = wt_cnt + 1;
end
endtask
// =============================================================================
// FLOW CONTROL Tasks
// =============================================================================
initial begin
//Init Flow Control Credits
ph_buf_status <= 1'b0;
pd_buf_status <= 1'b0;
nph_buf_status <= 1'b0;
npd_buf_status <= 1'b0;
cplh_buf_status <= 1'b0;
cpld_buf_status <= 1'b0;
ph_processed <= 1'b0;
pd_processed <= 1'b0;
nph_processed <= 1'b0;
npd_processed <= 1'b0;
cplh_processed <= 1'b0;
cpld_processed <= 1'b0;
pd_num <= 8'd1;
npd_num <= 8'd1;
cpld_num <= 8'd1;
INIT_PH_FC <= 0; // Inifinite
INIT_PD_FC <= 0;
INIT_NPH_FC <= 0;
INIT_NPD_FC <= 0;
INIT_CPLH_FC <= 0;
INIT_CPLD_FC <= 0;
end
// =============================================================================
// Setting INIT values
// =============================================================================
task FC_INIT;
input [1:0] type; // p/np/cpl
input [7:0] hdr;
input [11:0] data;
begin
case(type)
P : begin
INIT_PH_FC <= hdr;
INIT_PD_FC <= data;
end
NP : begin
INIT_NPH_FC <= hdr;
INIT_NPD_FC <= data;
end
CPLX : begin
INIT_CPLH_FC <= hdr;
INIT_CPLD_FC <= data;
end
endcase
end
endtask
// =============================================================================
// Asserion/Deassertion of buf_status signals
// =============================================================================
task FC_BUF_STATUS;
input [2:0] type; // ph/pd/nph/npd/cpl/cpld
input set; // Set=1: Assert the signal , Set=0, De-assert the signal
begin
case(type)
PH : ph_buf_status <= set;
PD : pd_buf_status <= set;
NPH : nph_buf_status <= set;
NPD : npd_buf_status <= set;
CPLH : cplh_buf_status <= set;
CPLD : cpld_buf_status <= set;
endcase
end
endtask
// =============================================================================
// Asserion/Deassertion of Processed signals
// Onle pulse
// =============================================================================
task FC_PROCESSED;
input [2:0] type; // ph/pd/nph/npd/cpl/cpld
begin
case(type)
PH : begin
ph_processed <= 1'b1;
end
PD : begin
pd_processed <= 1'b1;
pd_num <= 8'd1;
end
NPH : begin
nph_processed <= 1'b1;
end
NPD : begin
npd_processed <= 1'b1;
npd_num <= 8'd1;
end
CPLH : begin
cplh_processed <= 1'b1;
end
CPLD : begin
cpld_processed <= 1'b1;
cpld_num <= 8'd1;
end
endcase
@( posedge sys_clk)
case(type)
PH : begin
ph_processed <= 1'b0;
end
PD : begin
pd_processed <= 1'b0;
pd_num <= 8'd0;
end
NPH : begin
nph_processed <= 1'b0;
end
NPD : begin
npd_processed <= 1'b0;
npd_num <= 8'd0;
end
CPLH : begin
cplh_processed <= 1'b0;
end
CPLD : begin
cpld_processed <= 1'b0;
cpld_num <= 8'd0;
end
endcase
end
endtask
task FC_PROCESSED_NUM;
input [2:0] type; // ph/pd/nph/npd/cpl/cpld
input [7:0] num; // no. of data pd/npd/cpld (no. of credits : 4DW is 1 credit)
begin
case(type)
PH : begin
ph_processed <= 1'b1;
end
PD : begin
pd_processed <= 1'b1;
pd_num <= num;
end
NPH : begin
nph_processed <= 1'b1;
end
NPD : begin
npd_processed <= 1'b1;
npd_num <= num;
end
CPLH : begin
cplh_processed <= 1'b1;
end
CPLD : begin
cpld_processed <= 1'b1;
cpld_num <= num;
end
endcase
@( posedge sys_clk)
case(type)
PH : begin
ph_processed <= 1'b0;
end
PD : begin
pd_processed <= 1'b0;
pd_num <= 8'd0;
end
NPH : begin
nph_processed <= 1'b0;
end
NPD : begin
npd_processed <= 1'b0;
npd_num <= 8'd0;
end
CPLH : begin
cplh_processed <= 1'b0;
end
CPLD : begin
cpld_processed <= 1'b0;
cpld_num <= 8'd0;
end
endcase
end
endtask
// =============================================================================
// 1) Error Signal Assertion Check during EOP
// 2) Length check for IO, CFG (length == 1)
// =============================================================================
task EOP_TASK;
begin
// Length check for IO & CFG
/*
case(stored_type)
IO_RD,
IO_WR,
CFG_RD,
CFG_WR : begin
if((stored_len != TBRX_LEN) && (!rx_malf_tlp)) begin //length should be 1
TBRX_Error = 1;
$display ("TBRX-TC%d: **** ERROR **** : length error for IO/CFG pkt at time %0t", rx_tc, $time);
end
end
endcase
*/
// Checking the Error Signal Assertion
case(stored_error)
ECRC_ERR : begin
`ifdef ECRC
if(!rx_ecrc_err) begin
TBRX_Error = 1;
$display ("TBRX-TC%d: **** ERROR **** : Expecting ERROR PKT", rx_tc);
$display ("TBRX-TC%d: **** ERROR **** : rx_ecrc_err is NOT ASSERTED at time %0t", rx_tc, $time);
end
`endif
end
UNSUP_ERR : begin
if(!rx_us_req) begin
TBRX_Error = 1;
$display ("TBRX-TC%d: **** ERROR **** : Expecting ERROR PKT", rx_tc);
$display ("TBRX-TC%d: **** ERROR **** : rx_us_req is NOT ASSERTED at time %0t", rx_tc, $time);
end
end
MALF_ERR : begin
if(!rx_malf_tlp) begin
TBRX_Error = 1;
$display ("TBRX-TC%d: **** ERROR **** : Expecting ERROR PKT", rx_tc);
$display ("TBRX-TC%d: **** ERROR **** : rx_malf_tlp is NOT ASSERTED at time %0t", rx_tc, $time);
end
end
FMT_TYPE_ERR : begin
if(!rx_malf_tlp && !rx_us_req) begin
TBRX_Error = 1;
$display ("TBRX-TC%d: **** ERROR **** : Expecting ERROR PKT", rx_tc);
$display ("TBRX-TC%d: **** ERROR **** : rx_malf_tlp/rx_us_req is NOT ASSERTED at time %0t", rx_tc, $time);
end
end
default : begin
`ifdef ECRC
if(rx_ecrc_err || rx_malf_tlp || rx_us_req) begin
`else
if(rx_malf_tlp || rx_us_req) begin
`endif
TBRX_Error = 1;
$display ("TBRX-TC%d: **** ERROR **** : Unexpected error signal assertion for a good PKT at time %0t", rx_tc, $time);
end
end
endcase
if(!TBRX_Error && (`DEBUG==1)) begin
$display ("TBRX-TC%d: SUCCESSFUL PKT TRANSFER (Pkt no:%0d) at time %0t", rx_tc, got_cnt,$time);
end
end
endtask
// =============================================================================
// Data Generation & Checking
// =============================================================================
task CHECK_DATA;
input [10:0] data_no;
reg [31:0] data32;
integer i,j;
begin
i = data_no*2;
j = i + 1;
if(TBRX_MANUAL_DATA) begin
{data0, data1} = D[i];
end
else if(TBRX_FIXED_PATTERN) begin
case(data_no[4:0])
0 : {data0, data1} = 32'h0000_1111;
1 : {data0, data1} = 32'h2222_3333;
2 : {data0, data1} = 32'h4444_5555;
3 : {data0, data1} = 32'h6666_7777;
4 : {data0, data1} = 32'h8888_9999;
5 : {data0, data1} = 32'hAAAA_BBBB;
6 : {data0, data1} = 32'hCCCC_DDDD;
7 : {data0, data1} = 32'hEEEE_FFFF;
8 : {data0, data1} = 32'h1010_1111;
9 : {data0, data1} = 32'h1212_1313;
10 : {data0, data1} = 32'h1414_1515;
11 : {data0, data1} = 32'h1616_1717;
12 : {data0, data1} = 32'h1818_1919;
13 : {data0, data1} = 32'h1A1A_1B1B;
14 : {data0, data1} = 32'h1C1C_1D1D;
15 : {data0, data1} = 32'h1E1E_1F1F;
16 : {data0, data1} = 32'h2020_2121;
17 : {data0, data1} = 32'h2222_2323;
18 : {data0, data1} = 32'h2424_2525;
19 : {data0, data1} = 32'h2626_2727;
20 : {data0, data1} = 32'h2828_2929;
21 : {data0, data1} = 32'h2A2A_2B2B;
22 : {data0, data1} = 32'h2C2C_2D2D;
23 : {data0, data1} = 32'h2E2E_2F2F;
24 : {data0, data1} = 32'h3030_3131;
25 : {data0, data1} = 32'h3232_3333;
26 : {data0, data1} = 32'h3434_3535;
27 : {data0, data1} = 32'h3636_3737;
28 : {data0, data1} = 32'h3838_3939;
29 : {data0, data1} = 32'h3A3A_3B3B;
30 : {data0, data1} = 32'h3C3C_3D3D;
31 : {data0, data1} = 32'h3E3E_3F3F;
endcase
end
else begin //Default - Incremental Data
data0 = i;
data1 = j;
end
data32 = {data0, data1};
if(data32 != {rx_data_del, rx_data})
TBRX_Error = 1'b1;
if(TBRX_Error) begin
$display ("TBRX-TC%d: **** ERROR **** : Data Mismatch at time %0t", rx_tc, $time);
$display (" DataNo=%0d, Exp data=%h, Rcvd Data=%h_%h", data_no, data32, rx_data_del, rx_data);
end
/****** for DEBUG
$display ("TBRX-TC%d: --------- CHECK --------- at time %0t", rx_tc, $time);
$display (" DataNo=%0d, Exp data=%h, Rcvd Data=%h_%h", data_no, data32, rx_data_del, rx_data);
******/
end
endtask
// =============================================================================
// ERROR Conditions : Simulation stopped
// =============================================================================
always @(TBRX_Error) begin
if(TBRX_Error) begin
repeat (100) @(posedge sys_clk);
$display ("TBRX: -- Sim stopped by TBRX -- at time %0t", $time);
$finish;
end
end
// =============================================================================
task ERROR_TASK;
begin
$display ("TBRX-TC%d: **** ERROR **** : HEADER Mismatch at time %0t", rx_tc, $time);
case(Error_code)
TYPE_C : $display (" TYPE error: Exp TYPE=%b, Rcvd TYPE=%b",type, typex);
FMT_C : $display (" FMT error: Exp FMT=%b, Rcvd FMT=%b", fmt, fmtx);
TC_C : $display (" TC/VC error: Exp TC=%d, Rcvd TC=%d", TC,TCx);
TD_C : $display (" TD error: Exp TD=%b, Rcvd TD=%b", TD,TDx);
EP_C : $display (" EP error: Exp EP=%b, Rcvd EP=%b", EP,EPx);
ATTR_C : $display (" ATTR error: Exp ATTR=%b, Rcvd ATTR=%b", ATTR, ATTRx);
LEN_C : $display (" Length error: Exp Length=%d, Rcvd Length=%d", len,lenx);
RSRV_C : $display (" RESERVED Bits error");
REQ_ID_C : $display (" REQ_ID error: Exp REQ_ID=%h, Rcvd REQ_ID=%h", TBRX_REQ_ID, TBRX_REQ_IDx);
TAG_C : $display (" TAG error: Exp TAG=%h, Rcvd TAG=%h", TAG,TAGx);
LastDW_BE_C : $display (" LastDW_BE error: Exp LastDW_BE=%h, Rcvd LastDW_BE=%h", LastDW_BE, LastDW_BEx);
FirstDW_BE_C : $display (" FirstDW_BE error: Exp FirstDW_BE=%h, Rcvd FirstDW_BE=%h", FirstDW_BE, FirstDW_BEx);
ADDR_C : $display (" Addr error: Exp Addr=%h, Rcvd Addr=%h", wt_addr, wt_addrx);
//DATA_C : $display (" Data error: Exp Data=%h, Rcvd Data=%h", FirstData, FirstDatax);
MSG_C : $display (" MSG Type error: Exp MSG Type=%h, Rcvd MSG Type=%h", TBRX_MSG_TYPE,TBRX_MSG_TYPEx);
MSGCODE_C : $display (" MSG CODE error: Exp MSG Code=%h, Rcvd MSG Code=%h", TBRX_MSG_CODE,TBRX_MSG_CODEx);
CPL_ID_C : $display (" CPL ID error: Exp CPL ID=%h, Rcvd CPL ID=%h", TBRX_CPL_ID, TBRX_CPL_IDx);
STATUS_C : $display (" CPL Status Field error: Exp Status Field=%h, Rcvd Status Field=%h", wt_status,wt_statusx);
BCM_C : $display (" CPL BCM error: Exp BCM=%h, Rcvd BCM=%h", TBRX_BCM, TBRX_BCMx);
BYTECNT_C : $display (" CPL ByteCount error: Exp ByteCount=%h, Rcvd ByteCount=%h", wt_bytecnt, wt_bytecntx);
LOWERADDR_C : $display (" CPL LowerAddr error: Exp LowerAddr=%h, Rcvd LowerAddr=%h", wt_loweraddr, wt_loweraddrx);
endcase
$display ("\n");
end
endtask
endmodule
|
// tracking_camera_system.v
// Generated using ACDS version 12.1sp1 243 at 2015.02.24.12:06:41
`timescale 1 ps / 1 ps
module tracking_camera_system (
output wire altpll_0_c0_clk, // altpll_0_c0.clk
inout wire [7:0] character_lcd_0_external_interface_DATA, // character_lcd_0_external_interface.DATA
output wire character_lcd_0_external_interface_ON, // .ON
output wire character_lcd_0_external_interface_BLON, // .BLON
output wire character_lcd_0_external_interface_EN, // .EN
output wire character_lcd_0_external_interface_RS, // .RS
output wire character_lcd_0_external_interface_RW, // .RW
input wire switch_0_external_connection_export, // switch_0_external_connection.export
output wire servo_pwm_0_conduit_end_0_export, // servo_pwm_0_conduit_end_0.export
input wire reset_reset_n, // reset.reset_n
input wire switch_external_connection_export, // switch_external_connection.export
input wire clk_clk, // clk.clk
output wire [11:0] sdram_0_wire_addr, // sdram_0_wire.addr
output wire [1:0] sdram_0_wire_ba, // .ba
output wire sdram_0_wire_cas_n, // .cas_n
output wire sdram_0_wire_cke, // .cke
output wire sdram_0_wire_cs_n, // .cs_n
inout wire [15:0] sdram_0_wire_dq, // .dq
output wire [1:0] sdram_0_wire_dqm, // .dqm
output wire sdram_0_wire_ras_n, // .ras_n
output wire sdram_0_wire_we_n, // .we_n
output wire [7:0] green_leds_external_connection_export, // green_leds_external_connection.export
inout wire [15:0] sram_0_external_interface_DQ, // sram_0_external_interface.DQ
output wire [17:0] sram_0_external_interface_ADDR, // .ADDR
output wire sram_0_external_interface_LB_N, // .LB_N
output wire sram_0_external_interface_UB_N, // .UB_N
output wire sram_0_external_interface_CE_N, // .CE_N
output wire sram_0_external_interface_OE_N, // .OE_N
output wire sram_0_external_interface_WE_N // .WE_N
);
wire altpll_0_c1_clk; // altpll_0:c1 -> [addr_router:clk, addr_router_001:clk, burst_adapter:clk, burst_adapter_001:clk, burst_adapter_002:clk, character_lcd_0:clk, character_lcd_0_avalon_lcd_slave_translator:clk, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:clk, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, cmd_xbar_demux:clk, cmd_xbar_demux_001:clk, cmd_xbar_mux:clk, cmd_xbar_mux_001:clk, cmd_xbar_mux_002:clk, cmd_xbar_mux_003:clk, crosser:in_clk, crosser_001:out_clk, green_leds:clk, green_leds_s1_translator:clk, green_leds_s1_translator_avalon_universal_slave_0_agent:clk, green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, id_router:clk, id_router_001:clk, id_router_002:clk, id_router_003:clk, id_router_005:clk, id_router_006:clk, id_router_007:clk, id_router_008:clk, id_router_009:clk, id_router_010:clk, id_router_011:clk, id_router_012:clk, irq_mapper:clk, jtag_uart_0:clk, jtag_uart_0_avalon_jtag_slave_translator:clk, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:clk, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, limiter:clk, limiter_001:clk, nios2_qsys_0:clk, nios2_qsys_0_data_master_translator:clk, nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:clk, nios2_qsys_0_instruction_master_translator:clk, nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:clk, nios2_qsys_0_jtag_debug_module_translator:clk, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:clk, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, onchip_memory2_0:clk, onchip_memory2_0_s1_translator:clk, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:clk, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, rsp_xbar_demux:clk, rsp_xbar_demux_001:clk, rsp_xbar_demux_002:clk, rsp_xbar_demux_003:clk, rsp_xbar_demux_005:clk, rsp_xbar_demux_006:clk, rsp_xbar_demux_007:clk, rsp_xbar_demux_008:clk, rsp_xbar_demux_009:clk, rsp_xbar_demux_010:clk, rsp_xbar_demux_011:clk, rsp_xbar_demux_012:clk, rsp_xbar_mux:clk, rsp_xbar_mux_001:clk, rst_controller:clk, sdram_0:clk, sdram_0_s1_translator:clk, sdram_0_s1_translator_avalon_universal_slave_0_agent:clk, sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, servo_pwm_0:clk, servo_pwm_0_s0_translator:clk, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:clk, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, sram_0:clk, sram_0_avalon_sram_slave_translator:clk, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:clk, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, switch:clk, switch_0:clk, switch_0_s1_translator:clk, switch_0_s1_translator_avalon_universal_slave_0_agent:clk, switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, switch_s1_translator:clk, switch_s1_translator_avalon_universal_slave_0_agent:clk, switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, sysid_qsys_0:clock, sysid_qsys_0_control_slave_translator:clk, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:clk, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, timer_0:clk, timer_0_s1_translator:clk, timer_0_s1_translator_avalon_universal_slave_0_agent:clk, timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, width_adapter:clk, width_adapter_001:clk, width_adapter_002:clk, width_adapter_003:clk, width_adapter_004:clk, width_adapter_005:clk]
wire nios2_qsys_0_instruction_master_waitrequest; // nios2_qsys_0_instruction_master_translator:av_waitrequest -> nios2_qsys_0:i_waitrequest
wire [24:0] nios2_qsys_0_instruction_master_address; // nios2_qsys_0:i_address -> nios2_qsys_0_instruction_master_translator:av_address
wire nios2_qsys_0_instruction_master_read; // nios2_qsys_0:i_read -> nios2_qsys_0_instruction_master_translator:av_read
wire [31:0] nios2_qsys_0_instruction_master_readdata; // nios2_qsys_0_instruction_master_translator:av_readdata -> nios2_qsys_0:i_readdata
wire nios2_qsys_0_instruction_master_readdatavalid; // nios2_qsys_0_instruction_master_translator:av_readdatavalid -> nios2_qsys_0:i_readdatavalid
wire nios2_qsys_0_data_master_waitrequest; // nios2_qsys_0_data_master_translator:av_waitrequest -> nios2_qsys_0:d_waitrequest
wire [31:0] nios2_qsys_0_data_master_writedata; // nios2_qsys_0:d_writedata -> nios2_qsys_0_data_master_translator:av_writedata
wire [24:0] nios2_qsys_0_data_master_address; // nios2_qsys_0:d_address -> nios2_qsys_0_data_master_translator:av_address
wire nios2_qsys_0_data_master_write; // nios2_qsys_0:d_write -> nios2_qsys_0_data_master_translator:av_write
wire nios2_qsys_0_data_master_read; // nios2_qsys_0:d_read -> nios2_qsys_0_data_master_translator:av_read
wire [31:0] nios2_qsys_0_data_master_readdata; // nios2_qsys_0_data_master_translator:av_readdata -> nios2_qsys_0:d_readdata
wire nios2_qsys_0_data_master_debugaccess; // nios2_qsys_0:jtag_debug_module_debugaccess_to_roms -> nios2_qsys_0_data_master_translator:av_debugaccess
wire nios2_qsys_0_data_master_readdatavalid; // nios2_qsys_0_data_master_translator:av_readdatavalid -> nios2_qsys_0:d_readdatavalid
wire [3:0] nios2_qsys_0_data_master_byteenable; // nios2_qsys_0:d_byteenable -> nios2_qsys_0_data_master_translator:av_byteenable
wire [31:0] nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_writedata; // nios2_qsys_0_jtag_debug_module_translator:av_writedata -> nios2_qsys_0:jtag_debug_module_writedata
wire [8:0] nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_address; // nios2_qsys_0_jtag_debug_module_translator:av_address -> nios2_qsys_0:jtag_debug_module_address
wire nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_chipselect; // nios2_qsys_0_jtag_debug_module_translator:av_chipselect -> nios2_qsys_0:jtag_debug_module_select
wire nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_write; // nios2_qsys_0_jtag_debug_module_translator:av_write -> nios2_qsys_0:jtag_debug_module_write
wire [31:0] nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_readdata; // nios2_qsys_0:jtag_debug_module_readdata -> nios2_qsys_0_jtag_debug_module_translator:av_readdata
wire nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_begintransfer; // nios2_qsys_0_jtag_debug_module_translator:av_begintransfer -> nios2_qsys_0:jtag_debug_module_begintransfer
wire nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess; // nios2_qsys_0_jtag_debug_module_translator:av_debugaccess -> nios2_qsys_0:jtag_debug_module_debugaccess
wire [3:0] nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_byteenable; // nios2_qsys_0_jtag_debug_module_translator:av_byteenable -> nios2_qsys_0:jtag_debug_module_byteenable
wire [31:0] onchip_memory2_0_s1_translator_avalon_anti_slave_0_writedata; // onchip_memory2_0_s1_translator:av_writedata -> onchip_memory2_0:writedata
wire [11:0] onchip_memory2_0_s1_translator_avalon_anti_slave_0_address; // onchip_memory2_0_s1_translator:av_address -> onchip_memory2_0:address
wire onchip_memory2_0_s1_translator_avalon_anti_slave_0_chipselect; // onchip_memory2_0_s1_translator:av_chipselect -> onchip_memory2_0:chipselect
wire onchip_memory2_0_s1_translator_avalon_anti_slave_0_clken; // onchip_memory2_0_s1_translator:av_clken -> onchip_memory2_0:clken
wire onchip_memory2_0_s1_translator_avalon_anti_slave_0_write; // onchip_memory2_0_s1_translator:av_write -> onchip_memory2_0:write
wire [31:0] onchip_memory2_0_s1_translator_avalon_anti_slave_0_readdata; // onchip_memory2_0:readdata -> onchip_memory2_0_s1_translator:av_readdata
wire [3:0] onchip_memory2_0_s1_translator_avalon_anti_slave_0_byteenable; // onchip_memory2_0_s1_translator:av_byteenable -> onchip_memory2_0:byteenable
wire sdram_0_s1_translator_avalon_anti_slave_0_waitrequest; // sdram_0:za_waitrequest -> sdram_0_s1_translator:av_waitrequest
wire [15:0] sdram_0_s1_translator_avalon_anti_slave_0_writedata; // sdram_0_s1_translator:av_writedata -> sdram_0:az_data
wire [21:0] sdram_0_s1_translator_avalon_anti_slave_0_address; // sdram_0_s1_translator:av_address -> sdram_0:az_addr
wire sdram_0_s1_translator_avalon_anti_slave_0_chipselect; // sdram_0_s1_translator:av_chipselect -> sdram_0:az_cs
wire sdram_0_s1_translator_avalon_anti_slave_0_write; // sdram_0_s1_translator:av_write -> sdram_0:az_wr_n
wire sdram_0_s1_translator_avalon_anti_slave_0_read; // sdram_0_s1_translator:av_read -> sdram_0:az_rd_n
wire [15:0] sdram_0_s1_translator_avalon_anti_slave_0_readdata; // sdram_0:za_data -> sdram_0_s1_translator:av_readdata
wire sdram_0_s1_translator_avalon_anti_slave_0_readdatavalid; // sdram_0:za_valid -> sdram_0_s1_translator:av_readdatavalid
wire [1:0] sdram_0_s1_translator_avalon_anti_slave_0_byteenable; // sdram_0_s1_translator:av_byteenable -> sdram_0:az_be_n
wire [15:0] sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_writedata; // sram_0_avalon_sram_slave_translator:av_writedata -> sram_0:writedata
wire [17:0] sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_address; // sram_0_avalon_sram_slave_translator:av_address -> sram_0:address
wire sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_write; // sram_0_avalon_sram_slave_translator:av_write -> sram_0:write
wire sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_read; // sram_0_avalon_sram_slave_translator:av_read -> sram_0:read
wire [15:0] sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdata; // sram_0:readdata -> sram_0_avalon_sram_slave_translator:av_readdata
wire sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdatavalid; // sram_0:readdatavalid -> sram_0_avalon_sram_slave_translator:av_readdatavalid
wire [1:0] sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_byteenable; // sram_0_avalon_sram_slave_translator:av_byteenable -> sram_0:byteenable
wire [31:0] altpll_0_pll_slave_translator_avalon_anti_slave_0_writedata; // altpll_0_pll_slave_translator:av_writedata -> altpll_0:writedata
wire [1:0] altpll_0_pll_slave_translator_avalon_anti_slave_0_address; // altpll_0_pll_slave_translator:av_address -> altpll_0:address
wire altpll_0_pll_slave_translator_avalon_anti_slave_0_write; // altpll_0_pll_slave_translator:av_write -> altpll_0:write
wire altpll_0_pll_slave_translator_avalon_anti_slave_0_read; // altpll_0_pll_slave_translator:av_read -> altpll_0:read
wire [31:0] altpll_0_pll_slave_translator_avalon_anti_slave_0_readdata; // altpll_0:readdata -> altpll_0_pll_slave_translator:av_readdata
wire [0:0] sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_address; // sysid_qsys_0_control_slave_translator:av_address -> sysid_qsys_0:address
wire [31:0] sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_readdata; // sysid_qsys_0:readdata -> sysid_qsys_0_control_slave_translator:av_readdata
wire [15:0] timer_0_s1_translator_avalon_anti_slave_0_writedata; // timer_0_s1_translator:av_writedata -> timer_0:writedata
wire [2:0] timer_0_s1_translator_avalon_anti_slave_0_address; // timer_0_s1_translator:av_address -> timer_0:address
wire timer_0_s1_translator_avalon_anti_slave_0_chipselect; // timer_0_s1_translator:av_chipselect -> timer_0:chipselect
wire timer_0_s1_translator_avalon_anti_slave_0_write; // timer_0_s1_translator:av_write -> timer_0:write_n
wire [15:0] timer_0_s1_translator_avalon_anti_slave_0_readdata; // timer_0:readdata -> timer_0_s1_translator:av_readdata
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest; // jtag_uart_0:av_waitrequest -> jtag_uart_0_avalon_jtag_slave_translator:av_waitrequest
wire [31:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata; // jtag_uart_0_avalon_jtag_slave_translator:av_writedata -> jtag_uart_0:av_writedata
wire [0:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_address; // jtag_uart_0_avalon_jtag_slave_translator:av_address -> jtag_uart_0:av_address
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect; // jtag_uart_0_avalon_jtag_slave_translator:av_chipselect -> jtag_uart_0:av_chipselect
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write; // jtag_uart_0_avalon_jtag_slave_translator:av_write -> jtag_uart_0:av_write_n
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read; // jtag_uart_0_avalon_jtag_slave_translator:av_read -> jtag_uart_0:av_read_n
wire [31:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata; // jtag_uart_0:av_readdata -> jtag_uart_0_avalon_jtag_slave_translator:av_readdata
wire character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_waitrequest; // character_lcd_0:waitrequest -> character_lcd_0_avalon_lcd_slave_translator:av_waitrequest
wire [7:0] character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_writedata; // character_lcd_0_avalon_lcd_slave_translator:av_writedata -> character_lcd_0:writedata
wire [0:0] character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_address; // character_lcd_0_avalon_lcd_slave_translator:av_address -> character_lcd_0:address
wire character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_chipselect; // character_lcd_0_avalon_lcd_slave_translator:av_chipselect -> character_lcd_0:chipselect
wire character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_write; // character_lcd_0_avalon_lcd_slave_translator:av_write -> character_lcd_0:write
wire character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_read; // character_lcd_0_avalon_lcd_slave_translator:av_read -> character_lcd_0:read
wire [7:0] character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_readdata; // character_lcd_0:readdata -> character_lcd_0_avalon_lcd_slave_translator:av_readdata
wire [31:0] green_leds_s1_translator_avalon_anti_slave_0_writedata; // green_leds_s1_translator:av_writedata -> green_leds:writedata
wire [1:0] green_leds_s1_translator_avalon_anti_slave_0_address; // green_leds_s1_translator:av_address -> green_leds:address
wire green_leds_s1_translator_avalon_anti_slave_0_chipselect; // green_leds_s1_translator:av_chipselect -> green_leds:chipselect
wire green_leds_s1_translator_avalon_anti_slave_0_write; // green_leds_s1_translator:av_write -> green_leds:write_n
wire [31:0] green_leds_s1_translator_avalon_anti_slave_0_readdata; // green_leds:readdata -> green_leds_s1_translator:av_readdata
wire [1:0] switch_s1_translator_avalon_anti_slave_0_address; // switch_s1_translator:av_address -> switch:address
wire [31:0] switch_s1_translator_avalon_anti_slave_0_readdata; // switch:readdata -> switch_s1_translator:av_readdata
wire [1:0] switch_0_s1_translator_avalon_anti_slave_0_address; // switch_0_s1_translator:av_address -> switch_0:address
wire [31:0] switch_0_s1_translator_avalon_anti_slave_0_readdata; // switch_0:readdata -> switch_0_s1_translator:av_readdata
wire [31:0] servo_pwm_0_s0_translator_avalon_anti_slave_0_writedata; // servo_pwm_0_s0_translator:av_writedata -> servo_pwm_0:avs_s0_writedata
wire servo_pwm_0_s0_translator_avalon_anti_slave_0_write; // servo_pwm_0_s0_translator:av_write -> servo_pwm_0:avs_s0_write_n
wire servo_pwm_0_s0_translator_avalon_anti_slave_0_read; // servo_pwm_0_s0_translator:av_read -> servo_pwm_0:avs_s0_read_n
wire [31:0] servo_pwm_0_s0_translator_avalon_anti_slave_0_readdata; // servo_pwm_0:avs_s0_readdata -> servo_pwm_0_s0_translator:av_readdata
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_waitrequest; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_qsys_0_instruction_master_translator:uav_waitrequest
wire [2:0] nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_burstcount; // nios2_qsys_0_instruction_master_translator:uav_burstcount -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_burstcount
wire [31:0] nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_writedata; // nios2_qsys_0_instruction_master_translator:uav_writedata -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_writedata
wire [24:0] nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_address; // nios2_qsys_0_instruction_master_translator:uav_address -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_address
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_lock; // nios2_qsys_0_instruction_master_translator:uav_lock -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_lock
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_write; // nios2_qsys_0_instruction_master_translator:uav_write -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_write
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_read; // nios2_qsys_0_instruction_master_translator:uav_read -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_read
wire [31:0] nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdata; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_qsys_0_instruction_master_translator:uav_readdata
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_debugaccess; // nios2_qsys_0_instruction_master_translator:uav_debugaccess -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_debugaccess
wire [3:0] nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_byteenable; // nios2_qsys_0_instruction_master_translator:uav_byteenable -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_byteenable
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdatavalid; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_qsys_0_instruction_master_translator:uav_readdatavalid
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_waitrequest; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_waitrequest -> nios2_qsys_0_data_master_translator:uav_waitrequest
wire [2:0] nios2_qsys_0_data_master_translator_avalon_universal_master_0_burstcount; // nios2_qsys_0_data_master_translator:uav_burstcount -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_burstcount
wire [31:0] nios2_qsys_0_data_master_translator_avalon_universal_master_0_writedata; // nios2_qsys_0_data_master_translator:uav_writedata -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_writedata
wire [24:0] nios2_qsys_0_data_master_translator_avalon_universal_master_0_address; // nios2_qsys_0_data_master_translator:uav_address -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_address
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_lock; // nios2_qsys_0_data_master_translator:uav_lock -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_lock
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_write; // nios2_qsys_0_data_master_translator:uav_write -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_write
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_read; // nios2_qsys_0_data_master_translator:uav_read -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_read
wire [31:0] nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdata; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_readdata -> nios2_qsys_0_data_master_translator:uav_readdata
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_debugaccess; // nios2_qsys_0_data_master_translator:uav_debugaccess -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_debugaccess
wire [3:0] nios2_qsys_0_data_master_translator_avalon_universal_master_0_byteenable; // nios2_qsys_0_data_master_translator:uav_byteenable -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_byteenable
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdatavalid; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> nios2_qsys_0_data_master_translator:uav_readdatavalid
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest; // nios2_qsys_0_jtag_debug_module_translator:uav_waitrequest -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_burstcount -> nios2_qsys_0_jtag_debug_module_translator:uav_burstcount
wire [31:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_writedata -> nios2_qsys_0_jtag_debug_module_translator:uav_writedata
wire [24:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_address -> nios2_qsys_0_jtag_debug_module_translator:uav_address
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_write -> nios2_qsys_0_jtag_debug_module_translator:uav_write
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_lock -> nios2_qsys_0_jtag_debug_module_translator:uav_lock
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_read -> nios2_qsys_0_jtag_debug_module_translator:uav_read
wire [31:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata; // nios2_qsys_0_jtag_debug_module_translator:uav_readdata -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdata
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // nios2_qsys_0_jtag_debug_module_translator:uav_readdatavalid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_debugaccess -> nios2_qsys_0_jtag_debug_module_translator:uav_debugaccess
wire [3:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:m0_byteenable -> nios2_qsys_0_jtag_debug_module_translator:uav_byteenable
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_source_ready
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_data
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rf_sink_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // onchip_memory2_0_s1_translator:uav_waitrequest -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> onchip_memory2_0_s1_translator:uav_burstcount
wire [31:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> onchip_memory2_0_s1_translator:uav_writedata
wire [24:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_address; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> onchip_memory2_0_s1_translator:uav_address
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_write; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> onchip_memory2_0_s1_translator:uav_write
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> onchip_memory2_0_s1_translator:uav_lock
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_read; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> onchip_memory2_0_s1_translator:uav_read
wire [31:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // onchip_memory2_0_s1_translator:uav_readdata -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // onchip_memory2_0_s1_translator:uav_readdatavalid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> onchip_memory2_0_s1_translator:uav_debugaccess
wire [3:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> onchip_memory2_0_s1_translator:uav_byteenable
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // sdram_0_s1_translator:uav_waitrequest -> sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [1:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> sdram_0_s1_translator:uav_burstcount
wire [15:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> sdram_0_s1_translator:uav_writedata
wire [24:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_address; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> sdram_0_s1_translator:uav_address
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_write; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> sdram_0_s1_translator:uav_write
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> sdram_0_s1_translator:uav_lock
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_read; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> sdram_0_s1_translator:uav_read
wire [15:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // sdram_0_s1_translator:uav_readdata -> sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // sdram_0_s1_translator:uav_readdatavalid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> sdram_0_s1_translator:uav_debugaccess
wire [1:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // sdram_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> sdram_0_s1_translator:uav_byteenable
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [82:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [82:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [15:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // sram_0_avalon_sram_slave_translator:uav_waitrequest -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [1:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> sram_0_avalon_sram_slave_translator:uav_burstcount
wire [15:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> sram_0_avalon_sram_slave_translator:uav_writedata
wire [24:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_address; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_address -> sram_0_avalon_sram_slave_translator:uav_address
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_write; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_write -> sram_0_avalon_sram_slave_translator:uav_write
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_lock; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_lock -> sram_0_avalon_sram_slave_translator:uav_lock
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_read; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_read -> sram_0_avalon_sram_slave_translator:uav_read
wire [15:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // sram_0_avalon_sram_slave_translator:uav_readdata -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_readdata
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // sram_0_avalon_sram_slave_translator:uav_readdatavalid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> sram_0_avalon_sram_slave_translator:uav_debugaccess
wire [1:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> sram_0_avalon_sram_slave_translator:uav_byteenable
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [82:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_source_ready
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [82:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_data
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [15:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // altpll_0_pll_slave_translator:uav_waitrequest -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> altpll_0_pll_slave_translator:uav_burstcount
wire [31:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> altpll_0_pll_slave_translator:uav_writedata
wire [24:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_address; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_address -> altpll_0_pll_slave_translator:uav_address
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_write; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_write -> altpll_0_pll_slave_translator:uav_write
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_lock; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_lock -> altpll_0_pll_slave_translator:uav_lock
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_read; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_read -> altpll_0_pll_slave_translator:uav_read
wire [31:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // altpll_0_pll_slave_translator:uav_readdata -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_readdata
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // altpll_0_pll_slave_translator:uav_readdatavalid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> altpll_0_pll_slave_translator:uav_debugaccess
wire [3:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> altpll_0_pll_slave_translator:uav_byteenable
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_source_ready
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_data
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:in_valid
wire [31:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:in_data
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:in_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_valid; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:out_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_data; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:out_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_ready; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:out_ready
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // sysid_qsys_0_control_slave_translator:uav_waitrequest -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> sysid_qsys_0_control_slave_translator:uav_burstcount
wire [31:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> sysid_qsys_0_control_slave_translator:uav_writedata
wire [24:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_address -> sysid_qsys_0_control_slave_translator:uav_address
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_write -> sysid_qsys_0_control_slave_translator:uav_write
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_lock -> sysid_qsys_0_control_slave_translator:uav_lock
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_read -> sysid_qsys_0_control_slave_translator:uav_read
wire [31:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // sysid_qsys_0_control_slave_translator:uav_readdata -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdata
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // sysid_qsys_0_control_slave_translator:uav_readdatavalid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> sysid_qsys_0_control_slave_translator:uav_debugaccess
wire [3:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> sysid_qsys_0_control_slave_translator:uav_byteenable
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_source_ready
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_data
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire timer_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // timer_0_s1_translator:uav_waitrequest -> timer_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] timer_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> timer_0_s1_translator:uav_burstcount
wire [31:0] timer_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> timer_0_s1_translator:uav_writedata
wire [24:0] timer_0_s1_translator_avalon_universal_slave_0_agent_m0_address; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> timer_0_s1_translator:uav_address
wire timer_0_s1_translator_avalon_universal_slave_0_agent_m0_write; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> timer_0_s1_translator:uav_write
wire timer_0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> timer_0_s1_translator:uav_lock
wire timer_0_s1_translator_avalon_universal_slave_0_agent_m0_read; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> timer_0_s1_translator:uav_read
wire [31:0] timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // timer_0_s1_translator:uav_readdata -> timer_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata
wire timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // timer_0_s1_translator:uav_readdatavalid -> timer_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire timer_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> timer_0_s1_translator:uav_debugaccess
wire [3:0] timer_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // timer_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> timer_0_s1_translator:uav_byteenable
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // timer_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // jtag_uart_0_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> jtag_uart_0_avalon_jtag_slave_translator:uav_burstcount
wire [31:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> jtag_uart_0_avalon_jtag_slave_translator:uav_writedata
wire [24:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_address -> jtag_uart_0_avalon_jtag_slave_translator:uav_address
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_write -> jtag_uart_0_avalon_jtag_slave_translator:uav_write
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_lock -> jtag_uart_0_avalon_jtag_slave_translator:uav_lock
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_read -> jtag_uart_0_avalon_jtag_slave_translator:uav_read
wire [31:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // jtag_uart_0_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdata
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // jtag_uart_0_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> jtag_uart_0_avalon_jtag_slave_translator:uav_debugaccess
wire [3:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> jtag_uart_0_avalon_jtag_slave_translator:uav_byteenable
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_source_ready
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_data
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest; // character_lcd_0_avalon_lcd_slave_translator:uav_waitrequest -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_burstcount; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> character_lcd_0_avalon_lcd_slave_translator:uav_burstcount
wire [7:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_writedata; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> character_lcd_0_avalon_lcd_slave_translator:uav_writedata
wire [24:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_address; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_address -> character_lcd_0_avalon_lcd_slave_translator:uav_address
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_write; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_write -> character_lcd_0_avalon_lcd_slave_translator:uav_write
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_lock; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_lock -> character_lcd_0_avalon_lcd_slave_translator:uav_lock
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_read; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_read -> character_lcd_0_avalon_lcd_slave_translator:uav_read
wire [7:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdata; // character_lcd_0_avalon_lcd_slave_translator:uav_readdata -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_readdata
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // character_lcd_0_avalon_lcd_slave_translator:uav_readdatavalid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> character_lcd_0_avalon_lcd_slave_translator:uav_debugaccess
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_byteenable; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> character_lcd_0_avalon_lcd_slave_translator:uav_byteenable
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_valid; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [73:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_data; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_ready; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_source_ready
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [73:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_data
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [7:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire green_leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // green_leds_s1_translator:uav_waitrequest -> green_leds_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] green_leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> green_leds_s1_translator:uav_burstcount
wire [31:0] green_leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> green_leds_s1_translator:uav_writedata
wire [24:0] green_leds_s1_translator_avalon_universal_slave_0_agent_m0_address; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_address -> green_leds_s1_translator:uav_address
wire green_leds_s1_translator_avalon_universal_slave_0_agent_m0_write; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_write -> green_leds_s1_translator:uav_write
wire green_leds_s1_translator_avalon_universal_slave_0_agent_m0_lock; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_lock -> green_leds_s1_translator:uav_lock
wire green_leds_s1_translator_avalon_universal_slave_0_agent_m0_read; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_read -> green_leds_s1_translator:uav_read
wire [31:0] green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // green_leds_s1_translator:uav_readdata -> green_leds_s1_translator_avalon_universal_slave_0_agent:m0_readdata
wire green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // green_leds_s1_translator:uav_readdatavalid -> green_leds_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire green_leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> green_leds_s1_translator:uav_debugaccess
wire [3:0] green_leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // green_leds_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> green_leds_s1_translator:uav_byteenable
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_source_ready
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_data
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // green_leds_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire switch_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // switch_s1_translator:uav_waitrequest -> switch_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] switch_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // switch_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switch_s1_translator:uav_burstcount
wire [31:0] switch_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // switch_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switch_s1_translator:uav_writedata
wire [24:0] switch_s1_translator_avalon_universal_slave_0_agent_m0_address; // switch_s1_translator_avalon_universal_slave_0_agent:m0_address -> switch_s1_translator:uav_address
wire switch_s1_translator_avalon_universal_slave_0_agent_m0_write; // switch_s1_translator_avalon_universal_slave_0_agent:m0_write -> switch_s1_translator:uav_write
wire switch_s1_translator_avalon_universal_slave_0_agent_m0_lock; // switch_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switch_s1_translator:uav_lock
wire switch_s1_translator_avalon_universal_slave_0_agent_m0_read; // switch_s1_translator_avalon_universal_slave_0_agent:m0_read -> switch_s1_translator:uav_read
wire [31:0] switch_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // switch_s1_translator:uav_readdata -> switch_s1_translator_avalon_universal_slave_0_agent:m0_readdata
wire switch_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // switch_s1_translator:uav_readdatavalid -> switch_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire switch_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // switch_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switch_s1_translator:uav_debugaccess
wire [3:0] switch_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // switch_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switch_s1_translator:uav_byteenable
wire switch_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // switch_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire switch_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // switch_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire switch_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // switch_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] switch_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // switch_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire switch_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switch_s1_translator_avalon_universal_slave_0_agent:rf_source_ready
wire switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_data
wire switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // switch_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switch_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire switch_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest; // switch_0_s1_translator:uav_waitrequest -> switch_0_s1_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] switch_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_burstcount -> switch_0_s1_translator:uav_burstcount
wire [31:0] switch_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_writedata -> switch_0_s1_translator:uav_writedata
wire [24:0] switch_0_s1_translator_avalon_universal_slave_0_agent_m0_address; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_address -> switch_0_s1_translator:uav_address
wire switch_0_s1_translator_avalon_universal_slave_0_agent_m0_write; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_write -> switch_0_s1_translator:uav_write
wire switch_0_s1_translator_avalon_universal_slave_0_agent_m0_lock; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_lock -> switch_0_s1_translator:uav_lock
wire switch_0_s1_translator_avalon_universal_slave_0_agent_m0_read; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_read -> switch_0_s1_translator:uav_read
wire [31:0] switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata; // switch_0_s1_translator:uav_readdata -> switch_0_s1_translator_avalon_universal_slave_0_agent:m0_readdata
wire switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // switch_0_s1_translator:uav_readdatavalid -> switch_0_s1_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire switch_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_debugaccess -> switch_0_s1_translator:uav_debugaccess
wire [3:0] switch_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable; // switch_0_s1_translator_avalon_universal_slave_0_agent:m0_byteenable -> switch_0_s1_translator:uav_byteenable
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid; // switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data; // switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_data -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready; // switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_source_ready
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_data
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // switch_0_s1_translator_avalon_universal_slave_0_agent:rf_sink_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_waitrequest; // servo_pwm_0_s0_translator:uav_waitrequest -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_waitrequest
wire [2:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_burstcount; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_burstcount -> servo_pwm_0_s0_translator:uav_burstcount
wire [31:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_writedata; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_writedata -> servo_pwm_0_s0_translator:uav_writedata
wire [24:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_address; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_address -> servo_pwm_0_s0_translator:uav_address
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_write; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_write -> servo_pwm_0_s0_translator:uav_write
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_lock; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_lock -> servo_pwm_0_s0_translator:uav_lock
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_read; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_read -> servo_pwm_0_s0_translator:uav_read
wire [31:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdata; // servo_pwm_0_s0_translator:uav_readdata -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_readdata
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdatavalid; // servo_pwm_0_s0_translator:uav_readdatavalid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_readdatavalid
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_debugaccess; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> servo_pwm_0_s0_translator:uav_debugaccess
wire [3:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_byteenable; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:m0_byteenable -> servo_pwm_0_s0_translator:uav_byteenable
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_valid; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket
wire [100:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_data; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_ready; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_source_ready
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_valid
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket
wire [100:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_data
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid
wire [31:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router:sink_endofpacket
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router:sink_valid
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router:sink_startofpacket
wire [99:0] nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router:sink_data
wire nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router:sink_ready -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:cp_ready
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router_001:sink_endofpacket
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_valid; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router_001:sink_valid
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router_001:sink_startofpacket
wire [99:0] nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_data; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router_001:sink_data
wire nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_ready; // addr_router_001:sink_ready -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:cp_ready
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router:sink_endofpacket
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_valid -> id_router:sink_valid
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router:sink_startofpacket
wire [99:0] nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_data -> id_router:sink_data
wire nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready; // id_router:sink_ready -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:rp_ready
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_001:sink_endofpacket
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_001:sink_valid
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_001:sink_startofpacket
wire [99:0] onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_data; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_001:sink_data
wire onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_001:sink_ready -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:rp_ready
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_002:sink_endofpacket
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_002:sink_valid
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_002:sink_startofpacket
wire [81:0] sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_data; // sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_002:sink_data
wire sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_002:sink_ready -> sdram_0_s1_translator_avalon_universal_slave_0_agent:rp_ready
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_003:sink_endofpacket
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_valid; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_003:sink_valid
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_003:sink_startofpacket
wire [81:0] sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_data; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_003:sink_data
wire sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_003:sink_ready -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:rp_ready
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_004:sink_endofpacket
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_valid; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_004:sink_valid
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_004:sink_startofpacket
wire [99:0] altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_data; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_004:sink_data
wire altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_004:sink_ready -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:rp_ready
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_005:sink_endofpacket
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_005:sink_valid
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_005:sink_startofpacket
wire [99:0] sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_005:sink_data
wire sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_005:sink_ready -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:rp_ready
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // timer_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_006:sink_endofpacket
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // timer_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_006:sink_valid
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // timer_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_006:sink_startofpacket
wire [99:0] timer_0_s1_translator_avalon_universal_slave_0_agent_rp_data; // timer_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_006:sink_data
wire timer_0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_006:sink_ready -> timer_0_s1_translator_avalon_universal_slave_0_agent:rp_ready
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_007:sink_endofpacket
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_007:sink_valid
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_007:sink_startofpacket
wire [99:0] jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_007:sink_data
wire jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_007:sink_ready -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:rp_ready
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_008:sink_endofpacket
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_valid; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_008:sink_valid
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_008:sink_startofpacket
wire [72:0] character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_data; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_008:sink_data
wire character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_008:sink_ready -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:rp_ready
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // green_leds_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_009:sink_endofpacket
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rp_valid; // green_leds_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_009:sink_valid
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // green_leds_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_009:sink_startofpacket
wire [99:0] green_leds_s1_translator_avalon_universal_slave_0_agent_rp_data; // green_leds_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_009:sink_data
wire green_leds_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_009:sink_ready -> green_leds_s1_translator_avalon_universal_slave_0_agent:rp_ready
wire switch_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // switch_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_010:sink_endofpacket
wire switch_s1_translator_avalon_universal_slave_0_agent_rp_valid; // switch_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_010:sink_valid
wire switch_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // switch_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_010:sink_startofpacket
wire [99:0] switch_s1_translator_avalon_universal_slave_0_agent_rp_data; // switch_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_010:sink_data
wire switch_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_010:sink_ready -> switch_s1_translator_avalon_universal_slave_0_agent:rp_ready
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket; // switch_0_s1_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_011:sink_endofpacket
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rp_valid; // switch_0_s1_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_011:sink_valid
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket; // switch_0_s1_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_011:sink_startofpacket
wire [99:0] switch_0_s1_translator_avalon_universal_slave_0_agent_rp_data; // switch_0_s1_translator_avalon_universal_slave_0_agent:rp_data -> id_router_011:sink_data
wire switch_0_s1_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_011:sink_ready -> switch_0_s1_translator_avalon_universal_slave_0_agent:rp_ready
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_endofpacket; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_012:sink_endofpacket
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_valid; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_012:sink_valid
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_startofpacket; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_012:sink_startofpacket
wire [99:0] servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_data; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_012:sink_data
wire servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_ready; // id_router_012:sink_ready -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:rp_ready
wire addr_router_src_endofpacket; // addr_router:src_endofpacket -> limiter:cmd_sink_endofpacket
wire addr_router_src_valid; // addr_router:src_valid -> limiter:cmd_sink_valid
wire addr_router_src_startofpacket; // addr_router:src_startofpacket -> limiter:cmd_sink_startofpacket
wire [99:0] addr_router_src_data; // addr_router:src_data -> limiter:cmd_sink_data
wire [12:0] addr_router_src_channel; // addr_router:src_channel -> limiter:cmd_sink_channel
wire addr_router_src_ready; // limiter:cmd_sink_ready -> addr_router:src_ready
wire limiter_rsp_src_endofpacket; // limiter:rsp_src_endofpacket -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_endofpacket
wire limiter_rsp_src_valid; // limiter:rsp_src_valid -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_valid
wire limiter_rsp_src_startofpacket; // limiter:rsp_src_startofpacket -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_startofpacket
wire [99:0] limiter_rsp_src_data; // limiter:rsp_src_data -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_data
wire [12:0] limiter_rsp_src_channel; // limiter:rsp_src_channel -> nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_channel
wire limiter_rsp_src_ready; // nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:rp_ready -> limiter:rsp_src_ready
wire addr_router_001_src_endofpacket; // addr_router_001:src_endofpacket -> limiter_001:cmd_sink_endofpacket
wire addr_router_001_src_valid; // addr_router_001:src_valid -> limiter_001:cmd_sink_valid
wire addr_router_001_src_startofpacket; // addr_router_001:src_startofpacket -> limiter_001:cmd_sink_startofpacket
wire [99:0] addr_router_001_src_data; // addr_router_001:src_data -> limiter_001:cmd_sink_data
wire [12:0] addr_router_001_src_channel; // addr_router_001:src_channel -> limiter_001:cmd_sink_channel
wire addr_router_001_src_ready; // limiter_001:cmd_sink_ready -> addr_router_001:src_ready
wire limiter_001_rsp_src_endofpacket; // limiter_001:rsp_src_endofpacket -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_endofpacket
wire limiter_001_rsp_src_valid; // limiter_001:rsp_src_valid -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_valid
wire limiter_001_rsp_src_startofpacket; // limiter_001:rsp_src_startofpacket -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_startofpacket
wire [99:0] limiter_001_rsp_src_data; // limiter_001:rsp_src_data -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_data
wire [12:0] limiter_001_rsp_src_channel; // limiter_001:rsp_src_channel -> nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_channel
wire limiter_001_rsp_src_ready; // nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:rp_ready -> limiter_001:rsp_src_ready
wire burst_adapter_source0_endofpacket; // burst_adapter:source0_endofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire burst_adapter_source0_valid; // burst_adapter:source0_valid -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_valid
wire burst_adapter_source0_startofpacket; // burst_adapter:source0_startofpacket -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [81:0] burst_adapter_source0_data; // burst_adapter:source0_data -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_data
wire burst_adapter_source0_ready; // sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter:source0_ready
wire [12:0] burst_adapter_source0_channel; // burst_adapter:source0_channel -> sdram_0_s1_translator_avalon_universal_slave_0_agent:cp_channel
wire burst_adapter_001_source0_endofpacket; // burst_adapter_001:source0_endofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire burst_adapter_001_source0_valid; // burst_adapter_001:source0_valid -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_valid
wire burst_adapter_001_source0_startofpacket; // burst_adapter_001:source0_startofpacket -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [81:0] burst_adapter_001_source0_data; // burst_adapter_001:source0_data -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_data
wire burst_adapter_001_source0_ready; // sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter_001:source0_ready
wire [12:0] burst_adapter_001_source0_channel; // burst_adapter_001:source0_channel -> sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:cp_channel
wire burst_adapter_002_source0_endofpacket; // burst_adapter_002:source0_endofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire burst_adapter_002_source0_valid; // burst_adapter_002:source0_valid -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_valid
wire burst_adapter_002_source0_startofpacket; // burst_adapter_002:source0_startofpacket -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [72:0] burst_adapter_002_source0_data; // burst_adapter_002:source0_data -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_data
wire burst_adapter_002_source0_ready; // character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_ready -> burst_adapter_002:source0_ready
wire [12:0] burst_adapter_002_source0_channel; // burst_adapter_002:source0_channel -> character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:cp_channel
wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [addr_router:reset, addr_router_001:reset, burst_adapter:reset, burst_adapter_001:reset, burst_adapter_002:reset, character_lcd_0:reset, character_lcd_0_avalon_lcd_slave_translator:reset, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent:reset, character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, cmd_xbar_demux:reset, cmd_xbar_demux_001:reset, cmd_xbar_mux:reset, cmd_xbar_mux_001:reset, cmd_xbar_mux_002:reset, cmd_xbar_mux_003:reset, crosser:in_reset, crosser_001:out_reset, green_leds:reset_n, green_leds_s1_translator:reset, green_leds_s1_translator_avalon_universal_slave_0_agent:reset, green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, id_router:reset, id_router_001:reset, id_router_002:reset, id_router_003:reset, id_router_005:reset, id_router_006:reset, id_router_007:reset, id_router_008:reset, id_router_009:reset, id_router_010:reset, id_router_011:reset, id_router_012:reset, irq_mapper:reset, jtag_uart_0:rst_n, jtag_uart_0_avalon_jtag_slave_translator:reset, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:reset, jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, limiter:reset, limiter_001:reset, nios2_qsys_0:reset_n, nios2_qsys_0_data_master_translator:reset, nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent:reset, nios2_qsys_0_instruction_master_translator:reset, nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent:reset, nios2_qsys_0_jtag_debug_module_translator:reset, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:reset, nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, onchip_memory2_0:reset, onchip_memory2_0_s1_translator:reset, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:reset, onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, rsp_xbar_demux:reset, rsp_xbar_demux_001:reset, rsp_xbar_demux_002:reset, rsp_xbar_demux_003:reset, rsp_xbar_demux_005:reset, rsp_xbar_demux_006:reset, rsp_xbar_demux_007:reset, rsp_xbar_demux_008:reset, rsp_xbar_demux_009:reset, rsp_xbar_demux_010:reset, rsp_xbar_demux_011:reset, rsp_xbar_demux_012:reset, rsp_xbar_mux:reset, rsp_xbar_mux_001:reset, sdram_0:reset_n, sdram_0_s1_translator:reset, sdram_0_s1_translator_avalon_universal_slave_0_agent:reset, sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, servo_pwm_0:reset_n, servo_pwm_0_s0_translator:reset, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:reset, servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, sram_0:reset, sram_0_avalon_sram_slave_translator:reset, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent:reset, sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, switch:reset_n, switch_0:reset_n, switch_0_s1_translator:reset, switch_0_s1_translator_avalon_universal_slave_0_agent:reset, switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, switch_s1_translator:reset, switch_s1_translator_avalon_universal_slave_0_agent:reset, switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, sysid_qsys_0:reset_n, sysid_qsys_0_control_slave_translator:reset, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:reset, sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, timer_0:reset_n, timer_0_s1_translator:reset, timer_0_s1_translator_avalon_universal_slave_0_agent:reset, timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, width_adapter:reset, width_adapter_001:reset, width_adapter_002:reset, width_adapter_003:reset, width_adapter_004:reset, width_adapter_005:reset]
wire nios2_qsys_0_jtag_debug_module_reset_reset; // nios2_qsys_0:jtag_debug_module_resetrequest -> [rst_controller:reset_in1, rst_controller_001:reset_in1]
wire rst_controller_001_reset_out_reset; // rst_controller_001:reset_out -> [altpll_0:reset, altpll_0_pll_slave_translator:reset, altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:reset, altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo:reset, altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, crosser:out_reset, crosser_001:in_reset, id_router_004:reset, rsp_xbar_demux_004:reset]
wire cmd_xbar_demux_src0_endofpacket; // cmd_xbar_demux:src0_endofpacket -> cmd_xbar_mux:sink0_endofpacket
wire cmd_xbar_demux_src0_valid; // cmd_xbar_demux:src0_valid -> cmd_xbar_mux:sink0_valid
wire cmd_xbar_demux_src0_startofpacket; // cmd_xbar_demux:src0_startofpacket -> cmd_xbar_mux:sink0_startofpacket
wire [99:0] cmd_xbar_demux_src0_data; // cmd_xbar_demux:src0_data -> cmd_xbar_mux:sink0_data
wire [12:0] cmd_xbar_demux_src0_channel; // cmd_xbar_demux:src0_channel -> cmd_xbar_mux:sink0_channel
wire cmd_xbar_demux_src0_ready; // cmd_xbar_mux:sink0_ready -> cmd_xbar_demux:src0_ready
wire cmd_xbar_demux_src1_endofpacket; // cmd_xbar_demux:src1_endofpacket -> cmd_xbar_mux_001:sink0_endofpacket
wire cmd_xbar_demux_src1_valid; // cmd_xbar_demux:src1_valid -> cmd_xbar_mux_001:sink0_valid
wire cmd_xbar_demux_src1_startofpacket; // cmd_xbar_demux:src1_startofpacket -> cmd_xbar_mux_001:sink0_startofpacket
wire [99:0] cmd_xbar_demux_src1_data; // cmd_xbar_demux:src1_data -> cmd_xbar_mux_001:sink0_data
wire [12:0] cmd_xbar_demux_src1_channel; // cmd_xbar_demux:src1_channel -> cmd_xbar_mux_001:sink0_channel
wire cmd_xbar_demux_src1_ready; // cmd_xbar_mux_001:sink0_ready -> cmd_xbar_demux:src1_ready
wire cmd_xbar_demux_src2_endofpacket; // cmd_xbar_demux:src2_endofpacket -> cmd_xbar_mux_002:sink0_endofpacket
wire cmd_xbar_demux_src2_valid; // cmd_xbar_demux:src2_valid -> cmd_xbar_mux_002:sink0_valid
wire cmd_xbar_demux_src2_startofpacket; // cmd_xbar_demux:src2_startofpacket -> cmd_xbar_mux_002:sink0_startofpacket
wire [99:0] cmd_xbar_demux_src2_data; // cmd_xbar_demux:src2_data -> cmd_xbar_mux_002:sink0_data
wire [12:0] cmd_xbar_demux_src2_channel; // cmd_xbar_demux:src2_channel -> cmd_xbar_mux_002:sink0_channel
wire cmd_xbar_demux_src2_ready; // cmd_xbar_mux_002:sink0_ready -> cmd_xbar_demux:src2_ready
wire cmd_xbar_demux_src3_endofpacket; // cmd_xbar_demux:src3_endofpacket -> cmd_xbar_mux_003:sink0_endofpacket
wire cmd_xbar_demux_src3_valid; // cmd_xbar_demux:src3_valid -> cmd_xbar_mux_003:sink0_valid
wire cmd_xbar_demux_src3_startofpacket; // cmd_xbar_demux:src3_startofpacket -> cmd_xbar_mux_003:sink0_startofpacket
wire [99:0] cmd_xbar_demux_src3_data; // cmd_xbar_demux:src3_data -> cmd_xbar_mux_003:sink0_data
wire [12:0] cmd_xbar_demux_src3_channel; // cmd_xbar_demux:src3_channel -> cmd_xbar_mux_003:sink0_channel
wire cmd_xbar_demux_src3_ready; // cmd_xbar_mux_003:sink0_ready -> cmd_xbar_demux:src3_ready
wire cmd_xbar_demux_001_src0_endofpacket; // cmd_xbar_demux_001:src0_endofpacket -> cmd_xbar_mux:sink1_endofpacket
wire cmd_xbar_demux_001_src0_valid; // cmd_xbar_demux_001:src0_valid -> cmd_xbar_mux:sink1_valid
wire cmd_xbar_demux_001_src0_startofpacket; // cmd_xbar_demux_001:src0_startofpacket -> cmd_xbar_mux:sink1_startofpacket
wire [99:0] cmd_xbar_demux_001_src0_data; // cmd_xbar_demux_001:src0_data -> cmd_xbar_mux:sink1_data
wire [12:0] cmd_xbar_demux_001_src0_channel; // cmd_xbar_demux_001:src0_channel -> cmd_xbar_mux:sink1_channel
wire cmd_xbar_demux_001_src0_ready; // cmd_xbar_mux:sink1_ready -> cmd_xbar_demux_001:src0_ready
wire cmd_xbar_demux_001_src1_endofpacket; // cmd_xbar_demux_001:src1_endofpacket -> cmd_xbar_mux_001:sink1_endofpacket
wire cmd_xbar_demux_001_src1_valid; // cmd_xbar_demux_001:src1_valid -> cmd_xbar_mux_001:sink1_valid
wire cmd_xbar_demux_001_src1_startofpacket; // cmd_xbar_demux_001:src1_startofpacket -> cmd_xbar_mux_001:sink1_startofpacket
wire [99:0] cmd_xbar_demux_001_src1_data; // cmd_xbar_demux_001:src1_data -> cmd_xbar_mux_001:sink1_data
wire [12:0] cmd_xbar_demux_001_src1_channel; // cmd_xbar_demux_001:src1_channel -> cmd_xbar_mux_001:sink1_channel
wire cmd_xbar_demux_001_src1_ready; // cmd_xbar_mux_001:sink1_ready -> cmd_xbar_demux_001:src1_ready
wire cmd_xbar_demux_001_src2_endofpacket; // cmd_xbar_demux_001:src2_endofpacket -> cmd_xbar_mux_002:sink1_endofpacket
wire cmd_xbar_demux_001_src2_valid; // cmd_xbar_demux_001:src2_valid -> cmd_xbar_mux_002:sink1_valid
wire cmd_xbar_demux_001_src2_startofpacket; // cmd_xbar_demux_001:src2_startofpacket -> cmd_xbar_mux_002:sink1_startofpacket
wire [99:0] cmd_xbar_demux_001_src2_data; // cmd_xbar_demux_001:src2_data -> cmd_xbar_mux_002:sink1_data
wire [12:0] cmd_xbar_demux_001_src2_channel; // cmd_xbar_demux_001:src2_channel -> cmd_xbar_mux_002:sink1_channel
wire cmd_xbar_demux_001_src2_ready; // cmd_xbar_mux_002:sink1_ready -> cmd_xbar_demux_001:src2_ready
wire cmd_xbar_demux_001_src3_endofpacket; // cmd_xbar_demux_001:src3_endofpacket -> cmd_xbar_mux_003:sink1_endofpacket
wire cmd_xbar_demux_001_src3_valid; // cmd_xbar_demux_001:src3_valid -> cmd_xbar_mux_003:sink1_valid
wire cmd_xbar_demux_001_src3_startofpacket; // cmd_xbar_demux_001:src3_startofpacket -> cmd_xbar_mux_003:sink1_startofpacket
wire [99:0] cmd_xbar_demux_001_src3_data; // cmd_xbar_demux_001:src3_data -> cmd_xbar_mux_003:sink1_data
wire [12:0] cmd_xbar_demux_001_src3_channel; // cmd_xbar_demux_001:src3_channel -> cmd_xbar_mux_003:sink1_channel
wire cmd_xbar_demux_001_src3_ready; // cmd_xbar_mux_003:sink1_ready -> cmd_xbar_demux_001:src3_ready
wire cmd_xbar_demux_001_src5_endofpacket; // cmd_xbar_demux_001:src5_endofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src5_valid; // cmd_xbar_demux_001:src5_valid -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src5_startofpacket; // cmd_xbar_demux_001:src5_startofpacket -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src5_data; // cmd_xbar_demux_001:src5_data -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src5_channel; // cmd_xbar_demux_001:src5_channel -> sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src6_endofpacket; // cmd_xbar_demux_001:src6_endofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src6_valid; // cmd_xbar_demux_001:src6_valid -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src6_startofpacket; // cmd_xbar_demux_001:src6_startofpacket -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src6_data; // cmd_xbar_demux_001:src6_data -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src6_channel; // cmd_xbar_demux_001:src6_channel -> timer_0_s1_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src7_endofpacket; // cmd_xbar_demux_001:src7_endofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src7_valid; // cmd_xbar_demux_001:src7_valid -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src7_startofpacket; // cmd_xbar_demux_001:src7_startofpacket -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src7_data; // cmd_xbar_demux_001:src7_data -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src7_channel; // cmd_xbar_demux_001:src7_channel -> jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src8_endofpacket; // cmd_xbar_demux_001:src8_endofpacket -> width_adapter_004:in_endofpacket
wire cmd_xbar_demux_001_src8_valid; // cmd_xbar_demux_001:src8_valid -> width_adapter_004:in_valid
wire cmd_xbar_demux_001_src8_startofpacket; // cmd_xbar_demux_001:src8_startofpacket -> width_adapter_004:in_startofpacket
wire [99:0] cmd_xbar_demux_001_src8_data; // cmd_xbar_demux_001:src8_data -> width_adapter_004:in_data
wire [12:0] cmd_xbar_demux_001_src8_channel; // cmd_xbar_demux_001:src8_channel -> width_adapter_004:in_channel
wire cmd_xbar_demux_001_src9_endofpacket; // cmd_xbar_demux_001:src9_endofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src9_valid; // cmd_xbar_demux_001:src9_valid -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src9_startofpacket; // cmd_xbar_demux_001:src9_startofpacket -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src9_data; // cmd_xbar_demux_001:src9_data -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src9_channel; // cmd_xbar_demux_001:src9_channel -> green_leds_s1_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src10_endofpacket; // cmd_xbar_demux_001:src10_endofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src10_valid; // cmd_xbar_demux_001:src10_valid -> switch_s1_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src10_startofpacket; // cmd_xbar_demux_001:src10_startofpacket -> switch_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src10_data; // cmd_xbar_demux_001:src10_data -> switch_s1_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src10_channel; // cmd_xbar_demux_001:src10_channel -> switch_s1_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src11_endofpacket; // cmd_xbar_demux_001:src11_endofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src11_valid; // cmd_xbar_demux_001:src11_valid -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src11_startofpacket; // cmd_xbar_demux_001:src11_startofpacket -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src11_data; // cmd_xbar_demux_001:src11_data -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src11_channel; // cmd_xbar_demux_001:src11_channel -> switch_0_s1_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src12_endofpacket; // cmd_xbar_demux_001:src12_endofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_demux_001_src12_valid; // cmd_xbar_demux_001:src12_valid -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_demux_001_src12_startofpacket; // cmd_xbar_demux_001:src12_startofpacket -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_demux_001_src12_data; // cmd_xbar_demux_001:src12_data -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_demux_001_src12_channel; // cmd_xbar_demux_001:src12_channel -> servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_channel
wire rsp_xbar_demux_src0_endofpacket; // rsp_xbar_demux:src0_endofpacket -> rsp_xbar_mux:sink0_endofpacket
wire rsp_xbar_demux_src0_valid; // rsp_xbar_demux:src0_valid -> rsp_xbar_mux:sink0_valid
wire rsp_xbar_demux_src0_startofpacket; // rsp_xbar_demux:src0_startofpacket -> rsp_xbar_mux:sink0_startofpacket
wire [99:0] rsp_xbar_demux_src0_data; // rsp_xbar_demux:src0_data -> rsp_xbar_mux:sink0_data
wire [12:0] rsp_xbar_demux_src0_channel; // rsp_xbar_demux:src0_channel -> rsp_xbar_mux:sink0_channel
wire rsp_xbar_demux_src0_ready; // rsp_xbar_mux:sink0_ready -> rsp_xbar_demux:src0_ready
wire rsp_xbar_demux_src1_endofpacket; // rsp_xbar_demux:src1_endofpacket -> rsp_xbar_mux_001:sink0_endofpacket
wire rsp_xbar_demux_src1_valid; // rsp_xbar_demux:src1_valid -> rsp_xbar_mux_001:sink0_valid
wire rsp_xbar_demux_src1_startofpacket; // rsp_xbar_demux:src1_startofpacket -> rsp_xbar_mux_001:sink0_startofpacket
wire [99:0] rsp_xbar_demux_src1_data; // rsp_xbar_demux:src1_data -> rsp_xbar_mux_001:sink0_data
wire [12:0] rsp_xbar_demux_src1_channel; // rsp_xbar_demux:src1_channel -> rsp_xbar_mux_001:sink0_channel
wire rsp_xbar_demux_src1_ready; // rsp_xbar_mux_001:sink0_ready -> rsp_xbar_demux:src1_ready
wire rsp_xbar_demux_001_src0_endofpacket; // rsp_xbar_demux_001:src0_endofpacket -> rsp_xbar_mux:sink1_endofpacket
wire rsp_xbar_demux_001_src0_valid; // rsp_xbar_demux_001:src0_valid -> rsp_xbar_mux:sink1_valid
wire rsp_xbar_demux_001_src0_startofpacket; // rsp_xbar_demux_001:src0_startofpacket -> rsp_xbar_mux:sink1_startofpacket
wire [99:0] rsp_xbar_demux_001_src0_data; // rsp_xbar_demux_001:src0_data -> rsp_xbar_mux:sink1_data
wire [12:0] rsp_xbar_demux_001_src0_channel; // rsp_xbar_demux_001:src0_channel -> rsp_xbar_mux:sink1_channel
wire rsp_xbar_demux_001_src0_ready; // rsp_xbar_mux:sink1_ready -> rsp_xbar_demux_001:src0_ready
wire rsp_xbar_demux_001_src1_endofpacket; // rsp_xbar_demux_001:src1_endofpacket -> rsp_xbar_mux_001:sink1_endofpacket
wire rsp_xbar_demux_001_src1_valid; // rsp_xbar_demux_001:src1_valid -> rsp_xbar_mux_001:sink1_valid
wire rsp_xbar_demux_001_src1_startofpacket; // rsp_xbar_demux_001:src1_startofpacket -> rsp_xbar_mux_001:sink1_startofpacket
wire [99:0] rsp_xbar_demux_001_src1_data; // rsp_xbar_demux_001:src1_data -> rsp_xbar_mux_001:sink1_data
wire [12:0] rsp_xbar_demux_001_src1_channel; // rsp_xbar_demux_001:src1_channel -> rsp_xbar_mux_001:sink1_channel
wire rsp_xbar_demux_001_src1_ready; // rsp_xbar_mux_001:sink1_ready -> rsp_xbar_demux_001:src1_ready
wire rsp_xbar_demux_002_src0_endofpacket; // rsp_xbar_demux_002:src0_endofpacket -> rsp_xbar_mux:sink2_endofpacket
wire rsp_xbar_demux_002_src0_valid; // rsp_xbar_demux_002:src0_valid -> rsp_xbar_mux:sink2_valid
wire rsp_xbar_demux_002_src0_startofpacket; // rsp_xbar_demux_002:src0_startofpacket -> rsp_xbar_mux:sink2_startofpacket
wire [99:0] rsp_xbar_demux_002_src0_data; // rsp_xbar_demux_002:src0_data -> rsp_xbar_mux:sink2_data
wire [12:0] rsp_xbar_demux_002_src0_channel; // rsp_xbar_demux_002:src0_channel -> rsp_xbar_mux:sink2_channel
wire rsp_xbar_demux_002_src0_ready; // rsp_xbar_mux:sink2_ready -> rsp_xbar_demux_002:src0_ready
wire rsp_xbar_demux_002_src1_endofpacket; // rsp_xbar_demux_002:src1_endofpacket -> rsp_xbar_mux_001:sink2_endofpacket
wire rsp_xbar_demux_002_src1_valid; // rsp_xbar_demux_002:src1_valid -> rsp_xbar_mux_001:sink2_valid
wire rsp_xbar_demux_002_src1_startofpacket; // rsp_xbar_demux_002:src1_startofpacket -> rsp_xbar_mux_001:sink2_startofpacket
wire [99:0] rsp_xbar_demux_002_src1_data; // rsp_xbar_demux_002:src1_data -> rsp_xbar_mux_001:sink2_data
wire [12:0] rsp_xbar_demux_002_src1_channel; // rsp_xbar_demux_002:src1_channel -> rsp_xbar_mux_001:sink2_channel
wire rsp_xbar_demux_002_src1_ready; // rsp_xbar_mux_001:sink2_ready -> rsp_xbar_demux_002:src1_ready
wire rsp_xbar_demux_003_src0_endofpacket; // rsp_xbar_demux_003:src0_endofpacket -> rsp_xbar_mux:sink3_endofpacket
wire rsp_xbar_demux_003_src0_valid; // rsp_xbar_demux_003:src0_valid -> rsp_xbar_mux:sink3_valid
wire rsp_xbar_demux_003_src0_startofpacket; // rsp_xbar_demux_003:src0_startofpacket -> rsp_xbar_mux:sink3_startofpacket
wire [99:0] rsp_xbar_demux_003_src0_data; // rsp_xbar_demux_003:src0_data -> rsp_xbar_mux:sink3_data
wire [12:0] rsp_xbar_demux_003_src0_channel; // rsp_xbar_demux_003:src0_channel -> rsp_xbar_mux:sink3_channel
wire rsp_xbar_demux_003_src0_ready; // rsp_xbar_mux:sink3_ready -> rsp_xbar_demux_003:src0_ready
wire rsp_xbar_demux_003_src1_endofpacket; // rsp_xbar_demux_003:src1_endofpacket -> rsp_xbar_mux_001:sink3_endofpacket
wire rsp_xbar_demux_003_src1_valid; // rsp_xbar_demux_003:src1_valid -> rsp_xbar_mux_001:sink3_valid
wire rsp_xbar_demux_003_src1_startofpacket; // rsp_xbar_demux_003:src1_startofpacket -> rsp_xbar_mux_001:sink3_startofpacket
wire [99:0] rsp_xbar_demux_003_src1_data; // rsp_xbar_demux_003:src1_data -> rsp_xbar_mux_001:sink3_data
wire [12:0] rsp_xbar_demux_003_src1_channel; // rsp_xbar_demux_003:src1_channel -> rsp_xbar_mux_001:sink3_channel
wire rsp_xbar_demux_003_src1_ready; // rsp_xbar_mux_001:sink3_ready -> rsp_xbar_demux_003:src1_ready
wire rsp_xbar_demux_005_src0_endofpacket; // rsp_xbar_demux_005:src0_endofpacket -> rsp_xbar_mux_001:sink5_endofpacket
wire rsp_xbar_demux_005_src0_valid; // rsp_xbar_demux_005:src0_valid -> rsp_xbar_mux_001:sink5_valid
wire rsp_xbar_demux_005_src0_startofpacket; // rsp_xbar_demux_005:src0_startofpacket -> rsp_xbar_mux_001:sink5_startofpacket
wire [99:0] rsp_xbar_demux_005_src0_data; // rsp_xbar_demux_005:src0_data -> rsp_xbar_mux_001:sink5_data
wire [12:0] rsp_xbar_demux_005_src0_channel; // rsp_xbar_demux_005:src0_channel -> rsp_xbar_mux_001:sink5_channel
wire rsp_xbar_demux_005_src0_ready; // rsp_xbar_mux_001:sink5_ready -> rsp_xbar_demux_005:src0_ready
wire rsp_xbar_demux_006_src0_endofpacket; // rsp_xbar_demux_006:src0_endofpacket -> rsp_xbar_mux_001:sink6_endofpacket
wire rsp_xbar_demux_006_src0_valid; // rsp_xbar_demux_006:src0_valid -> rsp_xbar_mux_001:sink6_valid
wire rsp_xbar_demux_006_src0_startofpacket; // rsp_xbar_demux_006:src0_startofpacket -> rsp_xbar_mux_001:sink6_startofpacket
wire [99:0] rsp_xbar_demux_006_src0_data; // rsp_xbar_demux_006:src0_data -> rsp_xbar_mux_001:sink6_data
wire [12:0] rsp_xbar_demux_006_src0_channel; // rsp_xbar_demux_006:src0_channel -> rsp_xbar_mux_001:sink6_channel
wire rsp_xbar_demux_006_src0_ready; // rsp_xbar_mux_001:sink6_ready -> rsp_xbar_demux_006:src0_ready
wire rsp_xbar_demux_007_src0_endofpacket; // rsp_xbar_demux_007:src0_endofpacket -> rsp_xbar_mux_001:sink7_endofpacket
wire rsp_xbar_demux_007_src0_valid; // rsp_xbar_demux_007:src0_valid -> rsp_xbar_mux_001:sink7_valid
wire rsp_xbar_demux_007_src0_startofpacket; // rsp_xbar_demux_007:src0_startofpacket -> rsp_xbar_mux_001:sink7_startofpacket
wire [99:0] rsp_xbar_demux_007_src0_data; // rsp_xbar_demux_007:src0_data -> rsp_xbar_mux_001:sink7_data
wire [12:0] rsp_xbar_demux_007_src0_channel; // rsp_xbar_demux_007:src0_channel -> rsp_xbar_mux_001:sink7_channel
wire rsp_xbar_demux_007_src0_ready; // rsp_xbar_mux_001:sink7_ready -> rsp_xbar_demux_007:src0_ready
wire rsp_xbar_demux_008_src0_endofpacket; // rsp_xbar_demux_008:src0_endofpacket -> rsp_xbar_mux_001:sink8_endofpacket
wire rsp_xbar_demux_008_src0_valid; // rsp_xbar_demux_008:src0_valid -> rsp_xbar_mux_001:sink8_valid
wire rsp_xbar_demux_008_src0_startofpacket; // rsp_xbar_demux_008:src0_startofpacket -> rsp_xbar_mux_001:sink8_startofpacket
wire [99:0] rsp_xbar_demux_008_src0_data; // rsp_xbar_demux_008:src0_data -> rsp_xbar_mux_001:sink8_data
wire [12:0] rsp_xbar_demux_008_src0_channel; // rsp_xbar_demux_008:src0_channel -> rsp_xbar_mux_001:sink8_channel
wire rsp_xbar_demux_008_src0_ready; // rsp_xbar_mux_001:sink8_ready -> rsp_xbar_demux_008:src0_ready
wire rsp_xbar_demux_009_src0_endofpacket; // rsp_xbar_demux_009:src0_endofpacket -> rsp_xbar_mux_001:sink9_endofpacket
wire rsp_xbar_demux_009_src0_valid; // rsp_xbar_demux_009:src0_valid -> rsp_xbar_mux_001:sink9_valid
wire rsp_xbar_demux_009_src0_startofpacket; // rsp_xbar_demux_009:src0_startofpacket -> rsp_xbar_mux_001:sink9_startofpacket
wire [99:0] rsp_xbar_demux_009_src0_data; // rsp_xbar_demux_009:src0_data -> rsp_xbar_mux_001:sink9_data
wire [12:0] rsp_xbar_demux_009_src0_channel; // rsp_xbar_demux_009:src0_channel -> rsp_xbar_mux_001:sink9_channel
wire rsp_xbar_demux_009_src0_ready; // rsp_xbar_mux_001:sink9_ready -> rsp_xbar_demux_009:src0_ready
wire rsp_xbar_demux_010_src0_endofpacket; // rsp_xbar_demux_010:src0_endofpacket -> rsp_xbar_mux_001:sink10_endofpacket
wire rsp_xbar_demux_010_src0_valid; // rsp_xbar_demux_010:src0_valid -> rsp_xbar_mux_001:sink10_valid
wire rsp_xbar_demux_010_src0_startofpacket; // rsp_xbar_demux_010:src0_startofpacket -> rsp_xbar_mux_001:sink10_startofpacket
wire [99:0] rsp_xbar_demux_010_src0_data; // rsp_xbar_demux_010:src0_data -> rsp_xbar_mux_001:sink10_data
wire [12:0] rsp_xbar_demux_010_src0_channel; // rsp_xbar_demux_010:src0_channel -> rsp_xbar_mux_001:sink10_channel
wire rsp_xbar_demux_010_src0_ready; // rsp_xbar_mux_001:sink10_ready -> rsp_xbar_demux_010:src0_ready
wire rsp_xbar_demux_011_src0_endofpacket; // rsp_xbar_demux_011:src0_endofpacket -> rsp_xbar_mux_001:sink11_endofpacket
wire rsp_xbar_demux_011_src0_valid; // rsp_xbar_demux_011:src0_valid -> rsp_xbar_mux_001:sink11_valid
wire rsp_xbar_demux_011_src0_startofpacket; // rsp_xbar_demux_011:src0_startofpacket -> rsp_xbar_mux_001:sink11_startofpacket
wire [99:0] rsp_xbar_demux_011_src0_data; // rsp_xbar_demux_011:src0_data -> rsp_xbar_mux_001:sink11_data
wire [12:0] rsp_xbar_demux_011_src0_channel; // rsp_xbar_demux_011:src0_channel -> rsp_xbar_mux_001:sink11_channel
wire rsp_xbar_demux_011_src0_ready; // rsp_xbar_mux_001:sink11_ready -> rsp_xbar_demux_011:src0_ready
wire rsp_xbar_demux_012_src0_endofpacket; // rsp_xbar_demux_012:src0_endofpacket -> rsp_xbar_mux_001:sink12_endofpacket
wire rsp_xbar_demux_012_src0_valid; // rsp_xbar_demux_012:src0_valid -> rsp_xbar_mux_001:sink12_valid
wire rsp_xbar_demux_012_src0_startofpacket; // rsp_xbar_demux_012:src0_startofpacket -> rsp_xbar_mux_001:sink12_startofpacket
wire [99:0] rsp_xbar_demux_012_src0_data; // rsp_xbar_demux_012:src0_data -> rsp_xbar_mux_001:sink12_data
wire [12:0] rsp_xbar_demux_012_src0_channel; // rsp_xbar_demux_012:src0_channel -> rsp_xbar_mux_001:sink12_channel
wire rsp_xbar_demux_012_src0_ready; // rsp_xbar_mux_001:sink12_ready -> rsp_xbar_demux_012:src0_ready
wire limiter_cmd_src_endofpacket; // limiter:cmd_src_endofpacket -> cmd_xbar_demux:sink_endofpacket
wire limiter_cmd_src_startofpacket; // limiter:cmd_src_startofpacket -> cmd_xbar_demux:sink_startofpacket
wire [99:0] limiter_cmd_src_data; // limiter:cmd_src_data -> cmd_xbar_demux:sink_data
wire [12:0] limiter_cmd_src_channel; // limiter:cmd_src_channel -> cmd_xbar_demux:sink_channel
wire limiter_cmd_src_ready; // cmd_xbar_demux:sink_ready -> limiter:cmd_src_ready
wire rsp_xbar_mux_src_endofpacket; // rsp_xbar_mux:src_endofpacket -> limiter:rsp_sink_endofpacket
wire rsp_xbar_mux_src_valid; // rsp_xbar_mux:src_valid -> limiter:rsp_sink_valid
wire rsp_xbar_mux_src_startofpacket; // rsp_xbar_mux:src_startofpacket -> limiter:rsp_sink_startofpacket
wire [99:0] rsp_xbar_mux_src_data; // rsp_xbar_mux:src_data -> limiter:rsp_sink_data
wire [12:0] rsp_xbar_mux_src_channel; // rsp_xbar_mux:src_channel -> limiter:rsp_sink_channel
wire rsp_xbar_mux_src_ready; // limiter:rsp_sink_ready -> rsp_xbar_mux:src_ready
wire limiter_001_cmd_src_endofpacket; // limiter_001:cmd_src_endofpacket -> cmd_xbar_demux_001:sink_endofpacket
wire limiter_001_cmd_src_startofpacket; // limiter_001:cmd_src_startofpacket -> cmd_xbar_demux_001:sink_startofpacket
wire [99:0] limiter_001_cmd_src_data; // limiter_001:cmd_src_data -> cmd_xbar_demux_001:sink_data
wire [12:0] limiter_001_cmd_src_channel; // limiter_001:cmd_src_channel -> cmd_xbar_demux_001:sink_channel
wire limiter_001_cmd_src_ready; // cmd_xbar_demux_001:sink_ready -> limiter_001:cmd_src_ready
wire rsp_xbar_mux_001_src_endofpacket; // rsp_xbar_mux_001:src_endofpacket -> limiter_001:rsp_sink_endofpacket
wire rsp_xbar_mux_001_src_valid; // rsp_xbar_mux_001:src_valid -> limiter_001:rsp_sink_valid
wire rsp_xbar_mux_001_src_startofpacket; // rsp_xbar_mux_001:src_startofpacket -> limiter_001:rsp_sink_startofpacket
wire [99:0] rsp_xbar_mux_001_src_data; // rsp_xbar_mux_001:src_data -> limiter_001:rsp_sink_data
wire [12:0] rsp_xbar_mux_001_src_channel; // rsp_xbar_mux_001:src_channel -> limiter_001:rsp_sink_channel
wire rsp_xbar_mux_001_src_ready; // limiter_001:rsp_sink_ready -> rsp_xbar_mux_001:src_ready
wire cmd_xbar_mux_src_endofpacket; // cmd_xbar_mux:src_endofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_mux_src_valid; // cmd_xbar_mux:src_valid -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_mux_src_startofpacket; // cmd_xbar_mux:src_startofpacket -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_mux_src_data; // cmd_xbar_mux:src_data -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_mux_src_channel; // cmd_xbar_mux:src_channel -> nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_mux_src_ready; // nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux:src_ready
wire id_router_src_endofpacket; // id_router:src_endofpacket -> rsp_xbar_demux:sink_endofpacket
wire id_router_src_valid; // id_router:src_valid -> rsp_xbar_demux:sink_valid
wire id_router_src_startofpacket; // id_router:src_startofpacket -> rsp_xbar_demux:sink_startofpacket
wire [99:0] id_router_src_data; // id_router:src_data -> rsp_xbar_demux:sink_data
wire [12:0] id_router_src_channel; // id_router:src_channel -> rsp_xbar_demux:sink_channel
wire id_router_src_ready; // rsp_xbar_demux:sink_ready -> id_router:src_ready
wire cmd_xbar_mux_001_src_endofpacket; // cmd_xbar_mux_001:src_endofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire cmd_xbar_mux_001_src_valid; // cmd_xbar_mux_001:src_valid -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_valid
wire cmd_xbar_mux_001_src_startofpacket; // cmd_xbar_mux_001:src_startofpacket -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] cmd_xbar_mux_001_src_data; // cmd_xbar_mux_001:src_data -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] cmd_xbar_mux_001_src_channel; // cmd_xbar_mux_001:src_channel -> onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_mux_001_src_ready; // onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_mux_001:src_ready
wire id_router_001_src_endofpacket; // id_router_001:src_endofpacket -> rsp_xbar_demux_001:sink_endofpacket
wire id_router_001_src_valid; // id_router_001:src_valid -> rsp_xbar_demux_001:sink_valid
wire id_router_001_src_startofpacket; // id_router_001:src_startofpacket -> rsp_xbar_demux_001:sink_startofpacket
wire [99:0] id_router_001_src_data; // id_router_001:src_data -> rsp_xbar_demux_001:sink_data
wire [12:0] id_router_001_src_channel; // id_router_001:src_channel -> rsp_xbar_demux_001:sink_channel
wire id_router_001_src_ready; // rsp_xbar_demux_001:sink_ready -> id_router_001:src_ready
wire crosser_out_ready; // altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_ready -> crosser:out_ready
wire id_router_004_src_endofpacket; // id_router_004:src_endofpacket -> rsp_xbar_demux_004:sink_endofpacket
wire id_router_004_src_valid; // id_router_004:src_valid -> rsp_xbar_demux_004:sink_valid
wire id_router_004_src_startofpacket; // id_router_004:src_startofpacket -> rsp_xbar_demux_004:sink_startofpacket
wire [99:0] id_router_004_src_data; // id_router_004:src_data -> rsp_xbar_demux_004:sink_data
wire [12:0] id_router_004_src_channel; // id_router_004:src_channel -> rsp_xbar_demux_004:sink_channel
wire id_router_004_src_ready; // rsp_xbar_demux_004:sink_ready -> id_router_004:src_ready
wire cmd_xbar_demux_001_src5_ready; // sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src5_ready
wire id_router_005_src_endofpacket; // id_router_005:src_endofpacket -> rsp_xbar_demux_005:sink_endofpacket
wire id_router_005_src_valid; // id_router_005:src_valid -> rsp_xbar_demux_005:sink_valid
wire id_router_005_src_startofpacket; // id_router_005:src_startofpacket -> rsp_xbar_demux_005:sink_startofpacket
wire [99:0] id_router_005_src_data; // id_router_005:src_data -> rsp_xbar_demux_005:sink_data
wire [12:0] id_router_005_src_channel; // id_router_005:src_channel -> rsp_xbar_demux_005:sink_channel
wire id_router_005_src_ready; // rsp_xbar_demux_005:sink_ready -> id_router_005:src_ready
wire cmd_xbar_demux_001_src6_ready; // timer_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src6_ready
wire id_router_006_src_endofpacket; // id_router_006:src_endofpacket -> rsp_xbar_demux_006:sink_endofpacket
wire id_router_006_src_valid; // id_router_006:src_valid -> rsp_xbar_demux_006:sink_valid
wire id_router_006_src_startofpacket; // id_router_006:src_startofpacket -> rsp_xbar_demux_006:sink_startofpacket
wire [99:0] id_router_006_src_data; // id_router_006:src_data -> rsp_xbar_demux_006:sink_data
wire [12:0] id_router_006_src_channel; // id_router_006:src_channel -> rsp_xbar_demux_006:sink_channel
wire id_router_006_src_ready; // rsp_xbar_demux_006:sink_ready -> id_router_006:src_ready
wire cmd_xbar_demux_001_src7_ready; // jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src7_ready
wire id_router_007_src_endofpacket; // id_router_007:src_endofpacket -> rsp_xbar_demux_007:sink_endofpacket
wire id_router_007_src_valid; // id_router_007:src_valid -> rsp_xbar_demux_007:sink_valid
wire id_router_007_src_startofpacket; // id_router_007:src_startofpacket -> rsp_xbar_demux_007:sink_startofpacket
wire [99:0] id_router_007_src_data; // id_router_007:src_data -> rsp_xbar_demux_007:sink_data
wire [12:0] id_router_007_src_channel; // id_router_007:src_channel -> rsp_xbar_demux_007:sink_channel
wire id_router_007_src_ready; // rsp_xbar_demux_007:sink_ready -> id_router_007:src_ready
wire cmd_xbar_demux_001_src9_ready; // green_leds_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src9_ready
wire id_router_009_src_endofpacket; // id_router_009:src_endofpacket -> rsp_xbar_demux_009:sink_endofpacket
wire id_router_009_src_valid; // id_router_009:src_valid -> rsp_xbar_demux_009:sink_valid
wire id_router_009_src_startofpacket; // id_router_009:src_startofpacket -> rsp_xbar_demux_009:sink_startofpacket
wire [99:0] id_router_009_src_data; // id_router_009:src_data -> rsp_xbar_demux_009:sink_data
wire [12:0] id_router_009_src_channel; // id_router_009:src_channel -> rsp_xbar_demux_009:sink_channel
wire id_router_009_src_ready; // rsp_xbar_demux_009:sink_ready -> id_router_009:src_ready
wire cmd_xbar_demux_001_src10_ready; // switch_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src10_ready
wire id_router_010_src_endofpacket; // id_router_010:src_endofpacket -> rsp_xbar_demux_010:sink_endofpacket
wire id_router_010_src_valid; // id_router_010:src_valid -> rsp_xbar_demux_010:sink_valid
wire id_router_010_src_startofpacket; // id_router_010:src_startofpacket -> rsp_xbar_demux_010:sink_startofpacket
wire [99:0] id_router_010_src_data; // id_router_010:src_data -> rsp_xbar_demux_010:sink_data
wire [12:0] id_router_010_src_channel; // id_router_010:src_channel -> rsp_xbar_demux_010:sink_channel
wire id_router_010_src_ready; // rsp_xbar_demux_010:sink_ready -> id_router_010:src_ready
wire cmd_xbar_demux_001_src11_ready; // switch_0_s1_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src11_ready
wire id_router_011_src_endofpacket; // id_router_011:src_endofpacket -> rsp_xbar_demux_011:sink_endofpacket
wire id_router_011_src_valid; // id_router_011:src_valid -> rsp_xbar_demux_011:sink_valid
wire id_router_011_src_startofpacket; // id_router_011:src_startofpacket -> rsp_xbar_demux_011:sink_startofpacket
wire [99:0] id_router_011_src_data; // id_router_011:src_data -> rsp_xbar_demux_011:sink_data
wire [12:0] id_router_011_src_channel; // id_router_011:src_channel -> rsp_xbar_demux_011:sink_channel
wire id_router_011_src_ready; // rsp_xbar_demux_011:sink_ready -> id_router_011:src_ready
wire cmd_xbar_demux_001_src12_ready; // servo_pwm_0_s0_translator_avalon_universal_slave_0_agent:cp_ready -> cmd_xbar_demux_001:src12_ready
wire id_router_012_src_endofpacket; // id_router_012:src_endofpacket -> rsp_xbar_demux_012:sink_endofpacket
wire id_router_012_src_valid; // id_router_012:src_valid -> rsp_xbar_demux_012:sink_valid
wire id_router_012_src_startofpacket; // id_router_012:src_startofpacket -> rsp_xbar_demux_012:sink_startofpacket
wire [99:0] id_router_012_src_data; // id_router_012:src_data -> rsp_xbar_demux_012:sink_data
wire [12:0] id_router_012_src_channel; // id_router_012:src_channel -> rsp_xbar_demux_012:sink_channel
wire id_router_012_src_ready; // rsp_xbar_demux_012:sink_ready -> id_router_012:src_ready
wire cmd_xbar_mux_002_src_endofpacket; // cmd_xbar_mux_002:src_endofpacket -> width_adapter:in_endofpacket
wire cmd_xbar_mux_002_src_valid; // cmd_xbar_mux_002:src_valid -> width_adapter:in_valid
wire cmd_xbar_mux_002_src_startofpacket; // cmd_xbar_mux_002:src_startofpacket -> width_adapter:in_startofpacket
wire [99:0] cmd_xbar_mux_002_src_data; // cmd_xbar_mux_002:src_data -> width_adapter:in_data
wire [12:0] cmd_xbar_mux_002_src_channel; // cmd_xbar_mux_002:src_channel -> width_adapter:in_channel
wire cmd_xbar_mux_002_src_ready; // width_adapter:in_ready -> cmd_xbar_mux_002:src_ready
wire width_adapter_src_endofpacket; // width_adapter:out_endofpacket -> burst_adapter:sink0_endofpacket
wire width_adapter_src_valid; // width_adapter:out_valid -> burst_adapter:sink0_valid
wire width_adapter_src_startofpacket; // width_adapter:out_startofpacket -> burst_adapter:sink0_startofpacket
wire [81:0] width_adapter_src_data; // width_adapter:out_data -> burst_adapter:sink0_data
wire width_adapter_src_ready; // burst_adapter:sink0_ready -> width_adapter:out_ready
wire [12:0] width_adapter_src_channel; // width_adapter:out_channel -> burst_adapter:sink0_channel
wire id_router_002_src_endofpacket; // id_router_002:src_endofpacket -> width_adapter_001:in_endofpacket
wire id_router_002_src_valid; // id_router_002:src_valid -> width_adapter_001:in_valid
wire id_router_002_src_startofpacket; // id_router_002:src_startofpacket -> width_adapter_001:in_startofpacket
wire [81:0] id_router_002_src_data; // id_router_002:src_data -> width_adapter_001:in_data
wire [12:0] id_router_002_src_channel; // id_router_002:src_channel -> width_adapter_001:in_channel
wire id_router_002_src_ready; // width_adapter_001:in_ready -> id_router_002:src_ready
wire width_adapter_001_src_endofpacket; // width_adapter_001:out_endofpacket -> rsp_xbar_demux_002:sink_endofpacket
wire width_adapter_001_src_valid; // width_adapter_001:out_valid -> rsp_xbar_demux_002:sink_valid
wire width_adapter_001_src_startofpacket; // width_adapter_001:out_startofpacket -> rsp_xbar_demux_002:sink_startofpacket
wire [99:0] width_adapter_001_src_data; // width_adapter_001:out_data -> rsp_xbar_demux_002:sink_data
wire width_adapter_001_src_ready; // rsp_xbar_demux_002:sink_ready -> width_adapter_001:out_ready
wire [12:0] width_adapter_001_src_channel; // width_adapter_001:out_channel -> rsp_xbar_demux_002:sink_channel
wire cmd_xbar_mux_003_src_endofpacket; // cmd_xbar_mux_003:src_endofpacket -> width_adapter_002:in_endofpacket
wire cmd_xbar_mux_003_src_valid; // cmd_xbar_mux_003:src_valid -> width_adapter_002:in_valid
wire cmd_xbar_mux_003_src_startofpacket; // cmd_xbar_mux_003:src_startofpacket -> width_adapter_002:in_startofpacket
wire [99:0] cmd_xbar_mux_003_src_data; // cmd_xbar_mux_003:src_data -> width_adapter_002:in_data
wire [12:0] cmd_xbar_mux_003_src_channel; // cmd_xbar_mux_003:src_channel -> width_adapter_002:in_channel
wire cmd_xbar_mux_003_src_ready; // width_adapter_002:in_ready -> cmd_xbar_mux_003:src_ready
wire width_adapter_002_src_endofpacket; // width_adapter_002:out_endofpacket -> burst_adapter_001:sink0_endofpacket
wire width_adapter_002_src_valid; // width_adapter_002:out_valid -> burst_adapter_001:sink0_valid
wire width_adapter_002_src_startofpacket; // width_adapter_002:out_startofpacket -> burst_adapter_001:sink0_startofpacket
wire [81:0] width_adapter_002_src_data; // width_adapter_002:out_data -> burst_adapter_001:sink0_data
wire width_adapter_002_src_ready; // burst_adapter_001:sink0_ready -> width_adapter_002:out_ready
wire [12:0] width_adapter_002_src_channel; // width_adapter_002:out_channel -> burst_adapter_001:sink0_channel
wire id_router_003_src_endofpacket; // id_router_003:src_endofpacket -> width_adapter_003:in_endofpacket
wire id_router_003_src_valid; // id_router_003:src_valid -> width_adapter_003:in_valid
wire id_router_003_src_startofpacket; // id_router_003:src_startofpacket -> width_adapter_003:in_startofpacket
wire [81:0] id_router_003_src_data; // id_router_003:src_data -> width_adapter_003:in_data
wire [12:0] id_router_003_src_channel; // id_router_003:src_channel -> width_adapter_003:in_channel
wire id_router_003_src_ready; // width_adapter_003:in_ready -> id_router_003:src_ready
wire width_adapter_003_src_endofpacket; // width_adapter_003:out_endofpacket -> rsp_xbar_demux_003:sink_endofpacket
wire width_adapter_003_src_valid; // width_adapter_003:out_valid -> rsp_xbar_demux_003:sink_valid
wire width_adapter_003_src_startofpacket; // width_adapter_003:out_startofpacket -> rsp_xbar_demux_003:sink_startofpacket
wire [99:0] width_adapter_003_src_data; // width_adapter_003:out_data -> rsp_xbar_demux_003:sink_data
wire width_adapter_003_src_ready; // rsp_xbar_demux_003:sink_ready -> width_adapter_003:out_ready
wire [12:0] width_adapter_003_src_channel; // width_adapter_003:out_channel -> rsp_xbar_demux_003:sink_channel
wire cmd_xbar_demux_001_src8_ready; // width_adapter_004:in_ready -> cmd_xbar_demux_001:src8_ready
wire width_adapter_004_src_endofpacket; // width_adapter_004:out_endofpacket -> burst_adapter_002:sink0_endofpacket
wire width_adapter_004_src_valid; // width_adapter_004:out_valid -> burst_adapter_002:sink0_valid
wire width_adapter_004_src_startofpacket; // width_adapter_004:out_startofpacket -> burst_adapter_002:sink0_startofpacket
wire [72:0] width_adapter_004_src_data; // width_adapter_004:out_data -> burst_adapter_002:sink0_data
wire width_adapter_004_src_ready; // burst_adapter_002:sink0_ready -> width_adapter_004:out_ready
wire [12:0] width_adapter_004_src_channel; // width_adapter_004:out_channel -> burst_adapter_002:sink0_channel
wire id_router_008_src_endofpacket; // id_router_008:src_endofpacket -> width_adapter_005:in_endofpacket
wire id_router_008_src_valid; // id_router_008:src_valid -> width_adapter_005:in_valid
wire id_router_008_src_startofpacket; // id_router_008:src_startofpacket -> width_adapter_005:in_startofpacket
wire [72:0] id_router_008_src_data; // id_router_008:src_data -> width_adapter_005:in_data
wire [12:0] id_router_008_src_channel; // id_router_008:src_channel -> width_adapter_005:in_channel
wire id_router_008_src_ready; // width_adapter_005:in_ready -> id_router_008:src_ready
wire width_adapter_005_src_endofpacket; // width_adapter_005:out_endofpacket -> rsp_xbar_demux_008:sink_endofpacket
wire width_adapter_005_src_valid; // width_adapter_005:out_valid -> rsp_xbar_demux_008:sink_valid
wire width_adapter_005_src_startofpacket; // width_adapter_005:out_startofpacket -> rsp_xbar_demux_008:sink_startofpacket
wire [99:0] width_adapter_005_src_data; // width_adapter_005:out_data -> rsp_xbar_demux_008:sink_data
wire width_adapter_005_src_ready; // rsp_xbar_demux_008:sink_ready -> width_adapter_005:out_ready
wire [12:0] width_adapter_005_src_channel; // width_adapter_005:out_channel -> rsp_xbar_demux_008:sink_channel
wire crosser_out_endofpacket; // crosser:out_endofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket
wire crosser_out_valid; // crosser:out_valid -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_valid
wire crosser_out_startofpacket; // crosser:out_startofpacket -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket
wire [99:0] crosser_out_data; // crosser:out_data -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_data
wire [12:0] crosser_out_channel; // crosser:out_channel -> altpll_0_pll_slave_translator_avalon_universal_slave_0_agent:cp_channel
wire cmd_xbar_demux_001_src4_endofpacket; // cmd_xbar_demux_001:src4_endofpacket -> crosser:in_endofpacket
wire cmd_xbar_demux_001_src4_valid; // cmd_xbar_demux_001:src4_valid -> crosser:in_valid
wire cmd_xbar_demux_001_src4_startofpacket; // cmd_xbar_demux_001:src4_startofpacket -> crosser:in_startofpacket
wire [99:0] cmd_xbar_demux_001_src4_data; // cmd_xbar_demux_001:src4_data -> crosser:in_data
wire [12:0] cmd_xbar_demux_001_src4_channel; // cmd_xbar_demux_001:src4_channel -> crosser:in_channel
wire cmd_xbar_demux_001_src4_ready; // crosser:in_ready -> cmd_xbar_demux_001:src4_ready
wire crosser_001_out_endofpacket; // crosser_001:out_endofpacket -> rsp_xbar_mux_001:sink4_endofpacket
wire crosser_001_out_valid; // crosser_001:out_valid -> rsp_xbar_mux_001:sink4_valid
wire crosser_001_out_startofpacket; // crosser_001:out_startofpacket -> rsp_xbar_mux_001:sink4_startofpacket
wire [99:0] crosser_001_out_data; // crosser_001:out_data -> rsp_xbar_mux_001:sink4_data
wire [12:0] crosser_001_out_channel; // crosser_001:out_channel -> rsp_xbar_mux_001:sink4_channel
wire crosser_001_out_ready; // rsp_xbar_mux_001:sink4_ready -> crosser_001:out_ready
wire rsp_xbar_demux_004_src0_endofpacket; // rsp_xbar_demux_004:src0_endofpacket -> crosser_001:in_endofpacket
wire rsp_xbar_demux_004_src0_valid; // rsp_xbar_demux_004:src0_valid -> crosser_001:in_valid
wire rsp_xbar_demux_004_src0_startofpacket; // rsp_xbar_demux_004:src0_startofpacket -> crosser_001:in_startofpacket
wire [99:0] rsp_xbar_demux_004_src0_data; // rsp_xbar_demux_004:src0_data -> crosser_001:in_data
wire [12:0] rsp_xbar_demux_004_src0_channel; // rsp_xbar_demux_004:src0_channel -> crosser_001:in_channel
wire rsp_xbar_demux_004_src0_ready; // crosser_001:in_ready -> rsp_xbar_demux_004:src0_ready
wire [12:0] limiter_cmd_valid_data; // limiter:cmd_src_valid -> cmd_xbar_demux:sink_valid
wire [12:0] limiter_001_cmd_valid_data; // limiter_001:cmd_src_valid -> cmd_xbar_demux_001:sink_valid
wire irq_mapper_receiver0_irq; // timer_0:irq -> irq_mapper:receiver0_irq
wire irq_mapper_receiver1_irq; // jtag_uart_0:av_irq -> irq_mapper:receiver1_irq
wire [31:0] nios2_qsys_0_d_irq_irq; // irq_mapper:sender_irq -> nios2_qsys_0:d_irq
tracking_camera_system_nios2_qsys_0 nios2_qsys_0 (
.clk (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset_n.reset_n
.d_address (nios2_qsys_0_data_master_address), // data_master.address
.d_byteenable (nios2_qsys_0_data_master_byteenable), // .byteenable
.d_read (nios2_qsys_0_data_master_read), // .read
.d_readdata (nios2_qsys_0_data_master_readdata), // .readdata
.d_waitrequest (nios2_qsys_0_data_master_waitrequest), // .waitrequest
.d_write (nios2_qsys_0_data_master_write), // .write
.d_writedata (nios2_qsys_0_data_master_writedata), // .writedata
.d_readdatavalid (nios2_qsys_0_data_master_readdatavalid), // .readdatavalid
.jtag_debug_module_debugaccess_to_roms (nios2_qsys_0_data_master_debugaccess), // .debugaccess
.i_address (nios2_qsys_0_instruction_master_address), // instruction_master.address
.i_read (nios2_qsys_0_instruction_master_read), // .read
.i_readdata (nios2_qsys_0_instruction_master_readdata), // .readdata
.i_waitrequest (nios2_qsys_0_instruction_master_waitrequest), // .waitrequest
.i_readdatavalid (nios2_qsys_0_instruction_master_readdatavalid), // .readdatavalid
.d_irq (nios2_qsys_0_d_irq_irq), // d_irq.irq
.jtag_debug_module_resetrequest (nios2_qsys_0_jtag_debug_module_reset_reset), // jtag_debug_module_reset.reset
.jtag_debug_module_address (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_address), // jtag_debug_module.address
.jtag_debug_module_begintransfer (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_begintransfer), // .begintransfer
.jtag_debug_module_byteenable (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable
.jtag_debug_module_debugaccess (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess
.jtag_debug_module_readdata (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata
.jtag_debug_module_select (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_chipselect), // .chipselect
.jtag_debug_module_write (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write
.jtag_debug_module_writedata (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata
.no_ci_readra () // custom_instruction_master.readra
);
tracking_camera_system_onchip_memory2_0 onchip_memory2_0 (
.clk (altpll_0_c1_clk), // clk1.clk
.address (onchip_memory2_0_s1_translator_avalon_anti_slave_0_address), // s1.address
.chipselect (onchip_memory2_0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.clken (onchip_memory2_0_s1_translator_avalon_anti_slave_0_clken), // .clken
.readdata (onchip_memory2_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.write (onchip_memory2_0_s1_translator_avalon_anti_slave_0_write), // .write
.writedata (onchip_memory2_0_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.byteenable (onchip_memory2_0_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable
.reset (rst_controller_reset_out_reset) // reset1.reset
);
tracking_camera_system_sysid_qsys_0 sysid_qsys_0 (
.clock (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.readdata (sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_readdata), // control_slave.readdata
.address (sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_address) // .address
);
tracking_camera_system_timer_0 timer_0 (
.clk (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.address (timer_0_s1_translator_avalon_anti_slave_0_address), // s1.address
.writedata (timer_0_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.readdata (timer_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.chipselect (timer_0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.write_n (~timer_0_s1_translator_avalon_anti_slave_0_write), // .write_n
.irq (irq_mapper_receiver0_irq) // irq.irq
);
tracking_camera_system_jtag_uart_0 jtag_uart_0 (
.clk (altpll_0_c1_clk), // clk.clk
.rst_n (~rst_controller_reset_out_reset), // reset.reset_n
.av_chipselect (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // avalon_jtag_slave.chipselect
.av_address (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // .address
.av_read_n (~jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read_n
.av_readdata (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.av_write_n (~jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write_n
.av_writedata (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.av_waitrequest (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest
.av_irq (irq_mapper_receiver1_irq) // irq.irq
);
tracking_camera_system_character_lcd_0 character_lcd_0 (
.clk (altpll_0_c1_clk), // clock_reset.clk
.reset (rst_controller_reset_out_reset), // clock_reset_reset.reset
.address (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_address), // avalon_lcd_slave.address
.chipselect (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_chipselect), // .chipselect
.read (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_read), // .read
.write (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_write), // .write
.writedata (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.readdata (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.waitrequest (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest
.LCD_DATA (character_lcd_0_external_interface_DATA), // external_interface.export
.LCD_ON (character_lcd_0_external_interface_ON), // .export
.LCD_BLON (character_lcd_0_external_interface_BLON), // .export
.LCD_EN (character_lcd_0_external_interface_EN), // .export
.LCD_RS (character_lcd_0_external_interface_RS), // .export
.LCD_RW (character_lcd_0_external_interface_RW) // .export
);
tracking_camera_system_green_leds green_leds (
.clk (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.address (green_leds_s1_translator_avalon_anti_slave_0_address), // s1.address
.write_n (~green_leds_s1_translator_avalon_anti_slave_0_write), // .write_n
.writedata (green_leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.chipselect (green_leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.readdata (green_leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.out_port (green_leds_external_connection_export) // external_connection.export
);
tracking_camera_system_switch switch (
.clk (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.address (switch_s1_translator_avalon_anti_slave_0_address), // s1.address
.readdata (switch_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.in_port (switch_external_connection_export) // external_connection.export
);
tracking_camera_system_altpll_0 altpll_0 (
.clk (clk_clk), // inclk_interface.clk
.reset (rst_controller_001_reset_out_reset), // inclk_interface_reset.reset
.read (altpll_0_pll_slave_translator_avalon_anti_slave_0_read), // pll_slave.read
.write (altpll_0_pll_slave_translator_avalon_anti_slave_0_write), // .write
.address (altpll_0_pll_slave_translator_avalon_anti_slave_0_address), // .address
.readdata (altpll_0_pll_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.writedata (altpll_0_pll_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.c0 (altpll_0_c0_clk), // c0.clk
.c1 (altpll_0_c1_clk), // c1.clk
.areset (), // areset_conduit.export
.locked (), // locked_conduit.export
.phasedone () // phasedone_conduit.export
);
tracking_camera_system_sdram_0 sdram_0 (
.clk (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.az_addr (sdram_0_s1_translator_avalon_anti_slave_0_address), // s1.address
.az_be_n (~sdram_0_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable_n
.az_cs (sdram_0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.az_data (sdram_0_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.az_rd_n (~sdram_0_s1_translator_avalon_anti_slave_0_read), // .read_n
.az_wr_n (~sdram_0_s1_translator_avalon_anti_slave_0_write), // .write_n
.za_data (sdram_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.za_valid (sdram_0_s1_translator_avalon_anti_slave_0_readdatavalid), // .readdatavalid
.za_waitrequest (sdram_0_s1_translator_avalon_anti_slave_0_waitrequest), // .waitrequest
.zs_addr (sdram_0_wire_addr), // wire.export
.zs_ba (sdram_0_wire_ba), // .export
.zs_cas_n (sdram_0_wire_cas_n), // .export
.zs_cke (sdram_0_wire_cke), // .export
.zs_cs_n (sdram_0_wire_cs_n), // .export
.zs_dq (sdram_0_wire_dq), // .export
.zs_dqm (sdram_0_wire_dqm), // .export
.zs_ras_n (sdram_0_wire_ras_n), // .export
.zs_we_n (sdram_0_wire_we_n) // .export
);
tracking_camera_system_sram_0 sram_0 (
.clk (altpll_0_c1_clk), // clock_reset.clk
.reset (rst_controller_reset_out_reset), // clock_reset_reset.reset
.SRAM_DQ (sram_0_external_interface_DQ), // external_interface.export
.SRAM_ADDR (sram_0_external_interface_ADDR), // .export
.SRAM_LB_N (sram_0_external_interface_LB_N), // .export
.SRAM_UB_N (sram_0_external_interface_UB_N), // .export
.SRAM_CE_N (sram_0_external_interface_CE_N), // .export
.SRAM_OE_N (sram_0_external_interface_OE_N), // .export
.SRAM_WE_N (sram_0_external_interface_WE_N), // .export
.address (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_address), // avalon_sram_slave.address
.byteenable (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_byteenable), // .byteenable
.read (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_read), // .read
.write (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_write), // .write
.writedata (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.readdata (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.readdatavalid (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdatavalid) // .readdatavalid
);
tracking_camera_system_switch switch_0 (
.clk (altpll_0_c1_clk), // clk.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.address (switch_0_s1_translator_avalon_anti_slave_0_address), // s1.address
.readdata (switch_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.in_port (switch_0_external_connection_export) // external_connection.export
);
servo_pwm servo_pwm_0 (
.clk (altpll_0_c1_clk), // clock.clk
.reset_n (~rst_controller_reset_out_reset), // reset.reset_n
.coe_servo (servo_pwm_0_conduit_end_0_export), // conduit_end_0.export
.avs_s0_write_n (~servo_pwm_0_s0_translator_avalon_anti_slave_0_write), // s0.write_n
.avs_s0_writedata (servo_pwm_0_s0_translator_avalon_anti_slave_0_writedata), // .writedata
.avs_s0_read_n (~servo_pwm_0_s0_translator_avalon_anti_slave_0_read), // .read_n
.avs_s0_readdata (servo_pwm_0_s0_translator_avalon_anti_slave_0_readdata) // .readdata
);
altera_merlin_master_translator #(
.AV_ADDRESS_W (25),
.AV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.USE_READ (1),
.USE_WRITE (0),
.USE_BEGINBURSTTRANSFER (0),
.USE_BEGINTRANSFER (0),
.USE_CHIPSELECT (0),
.USE_BURSTCOUNT (0),
.USE_READDATAVALID (1),
.USE_WAITREQUEST (1),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (1),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_LINEWRAPBURSTS (1),
.AV_REGISTERINCOMINGSIGNALS (0)
) nios2_qsys_0_instruction_master_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address
.uav_burstcount (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount
.uav_read (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_read), // .read
.uav_write (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_write), // .write
.uav_waitrequest (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
.uav_readdatavalid (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
.uav_byteenable (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable
.uav_readdata (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata
.uav_writedata (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata
.uav_lock (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_lock), // .lock
.uav_debugaccess (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
.av_address (nios2_qsys_0_instruction_master_address), // avalon_anti_master_0.address
.av_waitrequest (nios2_qsys_0_instruction_master_waitrequest), // .waitrequest
.av_read (nios2_qsys_0_instruction_master_read), // .read
.av_readdata (nios2_qsys_0_instruction_master_readdata), // .readdata
.av_readdatavalid (nios2_qsys_0_instruction_master_readdatavalid), // .readdatavalid
.av_burstcount (1'b1), // (terminated)
.av_byteenable (4'b1111), // (terminated)
.av_beginbursttransfer (1'b0), // (terminated)
.av_begintransfer (1'b0), // (terminated)
.av_chipselect (1'b0), // (terminated)
.av_write (1'b0), // (terminated)
.av_writedata (32'b00000000000000000000000000000000), // (terminated)
.av_lock (1'b0), // (terminated)
.av_debugaccess (1'b0), // (terminated)
.uav_clken (), // (terminated)
.av_clken (1'b1) // (terminated)
);
altera_merlin_master_translator #(
.AV_ADDRESS_W (25),
.AV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.USE_READ (1),
.USE_WRITE (1),
.USE_BEGINBURSTTRANSFER (0),
.USE_BEGINTRANSFER (0),
.USE_CHIPSELECT (0),
.USE_BURSTCOUNT (0),
.USE_READDATAVALID (1),
.USE_WAITREQUEST (1),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (1),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_LINEWRAPBURSTS (0),
.AV_REGISTERINCOMINGSIGNALS (0)
) nios2_qsys_0_data_master_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (nios2_qsys_0_data_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address
.uav_burstcount (nios2_qsys_0_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount
.uav_read (nios2_qsys_0_data_master_translator_avalon_universal_master_0_read), // .read
.uav_write (nios2_qsys_0_data_master_translator_avalon_universal_master_0_write), // .write
.uav_waitrequest (nios2_qsys_0_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
.uav_readdatavalid (nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
.uav_byteenable (nios2_qsys_0_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable
.uav_readdata (nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdata), // .readdata
.uav_writedata (nios2_qsys_0_data_master_translator_avalon_universal_master_0_writedata), // .writedata
.uav_lock (nios2_qsys_0_data_master_translator_avalon_universal_master_0_lock), // .lock
.uav_debugaccess (nios2_qsys_0_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
.av_address (nios2_qsys_0_data_master_address), // avalon_anti_master_0.address
.av_waitrequest (nios2_qsys_0_data_master_waitrequest), // .waitrequest
.av_byteenable (nios2_qsys_0_data_master_byteenable), // .byteenable
.av_read (nios2_qsys_0_data_master_read), // .read
.av_readdata (nios2_qsys_0_data_master_readdata), // .readdata
.av_readdatavalid (nios2_qsys_0_data_master_readdatavalid), // .readdatavalid
.av_write (nios2_qsys_0_data_master_write), // .write
.av_writedata (nios2_qsys_0_data_master_writedata), // .writedata
.av_debugaccess (nios2_qsys_0_data_master_debugaccess), // .debugaccess
.av_burstcount (1'b1), // (terminated)
.av_beginbursttransfer (1'b0), // (terminated)
.av_begintransfer (1'b0), // (terminated)
.av_chipselect (1'b0), // (terminated)
.av_lock (1'b0), // (terminated)
.uav_clken (), // (terminated)
.av_clken (1'b1) // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (9),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) nios2_qsys_0_jtag_debug_module_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_write), // .write
.av_readdata (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_writedata), // .writedata
.av_begintransfer (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_begintransfer), // .begintransfer
.av_byteenable (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_byteenable), // .byteenable
.av_chipselect (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_debugaccess (nios2_qsys_0_jtag_debug_module_translator_avalon_anti_slave_0_debugaccess), // .debugaccess
.av_read (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (12),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (1),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (0),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) onchip_memory2_0_s1_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (onchip_memory2_0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (onchip_memory2_0_s1_translator_avalon_anti_slave_0_write), // .write
.av_readdata (onchip_memory2_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (onchip_memory2_0_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.av_byteenable (onchip_memory2_0_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable
.av_chipselect (onchip_memory2_0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_clken (onchip_memory2_0_s1_translator_avalon_anti_slave_0_clken), // .clken
.av_read (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (22),
.AV_DATA_W (16),
.UAV_DATA_W (16),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (2),
.UAV_BYTEENABLE_W (2),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (2),
.AV_READLATENCY (0),
.USE_READDATAVALID (1),
.USE_WAITREQUEST (1),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (2),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) sdram_0_s1_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (sdram_0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (sdram_0_s1_translator_avalon_anti_slave_0_write), // .write
.av_read (sdram_0_s1_translator_avalon_anti_slave_0_read), // .read
.av_readdata (sdram_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (sdram_0_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.av_byteenable (sdram_0_s1_translator_avalon_anti_slave_0_byteenable), // .byteenable
.av_readdatavalid (sdram_0_s1_translator_avalon_anti_slave_0_readdatavalid), // .readdatavalid
.av_waitrequest (sdram_0_s1_translator_avalon_anti_slave_0_waitrequest), // .waitrequest
.av_chipselect (sdram_0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (18),
.AV_DATA_W (16),
.UAV_DATA_W (16),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (2),
.UAV_BYTEENABLE_W (2),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (2),
.AV_READLATENCY (0),
.USE_READDATAVALID (1),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (2),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (0),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) sram_0_avalon_sram_slave_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_write), // .write
.av_read (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_read), // .read
.av_readdata (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.av_byteenable (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_byteenable), // .byteenable
.av_readdatavalid (sram_0_avalon_sram_slave_translator_avalon_anti_slave_0_readdatavalid), // .readdatavalid
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_chipselect (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (2),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (0),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) altpll_0_pll_slave_translator (
.clk (clk_clk), // clk.clk
.reset (rst_controller_001_reset_out_reset), // reset.reset
.uav_address (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (altpll_0_pll_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (altpll_0_pll_slave_translator_avalon_anti_slave_0_write), // .write
.av_read (altpll_0_pll_slave_translator_avalon_anti_slave_0_read), // .read
.av_readdata (altpll_0_pll_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (altpll_0_pll_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_chipselect (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (1),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) sysid_qsys_0_control_slave_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_readdata (sysid_qsys_0_control_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.av_write (), // (terminated)
.av_read (), // (terminated)
.av_writedata (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_chipselect (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (3),
.AV_DATA_W (16),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (1),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) timer_0_s1_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (timer_0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (timer_0_s1_translator_avalon_anti_slave_0_write), // .write
.av_readdata (timer_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (timer_0_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.av_chipselect (timer_0_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_read (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (1),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (1),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (1),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) jtag_uart_0_avalon_jtag_slave_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_write), // .write
.av_read (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_read), // .read
.av_readdata (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.av_waitrequest (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest
.av_chipselect (jtag_uart_0_avalon_jtag_slave_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (1),
.AV_DATA_W (8),
.UAV_DATA_W (8),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (1),
.UAV_BYTEENABLE_W (1),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (1),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (1),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (1),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) character_lcd_0_avalon_lcd_slave_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_write), // .write
.av_read (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_read), // .read
.av_readdata (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_writedata), // .writedata
.av_waitrequest (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_waitrequest), // .waitrequest
.av_chipselect (character_lcd_0_avalon_lcd_slave_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (2),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (1),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) green_leds_s1_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (green_leds_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_write (green_leds_s1_translator_avalon_anti_slave_0_write), // .write
.av_readdata (green_leds_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (green_leds_s1_translator_avalon_anti_slave_0_writedata), // .writedata
.av_chipselect (green_leds_s1_translator_avalon_anti_slave_0_chipselect), // .chipselect
.av_read (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (2),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (1),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) switch_s1_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (switch_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (switch_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (switch_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (switch_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (switch_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (switch_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (switch_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (switch_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (switch_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (switch_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (switch_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (switch_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_readdata (switch_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.av_write (), // (terminated)
.av_read (), // (terminated)
.av_writedata (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_chipselect (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (2),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (1),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) switch_0_s1_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_address (switch_0_s1_translator_avalon_anti_slave_0_address), // avalon_anti_slave_0.address
.av_readdata (switch_0_s1_translator_avalon_anti_slave_0_readdata), // .readdata
.av_write (), // (terminated)
.av_read (), // (terminated)
.av_writedata (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_chipselect (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_slave_translator #(
.AV_ADDRESS_W (1),
.AV_DATA_W (32),
.UAV_DATA_W (32),
.AV_BURSTCOUNT_W (1),
.AV_BYTEENABLE_W (4),
.UAV_BYTEENABLE_W (4),
.UAV_ADDRESS_W (25),
.UAV_BURSTCOUNT_W (3),
.AV_READLATENCY (0),
.USE_READDATAVALID (0),
.USE_WAITREQUEST (0),
.USE_UAV_CLKEN (0),
.AV_SYMBOLS_PER_WORD (4),
.AV_ADDRESS_SYMBOLS (0),
.AV_BURSTCOUNT_SYMBOLS (0),
.AV_CONSTANT_BURST_BEHAVIOR (0),
.UAV_CONSTANT_BURST_BEHAVIOR (0),
.AV_REQUIRE_UNALIGNED_ADDRESSES (0),
.CHIPSELECT_THROUGH_READLATENCY (0),
.AV_READ_WAIT_CYCLES (1),
.AV_WRITE_WAIT_CYCLES (0),
.AV_SETUP_WAIT_CYCLES (0),
.AV_DATA_HOLD_CYCLES (0)
) servo_pwm_0_s0_translator (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // reset.reset
.uav_address (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_address), // avalon_universal_slave_0.address
.uav_burstcount (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.uav_read (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_read), // .read
.uav_write (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_write), // .write
.uav_waitrequest (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.uav_readdatavalid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.uav_byteenable (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.uav_readdata (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.uav_writedata (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.uav_lock (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.uav_debugaccess (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.av_write (servo_pwm_0_s0_translator_avalon_anti_slave_0_write), // avalon_anti_slave_0.write
.av_read (servo_pwm_0_s0_translator_avalon_anti_slave_0_read), // .read
.av_readdata (servo_pwm_0_s0_translator_avalon_anti_slave_0_readdata), // .readdata
.av_writedata (servo_pwm_0_s0_translator_avalon_anti_slave_0_writedata), // .writedata
.av_address (), // (terminated)
.av_begintransfer (), // (terminated)
.av_beginbursttransfer (), // (terminated)
.av_burstcount (), // (terminated)
.av_byteenable (), // (terminated)
.av_readdatavalid (1'b0), // (terminated)
.av_waitrequest (1'b0), // (terminated)
.av_writebyteenable (), // (terminated)
.av_lock (), // (terminated)
.av_chipselect (), // (terminated)
.av_clken (), // (terminated)
.uav_clken (1'b0), // (terminated)
.av_debugaccess (), // (terminated)
.av_outputenable () // (terminated)
);
altera_merlin_master_agent #(
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_BEGIN_BURST (80),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.PKT_BURST_TYPE_H (77),
.PKT_BURST_TYPE_L (76),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_TRANS_EXCLUSIVE (66),
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_THREAD_ID_H (90),
.PKT_THREAD_ID_L (90),
.PKT_CACHE_H (97),
.PKT_CACHE_L (94),
.PKT_DATA_SIDEBAND_H (79),
.PKT_DATA_SIDEBAND_L (79),
.PKT_QOS_H (81),
.PKT_QOS_L (81),
.PKT_ADDR_SIDEBAND_H (78),
.PKT_ADDR_SIDEBAND_L (78),
.ST_DATA_W (100),
.ST_CHANNEL_W (13),
.AV_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_RSP (0),
.ID (0),
.BURSTWRAP_VALUE (3),
.CACHE_VALUE (4'b0000)
) nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.av_address (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_address), // av.address
.av_write (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_write), // .write
.av_read (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_read), // .read
.av_writedata (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata
.av_readdata (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata
.av_waitrequest (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
.av_readdatavalid (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
.av_byteenable (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable
.av_burstcount (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount
.av_debugaccess (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
.av_lock (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_lock), // .lock
.cp_valid (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid
.cp_data (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data
.cp_startofpacket (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket
.cp_endofpacket (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket
.cp_ready (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready
.rp_valid (limiter_rsp_src_valid), // rp.valid
.rp_data (limiter_rsp_src_data), // .data
.rp_channel (limiter_rsp_src_channel), // .channel
.rp_startofpacket (limiter_rsp_src_startofpacket), // .startofpacket
.rp_endofpacket (limiter_rsp_src_endofpacket), // .endofpacket
.rp_ready (limiter_rsp_src_ready) // .ready
);
altera_merlin_master_agent #(
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_BEGIN_BURST (80),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.PKT_BURST_TYPE_H (77),
.PKT_BURST_TYPE_L (76),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_TRANS_EXCLUSIVE (66),
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_THREAD_ID_H (90),
.PKT_THREAD_ID_L (90),
.PKT_CACHE_H (97),
.PKT_CACHE_L (94),
.PKT_DATA_SIDEBAND_H (79),
.PKT_DATA_SIDEBAND_L (79),
.PKT_QOS_H (81),
.PKT_QOS_L (81),
.PKT_ADDR_SIDEBAND_H (78),
.PKT_ADDR_SIDEBAND_L (78),
.ST_DATA_W (100),
.ST_CHANNEL_W (13),
.AV_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_RSP (0),
.ID (1),
.BURSTWRAP_VALUE (7),
.CACHE_VALUE (4'b0000)
) nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.av_address (nios2_qsys_0_data_master_translator_avalon_universal_master_0_address), // av.address
.av_write (nios2_qsys_0_data_master_translator_avalon_universal_master_0_write), // .write
.av_read (nios2_qsys_0_data_master_translator_avalon_universal_master_0_read), // .read
.av_writedata (nios2_qsys_0_data_master_translator_avalon_universal_master_0_writedata), // .writedata
.av_readdata (nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdata), // .readdata
.av_waitrequest (nios2_qsys_0_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
.av_readdatavalid (nios2_qsys_0_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
.av_byteenable (nios2_qsys_0_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable
.av_burstcount (nios2_qsys_0_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount
.av_debugaccess (nios2_qsys_0_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
.av_lock (nios2_qsys_0_data_master_translator_avalon_universal_master_0_lock), // .lock
.cp_valid (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_valid), // cp.valid
.cp_data (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data
.cp_startofpacket (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket
.cp_endofpacket (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket
.cp_ready (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_ready), // .ready
.rp_valid (limiter_001_rsp_src_valid), // rp.valid
.rp_data (limiter_001_rsp_src_data), // .data
.rp_channel (limiter_001_rsp_src_channel), // .channel
.rp_startofpacket (limiter_001_rsp_src_startofpacket), // .startofpacket
.rp_endofpacket (limiter_001_rsp_src_endofpacket), // .endofpacket
.rp_ready (limiter_001_rsp_src_ready) // .ready
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_mux_src_ready), // cp.ready
.cp_valid (cmd_xbar_mux_src_valid), // .valid
.cp_data (cmd_xbar_mux_src_data), // .data
.cp_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_mux_src_channel), // .channel
.rf_sink_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_mux_001_src_ready), // cp.ready
.cp_valid (cmd_xbar_mux_001_src_valid), // .valid
.cp_data (cmd_xbar_mux_001_src_data), // .data
.cp_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_mux_001_src_channel), // .channel
.rf_sink_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (15),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (62),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (17),
.PKT_BYTEEN_L (16),
.PKT_ADDR_H (42),
.PKT_ADDR_L (18),
.PKT_TRANS_COMPRESSED_READ (43),
.PKT_TRANS_POSTED (44),
.PKT_TRANS_WRITE (45),
.PKT_TRANS_READ (46),
.PKT_TRANS_LOCK (47),
.PKT_SRC_ID_H (67),
.PKT_SRC_ID_L (64),
.PKT_DEST_ID_H (71),
.PKT_DEST_ID_L (68),
.PKT_BURSTWRAP_H (54),
.PKT_BURSTWRAP_L (52),
.PKT_BYTE_CNT_H (51),
.PKT_BYTE_CNT_L (49),
.PKT_PROTECTION_H (75),
.PKT_PROTECTION_L (73),
.PKT_RESPONSE_STATUS_H (81),
.PKT_RESPONSE_STATUS_L (80),
.PKT_BURST_SIZE_H (57),
.PKT_BURST_SIZE_L (55),
.ST_CHANNEL_W (13),
.ST_DATA_W (82),
.AVS_BURSTCOUNT_W (2),
.SUPPRESS_0_BYTEEN_CMD (1),
.PREVENT_FIFO_OVERFLOW (1)
) sdram_0_s1_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (sdram_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (burst_adapter_source0_ready), // cp.ready
.cp_valid (burst_adapter_source0_valid), // .valid
.cp_data (burst_adapter_source0_data), // .data
.cp_startofpacket (burst_adapter_source0_startofpacket), // .startofpacket
.cp_endofpacket (burst_adapter_source0_endofpacket), // .endofpacket
.cp_channel (burst_adapter_source0_channel), // .channel
.rf_sink_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (83),
.FIFO_DEPTH (8),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (15),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (62),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (17),
.PKT_BYTEEN_L (16),
.PKT_ADDR_H (42),
.PKT_ADDR_L (18),
.PKT_TRANS_COMPRESSED_READ (43),
.PKT_TRANS_POSTED (44),
.PKT_TRANS_WRITE (45),
.PKT_TRANS_READ (46),
.PKT_TRANS_LOCK (47),
.PKT_SRC_ID_H (67),
.PKT_SRC_ID_L (64),
.PKT_DEST_ID_H (71),
.PKT_DEST_ID_L (68),
.PKT_BURSTWRAP_H (54),
.PKT_BURSTWRAP_L (52),
.PKT_BYTE_CNT_H (51),
.PKT_BYTE_CNT_L (49),
.PKT_PROTECTION_H (75),
.PKT_PROTECTION_L (73),
.PKT_RESPONSE_STATUS_H (81),
.PKT_RESPONSE_STATUS_L (80),
.PKT_BURST_SIZE_H (57),
.PKT_BURST_SIZE_L (55),
.ST_CHANNEL_W (13),
.ST_DATA_W (82),
.AVS_BURSTCOUNT_W (2),
.SUPPRESS_0_BYTEEN_CMD (1),
.PREVENT_FIFO_OVERFLOW (1)
) sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (burst_adapter_001_source0_ready), // cp.ready
.cp_valid (burst_adapter_001_source0_valid), // .valid
.cp_data (burst_adapter_001_source0_data), // .data
.cp_startofpacket (burst_adapter_001_source0_startofpacket), // .startofpacket
.cp_endofpacket (burst_adapter_001_source0_endofpacket), // .endofpacket
.cp_channel (burst_adapter_001_source0_channel), // .channel
.rf_sink_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (83),
.FIFO_DEPTH (3),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) altpll_0_pll_slave_translator_avalon_universal_slave_0_agent (
.clk (clk_clk), // clk.clk
.reset (rst_controller_001_reset_out_reset), // clk_reset.reset
.m0_address (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (crosser_out_ready), // cp.ready
.cp_valid (crosser_out_valid), // .valid
.cp_data (crosser_out_data), // .data
.cp_startofpacket (crosser_out_startofpacket), // .startofpacket
.cp_endofpacket (crosser_out_endofpacket), // .endofpacket
.cp_channel (crosser_out_channel), // .channel
.rf_sink_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_valid), // .valid
.rdata_fifo_sink_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_data), // .data
.rdata_fifo_src_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (clk_clk), // clk.clk
.reset (rst_controller_001_reset_out_reset), // clk_reset.reset
.in_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (32),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (0),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (0),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo (
.clk (clk_clk), // clk.clk
.reset (rst_controller_001_reset_out_reset), // clk_reset.reset
.in_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // in.data
.in_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.in_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // .ready
.out_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_data), // out.data
.out_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_valid), // .valid
.out_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_out_ready), // .ready
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_startofpacket (1'b0), // (terminated)
.in_endofpacket (1'b0), // (terminated)
.out_startofpacket (), // (terminated)
.out_endofpacket (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src5_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src5_valid), // .valid
.cp_data (cmd_xbar_demux_001_src5_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src5_channel), // .channel
.rf_sink_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) timer_0_s1_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (timer_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src6_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src6_valid), // .valid
.cp_data (cmd_xbar_demux_001_src6_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src6_channel), // .channel
.rf_sink_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src7_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src7_valid), // .valid
.cp_data (cmd_xbar_demux_001_src7_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src7_channel), // .channel
.rf_sink_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (7),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (53),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (8),
.PKT_BYTEEN_L (8),
.PKT_ADDR_H (33),
.PKT_ADDR_L (9),
.PKT_TRANS_COMPRESSED_READ (34),
.PKT_TRANS_POSTED (35),
.PKT_TRANS_WRITE (36),
.PKT_TRANS_READ (37),
.PKT_TRANS_LOCK (38),
.PKT_SRC_ID_H (58),
.PKT_SRC_ID_L (55),
.PKT_DEST_ID_H (62),
.PKT_DEST_ID_L (59),
.PKT_BURSTWRAP_H (45),
.PKT_BURSTWRAP_L (43),
.PKT_BYTE_CNT_H (42),
.PKT_BYTE_CNT_L (40),
.PKT_PROTECTION_H (66),
.PKT_PROTECTION_L (64),
.PKT_RESPONSE_STATUS_H (72),
.PKT_RESPONSE_STATUS_L (71),
.PKT_BURST_SIZE_H (48),
.PKT_BURST_SIZE_L (46),
.ST_CHANNEL_W (13),
.ST_DATA_W (73),
.AVS_BURSTCOUNT_W (1),
.SUPPRESS_0_BYTEEN_CMD (1),
.PREVENT_FIFO_OVERFLOW (1)
) character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (burst_adapter_002_source0_ready), // cp.ready
.cp_valid (burst_adapter_002_source0_valid), // .valid
.cp_data (burst_adapter_002_source0_data), // .data
.cp_startofpacket (burst_adapter_002_source0_startofpacket), // .startofpacket
.cp_endofpacket (burst_adapter_002_source0_endofpacket), // .endofpacket
.cp_channel (burst_adapter_002_source0_channel), // .channel
.rf_sink_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (74),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) green_leds_s1_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (green_leds_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src9_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src9_valid), // .valid
.cp_data (cmd_xbar_demux_001_src9_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src9_channel), // .channel
.rf_sink_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) switch_s1_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (switch_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (switch_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (switch_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (switch_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (switch_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (switch_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (switch_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (switch_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (switch_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (switch_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (switch_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (switch_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (switch_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (switch_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src10_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src10_valid), // .valid
.cp_data (cmd_xbar_demux_001_src10_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src10_channel), // .channel
.rf_sink_ready (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (switch_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) switch_0_s1_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (switch_0_s1_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src11_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src11_valid), // .valid
.cp_data (cmd_xbar_demux_001_src11_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src11_channel), // .channel
.rf_sink_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
altera_merlin_slave_agent #(
.PKT_DATA_H (31),
.PKT_DATA_L (0),
.PKT_BEGIN_BURST (80),
.PKT_SYMBOL_W (8),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32),
.PKT_ADDR_H (60),
.PKT_ADDR_L (36),
.PKT_TRANS_COMPRESSED_READ (61),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.PKT_TRANS_READ (64),
.PKT_TRANS_LOCK (65),
.PKT_SRC_ID_H (85),
.PKT_SRC_ID_L (82),
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_BURSTWRAP_H (72),
.PKT_BURSTWRAP_L (70),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_PROTECTION_H (93),
.PKT_PROTECTION_L (91),
.PKT_RESPONSE_STATUS_H (99),
.PKT_RESPONSE_STATUS_L (98),
.PKT_BURST_SIZE_H (75),
.PKT_BURST_SIZE_L (73),
.ST_CHANNEL_W (13),
.ST_DATA_W (100),
.AVS_BURSTCOUNT_W (3),
.SUPPRESS_0_BYTEEN_CMD (0),
.PREVENT_FIFO_OVERFLOW (1)
) servo_pwm_0_s0_translator_avalon_universal_slave_0_agent (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.m0_address (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_address), // m0.address
.m0_burstcount (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_burstcount), // .burstcount
.m0_byteenable (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_byteenable), // .byteenable
.m0_debugaccess (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_debugaccess), // .debugaccess
.m0_lock (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_lock), // .lock
.m0_readdata (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdata), // .readdata
.m0_readdatavalid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_readdatavalid), // .readdatavalid
.m0_read (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_read), // .read
.m0_waitrequest (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_waitrequest), // .waitrequest
.m0_writedata (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_writedata), // .writedata
.m0_write (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_m0_write), // .write
.rp_endofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_endofpacket), // rp.endofpacket
.rp_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_ready), // .ready
.rp_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.rp_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_data), // .data
.rp_startofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.cp_ready (cmd_xbar_demux_001_src12_ready), // cp.ready
.cp_valid (cmd_xbar_demux_001_src12_valid), // .valid
.cp_data (cmd_xbar_demux_001_src12_data), // .data
.cp_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket
.cp_endofpacket (cmd_xbar_demux_001_src12_endofpacket), // .endofpacket
.cp_channel (cmd_xbar_demux_001_src12_channel), // .channel
.rf_sink_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // rf_sink.ready
.rf_sink_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.rf_sink_startofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.rf_sink_endofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.rf_sink_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // .data
.rf_source_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_ready), // rf_source.ready
.rf_source_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.rf_source_startofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.rf_source_endofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.rf_source_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_data), // .data
.rdata_fifo_sink_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_sink.ready
.rdata_fifo_sink_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_sink_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data), // .data
.rdata_fifo_src_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
.rdata_fifo_src_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid), // .valid
.rdata_fifo_src_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data) // .data
);
altera_avalon_sc_fifo #(
.SYMBOLS_PER_BEAT (1),
.BITS_PER_SYMBOL (101),
.FIFO_DEPTH (2),
.CHANNEL_WIDTH (0),
.ERROR_WIDTH (0),
.USE_PACKETS (1),
.USE_FILL_LEVEL (0),
.EMPTY_LATENCY (1),
.USE_MEMORY_BLOCKS (0),
.USE_STORE_FORWARD (0),
.USE_ALMOST_FULL_IF (0),
.USE_ALMOST_EMPTY_IF (0)
) servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_data), // in.data
.in_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_valid), // .valid
.in_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_ready), // .ready
.in_startofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket), // .startofpacket
.in_endofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket), // .endofpacket
.out_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data), // out.data
.out_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid), // .valid
.out_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready), // .ready
.out_startofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket), // .startofpacket
.out_endofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket), // .endofpacket
.csr_address (2'b00), // (terminated)
.csr_read (1'b0), // (terminated)
.csr_write (1'b0), // (terminated)
.csr_readdata (), // (terminated)
.csr_writedata (32'b00000000000000000000000000000000), // (terminated)
.almost_full_data (), // (terminated)
.almost_empty_data (), // (terminated)
.in_empty (1'b0), // (terminated)
.out_empty (), // (terminated)
.in_error (1'b0), // (terminated)
.out_error (), // (terminated)
.in_channel (1'b0), // (terminated)
.out_channel () // (terminated)
);
tracking_camera_system_addr_router addr_router (
.sink_ready (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready
.sink_valid (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid
.sink_data (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_data), // .data
.sink_startofpacket (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket
.sink_endofpacket (nios2_qsys_0_instruction_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (addr_router_src_ready), // src.ready
.src_valid (addr_router_src_valid), // .valid
.src_data (addr_router_src_data), // .data
.src_channel (addr_router_src_channel), // .channel
.src_startofpacket (addr_router_src_startofpacket), // .startofpacket
.src_endofpacket (addr_router_src_endofpacket) // .endofpacket
);
tracking_camera_system_addr_router_001 addr_router_001 (
.sink_ready (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_ready), // sink.ready
.sink_valid (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_valid), // .valid
.sink_data (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_data), // .data
.sink_startofpacket (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_startofpacket), // .startofpacket
.sink_endofpacket (nios2_qsys_0_data_master_translator_avalon_universal_master_0_agent_cp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (addr_router_001_src_ready), // src.ready
.src_valid (addr_router_001_src_valid), // .valid
.src_data (addr_router_001_src_data), // .data
.src_channel (addr_router_001_src_channel), // .channel
.src_startofpacket (addr_router_001_src_startofpacket), // .startofpacket
.src_endofpacket (addr_router_001_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router id_router (
.sink_ready (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (nios2_qsys_0_jtag_debug_module_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_src_ready), // src.ready
.src_valid (id_router_src_valid), // .valid
.src_data (id_router_src_data), // .data
.src_channel (id_router_src_channel), // .channel
.src_startofpacket (id_router_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router id_router_001 (
.sink_ready (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (onchip_memory2_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_001_src_ready), // src.ready
.src_valid (id_router_001_src_valid), // .valid
.src_data (id_router_001_src_data), // .data
.src_channel (id_router_001_src_channel), // .channel
.src_startofpacket (id_router_001_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_001_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_002 id_router_002 (
.sink_ready (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (sdram_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_002_src_ready), // src.ready
.src_valid (id_router_002_src_valid), // .valid
.src_data (id_router_002_src_data), // .data
.src_channel (id_router_002_src_channel), // .channel
.src_startofpacket (id_router_002_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_002_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_002 id_router_003 (
.sink_ready (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (sram_0_avalon_sram_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_003_src_ready), // src.ready
.src_valid (id_router_003_src_valid), // .valid
.src_data (id_router_003_src_data), // .data
.src_channel (id_router_003_src_channel), // .channel
.src_startofpacket (id_router_003_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_003_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_004 (
.sink_ready (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (altpll_0_pll_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (clk_clk), // clk.clk
.reset (rst_controller_001_reset_out_reset), // clk_reset.reset
.src_ready (id_router_004_src_ready), // src.ready
.src_valid (id_router_004_src_valid), // .valid
.src_data (id_router_004_src_data), // .data
.src_channel (id_router_004_src_channel), // .channel
.src_startofpacket (id_router_004_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_004_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_005 (
.sink_ready (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (sysid_qsys_0_control_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_005_src_ready), // src.ready
.src_valid (id_router_005_src_valid), // .valid
.src_data (id_router_005_src_data), // .data
.src_channel (id_router_005_src_channel), // .channel
.src_startofpacket (id_router_005_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_005_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_006 (
.sink_ready (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (timer_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_006_src_ready), // src.ready
.src_valid (id_router_006_src_valid), // .valid
.src_data (id_router_006_src_data), // .data
.src_channel (id_router_006_src_channel), // .channel
.src_startofpacket (id_router_006_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_006_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_007 (
.sink_ready (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (jtag_uart_0_avalon_jtag_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_007_src_ready), // src.ready
.src_valid (id_router_007_src_valid), // .valid
.src_data (id_router_007_src_data), // .data
.src_channel (id_router_007_src_channel), // .channel
.src_startofpacket (id_router_007_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_007_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_008 id_router_008 (
.sink_ready (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (character_lcd_0_avalon_lcd_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_008_src_ready), // src.ready
.src_valid (id_router_008_src_valid), // .valid
.src_data (id_router_008_src_data), // .data
.src_channel (id_router_008_src_channel), // .channel
.src_startofpacket (id_router_008_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_008_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_009 (
.sink_ready (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (green_leds_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_009_src_ready), // src.ready
.src_valid (id_router_009_src_valid), // .valid
.src_data (id_router_009_src_data), // .data
.src_channel (id_router_009_src_channel), // .channel
.src_startofpacket (id_router_009_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_009_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_010 (
.sink_ready (switch_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (switch_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (switch_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (switch_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_010_src_ready), // src.ready
.src_valid (id_router_010_src_valid), // .valid
.src_data (id_router_010_src_data), // .data
.src_channel (id_router_010_src_channel), // .channel
.src_startofpacket (id_router_010_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_010_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_011 (
.sink_ready (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (switch_0_s1_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_011_src_ready), // src.ready
.src_valid (id_router_011_src_valid), // .valid
.src_data (id_router_011_src_data), // .data
.src_channel (id_router_011_src_channel), // .channel
.src_startofpacket (id_router_011_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_011_src_endofpacket) // .endofpacket
);
tracking_camera_system_id_router_004 id_router_012 (
.sink_ready (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_ready), // sink.ready
.sink_valid (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_valid), // .valid
.sink_data (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_data), // .data
.sink_startofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_startofpacket), // .startofpacket
.sink_endofpacket (servo_pwm_0_s0_translator_avalon_universal_slave_0_agent_rp_endofpacket), // .endofpacket
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (id_router_012_src_ready), // src.ready
.src_valid (id_router_012_src_valid), // .valid
.src_data (id_router_012_src_data), // .data
.src_channel (id_router_012_src_channel), // .channel
.src_startofpacket (id_router_012_src_startofpacket), // .startofpacket
.src_endofpacket (id_router_012_src_endofpacket) // .endofpacket
);
altera_merlin_traffic_limiter #(
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.MAX_OUTSTANDING_RESPONSES (9),
.PIPELINED (0),
.ST_DATA_W (100),
.ST_CHANNEL_W (13),
.VALID_WIDTH (13),
.ENFORCE_ORDER (1),
.PREVENT_HAZARDS (0),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32)
) limiter (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.cmd_sink_ready (addr_router_src_ready), // cmd_sink.ready
.cmd_sink_valid (addr_router_src_valid), // .valid
.cmd_sink_data (addr_router_src_data), // .data
.cmd_sink_channel (addr_router_src_channel), // .channel
.cmd_sink_startofpacket (addr_router_src_startofpacket), // .startofpacket
.cmd_sink_endofpacket (addr_router_src_endofpacket), // .endofpacket
.cmd_src_ready (limiter_cmd_src_ready), // cmd_src.ready
.cmd_src_data (limiter_cmd_src_data), // .data
.cmd_src_channel (limiter_cmd_src_channel), // .channel
.cmd_src_startofpacket (limiter_cmd_src_startofpacket), // .startofpacket
.cmd_src_endofpacket (limiter_cmd_src_endofpacket), // .endofpacket
.rsp_sink_ready (rsp_xbar_mux_src_ready), // rsp_sink.ready
.rsp_sink_valid (rsp_xbar_mux_src_valid), // .valid
.rsp_sink_channel (rsp_xbar_mux_src_channel), // .channel
.rsp_sink_data (rsp_xbar_mux_src_data), // .data
.rsp_sink_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket
.rsp_sink_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket
.rsp_src_ready (limiter_rsp_src_ready), // rsp_src.ready
.rsp_src_valid (limiter_rsp_src_valid), // .valid
.rsp_src_data (limiter_rsp_src_data), // .data
.rsp_src_channel (limiter_rsp_src_channel), // .channel
.rsp_src_startofpacket (limiter_rsp_src_startofpacket), // .startofpacket
.rsp_src_endofpacket (limiter_rsp_src_endofpacket), // .endofpacket
.cmd_src_valid (limiter_cmd_valid_data) // cmd_valid.data
);
altera_merlin_traffic_limiter #(
.PKT_DEST_ID_H (89),
.PKT_DEST_ID_L (86),
.PKT_TRANS_POSTED (62),
.PKT_TRANS_WRITE (63),
.MAX_OUTSTANDING_RESPONSES (9),
.PIPELINED (0),
.ST_DATA_W (100),
.ST_CHANNEL_W (13),
.VALID_WIDTH (13),
.ENFORCE_ORDER (1),
.PREVENT_HAZARDS (0),
.PKT_BYTE_CNT_H (69),
.PKT_BYTE_CNT_L (67),
.PKT_BYTEEN_H (35),
.PKT_BYTEEN_L (32)
) limiter_001 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.cmd_sink_ready (addr_router_001_src_ready), // cmd_sink.ready
.cmd_sink_valid (addr_router_001_src_valid), // .valid
.cmd_sink_data (addr_router_001_src_data), // .data
.cmd_sink_channel (addr_router_001_src_channel), // .channel
.cmd_sink_startofpacket (addr_router_001_src_startofpacket), // .startofpacket
.cmd_sink_endofpacket (addr_router_001_src_endofpacket), // .endofpacket
.cmd_src_ready (limiter_001_cmd_src_ready), // cmd_src.ready
.cmd_src_data (limiter_001_cmd_src_data), // .data
.cmd_src_channel (limiter_001_cmd_src_channel), // .channel
.cmd_src_startofpacket (limiter_001_cmd_src_startofpacket), // .startofpacket
.cmd_src_endofpacket (limiter_001_cmd_src_endofpacket), // .endofpacket
.rsp_sink_ready (rsp_xbar_mux_001_src_ready), // rsp_sink.ready
.rsp_sink_valid (rsp_xbar_mux_001_src_valid), // .valid
.rsp_sink_channel (rsp_xbar_mux_001_src_channel), // .channel
.rsp_sink_data (rsp_xbar_mux_001_src_data), // .data
.rsp_sink_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket
.rsp_sink_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket
.rsp_src_ready (limiter_001_rsp_src_ready), // rsp_src.ready
.rsp_src_valid (limiter_001_rsp_src_valid), // .valid
.rsp_src_data (limiter_001_rsp_src_data), // .data
.rsp_src_channel (limiter_001_rsp_src_channel), // .channel
.rsp_src_startofpacket (limiter_001_rsp_src_startofpacket), // .startofpacket
.rsp_src_endofpacket (limiter_001_rsp_src_endofpacket), // .endofpacket
.cmd_src_valid (limiter_001_cmd_valid_data) // cmd_valid.data
);
altera_merlin_burst_adapter #(
.PKT_ADDR_H (42),
.PKT_ADDR_L (18),
.PKT_BEGIN_BURST (62),
.PKT_BYTE_CNT_H (51),
.PKT_BYTE_CNT_L (49),
.PKT_BYTEEN_H (17),
.PKT_BYTEEN_L (16),
.PKT_BURST_SIZE_H (57),
.PKT_BURST_SIZE_L (55),
.PKT_BURST_TYPE_H (59),
.PKT_BURST_TYPE_L (58),
.PKT_BURSTWRAP_H (54),
.PKT_BURSTWRAP_L (52),
.PKT_TRANS_COMPRESSED_READ (43),
.PKT_TRANS_WRITE (45),
.PKT_TRANS_READ (46),
.OUT_NARROW_SIZE (0),
.IN_NARROW_SIZE (0),
.OUT_FIXED (0),
.OUT_COMPLETE_WRAP (0),
.ST_DATA_W (82),
.ST_CHANNEL_W (13),
.OUT_BYTE_CNT_H (50),
.OUT_BURSTWRAP_H (54),
.COMPRESSED_READ_SUPPORT (0),
.BYTEENABLE_SYNTHESIS (0),
.PIPE_INPUTS (0),
.NO_WRAP_SUPPORT (0),
.BURSTWRAP_CONST_MASK (3),
.BURSTWRAP_CONST_VALUE (3)
) burst_adapter (
.clk (altpll_0_c1_clk), // cr0.clk
.reset (rst_controller_reset_out_reset), // cr0_reset.reset
.sink0_valid (width_adapter_src_valid), // sink0.valid
.sink0_data (width_adapter_src_data), // .data
.sink0_channel (width_adapter_src_channel), // .channel
.sink0_startofpacket (width_adapter_src_startofpacket), // .startofpacket
.sink0_endofpacket (width_adapter_src_endofpacket), // .endofpacket
.sink0_ready (width_adapter_src_ready), // .ready
.source0_valid (burst_adapter_source0_valid), // source0.valid
.source0_data (burst_adapter_source0_data), // .data
.source0_channel (burst_adapter_source0_channel), // .channel
.source0_startofpacket (burst_adapter_source0_startofpacket), // .startofpacket
.source0_endofpacket (burst_adapter_source0_endofpacket), // .endofpacket
.source0_ready (burst_adapter_source0_ready) // .ready
);
altera_merlin_burst_adapter #(
.PKT_ADDR_H (42),
.PKT_ADDR_L (18),
.PKT_BEGIN_BURST (62),
.PKT_BYTE_CNT_H (51),
.PKT_BYTE_CNT_L (49),
.PKT_BYTEEN_H (17),
.PKT_BYTEEN_L (16),
.PKT_BURST_SIZE_H (57),
.PKT_BURST_SIZE_L (55),
.PKT_BURST_TYPE_H (59),
.PKT_BURST_TYPE_L (58),
.PKT_BURSTWRAP_H (54),
.PKT_BURSTWRAP_L (52),
.PKT_TRANS_COMPRESSED_READ (43),
.PKT_TRANS_WRITE (45),
.PKT_TRANS_READ (46),
.OUT_NARROW_SIZE (0),
.IN_NARROW_SIZE (0),
.OUT_FIXED (0),
.OUT_COMPLETE_WRAP (0),
.ST_DATA_W (82),
.ST_CHANNEL_W (13),
.OUT_BYTE_CNT_H (50),
.OUT_BURSTWRAP_H (54),
.COMPRESSED_READ_SUPPORT (0),
.BYTEENABLE_SYNTHESIS (0),
.PIPE_INPUTS (0),
.NO_WRAP_SUPPORT (0),
.BURSTWRAP_CONST_MASK (3),
.BURSTWRAP_CONST_VALUE (3)
) burst_adapter_001 (
.clk (altpll_0_c1_clk), // cr0.clk
.reset (rst_controller_reset_out_reset), // cr0_reset.reset
.sink0_valid (width_adapter_002_src_valid), // sink0.valid
.sink0_data (width_adapter_002_src_data), // .data
.sink0_channel (width_adapter_002_src_channel), // .channel
.sink0_startofpacket (width_adapter_002_src_startofpacket), // .startofpacket
.sink0_endofpacket (width_adapter_002_src_endofpacket), // .endofpacket
.sink0_ready (width_adapter_002_src_ready), // .ready
.source0_valid (burst_adapter_001_source0_valid), // source0.valid
.source0_data (burst_adapter_001_source0_data), // .data
.source0_channel (burst_adapter_001_source0_channel), // .channel
.source0_startofpacket (burst_adapter_001_source0_startofpacket), // .startofpacket
.source0_endofpacket (burst_adapter_001_source0_endofpacket), // .endofpacket
.source0_ready (burst_adapter_001_source0_ready) // .ready
);
altera_merlin_burst_adapter #(
.PKT_ADDR_H (33),
.PKT_ADDR_L (9),
.PKT_BEGIN_BURST (53),
.PKT_BYTE_CNT_H (42),
.PKT_BYTE_CNT_L (40),
.PKT_BYTEEN_H (8),
.PKT_BYTEEN_L (8),
.PKT_BURST_SIZE_H (48),
.PKT_BURST_SIZE_L (46),
.PKT_BURST_TYPE_H (50),
.PKT_BURST_TYPE_L (49),
.PKT_BURSTWRAP_H (45),
.PKT_BURSTWRAP_L (43),
.PKT_TRANS_COMPRESSED_READ (34),
.PKT_TRANS_WRITE (36),
.PKT_TRANS_READ (37),
.OUT_NARROW_SIZE (0),
.IN_NARROW_SIZE (0),
.OUT_FIXED (0),
.OUT_COMPLETE_WRAP (0),
.ST_DATA_W (73),
.ST_CHANNEL_W (13),
.OUT_BYTE_CNT_H (40),
.OUT_BURSTWRAP_H (45),
.COMPRESSED_READ_SUPPORT (0),
.BYTEENABLE_SYNTHESIS (0),
.PIPE_INPUTS (0),
.NO_WRAP_SUPPORT (0),
.BURSTWRAP_CONST_MASK (7),
.BURSTWRAP_CONST_VALUE (7)
) burst_adapter_002 (
.clk (altpll_0_c1_clk), // cr0.clk
.reset (rst_controller_reset_out_reset), // cr0_reset.reset
.sink0_valid (width_adapter_004_src_valid), // sink0.valid
.sink0_data (width_adapter_004_src_data), // .data
.sink0_channel (width_adapter_004_src_channel), // .channel
.sink0_startofpacket (width_adapter_004_src_startofpacket), // .startofpacket
.sink0_endofpacket (width_adapter_004_src_endofpacket), // .endofpacket
.sink0_ready (width_adapter_004_src_ready), // .ready
.source0_valid (burst_adapter_002_source0_valid), // source0.valid
.source0_data (burst_adapter_002_source0_data), // .data
.source0_channel (burst_adapter_002_source0_channel), // .channel
.source0_startofpacket (burst_adapter_002_source0_startofpacket), // .startofpacket
.source0_endofpacket (burst_adapter_002_source0_endofpacket), // .endofpacket
.source0_ready (burst_adapter_002_source0_ready) // .ready
);
altera_reset_controller #(
.NUM_RESET_INPUTS (2),
.OUTPUT_RESET_SYNC_EDGES ("deassert"),
.SYNC_DEPTH (2)
) rst_controller (
.reset_in0 (~reset_reset_n), // reset_in0.reset
.reset_in1 (nios2_qsys_0_jtag_debug_module_reset_reset), // reset_in1.reset
.clk (altpll_0_c1_clk), // clk.clk
.reset_out (rst_controller_reset_out_reset), // reset_out.reset
.reset_in2 (1'b0), // (terminated)
.reset_in3 (1'b0), // (terminated)
.reset_in4 (1'b0), // (terminated)
.reset_in5 (1'b0), // (terminated)
.reset_in6 (1'b0), // (terminated)
.reset_in7 (1'b0), // (terminated)
.reset_in8 (1'b0), // (terminated)
.reset_in9 (1'b0), // (terminated)
.reset_in10 (1'b0), // (terminated)
.reset_in11 (1'b0), // (terminated)
.reset_in12 (1'b0), // (terminated)
.reset_in13 (1'b0), // (terminated)
.reset_in14 (1'b0), // (terminated)
.reset_in15 (1'b0) // (terminated)
);
altera_reset_controller #(
.NUM_RESET_INPUTS (2),
.OUTPUT_RESET_SYNC_EDGES ("deassert"),
.SYNC_DEPTH (2)
) rst_controller_001 (
.reset_in0 (~reset_reset_n), // reset_in0.reset
.reset_in1 (nios2_qsys_0_jtag_debug_module_reset_reset), // reset_in1.reset
.clk (clk_clk), // clk.clk
.reset_out (rst_controller_001_reset_out_reset), // reset_out.reset
.reset_in2 (1'b0), // (terminated)
.reset_in3 (1'b0), // (terminated)
.reset_in4 (1'b0), // (terminated)
.reset_in5 (1'b0), // (terminated)
.reset_in6 (1'b0), // (terminated)
.reset_in7 (1'b0), // (terminated)
.reset_in8 (1'b0), // (terminated)
.reset_in9 (1'b0), // (terminated)
.reset_in10 (1'b0), // (terminated)
.reset_in11 (1'b0), // (terminated)
.reset_in12 (1'b0), // (terminated)
.reset_in13 (1'b0), // (terminated)
.reset_in14 (1'b0), // (terminated)
.reset_in15 (1'b0) // (terminated)
);
tracking_camera_system_cmd_xbar_demux cmd_xbar_demux (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (limiter_cmd_src_ready), // sink.ready
.sink_channel (limiter_cmd_src_channel), // .channel
.sink_data (limiter_cmd_src_data), // .data
.sink_startofpacket (limiter_cmd_src_startofpacket), // .startofpacket
.sink_endofpacket (limiter_cmd_src_endofpacket), // .endofpacket
.sink_valid (limiter_cmd_valid_data), // sink_valid.data
.src0_ready (cmd_xbar_demux_src0_ready), // src0.ready
.src0_valid (cmd_xbar_demux_src0_valid), // .valid
.src0_data (cmd_xbar_demux_src0_data), // .data
.src0_channel (cmd_xbar_demux_src0_channel), // .channel
.src0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket
.src0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket
.src1_ready (cmd_xbar_demux_src1_ready), // src1.ready
.src1_valid (cmd_xbar_demux_src1_valid), // .valid
.src1_data (cmd_xbar_demux_src1_data), // .data
.src1_channel (cmd_xbar_demux_src1_channel), // .channel
.src1_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket
.src1_endofpacket (cmd_xbar_demux_src1_endofpacket), // .endofpacket
.src2_ready (cmd_xbar_demux_src2_ready), // src2.ready
.src2_valid (cmd_xbar_demux_src2_valid), // .valid
.src2_data (cmd_xbar_demux_src2_data), // .data
.src2_channel (cmd_xbar_demux_src2_channel), // .channel
.src2_startofpacket (cmd_xbar_demux_src2_startofpacket), // .startofpacket
.src2_endofpacket (cmd_xbar_demux_src2_endofpacket), // .endofpacket
.src3_ready (cmd_xbar_demux_src3_ready), // src3.ready
.src3_valid (cmd_xbar_demux_src3_valid), // .valid
.src3_data (cmd_xbar_demux_src3_data), // .data
.src3_channel (cmd_xbar_demux_src3_channel), // .channel
.src3_startofpacket (cmd_xbar_demux_src3_startofpacket), // .startofpacket
.src3_endofpacket (cmd_xbar_demux_src3_endofpacket) // .endofpacket
);
tracking_camera_system_cmd_xbar_demux_001 cmd_xbar_demux_001 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (limiter_001_cmd_src_ready), // sink.ready
.sink_channel (limiter_001_cmd_src_channel), // .channel
.sink_data (limiter_001_cmd_src_data), // .data
.sink_startofpacket (limiter_001_cmd_src_startofpacket), // .startofpacket
.sink_endofpacket (limiter_001_cmd_src_endofpacket), // .endofpacket
.sink_valid (limiter_001_cmd_valid_data), // sink_valid.data
.src0_ready (cmd_xbar_demux_001_src0_ready), // src0.ready
.src0_valid (cmd_xbar_demux_001_src0_valid), // .valid
.src0_data (cmd_xbar_demux_001_src0_data), // .data
.src0_channel (cmd_xbar_demux_001_src0_channel), // .channel
.src0_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket
.src0_endofpacket (cmd_xbar_demux_001_src0_endofpacket), // .endofpacket
.src1_ready (cmd_xbar_demux_001_src1_ready), // src1.ready
.src1_valid (cmd_xbar_demux_001_src1_valid), // .valid
.src1_data (cmd_xbar_demux_001_src1_data), // .data
.src1_channel (cmd_xbar_demux_001_src1_channel), // .channel
.src1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket
.src1_endofpacket (cmd_xbar_demux_001_src1_endofpacket), // .endofpacket
.src2_ready (cmd_xbar_demux_001_src2_ready), // src2.ready
.src2_valid (cmd_xbar_demux_001_src2_valid), // .valid
.src2_data (cmd_xbar_demux_001_src2_data), // .data
.src2_channel (cmd_xbar_demux_001_src2_channel), // .channel
.src2_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket
.src2_endofpacket (cmd_xbar_demux_001_src2_endofpacket), // .endofpacket
.src3_ready (cmd_xbar_demux_001_src3_ready), // src3.ready
.src3_valid (cmd_xbar_demux_001_src3_valid), // .valid
.src3_data (cmd_xbar_demux_001_src3_data), // .data
.src3_channel (cmd_xbar_demux_001_src3_channel), // .channel
.src3_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket
.src3_endofpacket (cmd_xbar_demux_001_src3_endofpacket), // .endofpacket
.src4_ready (cmd_xbar_demux_001_src4_ready), // src4.ready
.src4_valid (cmd_xbar_demux_001_src4_valid), // .valid
.src4_data (cmd_xbar_demux_001_src4_data), // .data
.src4_channel (cmd_xbar_demux_001_src4_channel), // .channel
.src4_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket
.src4_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket
.src5_ready (cmd_xbar_demux_001_src5_ready), // src5.ready
.src5_valid (cmd_xbar_demux_001_src5_valid), // .valid
.src5_data (cmd_xbar_demux_001_src5_data), // .data
.src5_channel (cmd_xbar_demux_001_src5_channel), // .channel
.src5_startofpacket (cmd_xbar_demux_001_src5_startofpacket), // .startofpacket
.src5_endofpacket (cmd_xbar_demux_001_src5_endofpacket), // .endofpacket
.src6_ready (cmd_xbar_demux_001_src6_ready), // src6.ready
.src6_valid (cmd_xbar_demux_001_src6_valid), // .valid
.src6_data (cmd_xbar_demux_001_src6_data), // .data
.src6_channel (cmd_xbar_demux_001_src6_channel), // .channel
.src6_startofpacket (cmd_xbar_demux_001_src6_startofpacket), // .startofpacket
.src6_endofpacket (cmd_xbar_demux_001_src6_endofpacket), // .endofpacket
.src7_ready (cmd_xbar_demux_001_src7_ready), // src7.ready
.src7_valid (cmd_xbar_demux_001_src7_valid), // .valid
.src7_data (cmd_xbar_demux_001_src7_data), // .data
.src7_channel (cmd_xbar_demux_001_src7_channel), // .channel
.src7_startofpacket (cmd_xbar_demux_001_src7_startofpacket), // .startofpacket
.src7_endofpacket (cmd_xbar_demux_001_src7_endofpacket), // .endofpacket
.src8_ready (cmd_xbar_demux_001_src8_ready), // src8.ready
.src8_valid (cmd_xbar_demux_001_src8_valid), // .valid
.src8_data (cmd_xbar_demux_001_src8_data), // .data
.src8_channel (cmd_xbar_demux_001_src8_channel), // .channel
.src8_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket
.src8_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket
.src9_ready (cmd_xbar_demux_001_src9_ready), // src9.ready
.src9_valid (cmd_xbar_demux_001_src9_valid), // .valid
.src9_data (cmd_xbar_demux_001_src9_data), // .data
.src9_channel (cmd_xbar_demux_001_src9_channel), // .channel
.src9_startofpacket (cmd_xbar_demux_001_src9_startofpacket), // .startofpacket
.src9_endofpacket (cmd_xbar_demux_001_src9_endofpacket), // .endofpacket
.src10_ready (cmd_xbar_demux_001_src10_ready), // src10.ready
.src10_valid (cmd_xbar_demux_001_src10_valid), // .valid
.src10_data (cmd_xbar_demux_001_src10_data), // .data
.src10_channel (cmd_xbar_demux_001_src10_channel), // .channel
.src10_startofpacket (cmd_xbar_demux_001_src10_startofpacket), // .startofpacket
.src10_endofpacket (cmd_xbar_demux_001_src10_endofpacket), // .endofpacket
.src11_ready (cmd_xbar_demux_001_src11_ready), // src11.ready
.src11_valid (cmd_xbar_demux_001_src11_valid), // .valid
.src11_data (cmd_xbar_demux_001_src11_data), // .data
.src11_channel (cmd_xbar_demux_001_src11_channel), // .channel
.src11_startofpacket (cmd_xbar_demux_001_src11_startofpacket), // .startofpacket
.src11_endofpacket (cmd_xbar_demux_001_src11_endofpacket), // .endofpacket
.src12_ready (cmd_xbar_demux_001_src12_ready), // src12.ready
.src12_valid (cmd_xbar_demux_001_src12_valid), // .valid
.src12_data (cmd_xbar_demux_001_src12_data), // .data
.src12_channel (cmd_xbar_demux_001_src12_channel), // .channel
.src12_startofpacket (cmd_xbar_demux_001_src12_startofpacket), // .startofpacket
.src12_endofpacket (cmd_xbar_demux_001_src12_endofpacket) // .endofpacket
);
tracking_camera_system_cmd_xbar_mux cmd_xbar_mux (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (cmd_xbar_mux_src_ready), // src.ready
.src_valid (cmd_xbar_mux_src_valid), // .valid
.src_data (cmd_xbar_mux_src_data), // .data
.src_channel (cmd_xbar_mux_src_channel), // .channel
.src_startofpacket (cmd_xbar_mux_src_startofpacket), // .startofpacket
.src_endofpacket (cmd_xbar_mux_src_endofpacket), // .endofpacket
.sink0_ready (cmd_xbar_demux_src0_ready), // sink0.ready
.sink0_valid (cmd_xbar_demux_src0_valid), // .valid
.sink0_channel (cmd_xbar_demux_src0_channel), // .channel
.sink0_data (cmd_xbar_demux_src0_data), // .data
.sink0_startofpacket (cmd_xbar_demux_src0_startofpacket), // .startofpacket
.sink0_endofpacket (cmd_xbar_demux_src0_endofpacket), // .endofpacket
.sink1_ready (cmd_xbar_demux_001_src0_ready), // sink1.ready
.sink1_valid (cmd_xbar_demux_001_src0_valid), // .valid
.sink1_channel (cmd_xbar_demux_001_src0_channel), // .channel
.sink1_data (cmd_xbar_demux_001_src0_data), // .data
.sink1_startofpacket (cmd_xbar_demux_001_src0_startofpacket), // .startofpacket
.sink1_endofpacket (cmd_xbar_demux_001_src0_endofpacket) // .endofpacket
);
tracking_camera_system_cmd_xbar_mux cmd_xbar_mux_001 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (cmd_xbar_mux_001_src_ready), // src.ready
.src_valid (cmd_xbar_mux_001_src_valid), // .valid
.src_data (cmd_xbar_mux_001_src_data), // .data
.src_channel (cmd_xbar_mux_001_src_channel), // .channel
.src_startofpacket (cmd_xbar_mux_001_src_startofpacket), // .startofpacket
.src_endofpacket (cmd_xbar_mux_001_src_endofpacket), // .endofpacket
.sink0_ready (cmd_xbar_demux_src1_ready), // sink0.ready
.sink0_valid (cmd_xbar_demux_src1_valid), // .valid
.sink0_channel (cmd_xbar_demux_src1_channel), // .channel
.sink0_data (cmd_xbar_demux_src1_data), // .data
.sink0_startofpacket (cmd_xbar_demux_src1_startofpacket), // .startofpacket
.sink0_endofpacket (cmd_xbar_demux_src1_endofpacket), // .endofpacket
.sink1_ready (cmd_xbar_demux_001_src1_ready), // sink1.ready
.sink1_valid (cmd_xbar_demux_001_src1_valid), // .valid
.sink1_channel (cmd_xbar_demux_001_src1_channel), // .channel
.sink1_data (cmd_xbar_demux_001_src1_data), // .data
.sink1_startofpacket (cmd_xbar_demux_001_src1_startofpacket), // .startofpacket
.sink1_endofpacket (cmd_xbar_demux_001_src1_endofpacket) // .endofpacket
);
tracking_camera_system_cmd_xbar_mux cmd_xbar_mux_002 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (cmd_xbar_mux_002_src_ready), // src.ready
.src_valid (cmd_xbar_mux_002_src_valid), // .valid
.src_data (cmd_xbar_mux_002_src_data), // .data
.src_channel (cmd_xbar_mux_002_src_channel), // .channel
.src_startofpacket (cmd_xbar_mux_002_src_startofpacket), // .startofpacket
.src_endofpacket (cmd_xbar_mux_002_src_endofpacket), // .endofpacket
.sink0_ready (cmd_xbar_demux_src2_ready), // sink0.ready
.sink0_valid (cmd_xbar_demux_src2_valid), // .valid
.sink0_channel (cmd_xbar_demux_src2_channel), // .channel
.sink0_data (cmd_xbar_demux_src2_data), // .data
.sink0_startofpacket (cmd_xbar_demux_src2_startofpacket), // .startofpacket
.sink0_endofpacket (cmd_xbar_demux_src2_endofpacket), // .endofpacket
.sink1_ready (cmd_xbar_demux_001_src2_ready), // sink1.ready
.sink1_valid (cmd_xbar_demux_001_src2_valid), // .valid
.sink1_channel (cmd_xbar_demux_001_src2_channel), // .channel
.sink1_data (cmd_xbar_demux_001_src2_data), // .data
.sink1_startofpacket (cmd_xbar_demux_001_src2_startofpacket), // .startofpacket
.sink1_endofpacket (cmd_xbar_demux_001_src2_endofpacket) // .endofpacket
);
tracking_camera_system_cmd_xbar_mux cmd_xbar_mux_003 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (cmd_xbar_mux_003_src_ready), // src.ready
.src_valid (cmd_xbar_mux_003_src_valid), // .valid
.src_data (cmd_xbar_mux_003_src_data), // .data
.src_channel (cmd_xbar_mux_003_src_channel), // .channel
.src_startofpacket (cmd_xbar_mux_003_src_startofpacket), // .startofpacket
.src_endofpacket (cmd_xbar_mux_003_src_endofpacket), // .endofpacket
.sink0_ready (cmd_xbar_demux_src3_ready), // sink0.ready
.sink0_valid (cmd_xbar_demux_src3_valid), // .valid
.sink0_channel (cmd_xbar_demux_src3_channel), // .channel
.sink0_data (cmd_xbar_demux_src3_data), // .data
.sink0_startofpacket (cmd_xbar_demux_src3_startofpacket), // .startofpacket
.sink0_endofpacket (cmd_xbar_demux_src3_endofpacket), // .endofpacket
.sink1_ready (cmd_xbar_demux_001_src3_ready), // sink1.ready
.sink1_valid (cmd_xbar_demux_001_src3_valid), // .valid
.sink1_channel (cmd_xbar_demux_001_src3_channel), // .channel
.sink1_data (cmd_xbar_demux_001_src3_data), // .data
.sink1_startofpacket (cmd_xbar_demux_001_src3_startofpacket), // .startofpacket
.sink1_endofpacket (cmd_xbar_demux_001_src3_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux rsp_xbar_demux (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_src_ready), // sink.ready
.sink_channel (id_router_src_channel), // .channel
.sink_data (id_router_src_data), // .data
.sink_startofpacket (id_router_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_src_endofpacket), // .endofpacket
.sink_valid (id_router_src_valid), // .valid
.src0_ready (rsp_xbar_demux_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_src0_valid), // .valid
.src0_data (rsp_xbar_demux_src0_data), // .data
.src0_channel (rsp_xbar_demux_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket
.src1_ready (rsp_xbar_demux_src1_ready), // src1.ready
.src1_valid (rsp_xbar_demux_src1_valid), // .valid
.src1_data (rsp_xbar_demux_src1_data), // .data
.src1_channel (rsp_xbar_demux_src1_channel), // .channel
.src1_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket
.src1_endofpacket (rsp_xbar_demux_src1_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux rsp_xbar_demux_001 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_001_src_ready), // sink.ready
.sink_channel (id_router_001_src_channel), // .channel
.sink_data (id_router_001_src_data), // .data
.sink_startofpacket (id_router_001_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_001_src_endofpacket), // .endofpacket
.sink_valid (id_router_001_src_valid), // .valid
.src0_ready (rsp_xbar_demux_001_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_001_src0_valid), // .valid
.src0_data (rsp_xbar_demux_001_src0_data), // .data
.src0_channel (rsp_xbar_demux_001_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_001_src0_endofpacket), // .endofpacket
.src1_ready (rsp_xbar_demux_001_src1_ready), // src1.ready
.src1_valid (rsp_xbar_demux_001_src1_valid), // .valid
.src1_data (rsp_xbar_demux_001_src1_data), // .data
.src1_channel (rsp_xbar_demux_001_src1_channel), // .channel
.src1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket
.src1_endofpacket (rsp_xbar_demux_001_src1_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux rsp_xbar_demux_002 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (width_adapter_001_src_ready), // sink.ready
.sink_channel (width_adapter_001_src_channel), // .channel
.sink_data (width_adapter_001_src_data), // .data
.sink_startofpacket (width_adapter_001_src_startofpacket), // .startofpacket
.sink_endofpacket (width_adapter_001_src_endofpacket), // .endofpacket
.sink_valid (width_adapter_001_src_valid), // .valid
.src0_ready (rsp_xbar_demux_002_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_002_src0_valid), // .valid
.src0_data (rsp_xbar_demux_002_src0_data), // .data
.src0_channel (rsp_xbar_demux_002_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_002_src0_endofpacket), // .endofpacket
.src1_ready (rsp_xbar_demux_002_src1_ready), // src1.ready
.src1_valid (rsp_xbar_demux_002_src1_valid), // .valid
.src1_data (rsp_xbar_demux_002_src1_data), // .data
.src1_channel (rsp_xbar_demux_002_src1_channel), // .channel
.src1_startofpacket (rsp_xbar_demux_002_src1_startofpacket), // .startofpacket
.src1_endofpacket (rsp_xbar_demux_002_src1_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux rsp_xbar_demux_003 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (width_adapter_003_src_ready), // sink.ready
.sink_channel (width_adapter_003_src_channel), // .channel
.sink_data (width_adapter_003_src_data), // .data
.sink_startofpacket (width_adapter_003_src_startofpacket), // .startofpacket
.sink_endofpacket (width_adapter_003_src_endofpacket), // .endofpacket
.sink_valid (width_adapter_003_src_valid), // .valid
.src0_ready (rsp_xbar_demux_003_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_003_src0_valid), // .valid
.src0_data (rsp_xbar_demux_003_src0_data), // .data
.src0_channel (rsp_xbar_demux_003_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_003_src0_endofpacket), // .endofpacket
.src1_ready (rsp_xbar_demux_003_src1_ready), // src1.ready
.src1_valid (rsp_xbar_demux_003_src1_valid), // .valid
.src1_data (rsp_xbar_demux_003_src1_data), // .data
.src1_channel (rsp_xbar_demux_003_src1_channel), // .channel
.src1_startofpacket (rsp_xbar_demux_003_src1_startofpacket), // .startofpacket
.src1_endofpacket (rsp_xbar_demux_003_src1_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_004 (
.clk (clk_clk), // clk.clk
.reset (rst_controller_001_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_004_src_ready), // sink.ready
.sink_channel (id_router_004_src_channel), // .channel
.sink_data (id_router_004_src_data), // .data
.sink_startofpacket (id_router_004_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_004_src_endofpacket), // .endofpacket
.sink_valid (id_router_004_src_valid), // .valid
.src0_ready (rsp_xbar_demux_004_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_004_src0_valid), // .valid
.src0_data (rsp_xbar_demux_004_src0_data), // .data
.src0_channel (rsp_xbar_demux_004_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_004_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_005 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_005_src_ready), // sink.ready
.sink_channel (id_router_005_src_channel), // .channel
.sink_data (id_router_005_src_data), // .data
.sink_startofpacket (id_router_005_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_005_src_endofpacket), // .endofpacket
.sink_valid (id_router_005_src_valid), // .valid
.src0_ready (rsp_xbar_demux_005_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_005_src0_valid), // .valid
.src0_data (rsp_xbar_demux_005_src0_data), // .data
.src0_channel (rsp_xbar_demux_005_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_005_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_006 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_006_src_ready), // sink.ready
.sink_channel (id_router_006_src_channel), // .channel
.sink_data (id_router_006_src_data), // .data
.sink_startofpacket (id_router_006_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_006_src_endofpacket), // .endofpacket
.sink_valid (id_router_006_src_valid), // .valid
.src0_ready (rsp_xbar_demux_006_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_006_src0_valid), // .valid
.src0_data (rsp_xbar_demux_006_src0_data), // .data
.src0_channel (rsp_xbar_demux_006_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_006_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_007 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_007_src_ready), // sink.ready
.sink_channel (id_router_007_src_channel), // .channel
.sink_data (id_router_007_src_data), // .data
.sink_startofpacket (id_router_007_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_007_src_endofpacket), // .endofpacket
.sink_valid (id_router_007_src_valid), // .valid
.src0_ready (rsp_xbar_demux_007_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_007_src0_valid), // .valid
.src0_data (rsp_xbar_demux_007_src0_data), // .data
.src0_channel (rsp_xbar_demux_007_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_007_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_008 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (width_adapter_005_src_ready), // sink.ready
.sink_channel (width_adapter_005_src_channel), // .channel
.sink_data (width_adapter_005_src_data), // .data
.sink_startofpacket (width_adapter_005_src_startofpacket), // .startofpacket
.sink_endofpacket (width_adapter_005_src_endofpacket), // .endofpacket
.sink_valid (width_adapter_005_src_valid), // .valid
.src0_ready (rsp_xbar_demux_008_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_008_src0_valid), // .valid
.src0_data (rsp_xbar_demux_008_src0_data), // .data
.src0_channel (rsp_xbar_demux_008_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_008_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_009 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_009_src_ready), // sink.ready
.sink_channel (id_router_009_src_channel), // .channel
.sink_data (id_router_009_src_data), // .data
.sink_startofpacket (id_router_009_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_009_src_endofpacket), // .endofpacket
.sink_valid (id_router_009_src_valid), // .valid
.src0_ready (rsp_xbar_demux_009_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_009_src0_valid), // .valid
.src0_data (rsp_xbar_demux_009_src0_data), // .data
.src0_channel (rsp_xbar_demux_009_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_009_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_010 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_010_src_ready), // sink.ready
.sink_channel (id_router_010_src_channel), // .channel
.sink_data (id_router_010_src_data), // .data
.sink_startofpacket (id_router_010_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_010_src_endofpacket), // .endofpacket
.sink_valid (id_router_010_src_valid), // .valid
.src0_ready (rsp_xbar_demux_010_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_010_src0_valid), // .valid
.src0_data (rsp_xbar_demux_010_src0_data), // .data
.src0_channel (rsp_xbar_demux_010_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_010_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_011 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_011_src_ready), // sink.ready
.sink_channel (id_router_011_src_channel), // .channel
.sink_data (id_router_011_src_data), // .data
.sink_startofpacket (id_router_011_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_011_src_endofpacket), // .endofpacket
.sink_valid (id_router_011_src_valid), // .valid
.src0_ready (rsp_xbar_demux_011_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_011_src0_valid), // .valid
.src0_data (rsp_xbar_demux_011_src0_data), // .data
.src0_channel (rsp_xbar_demux_011_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_011_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_demux_004 rsp_xbar_demux_012 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.sink_ready (id_router_012_src_ready), // sink.ready
.sink_channel (id_router_012_src_channel), // .channel
.sink_data (id_router_012_src_data), // .data
.sink_startofpacket (id_router_012_src_startofpacket), // .startofpacket
.sink_endofpacket (id_router_012_src_endofpacket), // .endofpacket
.sink_valid (id_router_012_src_valid), // .valid
.src0_ready (rsp_xbar_demux_012_src0_ready), // src0.ready
.src0_valid (rsp_xbar_demux_012_src0_valid), // .valid
.src0_data (rsp_xbar_demux_012_src0_data), // .data
.src0_channel (rsp_xbar_demux_012_src0_channel), // .channel
.src0_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket
.src0_endofpacket (rsp_xbar_demux_012_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_mux rsp_xbar_mux (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (rsp_xbar_mux_src_ready), // src.ready
.src_valid (rsp_xbar_mux_src_valid), // .valid
.src_data (rsp_xbar_mux_src_data), // .data
.src_channel (rsp_xbar_mux_src_channel), // .channel
.src_startofpacket (rsp_xbar_mux_src_startofpacket), // .startofpacket
.src_endofpacket (rsp_xbar_mux_src_endofpacket), // .endofpacket
.sink0_ready (rsp_xbar_demux_src0_ready), // sink0.ready
.sink0_valid (rsp_xbar_demux_src0_valid), // .valid
.sink0_channel (rsp_xbar_demux_src0_channel), // .channel
.sink0_data (rsp_xbar_demux_src0_data), // .data
.sink0_startofpacket (rsp_xbar_demux_src0_startofpacket), // .startofpacket
.sink0_endofpacket (rsp_xbar_demux_src0_endofpacket), // .endofpacket
.sink1_ready (rsp_xbar_demux_001_src0_ready), // sink1.ready
.sink1_valid (rsp_xbar_demux_001_src0_valid), // .valid
.sink1_channel (rsp_xbar_demux_001_src0_channel), // .channel
.sink1_data (rsp_xbar_demux_001_src0_data), // .data
.sink1_startofpacket (rsp_xbar_demux_001_src0_startofpacket), // .startofpacket
.sink1_endofpacket (rsp_xbar_demux_001_src0_endofpacket), // .endofpacket
.sink2_ready (rsp_xbar_demux_002_src0_ready), // sink2.ready
.sink2_valid (rsp_xbar_demux_002_src0_valid), // .valid
.sink2_channel (rsp_xbar_demux_002_src0_channel), // .channel
.sink2_data (rsp_xbar_demux_002_src0_data), // .data
.sink2_startofpacket (rsp_xbar_demux_002_src0_startofpacket), // .startofpacket
.sink2_endofpacket (rsp_xbar_demux_002_src0_endofpacket), // .endofpacket
.sink3_ready (rsp_xbar_demux_003_src0_ready), // sink3.ready
.sink3_valid (rsp_xbar_demux_003_src0_valid), // .valid
.sink3_channel (rsp_xbar_demux_003_src0_channel), // .channel
.sink3_data (rsp_xbar_demux_003_src0_data), // .data
.sink3_startofpacket (rsp_xbar_demux_003_src0_startofpacket), // .startofpacket
.sink3_endofpacket (rsp_xbar_demux_003_src0_endofpacket) // .endofpacket
);
tracking_camera_system_rsp_xbar_mux_001 rsp_xbar_mux_001 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.src_ready (rsp_xbar_mux_001_src_ready), // src.ready
.src_valid (rsp_xbar_mux_001_src_valid), // .valid
.src_data (rsp_xbar_mux_001_src_data), // .data
.src_channel (rsp_xbar_mux_001_src_channel), // .channel
.src_startofpacket (rsp_xbar_mux_001_src_startofpacket), // .startofpacket
.src_endofpacket (rsp_xbar_mux_001_src_endofpacket), // .endofpacket
.sink0_ready (rsp_xbar_demux_src1_ready), // sink0.ready
.sink0_valid (rsp_xbar_demux_src1_valid), // .valid
.sink0_channel (rsp_xbar_demux_src1_channel), // .channel
.sink0_data (rsp_xbar_demux_src1_data), // .data
.sink0_startofpacket (rsp_xbar_demux_src1_startofpacket), // .startofpacket
.sink0_endofpacket (rsp_xbar_demux_src1_endofpacket), // .endofpacket
.sink1_ready (rsp_xbar_demux_001_src1_ready), // sink1.ready
.sink1_valid (rsp_xbar_demux_001_src1_valid), // .valid
.sink1_channel (rsp_xbar_demux_001_src1_channel), // .channel
.sink1_data (rsp_xbar_demux_001_src1_data), // .data
.sink1_startofpacket (rsp_xbar_demux_001_src1_startofpacket), // .startofpacket
.sink1_endofpacket (rsp_xbar_demux_001_src1_endofpacket), // .endofpacket
.sink2_ready (rsp_xbar_demux_002_src1_ready), // sink2.ready
.sink2_valid (rsp_xbar_demux_002_src1_valid), // .valid
.sink2_channel (rsp_xbar_demux_002_src1_channel), // .channel
.sink2_data (rsp_xbar_demux_002_src1_data), // .data
.sink2_startofpacket (rsp_xbar_demux_002_src1_startofpacket), // .startofpacket
.sink2_endofpacket (rsp_xbar_demux_002_src1_endofpacket), // .endofpacket
.sink3_ready (rsp_xbar_demux_003_src1_ready), // sink3.ready
.sink3_valid (rsp_xbar_demux_003_src1_valid), // .valid
.sink3_channel (rsp_xbar_demux_003_src1_channel), // .channel
.sink3_data (rsp_xbar_demux_003_src1_data), // .data
.sink3_startofpacket (rsp_xbar_demux_003_src1_startofpacket), // .startofpacket
.sink3_endofpacket (rsp_xbar_demux_003_src1_endofpacket), // .endofpacket
.sink4_ready (crosser_001_out_ready), // sink4.ready
.sink4_valid (crosser_001_out_valid), // .valid
.sink4_channel (crosser_001_out_channel), // .channel
.sink4_data (crosser_001_out_data), // .data
.sink4_startofpacket (crosser_001_out_startofpacket), // .startofpacket
.sink4_endofpacket (crosser_001_out_endofpacket), // .endofpacket
.sink5_ready (rsp_xbar_demux_005_src0_ready), // sink5.ready
.sink5_valid (rsp_xbar_demux_005_src0_valid), // .valid
.sink5_channel (rsp_xbar_demux_005_src0_channel), // .channel
.sink5_data (rsp_xbar_demux_005_src0_data), // .data
.sink5_startofpacket (rsp_xbar_demux_005_src0_startofpacket), // .startofpacket
.sink5_endofpacket (rsp_xbar_demux_005_src0_endofpacket), // .endofpacket
.sink6_ready (rsp_xbar_demux_006_src0_ready), // sink6.ready
.sink6_valid (rsp_xbar_demux_006_src0_valid), // .valid
.sink6_channel (rsp_xbar_demux_006_src0_channel), // .channel
.sink6_data (rsp_xbar_demux_006_src0_data), // .data
.sink6_startofpacket (rsp_xbar_demux_006_src0_startofpacket), // .startofpacket
.sink6_endofpacket (rsp_xbar_demux_006_src0_endofpacket), // .endofpacket
.sink7_ready (rsp_xbar_demux_007_src0_ready), // sink7.ready
.sink7_valid (rsp_xbar_demux_007_src0_valid), // .valid
.sink7_channel (rsp_xbar_demux_007_src0_channel), // .channel
.sink7_data (rsp_xbar_demux_007_src0_data), // .data
.sink7_startofpacket (rsp_xbar_demux_007_src0_startofpacket), // .startofpacket
.sink7_endofpacket (rsp_xbar_demux_007_src0_endofpacket), // .endofpacket
.sink8_ready (rsp_xbar_demux_008_src0_ready), // sink8.ready
.sink8_valid (rsp_xbar_demux_008_src0_valid), // .valid
.sink8_channel (rsp_xbar_demux_008_src0_channel), // .channel
.sink8_data (rsp_xbar_demux_008_src0_data), // .data
.sink8_startofpacket (rsp_xbar_demux_008_src0_startofpacket), // .startofpacket
.sink8_endofpacket (rsp_xbar_demux_008_src0_endofpacket), // .endofpacket
.sink9_ready (rsp_xbar_demux_009_src0_ready), // sink9.ready
.sink9_valid (rsp_xbar_demux_009_src0_valid), // .valid
.sink9_channel (rsp_xbar_demux_009_src0_channel), // .channel
.sink9_data (rsp_xbar_demux_009_src0_data), // .data
.sink9_startofpacket (rsp_xbar_demux_009_src0_startofpacket), // .startofpacket
.sink9_endofpacket (rsp_xbar_demux_009_src0_endofpacket), // .endofpacket
.sink10_ready (rsp_xbar_demux_010_src0_ready), // sink10.ready
.sink10_valid (rsp_xbar_demux_010_src0_valid), // .valid
.sink10_channel (rsp_xbar_demux_010_src0_channel), // .channel
.sink10_data (rsp_xbar_demux_010_src0_data), // .data
.sink10_startofpacket (rsp_xbar_demux_010_src0_startofpacket), // .startofpacket
.sink10_endofpacket (rsp_xbar_demux_010_src0_endofpacket), // .endofpacket
.sink11_ready (rsp_xbar_demux_011_src0_ready), // sink11.ready
.sink11_valid (rsp_xbar_demux_011_src0_valid), // .valid
.sink11_channel (rsp_xbar_demux_011_src0_channel), // .channel
.sink11_data (rsp_xbar_demux_011_src0_data), // .data
.sink11_startofpacket (rsp_xbar_demux_011_src0_startofpacket), // .startofpacket
.sink11_endofpacket (rsp_xbar_demux_011_src0_endofpacket), // .endofpacket
.sink12_ready (rsp_xbar_demux_012_src0_ready), // sink12.ready
.sink12_valid (rsp_xbar_demux_012_src0_valid), // .valid
.sink12_channel (rsp_xbar_demux_012_src0_channel), // .channel
.sink12_data (rsp_xbar_demux_012_src0_data), // .data
.sink12_startofpacket (rsp_xbar_demux_012_src0_startofpacket), // .startofpacket
.sink12_endofpacket (rsp_xbar_demux_012_src0_endofpacket) // .endofpacket
);
altera_merlin_width_adapter #(
.IN_PKT_ADDR_H (60),
.IN_PKT_ADDR_L (36),
.IN_PKT_DATA_H (31),
.IN_PKT_DATA_L (0),
.IN_PKT_BYTEEN_H (35),
.IN_PKT_BYTEEN_L (32),
.IN_PKT_BYTE_CNT_H (69),
.IN_PKT_BYTE_CNT_L (67),
.IN_PKT_TRANS_COMPRESSED_READ (61),
.IN_PKT_BURSTWRAP_H (72),
.IN_PKT_BURSTWRAP_L (70),
.IN_PKT_BURST_SIZE_H (75),
.IN_PKT_BURST_SIZE_L (73),
.IN_PKT_RESPONSE_STATUS_H (99),
.IN_PKT_RESPONSE_STATUS_L (98),
.IN_PKT_TRANS_EXCLUSIVE (66),
.IN_PKT_BURST_TYPE_H (77),
.IN_PKT_BURST_TYPE_L (76),
.IN_ST_DATA_W (100),
.OUT_PKT_ADDR_H (42),
.OUT_PKT_ADDR_L (18),
.OUT_PKT_DATA_H (15),
.OUT_PKT_DATA_L (0),
.OUT_PKT_BYTEEN_H (17),
.OUT_PKT_BYTEEN_L (16),
.OUT_PKT_BYTE_CNT_H (51),
.OUT_PKT_BYTE_CNT_L (49),
.OUT_PKT_TRANS_COMPRESSED_READ (43),
.OUT_PKT_BURST_SIZE_H (57),
.OUT_PKT_BURST_SIZE_L (55),
.OUT_PKT_RESPONSE_STATUS_H (81),
.OUT_PKT_RESPONSE_STATUS_L (80),
.OUT_PKT_TRANS_EXCLUSIVE (48),
.OUT_PKT_BURST_TYPE_H (59),
.OUT_PKT_BURST_TYPE_L (58),
.OUT_ST_DATA_W (82),
.ST_CHANNEL_W (13),
.OPTIMIZE_FOR_RSP (0)
) width_adapter (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_valid (cmd_xbar_mux_002_src_valid), // sink.valid
.in_channel (cmd_xbar_mux_002_src_channel), // .channel
.in_startofpacket (cmd_xbar_mux_002_src_startofpacket), // .startofpacket
.in_endofpacket (cmd_xbar_mux_002_src_endofpacket), // .endofpacket
.in_ready (cmd_xbar_mux_002_src_ready), // .ready
.in_data (cmd_xbar_mux_002_src_data), // .data
.out_endofpacket (width_adapter_src_endofpacket), // src.endofpacket
.out_data (width_adapter_src_data), // .data
.out_channel (width_adapter_src_channel), // .channel
.out_valid (width_adapter_src_valid), // .valid
.out_ready (width_adapter_src_ready), // .ready
.out_startofpacket (width_adapter_src_startofpacket), // .startofpacket
.in_command_size_data (3'b000) // (terminated)
);
altera_merlin_width_adapter #(
.IN_PKT_ADDR_H (42),
.IN_PKT_ADDR_L (18),
.IN_PKT_DATA_H (15),
.IN_PKT_DATA_L (0),
.IN_PKT_BYTEEN_H (17),
.IN_PKT_BYTEEN_L (16),
.IN_PKT_BYTE_CNT_H (51),
.IN_PKT_BYTE_CNT_L (49),
.IN_PKT_TRANS_COMPRESSED_READ (43),
.IN_PKT_BURSTWRAP_H (54),
.IN_PKT_BURSTWRAP_L (52),
.IN_PKT_BURST_SIZE_H (57),
.IN_PKT_BURST_SIZE_L (55),
.IN_PKT_RESPONSE_STATUS_H (81),
.IN_PKT_RESPONSE_STATUS_L (80),
.IN_PKT_TRANS_EXCLUSIVE (48),
.IN_PKT_BURST_TYPE_H (59),
.IN_PKT_BURST_TYPE_L (58),
.IN_ST_DATA_W (82),
.OUT_PKT_ADDR_H (60),
.OUT_PKT_ADDR_L (36),
.OUT_PKT_DATA_H (31),
.OUT_PKT_DATA_L (0),
.OUT_PKT_BYTEEN_H (35),
.OUT_PKT_BYTEEN_L (32),
.OUT_PKT_BYTE_CNT_H (69),
.OUT_PKT_BYTE_CNT_L (67),
.OUT_PKT_TRANS_COMPRESSED_READ (61),
.OUT_PKT_BURST_SIZE_H (75),
.OUT_PKT_BURST_SIZE_L (73),
.OUT_PKT_RESPONSE_STATUS_H (99),
.OUT_PKT_RESPONSE_STATUS_L (98),
.OUT_PKT_TRANS_EXCLUSIVE (66),
.OUT_PKT_BURST_TYPE_H (77),
.OUT_PKT_BURST_TYPE_L (76),
.OUT_ST_DATA_W (100),
.ST_CHANNEL_W (13),
.OPTIMIZE_FOR_RSP (1)
) width_adapter_001 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_valid (id_router_002_src_valid), // sink.valid
.in_channel (id_router_002_src_channel), // .channel
.in_startofpacket (id_router_002_src_startofpacket), // .startofpacket
.in_endofpacket (id_router_002_src_endofpacket), // .endofpacket
.in_ready (id_router_002_src_ready), // .ready
.in_data (id_router_002_src_data), // .data
.out_endofpacket (width_adapter_001_src_endofpacket), // src.endofpacket
.out_data (width_adapter_001_src_data), // .data
.out_channel (width_adapter_001_src_channel), // .channel
.out_valid (width_adapter_001_src_valid), // .valid
.out_ready (width_adapter_001_src_ready), // .ready
.out_startofpacket (width_adapter_001_src_startofpacket), // .startofpacket
.in_command_size_data (3'b000) // (terminated)
);
altera_merlin_width_adapter #(
.IN_PKT_ADDR_H (60),
.IN_PKT_ADDR_L (36),
.IN_PKT_DATA_H (31),
.IN_PKT_DATA_L (0),
.IN_PKT_BYTEEN_H (35),
.IN_PKT_BYTEEN_L (32),
.IN_PKT_BYTE_CNT_H (69),
.IN_PKT_BYTE_CNT_L (67),
.IN_PKT_TRANS_COMPRESSED_READ (61),
.IN_PKT_BURSTWRAP_H (72),
.IN_PKT_BURSTWRAP_L (70),
.IN_PKT_BURST_SIZE_H (75),
.IN_PKT_BURST_SIZE_L (73),
.IN_PKT_RESPONSE_STATUS_H (99),
.IN_PKT_RESPONSE_STATUS_L (98),
.IN_PKT_TRANS_EXCLUSIVE (66),
.IN_PKT_BURST_TYPE_H (77),
.IN_PKT_BURST_TYPE_L (76),
.IN_ST_DATA_W (100),
.OUT_PKT_ADDR_H (42),
.OUT_PKT_ADDR_L (18),
.OUT_PKT_DATA_H (15),
.OUT_PKT_DATA_L (0),
.OUT_PKT_BYTEEN_H (17),
.OUT_PKT_BYTEEN_L (16),
.OUT_PKT_BYTE_CNT_H (51),
.OUT_PKT_BYTE_CNT_L (49),
.OUT_PKT_TRANS_COMPRESSED_READ (43),
.OUT_PKT_BURST_SIZE_H (57),
.OUT_PKT_BURST_SIZE_L (55),
.OUT_PKT_RESPONSE_STATUS_H (81),
.OUT_PKT_RESPONSE_STATUS_L (80),
.OUT_PKT_TRANS_EXCLUSIVE (48),
.OUT_PKT_BURST_TYPE_H (59),
.OUT_PKT_BURST_TYPE_L (58),
.OUT_ST_DATA_W (82),
.ST_CHANNEL_W (13),
.OPTIMIZE_FOR_RSP (0)
) width_adapter_002 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_valid (cmd_xbar_mux_003_src_valid), // sink.valid
.in_channel (cmd_xbar_mux_003_src_channel), // .channel
.in_startofpacket (cmd_xbar_mux_003_src_startofpacket), // .startofpacket
.in_endofpacket (cmd_xbar_mux_003_src_endofpacket), // .endofpacket
.in_ready (cmd_xbar_mux_003_src_ready), // .ready
.in_data (cmd_xbar_mux_003_src_data), // .data
.out_endofpacket (width_adapter_002_src_endofpacket), // src.endofpacket
.out_data (width_adapter_002_src_data), // .data
.out_channel (width_adapter_002_src_channel), // .channel
.out_valid (width_adapter_002_src_valid), // .valid
.out_ready (width_adapter_002_src_ready), // .ready
.out_startofpacket (width_adapter_002_src_startofpacket), // .startofpacket
.in_command_size_data (3'b000) // (terminated)
);
altera_merlin_width_adapter #(
.IN_PKT_ADDR_H (42),
.IN_PKT_ADDR_L (18),
.IN_PKT_DATA_H (15),
.IN_PKT_DATA_L (0),
.IN_PKT_BYTEEN_H (17),
.IN_PKT_BYTEEN_L (16),
.IN_PKT_BYTE_CNT_H (51),
.IN_PKT_BYTE_CNT_L (49),
.IN_PKT_TRANS_COMPRESSED_READ (43),
.IN_PKT_BURSTWRAP_H (54),
.IN_PKT_BURSTWRAP_L (52),
.IN_PKT_BURST_SIZE_H (57),
.IN_PKT_BURST_SIZE_L (55),
.IN_PKT_RESPONSE_STATUS_H (81),
.IN_PKT_RESPONSE_STATUS_L (80),
.IN_PKT_TRANS_EXCLUSIVE (48),
.IN_PKT_BURST_TYPE_H (59),
.IN_PKT_BURST_TYPE_L (58),
.IN_ST_DATA_W (82),
.OUT_PKT_ADDR_H (60),
.OUT_PKT_ADDR_L (36),
.OUT_PKT_DATA_H (31),
.OUT_PKT_DATA_L (0),
.OUT_PKT_BYTEEN_H (35),
.OUT_PKT_BYTEEN_L (32),
.OUT_PKT_BYTE_CNT_H (69),
.OUT_PKT_BYTE_CNT_L (67),
.OUT_PKT_TRANS_COMPRESSED_READ (61),
.OUT_PKT_BURST_SIZE_H (75),
.OUT_PKT_BURST_SIZE_L (73),
.OUT_PKT_RESPONSE_STATUS_H (99),
.OUT_PKT_RESPONSE_STATUS_L (98),
.OUT_PKT_TRANS_EXCLUSIVE (66),
.OUT_PKT_BURST_TYPE_H (77),
.OUT_PKT_BURST_TYPE_L (76),
.OUT_ST_DATA_W (100),
.ST_CHANNEL_W (13),
.OPTIMIZE_FOR_RSP (1)
) width_adapter_003 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_valid (id_router_003_src_valid), // sink.valid
.in_channel (id_router_003_src_channel), // .channel
.in_startofpacket (id_router_003_src_startofpacket), // .startofpacket
.in_endofpacket (id_router_003_src_endofpacket), // .endofpacket
.in_ready (id_router_003_src_ready), // .ready
.in_data (id_router_003_src_data), // .data
.out_endofpacket (width_adapter_003_src_endofpacket), // src.endofpacket
.out_data (width_adapter_003_src_data), // .data
.out_channel (width_adapter_003_src_channel), // .channel
.out_valid (width_adapter_003_src_valid), // .valid
.out_ready (width_adapter_003_src_ready), // .ready
.out_startofpacket (width_adapter_003_src_startofpacket), // .startofpacket
.in_command_size_data (3'b000) // (terminated)
);
altera_merlin_width_adapter #(
.IN_PKT_ADDR_H (60),
.IN_PKT_ADDR_L (36),
.IN_PKT_DATA_H (31),
.IN_PKT_DATA_L (0),
.IN_PKT_BYTEEN_H (35),
.IN_PKT_BYTEEN_L (32),
.IN_PKT_BYTE_CNT_H (69),
.IN_PKT_BYTE_CNT_L (67),
.IN_PKT_TRANS_COMPRESSED_READ (61),
.IN_PKT_BURSTWRAP_H (72),
.IN_PKT_BURSTWRAP_L (70),
.IN_PKT_BURST_SIZE_H (75),
.IN_PKT_BURST_SIZE_L (73),
.IN_PKT_RESPONSE_STATUS_H (99),
.IN_PKT_RESPONSE_STATUS_L (98),
.IN_PKT_TRANS_EXCLUSIVE (66),
.IN_PKT_BURST_TYPE_H (77),
.IN_PKT_BURST_TYPE_L (76),
.IN_ST_DATA_W (100),
.OUT_PKT_ADDR_H (33),
.OUT_PKT_ADDR_L (9),
.OUT_PKT_DATA_H (7),
.OUT_PKT_DATA_L (0),
.OUT_PKT_BYTEEN_H (8),
.OUT_PKT_BYTEEN_L (8),
.OUT_PKT_BYTE_CNT_H (42),
.OUT_PKT_BYTE_CNT_L (40),
.OUT_PKT_TRANS_COMPRESSED_READ (34),
.OUT_PKT_BURST_SIZE_H (48),
.OUT_PKT_BURST_SIZE_L (46),
.OUT_PKT_RESPONSE_STATUS_H (72),
.OUT_PKT_RESPONSE_STATUS_L (71),
.OUT_PKT_TRANS_EXCLUSIVE (39),
.OUT_PKT_BURST_TYPE_H (50),
.OUT_PKT_BURST_TYPE_L (49),
.OUT_ST_DATA_W (73),
.ST_CHANNEL_W (13),
.OPTIMIZE_FOR_RSP (0)
) width_adapter_004 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_valid (cmd_xbar_demux_001_src8_valid), // sink.valid
.in_channel (cmd_xbar_demux_001_src8_channel), // .channel
.in_startofpacket (cmd_xbar_demux_001_src8_startofpacket), // .startofpacket
.in_endofpacket (cmd_xbar_demux_001_src8_endofpacket), // .endofpacket
.in_ready (cmd_xbar_demux_001_src8_ready), // .ready
.in_data (cmd_xbar_demux_001_src8_data), // .data
.out_endofpacket (width_adapter_004_src_endofpacket), // src.endofpacket
.out_data (width_adapter_004_src_data), // .data
.out_channel (width_adapter_004_src_channel), // .channel
.out_valid (width_adapter_004_src_valid), // .valid
.out_ready (width_adapter_004_src_ready), // .ready
.out_startofpacket (width_adapter_004_src_startofpacket), // .startofpacket
.in_command_size_data (3'b000) // (terminated)
);
altera_merlin_width_adapter #(
.IN_PKT_ADDR_H (33),
.IN_PKT_ADDR_L (9),
.IN_PKT_DATA_H (7),
.IN_PKT_DATA_L (0),
.IN_PKT_BYTEEN_H (8),
.IN_PKT_BYTEEN_L (8),
.IN_PKT_BYTE_CNT_H (42),
.IN_PKT_BYTE_CNT_L (40),
.IN_PKT_TRANS_COMPRESSED_READ (34),
.IN_PKT_BURSTWRAP_H (45),
.IN_PKT_BURSTWRAP_L (43),
.IN_PKT_BURST_SIZE_H (48),
.IN_PKT_BURST_SIZE_L (46),
.IN_PKT_RESPONSE_STATUS_H (72),
.IN_PKT_RESPONSE_STATUS_L (71),
.IN_PKT_TRANS_EXCLUSIVE (39),
.IN_PKT_BURST_TYPE_H (50),
.IN_PKT_BURST_TYPE_L (49),
.IN_ST_DATA_W (73),
.OUT_PKT_ADDR_H (60),
.OUT_PKT_ADDR_L (36),
.OUT_PKT_DATA_H (31),
.OUT_PKT_DATA_L (0),
.OUT_PKT_BYTEEN_H (35),
.OUT_PKT_BYTEEN_L (32),
.OUT_PKT_BYTE_CNT_H (69),
.OUT_PKT_BYTE_CNT_L (67),
.OUT_PKT_TRANS_COMPRESSED_READ (61),
.OUT_PKT_BURST_SIZE_H (75),
.OUT_PKT_BURST_SIZE_L (73),
.OUT_PKT_RESPONSE_STATUS_H (99),
.OUT_PKT_RESPONSE_STATUS_L (98),
.OUT_PKT_TRANS_EXCLUSIVE (66),
.OUT_PKT_BURST_TYPE_H (77),
.OUT_PKT_BURST_TYPE_L (76),
.OUT_ST_DATA_W (100),
.ST_CHANNEL_W (13),
.OPTIMIZE_FOR_RSP (1)
) width_adapter_005 (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.in_valid (id_router_008_src_valid), // sink.valid
.in_channel (id_router_008_src_channel), // .channel
.in_startofpacket (id_router_008_src_startofpacket), // .startofpacket
.in_endofpacket (id_router_008_src_endofpacket), // .endofpacket
.in_ready (id_router_008_src_ready), // .ready
.in_data (id_router_008_src_data), // .data
.out_endofpacket (width_adapter_005_src_endofpacket), // src.endofpacket
.out_data (width_adapter_005_src_data), // .data
.out_channel (width_adapter_005_src_channel), // .channel
.out_valid (width_adapter_005_src_valid), // .valid
.out_ready (width_adapter_005_src_ready), // .ready
.out_startofpacket (width_adapter_005_src_startofpacket), // .startofpacket
.in_command_size_data (3'b000) // (terminated)
);
altera_avalon_st_handshake_clock_crosser #(
.DATA_WIDTH (100),
.BITS_PER_SYMBOL (100),
.USE_PACKETS (1),
.USE_CHANNEL (1),
.CHANNEL_WIDTH (13),
.USE_ERROR (0),
.ERROR_WIDTH (1),
.VALID_SYNC_DEPTH (2),
.READY_SYNC_DEPTH (2),
.USE_OUTPUT_PIPELINE (0)
) crosser (
.in_clk (altpll_0_c1_clk), // in_clk.clk
.in_reset (rst_controller_reset_out_reset), // in_clk_reset.reset
.out_clk (clk_clk), // out_clk.clk
.out_reset (rst_controller_001_reset_out_reset), // out_clk_reset.reset
.in_ready (cmd_xbar_demux_001_src4_ready), // in.ready
.in_valid (cmd_xbar_demux_001_src4_valid), // .valid
.in_startofpacket (cmd_xbar_demux_001_src4_startofpacket), // .startofpacket
.in_endofpacket (cmd_xbar_demux_001_src4_endofpacket), // .endofpacket
.in_channel (cmd_xbar_demux_001_src4_channel), // .channel
.in_data (cmd_xbar_demux_001_src4_data), // .data
.out_ready (crosser_out_ready), // out.ready
.out_valid (crosser_out_valid), // .valid
.out_startofpacket (crosser_out_startofpacket), // .startofpacket
.out_endofpacket (crosser_out_endofpacket), // .endofpacket
.out_channel (crosser_out_channel), // .channel
.out_data (crosser_out_data), // .data
.in_empty (1'b0), // (terminated)
.in_error (1'b0), // (terminated)
.out_empty (), // (terminated)
.out_error () // (terminated)
);
altera_avalon_st_handshake_clock_crosser #(
.DATA_WIDTH (100),
.BITS_PER_SYMBOL (100),
.USE_PACKETS (1),
.USE_CHANNEL (1),
.CHANNEL_WIDTH (13),
.USE_ERROR (0),
.ERROR_WIDTH (1),
.VALID_SYNC_DEPTH (2),
.READY_SYNC_DEPTH (2),
.USE_OUTPUT_PIPELINE (0)
) crosser_001 (
.in_clk (clk_clk), // in_clk.clk
.in_reset (rst_controller_001_reset_out_reset), // in_clk_reset.reset
.out_clk (altpll_0_c1_clk), // out_clk.clk
.out_reset (rst_controller_reset_out_reset), // out_clk_reset.reset
.in_ready (rsp_xbar_demux_004_src0_ready), // in.ready
.in_valid (rsp_xbar_demux_004_src0_valid), // .valid
.in_startofpacket (rsp_xbar_demux_004_src0_startofpacket), // .startofpacket
.in_endofpacket (rsp_xbar_demux_004_src0_endofpacket), // .endofpacket
.in_channel (rsp_xbar_demux_004_src0_channel), // .channel
.in_data (rsp_xbar_demux_004_src0_data), // .data
.out_ready (crosser_001_out_ready), // out.ready
.out_valid (crosser_001_out_valid), // .valid
.out_startofpacket (crosser_001_out_startofpacket), // .startofpacket
.out_endofpacket (crosser_001_out_endofpacket), // .endofpacket
.out_channel (crosser_001_out_channel), // .channel
.out_data (crosser_001_out_data), // .data
.in_empty (1'b0), // (terminated)
.in_error (1'b0), // (terminated)
.out_empty (), // (terminated)
.out_error () // (terminated)
);
tracking_camera_system_irq_mapper irq_mapper (
.clk (altpll_0_c1_clk), // clk.clk
.reset (rst_controller_reset_out_reset), // clk_reset.reset
.receiver0_irq (irq_mapper_receiver0_irq), // receiver0.irq
.receiver1_irq (irq_mapper_receiver1_irq), // receiver1.irq
.sender_irq (nios2_qsys_0_d_irq_irq) // sender.irq
);
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HVL__MUX2_PP_SYMBOL_V
`define SKY130_FD_SC_HVL__MUX2_PP_SYMBOL_V
/**
* mux2: 2-input multiplexer.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hvl__mux2 (
//# {{data|Data Signals}}
input A0 ,
input A1 ,
output X ,
//# {{control|Control Signals}}
input S ,
//# {{power|Power}}
input VPB ,
input VPWR,
input VGND,
input VNB
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HVL__MUX2_PP_SYMBOL_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__A2111OI_PP_SYMBOL_V
`define SKY130_FD_SC_HD__A2111OI_PP_SYMBOL_V
/**
* a2111oi: 2-input AND into first input of 4-input NOR.
*
* Y = !((A1 & A2) | B1 | C1 | D1)
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__a2111oi (
//# {{data|Data Signals}}
input A1 ,
input A2 ,
input B1 ,
input C1 ,
input D1 ,
output Y ,
//# {{power|Power}}
input VPB ,
input VPWR,
input VGND,
input VNB
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__A2111OI_PP_SYMBOL_V
|
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995/2015 Xilinx, Inc.
// All Right Reserved.
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 2015.1
// \ \ Description : Xilinx Unified Simulation Library Component
// / / Advanced Mixed Mode Clock Manager (MMCM)
// /___/ /\ Filename : MMCME2_ADV.v
// \ \ / \
// \___\/\___\
//
///////////////////////////////////////////////////////////////////////////////
// Revision:
// 07/07/08 - Initial version.
// 09/19/08 - Change CLKFBOUT_MULT to CLKFBOUT_MULT_F
// CLKOUT0_DIVIDE to CLKOUT0_DIVIDE_F
// 10/03/08 - Initial all signals.
// 10/30/08 - Clock source switching without reset (CR492263).
// 11/18/08 - Add timing check for DADDR[6:5].
// 12/02/08 - Fix bug of Duty cycle calculation (CR498696)
// 12/05/08 - change pll_res according to hardware spreadsheet (CR496137)
// 12/09/08 - Enable output at CLKFBOUT_MULT_F*8 for fraction mode (CR499322)
// 01/08/09 - Add phase and duty cycle checks for fraction divide (CR501181)
// 01/09/09 - make pll_res same for BANDWIDTH=HIGH and OPTIMIZED (CR496137)
// 01/14/09 - Fine phase shift wrap around to 0 after 56 times;
// - PSEN to PSDONE change to 12 PSCLK; RST minpusle to 5ns;
// - add pulldown to PWRDWN pin. (CR503425)
// 01/14/09 - increase clkout_en_time for fraction mode (CR499322)
// 01/21/09 - align CLKFBOUT to CLKIN for fraction mode (CR504602)
// 01/27/09 - update DRP register address (CR505271)
// 01/28/09 - assign clkout_en0 and clkout_en1 to 0 when RST=1 (CR505767)
// 02/03/09 - Fix bug in clkfb fine phase shift.
// - Add delay to clkout_en0_tmp (CR506530).
// 02/05/09 - Add ps_in_ps calculation to clkvco_delay when clkfb_fps_en=1.
// - round clk_ht clk_lt for duty_cycle (CR506531)
// 02/11/09 - Change VCO_FREQ_MAX and MIN to 1601 and 399 to cover the rounded
// error (CR507969)
// 02/25/09 - round clk_ht clk_lt for duty_cycle (509386)
// 02/26/09 - Fix for clkin and clkfbin stop case (CR503425)
// 03/04/09 - Fix for CLOCK_HOLD (CR510820).
// 03/27/09 - set default 1 to CLKINSEL pin (CR516951)
// 04/13/09 - Check vco range when CLKINSEL not connected (CR516951)
// 04/22/09 - Add reset to clkinstopped related signals (CR519102)
// 04/27/09 - Make duty cycle of fraction mode 50/50 (CR519505)
// 05/13/09 - Use period_avg for clkvco_delay calculation (CR521120)
// 07/23/09 - fix bug in clk0_dt (CR527643)
// 07/27/09 - Do divide when period_avg > 0 (CR528090)
// - Change DIVCLK_DIVIDE to 80 (CR525904)
// - Add initial lock setting (CR524523)
// - Update RES CP setting (CR524522)
// 07/31/09 - Add if else to handle the fracion and nonfraction for clkout_en.
// 08/10/09 - Calculate clkin_lost_val after lock_period=1 (CR528520).
// 08/15/09 - Update LFHF (CR524522)
// 08/19/09 - Set clkfb_lost_val initial value (CR531354)
// 08/28/09 - add clkin_period_tmp_t to handle period_avg calculation
// when clkin has jitter (CR528520)
// 09/11/09 - Change CLKIN_FREQ_MIN to 10 Mhz (CR532774)
// 10/01/09 - Change CLKIN_FREQ_MAX to 800Mhz (CR535076)
// Add reset check for clock switchover (CR534900)
// 10/08/09 - Change CLKIN_FREQ MAX & MIN, CLKPFD_FREQ
// MAX & MIN to parameter (CR535828)
// 10/14/09 - Add clkin_chk_t1 and clkin_chk_t2 to handle check (CR535662)
// 10/22/09 - Add period_vco_mf for clkvco_delay calculation (CR536951)
// Add cmpvco to compensate period_vco rounded error (CR537073)
// 12/02/09 - not stop clkvco_lk when jitter (CR538717)
// 01/08/10 - Change minimum RST pulse width from 5 ns to 1.5 ns
// Add 1 ns delay to locked_out_tmp when RST=1 (CR543857)
// 01/19/10 - make change to clkvoc_lk_tmp to handle M=1 case (CR544970)
// 02/09/10 - Add global PLL_LOCKG (CR547918)
// 02/23/10 - Not use edge for locked_out_tmp (CR549667)
// 03/04/10 - Change CLKFBOUT_MULT_F range to 5-64 (CR551618)
// 03/22/10 - Change CLKFBOUT_MULT_F default to 5 (554618)
// 03/24/10 - Add SIM_DEVICE attribute
// 04/07/10 - Generate clkvco_ps_tmp2_en correctly when ps_lock_dly rising
// and clkout_ps=1 case; increase lock_period time to 10 (CR556468)
// 05/07/10 - Use period_vco_half_rm1 to reduce jitter (CR558966)
// 07/28/10 - Update ref parameter values (CR569260)
// 08/17/10 - Add Decay output clocks when input clock stopped (CR555324)
// 09/03/10 - use %f for M_MIN and M_MAX (CR574247)
// 09/09/10 - Change to bus timing.
// 09/26/10 - Add RST to LOCKED timing path (CR567807)
// 02/22/11 - reduce clkin period check resolution to 0.001 (CR594003)
// 03/08/11 - Support fraction mode phase shifting with phase parameter
// setting (CR596402)
// 04/26/11 - Support fraction mode phase shifting with DRP(CR607989)
// 05/24/11 - Set frac_wf_f to 1 when divide=2.125 (CR611840)
// 06/06/11 - set period_vco_half_rm2 to 0 when period_vco=0 (CR613021)
// 06/08/11 - Disable clk0 fraction mode when CLKOUT0_DIVIDE_F in range
// greater than 1 and less than 2. Add DRC check for it (608893)
// 08/03/11 - use clk0_frac instead of clk0_sfrac (CR 618600)
// 10/26/11 - Add DRC check for samples CLKIN period with parameter setting (CR631150)
// Add spectrum attributes.
// 12/13/11 - Added `celldefine and `endcelldefine (CR 524859).
// 02/22/12 - Modify DRC (638094).
// 03/01/12 - fraction enable for m/d (CR 648429)
// 03/07/12 - added vcoflag (CR 638088, CR 636493)
// 04/19/12 - 654951 - rounding issue with clk_out_para_cal
// 05/03/12 - ncsim issue with clkfb_frac_en (CR 655792)
// 05/03/12 - jittery clock (CR 652401)
// 05/03/12 - incorrect period (CR 654951)
// 05/10/12 - fractional divide calculation issue (CR 658151)
// 05/18/12 - fractional divide calculation issue (CR 660657)
// 06/11/12 - update cp and res settings (CR 664278)
// 06/20/12 - modify reset drc (CR 643540)
// 09/06/12 - 655711 - modify displayed MAX on CLK_DUTY_CYCLE
// 12/12/12 - fix clk_osc process for ncsim (CR 676829)
// 04/04/13 - fix clkvco_frac_en for DRP (CR 709093)
// 04/09/13 - Added DRP monitor (CR 695630).
// 05/03/13 - 670208 Fractional clock alignment issue
// 05/31/13 - 720783 - revert clock alignment fix
// 10/22/2014 808642 - Added #1 to $finish
// 11/26/2014 829050 - remove CLKIN -> CLKOUT* timing paths
// End Revision:
///////////////////////////////////////////////////////////////////////////////
`timescale 1 ps / 1 ps
`celldefine
module MMCME2_ADV #(
`ifdef XIL_TIMING
parameter LOC = "UNPLACED",
`endif
parameter BANDWIDTH = "OPTIMIZED",
parameter real CLKFBOUT_MULT_F = 5.000,
parameter real CLKFBOUT_PHASE = 0.000,
parameter CLKFBOUT_USE_FINE_PS = "FALSE",
parameter real CLKIN1_PERIOD = 0.000,
parameter real CLKIN2_PERIOD = 0.000,
`ifdef XIL_TIMING
parameter real CLKIN_FREQ_MAX = 1066.000,
parameter real CLKIN_FREQ_MIN = 10.000,
`endif
parameter real CLKOUT0_DIVIDE_F = 1.000,
parameter real CLKOUT0_DUTY_CYCLE = 0.500,
parameter real CLKOUT0_PHASE = 0.000,
parameter CLKOUT0_USE_FINE_PS = "FALSE",
parameter integer CLKOUT1_DIVIDE = 1,
parameter real CLKOUT1_DUTY_CYCLE = 0.500,
parameter real CLKOUT1_PHASE = 0.000,
parameter CLKOUT1_USE_FINE_PS = "FALSE",
parameter integer CLKOUT2_DIVIDE = 1,
parameter real CLKOUT2_DUTY_CYCLE = 0.500,
parameter real CLKOUT2_PHASE = 0.000,
parameter CLKOUT2_USE_FINE_PS = "FALSE",
parameter integer CLKOUT3_DIVIDE = 1,
parameter real CLKOUT3_DUTY_CYCLE = 0.500,
parameter real CLKOUT3_PHASE = 0.000,
parameter CLKOUT3_USE_FINE_PS = "FALSE",
parameter CLKOUT4_CASCADE = "FALSE",
parameter integer CLKOUT4_DIVIDE = 1,
parameter real CLKOUT4_DUTY_CYCLE = 0.500,
parameter real CLKOUT4_PHASE = 0.000,
parameter CLKOUT4_USE_FINE_PS = "FALSE",
parameter integer CLKOUT5_DIVIDE = 1,
parameter real CLKOUT5_DUTY_CYCLE = 0.500,
parameter real CLKOUT5_PHASE = 0.000,
parameter CLKOUT5_USE_FINE_PS = "FALSE",
parameter integer CLKOUT6_DIVIDE = 1,
parameter real CLKOUT6_DUTY_CYCLE = 0.500,
parameter real CLKOUT6_PHASE = 0.000,
parameter CLKOUT6_USE_FINE_PS = "FALSE",
`ifdef XIL_TIMING
parameter real CLKPFD_FREQ_MAX = 550.000,
parameter real CLKPFD_FREQ_MIN = 10.000,
`endif
parameter COMPENSATION = "ZHOLD",
parameter integer DIVCLK_DIVIDE = 1,
parameter [0:0] IS_CLKINSEL_INVERTED = 1'b0,
parameter [0:0] IS_PSEN_INVERTED = 1'b0,
parameter [0:0] IS_PSINCDEC_INVERTED = 1'b0,
parameter [0:0] IS_PWRDWN_INVERTED = 1'b0,
parameter [0:0] IS_RST_INVERTED = 1'b0,
parameter real REF_JITTER1 = 0.010,
parameter real REF_JITTER2 = 0.010,
parameter SS_EN = "FALSE",
parameter SS_MODE = "CENTER_HIGH",
parameter integer SS_MOD_PERIOD = 10000,
`ifdef XIL_TIMING
parameter STARTUP_WAIT = "FALSE",
parameter real VCOCLK_FREQ_MAX = 1600.000,
parameter real VCOCLK_FREQ_MIN = 600.000
`else
parameter STARTUP_WAIT = "FALSE"
`endif
)(
output CLKFBOUT,
output CLKFBOUTB,
output CLKFBSTOPPED,
output CLKINSTOPPED,
output CLKOUT0,
output CLKOUT0B,
output CLKOUT1,
output CLKOUT1B,
output CLKOUT2,
output CLKOUT2B,
output CLKOUT3,
output CLKOUT3B,
output CLKOUT4,
output CLKOUT5,
output CLKOUT6,
output [15:0] DO,
output DRDY,
output LOCKED,
output PSDONE,
input CLKFBIN,
input CLKIN1,
input CLKIN2,
input CLKINSEL,
input [6:0] DADDR,
input DCLK,
input DEN,
input [15:0] DI,
input DWE,
input PSCLK,
input PSEN,
input PSINCDEC,
input PWRDWN,
input RST
);
// define constants
localparam MODULE_NAME = "MMCME2_ADV";
// Parameter encodings and registers
localparam BANDWIDTH_HIGH = 1;
localparam BANDWIDTH_LOW = 2;
localparam BANDWIDTH_OPTIMIZED = 0;
localparam CLKFBOUT_USE_FINE_PS_FALSE = 0;
localparam CLKFBOUT_USE_FINE_PS_TRUE = 1;
localparam CLKOUT0_USE_FINE_PS_FALSE = 0;
localparam CLKOUT0_USE_FINE_PS_TRUE = 1;
localparam CLKOUT1_USE_FINE_PS_FALSE = 0;
localparam CLKOUT1_USE_FINE_PS_TRUE = 1;
localparam CLKOUT2_USE_FINE_PS_FALSE = 0;
localparam CLKOUT2_USE_FINE_PS_TRUE = 1;
localparam CLKOUT3_USE_FINE_PS_FALSE = 0;
localparam CLKOUT3_USE_FINE_PS_TRUE = 1;
localparam CLKOUT4_CASCADE_FALSE = 0;
localparam CLKOUT4_CASCADE_TRUE = 1;
localparam CLKOUT4_USE_FINE_PS_FALSE = 0;
localparam CLKOUT4_USE_FINE_PS_TRUE = 1;
localparam CLKOUT5_USE_FINE_PS_FALSE = 0;
localparam CLKOUT5_USE_FINE_PS_TRUE = 1;
localparam CLKOUT6_USE_FINE_PS_FALSE = 0;
localparam CLKOUT6_USE_FINE_PS_TRUE = 1;
localparam COMPENSATION_BUF_IN = 1;
localparam COMPENSATION_EXTERNAL = 2;
localparam COMPENSATION_INTERNAL = 3;
localparam COMPENSATION_ZHOLD = 4;
localparam SS_EN_FALSE = 0;
localparam SS_EN_TRUE = 1;
localparam SS_MODE_CENTER_HIGH = 0;
localparam SS_MODE_CENTER_LOW = 1;
localparam SS_MODE_DOWN_HIGH = 2;
localparam SS_MODE_DOWN_LOW = 3;
localparam STARTUP_WAIT_FALSE = 0;
localparam STARTUP_WAIT_TRUE = 1;
`ifndef XIL_TIMING
localparam real CLKIN_FREQ_MAX = 1066.0;
localparam real CLKIN_FREQ_MIN = 10.0;
localparam real CLKPFD_FREQ_MAX = 550.0;
localparam real CLKPFD_FREQ_MIN = 10.0;
localparam real VCOCLK_FREQ_MAX = 1600.0;
localparam real VCOCLK_FREQ_MIN = 600.0;
`endif
reg trig_attr = 1'b0;
localparam [72:1] BANDWIDTH_REG = BANDWIDTH;
localparam real CLKFBOUT_MULT_F_REG = CLKFBOUT_MULT_F;
localparam real CLKFBOUT_PHASE_REG = CLKFBOUT_PHASE;
localparam [40:1] CLKFBOUT_USE_FINE_PS_REG = CLKFBOUT_USE_FINE_PS;
localparam real CLKIN1_PERIOD_REG = CLKIN1_PERIOD;
localparam real CLKIN2_PERIOD_REG = CLKIN2_PERIOD;
localparam real CLKIN_FREQ_MAX_REG = CLKIN_FREQ_MAX;
localparam real CLKIN_FREQ_MIN_REG = CLKIN_FREQ_MIN;
localparam real CLKOUT0_DIVIDE_F_REG = CLKOUT0_DIVIDE_F;
localparam real CLKOUT0_DUTY_CYCLE_REG = CLKOUT0_DUTY_CYCLE;
localparam real CLKOUT0_PHASE_REG = CLKOUT0_PHASE;
localparam [40:1] CLKOUT0_USE_FINE_PS_REG = CLKOUT0_USE_FINE_PS;
localparam [7:0] CLKOUT1_DIVIDE_REG = CLKOUT1_DIVIDE;
localparam real CLKOUT1_DUTY_CYCLE_REG = CLKOUT1_DUTY_CYCLE;
localparam real CLKOUT1_PHASE_REG = CLKOUT1_PHASE;
localparam [40:1] CLKOUT1_USE_FINE_PS_REG = CLKOUT1_USE_FINE_PS;
localparam [7:0] CLKOUT2_DIVIDE_REG = CLKOUT2_DIVIDE;
localparam real CLKOUT2_DUTY_CYCLE_REG = CLKOUT2_DUTY_CYCLE;
localparam real CLKOUT2_PHASE_REG = CLKOUT2_PHASE;
localparam [40:1] CLKOUT2_USE_FINE_PS_REG = CLKOUT2_USE_FINE_PS;
localparam [7:0] CLKOUT3_DIVIDE_REG = CLKOUT3_DIVIDE;
localparam real CLKOUT3_DUTY_CYCLE_REG = CLKOUT3_DUTY_CYCLE;
localparam real CLKOUT3_PHASE_REG = CLKOUT3_PHASE;
localparam [40:1] CLKOUT3_USE_FINE_PS_REG = CLKOUT3_USE_FINE_PS;
localparam [40:1] CLKOUT4_CASCADE_REG = CLKOUT4_CASCADE;
localparam [7:0] CLKOUT4_DIVIDE_REG = CLKOUT4_DIVIDE;
localparam real CLKOUT4_DUTY_CYCLE_REG = CLKOUT4_DUTY_CYCLE;
localparam real CLKOUT4_PHASE_REG = CLKOUT4_PHASE;
localparam [40:1] CLKOUT4_USE_FINE_PS_REG = CLKOUT4_USE_FINE_PS;
localparam [7:0] CLKOUT5_DIVIDE_REG = CLKOUT5_DIVIDE;
localparam real CLKOUT5_DUTY_CYCLE_REG = CLKOUT5_DUTY_CYCLE;
localparam real CLKOUT5_PHASE_REG = CLKOUT5_PHASE;
localparam [40:1] CLKOUT5_USE_FINE_PS_REG = CLKOUT5_USE_FINE_PS;
localparam [7:0] CLKOUT6_DIVIDE_REG = CLKOUT6_DIVIDE;
localparam real CLKOUT6_DUTY_CYCLE_REG = CLKOUT6_DUTY_CYCLE;
localparam real CLKOUT6_PHASE_REG = CLKOUT6_PHASE;
localparam [40:1] CLKOUT6_USE_FINE_PS_REG = CLKOUT6_USE_FINE_PS;
localparam real CLKPFD_FREQ_MAX_REG = CLKPFD_FREQ_MAX;
localparam real CLKPFD_FREQ_MIN_REG = CLKPFD_FREQ_MIN;
localparam [64:1] COMPENSATION_REG = COMPENSATION;
localparam [6:0] DIVCLK_DIVIDE_REG = DIVCLK_DIVIDE;
localparam [0:0] IS_CLKINSEL_INVERTED_REG = IS_CLKINSEL_INVERTED;
localparam [0:0] IS_PSEN_INVERTED_REG = IS_PSEN_INVERTED;
localparam [0:0] IS_PSINCDEC_INVERTED_REG = IS_PSINCDEC_INVERTED;
localparam [0:0] IS_PWRDWN_INVERTED_REG = IS_PWRDWN_INVERTED;
localparam [0:0] IS_RST_INVERTED_REG = IS_RST_INVERTED;
localparam real REF_JITTER1_REG = REF_JITTER1;
localparam real REF_JITTER2_REG = REF_JITTER2;
localparam [40:1] SS_EN_REG = SS_EN;
localparam [88:1] SS_MODE_REG = SS_MODE;
localparam [15:0] SS_MOD_PERIOD_REG = SS_MOD_PERIOD;
localparam [40:1] STARTUP_WAIT_REG = STARTUP_WAIT;
localparam real VCOCLK_FREQ_MAX_REG = VCOCLK_FREQ_MAX;
localparam real VCOCLK_FREQ_MIN_REG = VCOCLK_FREQ_MIN;
wire [1:0] BANDWIDTH_BIN;
wire [63:0] CLKFBOUT_MULT_F_BIN;
wire [63:0] CLKFBOUT_PHASE_BIN;
wire CLKFBOUT_USE_FINE_PS_BIN;
wire [63:0] CLKIN1_PERIOD_BIN;
wire [63:0] CLKIN2_PERIOD_BIN;
wire [63:0] CLKIN_FREQ_MAX_BIN;
wire [63:0] CLKIN_FREQ_MIN_BIN;
wire [63:0] CLKOUT0_DIVIDE_F_BIN;
wire [63:0] CLKOUT0_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT0_PHASE_BIN;
wire CLKOUT0_USE_FINE_PS_BIN;
wire [7:0] CLKOUT1_DIVIDE_BIN;
wire [63:0] CLKOUT1_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT1_PHASE_BIN;
wire CLKOUT1_USE_FINE_PS_BIN;
wire [7:0] CLKOUT2_DIVIDE_BIN;
wire [63:0] CLKOUT2_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT2_PHASE_BIN;
wire CLKOUT2_USE_FINE_PS_BIN;
wire [7:0] CLKOUT3_DIVIDE_BIN;
wire [63:0] CLKOUT3_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT3_PHASE_BIN;
wire CLKOUT3_USE_FINE_PS_BIN;
wire CLKOUT4_CASCADE_BIN;
wire [7:0] CLKOUT4_DIVIDE_BIN;
wire [63:0] CLKOUT4_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT4_PHASE_BIN;
wire CLKOUT4_USE_FINE_PS_BIN;
wire [7:0] CLKOUT5_DIVIDE_BIN;
wire [63:0] CLKOUT5_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT5_PHASE_BIN;
wire CLKOUT5_USE_FINE_PS_BIN;
wire [7:0] CLKOUT6_DIVIDE_BIN;
wire [63:0] CLKOUT6_DUTY_CYCLE_BIN;
wire [63:0] CLKOUT6_PHASE_BIN;
wire CLKOUT6_USE_FINE_PS_BIN;
wire [63:0] CLKPFD_FREQ_MAX_BIN;
wire [63:0] CLKPFD_FREQ_MIN_BIN;
wire [2:0] COMPENSATION_BIN;
wire [6:0] DIVCLK_DIVIDE_BIN;
wire IS_CLKINSEL_INVERTED_BIN;
wire IS_PSEN_INVERTED_BIN;
wire IS_PSINCDEC_INVERTED_BIN;
wire IS_PWRDWN_INVERTED_BIN;
wire IS_RST_INVERTED_BIN;
wire [63:0] REF_JITTER1_BIN;
wire [63:0] REF_JITTER2_BIN;
wire SS_EN_BIN;
wire [1:0] SS_MODE_BIN;
wire [15:0] SS_MOD_PERIOD_BIN;
wire STARTUP_WAIT_BIN;
wire [63:0] VCOCLK_FREQ_MAX_BIN;
wire [63:0] VCOCLK_FREQ_MIN_BIN;
`ifdef XIL_ATTR_TEST
reg attr_test = 1'b1;
`else
reg attr_test = 1'b0;
`endif
reg attr_err = 1'b0;
tri0 glblGSR = glbl.GSR;
wire CLKFBOUTB_out;
reg CLKFBOUT_out;
reg CLKFBSTOPPED_out = 0;
reg CLKINSTOPPED_out = 0;
wire CLKOUT0B_out;
reg CLKOUT0_out;
wire CLKOUT1B_out;
reg CLKOUT1_out;
wire CLKOUT2B_out;
reg CLKOUT2_out;
wire CLKOUT3B_out;
reg CLKOUT3_out;
reg CLKOUT4_out;
reg CLKOUT5_out;
reg CLKOUT6_out;
reg DRDY_out;
reg LOCKED_out = 1'b0;
reg PSDONE_out;
reg [15:0] DO_out;
wire CLKFBIN_in;
wire CLKIN1_in;
wire CLKIN2_in;
wire CLKINSEL_in;
wire DCLK_in;
wire DEN_in;
wire DWE_in;
wire PSCLK_in;
wire PSEN_in;
wire PSINCDEC_in;
wire PWRDWN_in;
wire RST_in;
wire [15:0] DI_in;
wire [6:0] DADDR_in;
`ifdef XIL_TIMING
wire DCLK_delay;
wire DEN_delay;
wire DWE_delay;
wire PSCLK_delay;
wire PSEN_delay;
wire PSINCDEC_delay;
wire [15:0] DI_delay;
wire [6:0] DADDR_delay;
`endif
assign CLKFBOUT = CLKFBOUT_out;
assign CLKFBOUTB = ~CLKFBOUT_out;
assign CLKFBSTOPPED = CLKFBSTOPPED_out;
assign CLKINSTOPPED = CLKINSTOPPED_out;
assign CLKOUT0 = CLKOUT0_out;
assign CLKOUT0B = ~CLKOUT0_out;
assign CLKOUT1 = CLKOUT1_out;
assign CLKOUT1B = ~CLKOUT1_out;
assign CLKOUT2 = CLKOUT2_out;
assign CLKOUT2B = ~CLKOUT2_out;
assign CLKOUT3 = CLKOUT3_out;
assign CLKOUT3B = ~CLKOUT3_out;
assign CLKOUT4 = CLKOUT4_out;
assign CLKOUT5 = CLKOUT5_out;
assign CLKOUT6 = CLKOUT6_out;
assign DO = DO_out;
assign DRDY = DRDY_out;
assign LOCKED = LOCKED_out;
assign PSDONE = PSDONE_out;
//inputs with timing
`ifdef XIL_TIMING
assign DADDR_in[0] = (DADDR[0] !== 1'bz) && DADDR_delay[0]; // rv 0
assign DADDR_in[1] = (DADDR[1] !== 1'bz) && DADDR_delay[1]; // rv 0
assign DADDR_in[2] = (DADDR[2] !== 1'bz) && DADDR_delay[2]; // rv 0
assign DADDR_in[3] = (DADDR[3] !== 1'bz) && DADDR_delay[3]; // rv 0
assign DADDR_in[4] = (DADDR[4] !== 1'bz) && DADDR_delay[4]; // rv 0
assign DADDR_in[5] = (DADDR[5] !== 1'bz) && DADDR_delay[5]; // rv 0
assign DADDR_in[6] = (DADDR[6] !== 1'bz) && DADDR_delay[6]; // rv 0
assign DCLK_in = (DCLK !== 1'bz) && DCLK_delay; // rv 0
assign DEN_in = (DEN !== 1'bz) && DEN_delay; // rv 0
assign DI_in[0] = (DI[0] !== 1'bz) && DI_delay[0]; // rv 0
assign DI_in[10] = (DI[10] !== 1'bz) && DI_delay[10]; // rv 0
assign DI_in[11] = (DI[11] !== 1'bz) && DI_delay[11]; // rv 0
assign DI_in[12] = (DI[12] !== 1'bz) && DI_delay[12]; // rv 0
assign DI_in[13] = (DI[13] !== 1'bz) && DI_delay[13]; // rv 0
assign DI_in[14] = (DI[14] !== 1'bz) && DI_delay[14]; // rv 0
assign DI_in[15] = (DI[15] !== 1'bz) && DI_delay[15]; // rv 0
assign DI_in[1] = (DI[1] !== 1'bz) && DI_delay[1]; // rv 0
assign DI_in[2] = (DI[2] !== 1'bz) && DI_delay[2]; // rv 0
assign DI_in[3] = (DI[3] !== 1'bz) && DI_delay[3]; // rv 0
assign DI_in[4] = (DI[4] !== 1'bz) && DI_delay[4]; // rv 0
assign DI_in[5] = (DI[5] !== 1'bz) && DI_delay[5]; // rv 0
assign DI_in[6] = (DI[6] !== 1'bz) && DI_delay[6]; // rv 0
assign DI_in[7] = (DI[7] !== 1'bz) && DI_delay[7]; // rv 0
assign DI_in[8] = (DI[8] !== 1'bz) && DI_delay[8]; // rv 0
assign DI_in[9] = (DI[9] !== 1'bz) && DI_delay[9]; // rv 0
assign DWE_in = (DWE !== 1'bz) && DWE_delay; // rv 0
assign PSCLK_in = (PSCLK !== 1'bz) && PSCLK_delay; // rv 0
assign PSEN_in = (PSEN !== 1'bz) && (PSEN_delay ^ IS_PSEN_INVERTED_BIN); // rv 0
assign PSINCDEC_in = (PSINCDEC !== 1'bz) && (PSINCDEC_delay ^ IS_PSINCDEC_INVERTED_BIN); // rv 0
`else
assign DADDR_in[0] = (DADDR[0] !== 1'bz) && DADDR[0]; // rv 0
assign DADDR_in[1] = (DADDR[1] !== 1'bz) && DADDR[1]; // rv 0
assign DADDR_in[2] = (DADDR[2] !== 1'bz) && DADDR[2]; // rv 0
assign DADDR_in[3] = (DADDR[3] !== 1'bz) && DADDR[3]; // rv 0
assign DADDR_in[4] = (DADDR[4] !== 1'bz) && DADDR[4]; // rv 0
assign DADDR_in[5] = (DADDR[5] !== 1'bz) && DADDR[5]; // rv 0
assign DADDR_in[6] = (DADDR[6] !== 1'bz) && DADDR[6]; // rv 0
assign DCLK_in = (DCLK !== 1'bz) && DCLK; // rv 0
assign DEN_in = (DEN !== 1'bz) && DEN; // rv 0
assign DI_in[0] = (DI[0] !== 1'bz) && DI[0]; // rv 0
assign DI_in[10] = (DI[10] !== 1'bz) && DI[10]; // rv 0
assign DI_in[11] = (DI[11] !== 1'bz) && DI[11]; // rv 0
assign DI_in[12] = (DI[12] !== 1'bz) && DI[12]; // rv 0
assign DI_in[13] = (DI[13] !== 1'bz) && DI[13]; // rv 0
assign DI_in[14] = (DI[14] !== 1'bz) && DI[14]; // rv 0
assign DI_in[15] = (DI[15] !== 1'bz) && DI[15]; // rv 0
assign DI_in[1] = (DI[1] !== 1'bz) && DI[1]; // rv 0
assign DI_in[2] = (DI[2] !== 1'bz) && DI[2]; // rv 0
assign DI_in[3] = (DI[3] !== 1'bz) && DI[3]; // rv 0
assign DI_in[4] = (DI[4] !== 1'bz) && DI[4]; // rv 0
assign DI_in[5] = (DI[5] !== 1'bz) && DI[5]; // rv 0
assign DI_in[6] = (DI[6] !== 1'bz) && DI[6]; // rv 0
assign DI_in[7] = (DI[7] !== 1'bz) && DI[7]; // rv 0
assign DI_in[8] = (DI[8] !== 1'bz) && DI[8]; // rv 0
assign DI_in[9] = (DI[9] !== 1'bz) && DI[9]; // rv 0
assign DWE_in = (DWE !== 1'bz) && DWE; // rv 0
assign PSCLK_in = (PSCLK !== 1'bz) && PSCLK; // rv 0
assign PSEN_in = (PSEN !== 1'bz) && (PSEN ^ IS_PSEN_INVERTED_BIN); // rv 0
assign PSINCDEC_in = (PSINCDEC !== 1'bz) && (PSINCDEC ^ IS_PSINCDEC_INVERTED_BIN); // rv 0
`endif
assign CLKFBIN_in = (CLKFBIN !== 1'bz) && CLKFBIN; // rv 0
assign CLKIN1_in = (CLKIN1 !== 1'bz) && CLKIN1; // rv 0
assign CLKIN2_in = (CLKIN2 !== 1'bz) && CLKIN2; // rv 0
assign CLKINSEL_in = (CLKINSEL === 1'bz) || (CLKINSEL ^ IS_CLKINSEL_INVERTED_BIN); // rv 1
assign PWRDWN_in = (PWRDWN !== 1'bz) && (PWRDWN ^ IS_PWRDWN_INVERTED_BIN); // rv 0
assign RST_in = (RST !== 1'bz) && (RST ^ IS_RST_INVERTED_BIN); // rv 0
localparam VCOCLK_FREQ_TARGET = 1000;
localparam M_MIN = 2.000;
localparam M_MAX = 64.000;
localparam VF_MIN = 600.000;
localparam D_MIN = 1;
localparam D_MAX = 106;
localparam O_MIN = 1;
localparam O_MAX = 128;
localparam O_MAX_HT_LT = 64;
localparam REF_CLK_JITTER_MAX = 1000;
localparam REF_CLK_JITTER_SCALE = 0.1;
localparam MAX_FEEDBACK_DELAY = 10.0;
localparam MAX_FEEDBACK_DELAY_SCALE = 1.0;
localparam ps_max = 55;
real CLKOUT0_DIVIDE_F_RND;
real CLKFBOUT_MULT_F_RND;
tri1 p_up;
wire glock;
integer pchk_tmp1, pchk_tmp2;
integer clkvco_div_fint;
real clkvco_div_frac;
reg clk0_out;
reg clkfbout_out;
integer clkvco_frac_en;
integer ps_in_init;
reg psdone_out1;
integer clk0_fps_en, clk1_fps_en, clk2_fps_en, clk3_fps_en, clk4_fps_en;
integer clk5_fps_en, clk6_fps_en, clkfbout_fps_en;
integer fps_en=0, fps_clk_en=0;
reg clkinstopped_out1;
reg clkin_hold_f = 0;
reg clkinstopped_out_dly2 = 0, clkin_stop_f = 0;
integer period_avg_stpi = 0, period_avg_stp = 0;
real tmp_stp1, tmp_stp2;
reg pd_stp_p = 0;
reg vco_stp_f = 0;
reg psen_w = 0;
reg clkinstopped_out_dly = 0;
reg clkfbin_stop_tmp, clkfbstopped_out1, clkin_stop_tmp;
reg rst_clkinstopped = 0, rst_clkfbstopped = 0, rst_clkinstopped_tm = 0;
reg rst_clkinstopped_rc = 0;
reg rst_clkinstopped_lk, rst_clkfbstopped_lk;
integer clkin_lost_cnt, clkfbin_lost_cnt;
reg clkinstopped_hold = 0;
integer ps_in_ps, ps_cnt;
integer ps_in_ps_neg, ps_cnt_neg;
reg clkout4_cascade_int;
reg [6:0] daddr_lat;
reg valid_daddr;
reg drdy_out1;
reg drp_lock;
integer drp_lock_lat = 4;
integer drp_lock_lat_cnt;
reg [15:0] dr_sram [127:0];
reg [160:0] tmp_string;
reg rst_int;
reg pwron_int;
wire rst_in_o;
wire locked_out1;
reg locked_out_tmp;
reg clk1_out, clk2_out, clk3_out, clk4_out, clk5_out, clk6_out;
reg clkout_en, clkout_en1, clkout_en0, clkout_en0_tmp, clkout_en0_tmp1;
integer clkout_en_val, clkout_en_t;
integer clkin_lock_cnt;
integer clkout_en_time, locked_en_time, lock_cnt_max;
integer pll_lock_time, lock_period_time;
reg clkvco;
reg clkvco_lk_dly_tmp;
reg clkvco_lk_en;
reg clkvco_lk;
reg fbclk_tmp;
reg clkin_osc, clkin_p, clkfbin_osc, clkfbin_p;
reg clkinstopped_vco_f;
time rst_edge, rst_ht;
reg fb_delay_found=1'b0, fb_delay_found_tmp=1'b0;
reg clkfbout_tst=1'b0;
real fb_delay_max;
time fb_delay, clkvco_delay, val_tmp, dly_tmp, fb_comp_delay;
time dly_tmp1, tmp_ps_val2;
integer dly_tmp_int, tmp_ps_val1;
time clkin_edge, delay_edge;
real period_clkin, clkin_period_tmp;
integer clkin_period_tmp_t;
integer clkin_period [4:0];
integer period_vco, period_vco_half, period_vco_half1, period_vco_half_rm;
real period_vco_rl, period_vco_rl_half;
integer period_vco_half_rm1, period_vco_half_rm2;
real cmpvco = 0.0;
real clkvco_pdrm;
integer period_vco_mf;
integer period_vco_tmp;
integer period_vco_rm, period_vco_cmp_cnt, clkvco_rm_cnt;
integer period_vco_cmp_flag;
integer period_vco_max, period_vco_min;
integer period_vco1, period_vco2, period_vco3, period_vco4;
integer period_vco5, period_vco6, period_vco7;
integer period_vco_target, period_vco_target_half;
integer period_fb=100000, period_avg=100000;
integer clk0_frac_lt, clk0_frac_ht;
integer clkfbout_frac_lt, clkfbout_frac_ht;
integer period_ps, period_ps_old;
reg ps_lock, ps_lock_dly;
real clkvco_freq_init_chk, clkfbout_pm_rl;
real tmp_real;
integer ik0, ik1, ik2, ik3, ik4, ib, i, j;
integer md_product, m_product, m_product2;
integer mf_product, clk0f_product;
// integer clkin_lost_val, clkfbin_lost_val, clkin_lost_val_lk;
integer clkin_lost_val, clkfbin_lost_val;
time pll_locked_delay, clkin_dly_t, clkfbin_dly_t;
wire pll_unlock, pll_unlock1;
reg pll_locked_tmp1, pll_locked_tmp2;
reg lock_period;
reg pll_locked_tm, unlock_recover;
reg clkpll_jitter_unlock;
integer clkin_jit, REF_CLK_JITTER_MAX_tmp;
wire init_trig, clkpll_r;
reg clk0in=1'b0,clk1in=1'b0,clk2in=1'b0,clk3in=1'b0,clk4in=1'b0,clk5in=1'b0,clk6in=1'b0;
reg clkpll_tmp1, clkpll;
reg clkfboutin=1'b0;
wire clkfbps_en;
reg chk_ok;
wire clk0ps_en, clk1ps_en, clk2ps_en, clk3ps_en, clk4ps_en, clk5ps_en, clk6ps_en;
reg [3:0] d_rsel, clkfbout_rsel, clk0_rsel;
reg [3:0] d_fsel, clkfbout_fsel, clk0_fsel;
reg [6:0] d_fht, clkfbout_fht, clk0_fht;
reg [6:0] d_flt, clkfbout_flt, clk0_flt;
reg [5:0] clk0_dly_cnt;
reg [5:0] clk1_dly_cnt;
reg [5:0] clk2_dly_cnt;
reg [5:0] clk3_dly_cnt;
reg [5:0] clk4_dly_cnt;
reg [5:0] clk5_dly_cnt;
reg [5:0] clk6_dly_cnt;
real clk0_phase, clk0_duty;
real clk1_phase, clk1_duty;
real clk2_phase, clk2_duty;
real clk3_phase, clk3_duty;
real clk4_phase, clk4_duty;
real clk5_phase, clk5_duty;
real clk6_phase, clk6_duty;
real divclk_phase=0.000, divclk_duty=0.500;
real clkfbout_phase, clkfbout_duty=0.500;
// mem cells
reg [2:0] d_frac, clkfbout_frac, clk0_frac;
reg d_frac_en, clkfbout_frac_en, clk0_frac_en;
reg d_wf_f, clkfbout_wf_f, clk0_wf_f;
reg d_wf_r, clkfbout_wf_r, clk0_wf_r;
reg [2:0] d_mx, clkfbout_mx, clk0_mx, clk1_mx, clk2_mx, clk3_mx, clk4_mx, clk5_mx, clk6_mx;
reg divclk_e, clkfbin_e;
reg clkfbout_e, clk0_e, clk1_e, clk2_e, clk3_e, clk4_e, clk5_e, clk6_e;
reg divclk_nc, clkfbin_nc;
reg clkfbout_nc, clk0_nc, clk1_nc, clk2_nc, clk3_nc, clk4_nc, clk5_nc, clk6_nc;
reg [5:0] d_dt, clkfbout_dt, clk0_dt, clk1_dt, clk2_dt, clk3_dt, clk4_dt, clk5_dt, clk6_dt;
reg [2:0] d_pm_f, clkfbout_pm_f, clk0_pm_f;
reg [2:0] clkfbout_pm_r, clk0_pm_r;
reg [2:0] d_pm, clk1_pm, clk2_pm, clk3_pm, clk4_pm, clk5_pm, clk6_pm;
reg divclk_en, clkfbout_en, clk0_en, clk1_en, clk2_en, clk3_en, clk4_en, clk5_en, clk6_en;
reg [5:0] clkfbin_ht;
reg [7:0] divclk_ht;
reg [5:0] clkfbout_ht, clk0_ht, clk1_ht, clk2_ht, clk3_ht, clk4_ht, clk5_ht, clk6_ht;
reg [5:0] clkfbin_lt;
reg [7:0] divclk_lt;
reg [6:0] clkfbout_lt, clk0_lt, clk1_lt, clk2_lt, clk3_lt, clk4_lt, clk5_lt, clk6_lt;
//
real clkfbout_f_div=1.0;
real clk0_f_div;
integer d_div, clkfbout_div, clk0_div;
reg [5:0] clkfbout_dly_cnt;
reg [7:0] clkfbout_cnt;
reg [7:0] clk0_cnt;
reg [7:0] clk1_cnt, clk1_div;
reg [7:0] clk2_cnt, clk2_div;
reg [7:0] clk3_cnt, clk3_div;
reg [7:0] clk4_cnt, clk4_div;
reg [7:0] clk5_cnt, clk5_div;
reg [7:0] clk6_cnt, clk6_div;
integer divclk_cnt_max, clkfbout_cnt_max, clk0_cnt_max;
integer clk1_cnt_max, clk2_cnt_max, clk3_cnt_max, clk4_cnt_max, clk5_cnt_max, clk6_cnt_max;
integer divclk_cnt_ht, clkfbout_cnt_ht, clk0_cnt_ht;
integer clk1_cnt_ht, clk2_cnt_ht, clk3_cnt_ht, clk4_cnt_ht, clk5_cnt_ht, clk6_cnt_ht;
reg [7:0] divclk_div=8'b1, divclk_cnt=8'b0;
reg divclk_out, divclk_out_tmp;
reg [3:0] pll_cp, pll_res;
reg [1:0] pll_lfhf;
reg [1:0] pll_cpres = 2'b01;
reg [4:0] drp_lock_ref_dly;
reg [4:0] drp_lock_fb_dly;
reg [9:0] drp_lock_cnt;
reg [9:0] drp_unlock_cnt;
reg [9:0] drp_lock_sat_high;
wire clkinsel_tmp;
real clkin_chk_t1, clkin_chk_t2;
real clkin_chk_t1_r, clkin_chk_t2_r;
integer clkin_chk_t1_i, clkin_chk_t2_i;
reg init_chk;
reg rst_clkinsel_flag = 0;
wire [15:0] do_out1;
wire pwrdwn_in1;
reg pwrdwn_in1_h = 0;
reg rst_input_r_h = 0;
reg pchk_clr = 0;
reg psincdec_chg = 0;
reg psincdec_chg_tmp = 0;
wire rst_input;
reg startup_wait_sig;
reg vcoflag = 0;
reg drp_updt = 1'b0;
real halfperiod_sum = 0.0;
integer halfperiod = 0;
reg clkvco_free = 1'b0;
integer ik10, ik11;
//drp monitor
reg den_r1 = 1'b0;
reg den_r2 = 1'b0;
reg dwe_r1 = 1'b0;
reg dwe_r2 = 1'b0;
reg [1:0] sfsm = 2'b01;
localparam FSM_IDLE = 2'b01;
localparam FSM_WAIT = 2'b10;
always @(posedge DCLK_in)
begin
// pipeline the DEN and DWE
den_r1 <= DEN_in;
dwe_r1 <= DWE_in;
den_r2 <= den_r1;
dwe_r2 <= dwe_r1;
// Check - if DEN or DWE is more than 1 DCLK
if ((den_r1 == 1'b1) && (den_r2 == 1'b1))
begin
$display("DRC Error : DEN is high for more than 1 DCLK. Instance %m");
$finish;
end
if ((dwe_r1 == 1'b1) && (dwe_r2 == 1'b1))
begin
$display("DRC Error : DWE is high for more than 1 DCLK. Instance %m");
$finish;
end
//After the 1st DEN pulse, check the DEN and DRDY.
case (sfsm)
FSM_IDLE:
begin
if(DEN_in == 1'b1)
sfsm <= FSM_WAIT;
end
FSM_WAIT:
begin
// After the 1st DEN, 4 cases can happen
// DEN DRDY NEXT STATE
// 0 0 FSM_WAIT - wait for DRDY
// 0 1 FSM_IDLE - normal operation
// 1 0 FSM_WAIT - display error and wait for DRDY
// 1 1 FSM_WAIT - normal operation. Per UG470, DEN and DRDY can be at the same cycle.
//Add the check for another DPREN pulse
if(DEN_in === 1'b1 && DRDY_out === 1'b0)
begin
$display("DRC Error : DEN is enabled before DRDY returns. Instance %m");
$finish;
end
//Add the check for another DWE pulse
if ((DWE_in === 1'b1) && (DEN_in === 1'b0))
begin
$display("DRC Error : DWE is enabled before DRDY returns. Instance %m");
$finish;
end
if ((DRDY_out === 1'b1) && (DEN_in === 1'b0))
begin
sfsm <= FSM_IDLE;
end
if ((DRDY_out === 1'b1) && (DEN_in === 1'b1))
begin
sfsm <= FSM_WAIT;
end
end
default:
begin
$display("DRC Error : Default state in DRP FSM. Instance %m");
$finish;
end
endcase
end // always @ (posedge DCLK)
//end drp monitor
always @(locked_out_tmp)
LOCKED_out = locked_out_tmp;
always @(drdy_out1)
DRDY_out = drdy_out1;
always @(do_out1)
DO_out = do_out1;
always @(psdone_out1)
PSDONE_out = psdone_out1;
assign IS_CLKINSEL_INVERTED_BIN = IS_CLKINSEL_INVERTED_REG;
assign IS_PSEN_INVERTED_BIN = IS_PSEN_INVERTED_REG;
assign IS_PSINCDEC_INVERTED_BIN = IS_PSINCDEC_INVERTED_REG;
assign IS_PWRDWN_INVERTED_BIN = IS_PWRDWN_INVERTED_REG;
assign IS_RST_INVERTED_BIN = IS_RST_INVERTED_REG;
initial begin
#1;
if ($realtime == 0) begin
$display ("Error: [Unisim %s-1] Simulator resolution is set to a value greater than 1 ps. ", MODULE_NAME);
$display ("The simulator resolution must be set to 1ps or smaller. Instance %m");
#1 $finish;
end
end
initial begin
#1;
if ((attr_test == 1'b1) ||
((BANDWIDTH_REG != "OPTIMIZED") &&
(BANDWIDTH_REG != "HIGH") &&
(BANDWIDTH_REG != "LOW"))) begin
$display("Error: [Unisim %s-101] BANDWIDTH attribute is set to %s. Legal values for this attribute are OPTIMIZED, HIGH or LOW. Instance: %m", MODULE_NAME, BANDWIDTH_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKFBOUT_MULT_F_REG < 2.000 || CLKFBOUT_MULT_F_REG > M_MAX)) begin
$display("Error: [Unisim %s-102] CLKFBOUT_MULT_F attribute is set to %f. Legal values for this attribute are 2.000 to %3.3f. Instance: %m", MODULE_NAME, CLKFBOUT_MULT_F_REG, M_MAX);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKFBOUT_PHASE_REG < -360.000 || CLKFBOUT_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-103] CLKFBOUT_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKFBOUT_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKFBOUT_USE_FINE_PS_REG != "TRUE") &&
(CLKFBOUT_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-104] CLKFBOUT_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKFBOUT_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKIN1_PERIOD_REG < 0.000 || CLKIN1_PERIOD_REG > 100.000)) begin
$display("Error: [Unisim %s-105] CLKIN1_PERIOD attribute is set to %f. Legal values for this attribute are 0.000 to 100.000. Instance: %m", MODULE_NAME, CLKIN1_PERIOD_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKIN2_PERIOD_REG < 0.000 || CLKIN2_PERIOD_REG > 100.000)) begin
$display("Error: [Unisim %s-106] CLKIN2_PERIOD attribute is set to %f. Legal values for this attribute are 0.000 to 100.000. Instance: %m", MODULE_NAME, CLKIN2_PERIOD_REG);
attr_err = 1'b1;
end
`ifdef XIL_TIMING
if ((attr_test == 1'b1) ||
(CLKIN_FREQ_MAX_REG < 800.000 || CLKIN_FREQ_MAX_REG > 1066.000)) begin
$display("Error: [Unisim %s-107] CLKIN_FREQ_MAX attribute is set to %f. Legal values for this attribute are 800.000 to 1066.000. Instance: %m", MODULE_NAME, CLKIN_FREQ_MAX_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKIN_FREQ_MIN_REG < 10.000 || CLKIN_FREQ_MIN_REG > 10.000)) begin
$display("Error: [Unisim %s-108] CLKIN_FREQ_MIN attribute is set to %f. Legal values for this attribute are 10.000 to 10.000. Instance: %m", MODULE_NAME, CLKIN_FREQ_MIN_REG);
attr_err = 1'b1;
end
`endif
if ((attr_test == 1'b1) ||
(CLKOUT0_DIVIDE_F_REG < 1.000 || CLKOUT0_DIVIDE_F_REG > 128.000)) begin
$display("Error: [Unisim %s-109] CLKOUT0_DIVIDE_F attribute is set to %f. Legal values for this attribute are 1.000 to 128.000. Instance: %m", MODULE_NAME, CLKOUT0_DIVIDE_F_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT0_DUTY_CYCLE_REG < 0.001 || CLKOUT0_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-110] CLKOUT0_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT0_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT0_PHASE_REG < -360.000 || CLKOUT0_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-111] CLKOUT0_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT0_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT0_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT0_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-112] CLKOUT0_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT0_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT1_DIVIDE_REG < 1) || (CLKOUT1_DIVIDE_REG > 128))) begin
$display("Error: [Unisim %s-113] CLKOUT1_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 128. Instance: %m", MODULE_NAME, CLKOUT1_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT1_DUTY_CYCLE_REG < 0.001 || CLKOUT1_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-114] CLKOUT1_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT1_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT1_PHASE_REG < -360.000 || CLKOUT1_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-115] CLKOUT1_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT1_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT1_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT1_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-116] CLKOUT1_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT1_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT2_DIVIDE_REG < 1) || (CLKOUT2_DIVIDE_REG > 128))) begin
$display("Error: [Unisim %s-117] CLKOUT2_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 128. Instance: %m", MODULE_NAME, CLKOUT2_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT2_DUTY_CYCLE_REG < 0.001 || CLKOUT2_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-118] CLKOUT2_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT2_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT2_PHASE_REG < -360.000 || CLKOUT2_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-119] CLKOUT2_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT2_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT2_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT2_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-120] CLKOUT2_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT2_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT3_DIVIDE_REG < 1) || (CLKOUT3_DIVIDE_REG > 128))) begin
$display("Error: [Unisim %s-121] CLKOUT3_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 128. Instance: %m", MODULE_NAME, CLKOUT3_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT3_DUTY_CYCLE_REG < 0.001 || CLKOUT3_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-122] CLKOUT3_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT3_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT3_PHASE_REG < -360.000 || CLKOUT3_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-123] CLKOUT3_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT3_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT3_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT3_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-124] CLKOUT3_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT3_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT4_CASCADE_REG != "FALSE") &&
(CLKOUT4_CASCADE_REG != "TRUE"))) begin
$display("Error: [Unisim %s-125] CLKOUT4_CASCADE attribute is set to %s. Legal values for this attribute are FALSE or TRUE. Instance: %m", MODULE_NAME, CLKOUT4_CASCADE_REG);
attr_err = 1'b1;
end
clkout4_cascade_int = (CLKOUT4_CASCADE_REG == "TRUE") ? 1'b1 : 1'b0;
if ((attr_test == 1'b1) ||
((CLKOUT4_DIVIDE_REG < 1) || (CLKOUT4_DIVIDE_REG > 128))) begin
$display("Error: [Unisim %s-126] CLKOUT4_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 128. Instance: %m", MODULE_NAME, CLKOUT4_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT4_DUTY_CYCLE_REG < 0.001 || CLKOUT4_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-127] CLKOUT4_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT4_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT4_PHASE_REG < -360.000 || CLKOUT4_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-128] CLKOUT4_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT4_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT4_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT4_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-129] CLKOUT4_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT4_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT5_DIVIDE_REG < 1) || (CLKOUT5_DIVIDE_REG > 128))) begin
$display("Error: [Unisim %s-130] CLKOUT5_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 128. Instance: %m", MODULE_NAME, CLKOUT5_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT5_DUTY_CYCLE_REG < 0.001 || CLKOUT5_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-131] CLKOUT5_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT5_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT5_PHASE_REG < -360.000 || CLKOUT5_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-132] CLKOUT5_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT5_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT5_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT5_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-133] CLKOUT5_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT5_USE_FINE_PS_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT6_DIVIDE_REG < 1) || (CLKOUT6_DIVIDE_REG > 128))) begin
$display("Error: [Unisim %s-134] CLKOUT6_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 128. Instance: %m", MODULE_NAME, CLKOUT6_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT6_DUTY_CYCLE_REG < 0.001 || CLKOUT6_DUTY_CYCLE_REG > 0.999)) begin
$display("Error: [Unisim %s-135] CLKOUT6_DUTY_CYCLE attribute is set to %f. Legal values for this attribute are 0.001 to 0.999. Instance: %m", MODULE_NAME, CLKOUT6_DUTY_CYCLE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKOUT6_PHASE_REG < -360.000 || CLKOUT6_PHASE_REG > 360.000)) begin
$display("Error: [Unisim %s-136] CLKOUT6_PHASE attribute is set to %f. Legal values for this attribute are -360.000 to 360.000. Instance: %m", MODULE_NAME, CLKOUT6_PHASE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((CLKOUT6_USE_FINE_PS_REG != "TRUE") &&
(CLKOUT6_USE_FINE_PS_REG != "FALSE"))) begin
$display("Error: [Unisim %s-137] CLKOUT6_USE_FINE_PS attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, CLKOUT6_USE_FINE_PS_REG);
attr_err = 1'b1;
end
`ifdef XIL_TIMING
if ((attr_test == 1'b1) ||
(CLKPFD_FREQ_MAX_REG < 450.000 || CLKPFD_FREQ_MAX_REG > 550.000)) begin
$display("Error: [Unisim %s-138] CLKPFD_FREQ_MAX attribute is set to %f. Legal values for this attribute are 450.000 to 550.000. Instance: %m", MODULE_NAME, CLKPFD_FREQ_MAX_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(CLKPFD_FREQ_MIN_REG < 10.000 || CLKPFD_FREQ_MIN_REG > 10.000)) begin
$display("Error: [Unisim %s-139] CLKPFD_FREQ_MIN attribute is set to %f. Legal values for this attribute are 10.000 to 10.000. Instance: %m", MODULE_NAME, CLKPFD_FREQ_MIN_REG);
attr_err = 1'b1;
end
`endif
if ((attr_test == 1'b1) ||
((COMPENSATION_REG != "ZHOLD") &&
(COMPENSATION_REG != "BUF_IN") &&
(COMPENSATION_REG != "EXTERNAL") &&
(COMPENSATION_REG != "INTERNAL"))) begin
$display("Error: [Unisim %s-140] COMPENSATION attribute is set to %s. Legal values for this attribute are ZHOLD, BUF_IN, EXTERNAL or INTERNAL. Instance: %m", MODULE_NAME, COMPENSATION_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((DIVCLK_DIVIDE_REG < 1) || (DIVCLK_DIVIDE_REG > 106))) begin
$display("Error: [Unisim %s-141] DIVCLK_DIVIDE attribute is set to %d. Legal values for this attribute are 1 to 106. Instance: %m", MODULE_NAME, DIVCLK_DIVIDE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((IS_CLKINSEL_INVERTED_REG !== 1'b0) && (IS_CLKINSEL_INVERTED_REG !== 1'b1))) begin
$display("Error: [Unisim %s-145] IS_CLKINSEL_INVERTED attribute is set to %b. Legal values for this attribute are 1'b0 to 1'b1. Instance: %m", MODULE_NAME, IS_CLKINSEL_INVERTED_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((IS_PSEN_INVERTED_REG !== 1'b0) && (IS_PSEN_INVERTED_REG !== 1'b1))) begin
$display("Error: [Unisim %s-146] IS_PSEN_INVERTED attribute is set to %b. Legal values for this attribute are 1'b0 to 1'b1. Instance: %m", MODULE_NAME, IS_PSEN_INVERTED_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((IS_PSINCDEC_INVERTED_REG !== 1'b0) && (IS_PSINCDEC_INVERTED_REG !== 1'b1))) begin
$display("Error: [Unisim %s-147] IS_PSINCDEC_INVERTED attribute is set to %b. Legal values for this attribute are 1'b0 to 1'b1. Instance: %m", MODULE_NAME, IS_PSINCDEC_INVERTED_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((IS_PWRDWN_INVERTED_REG !== 1'b0) && (IS_PWRDWN_INVERTED_REG !== 1'b1))) begin
$display("Error: [Unisim %s-148] IS_PWRDWN_INVERTED attribute is set to %b. Legal values for this attribute are 1'b0 to 1'b1. Instance: %m", MODULE_NAME, IS_PWRDWN_INVERTED_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((IS_RST_INVERTED_REG !== 1'b0) && (IS_RST_INVERTED_REG !== 1'b1))) begin
$display("Error: [Unisim %s-149] IS_RST_INVERTED attribute is set to %b. Legal values for this attribute are 1'b0 to 1'b1. Instance: %m", MODULE_NAME, IS_RST_INVERTED_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(REF_JITTER1_REG < 0.000 || REF_JITTER1_REG > 0.999)) begin
$display("Error: [Unisim %s-150] REF_JITTER1 attribute is set to %f. Legal values for this attribute are 0.000 to 0.999. Instance: %m", MODULE_NAME, REF_JITTER1_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(REF_JITTER2_REG < 0.000 || REF_JITTER2_REG > 0.999)) begin
$display("Error: [Unisim %s-151] REF_JITTER2 attribute is set to %f. Legal values for this attribute are 0.000 to 0.999. Instance: %m", MODULE_NAME, REF_JITTER2_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((SS_EN_REG != "FALSE") &&
(SS_EN_REG != "TRUE"))) begin
$display("Error: [Unisim %s-152] SS_EN attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, SS_EN_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((SS_MODE_REG != "CENTER_HIGH") &&
(SS_MODE_REG != "CENTER_LOW") &&
(SS_MODE_REG != "DOWN_HIGH") &&
(SS_MODE_REG != "DOWN_LOW"))) begin
$display("Error: [Unisim %s-153] SS_MODE attribute is set to %s. Legal values for this attribute are CENTER_HIGH, CENTER_LOW, DOWN_HIGH or DOWN_LOW. Instance: %m", MODULE_NAME, SS_MODE_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((SS_MOD_PERIOD_REG < 4000) || (SS_MOD_PERIOD_REG > 40000))) begin
$display("Error: [Unisim %s-154] SS_MOD_PERIOD attribute is set to %d. Legal values for this attribute are 4000 to 40000. Instance: %m", MODULE_NAME, SS_MOD_PERIOD_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
((STARTUP_WAIT_REG != "TRUE") &&
(STARTUP_WAIT_REG != "FALSE"))) begin
$display("Error: [Unisim %s-155] STARTUP_WAIT attribute is set to %s. Legal values for this attribute are TRUE or FALSE. Instance: %m", MODULE_NAME, STARTUP_WAIT_REG);
attr_err = 1'b1;
end
startup_wait_sig = (STARTUP_WAIT_REG == "TRUE") ? 1'b1 : 1'b0;
`ifdef XIL_TIMING
if ((attr_test == 1'b1) ||
(VCOCLK_FREQ_MAX_REG < 1200.000 || VCOCLK_FREQ_MAX_REG > 1600.000)) begin
$display("Error: [Unisim %s-156] VCOCLK_FREQ_MAX attribute is set to %f. Legal values for this attribute are 1200.000 to 1600.000. Instance: %m", MODULE_NAME, VCOCLK_FREQ_MAX_REG);
attr_err = 1'b1;
end
if ((attr_test == 1'b1) ||
(VCOCLK_FREQ_MIN_REG < VF_MIN || VCOCLK_FREQ_MIN_REG > VF_MIN)) begin
$display("Error: [Unisim %s-157] VCOCLK_FREQ_MIN attribute is set to %f. Legal values for this attribute are %3.3f. Instance: %m", MODULE_NAME, VCOCLK_FREQ_MIN_REG, VF_MIN);
attr_err = 1'b1;
end
`endif
if (attr_err == 1'b1) #1 $finish;
if (CLKOUT0_DIVIDE_F_REG > 1.0000 && CLKOUT0_DIVIDE_F_REG < 2.0000) begin
$display("Error: [Unisim %s-2] The Attribute CLKOUT0_DIVIDE_F is set to %f. Values in range of greater than 1 and less than 2 are not allowed. Instance %m", MODULE_NAME, CLKOUT0_DIVIDE_F_REG);
#1 $finish;
end
CLKOUT0_DIVIDE_F_RND = $itor($rtoi((CLKOUT0_DIVIDE_F_REG + 0.0625) * 8.0)) / 8.0;
CLKFBOUT_MULT_F_RND = $itor($rtoi((CLKFBOUT_MULT_F_REG + 0.0625) * 8.0)) / 8.0;
if (CLKFBOUT_MULT_F_RND < CLKFBOUT_MULT_F_REG) begin
$display(" Warning [Unisim %s-35]: CLKFBOUT_MULT_F is not set to a resolution of .125 (%f) and is being rounded down to (%f). Instance %m ", MODULE_NAME, CLKFBOUT_MULT_F_REG, CLKFBOUT_MULT_F_RND);
end
else if (CLKFBOUT_MULT_F_RND > CLKFBOUT_MULT_F_REG) begin
$display(" Warning: [Unisim %s-36]: CLKFBOUT_MULT_F is not set to a resolution of .125 (%f) and is being rounded up to (%f). Instance %m ", MODULE_NAME, CLKFBOUT_MULT_F_REG, CLKFBOUT_MULT_F_RND);
end
if (CLKOUT0_DIVIDE_F_RND < CLKOUT0_DIVIDE_F_REG) begin
$display(" Warning: [Unisim %s-37]: CLKOUT0_DIVIDE_F is not set to a resolution of .125 (%f) and is being rounded down to (%f). Instance %m ", MODULE_NAME, CLKOUT0_DIVIDE_F_REG, CLKOUT0_DIVIDE_F_RND);
end
else if (CLKOUT0_DIVIDE_F_RND > CLKOUT0_DIVIDE_F_REG) begin
$display(" Warning: [Unisim %s-38]: CLKOUT0_DIVIDE_F is not set to a resolution of .125 (%f) and is being rounded up to (%f). Instance %m ", MODULE_NAME, CLKOUT0_DIVIDE_F_REG, CLKOUT0_DIVIDE_F_RND);
end
clkfbout_f_div = CLKFBOUT_MULT_F_RND;
attr_to_mc(clkfbout_pm_f, clkfbout_wf_f, clkfbout_frac, clkfbout_frac_en, clkfbout_wf_r, clkfbout_mx, clkfbout_e, clkfbout_nc, clkfbout_dt, clkfbout_pm_r, clkfbout_en, clkfbout_ht, clkfbout_lt, CLKFBOUT_MULT_F_REG, CLKFBOUT_PHASE_REG, clkfbout_duty);
ht_calc(clkfbout_frac, clkfbout_frac_en, clkfbout_e, clkfbout_ht, clkfbout_lt, clkfbout_f_div, clkfbout_rsel, clkfbout_fsel, clkfbout_fht, clkfbout_flt, clkfbout_cnt_max, clkfbout_cnt_ht, clkfbout_div);
clk0_f_div = CLKOUT0_DIVIDE_F_RND;
attr_to_mc(clk0_pm_f, clk0_wf_f, clk0_frac, clk0_frac_en, clk0_wf_r, clk0_mx, clk0_e, clk0_nc, clk0_dt, clk0_pm_r, clk0_en, clk0_ht, clk0_lt, CLKOUT0_DIVIDE_F_REG, CLKOUT0_PHASE_REG, CLKOUT0_DUTY_CYCLE_REG);
ht_calc(clk0_frac, clk0_frac_en, clk0_e, clk0_ht, clk0_lt, clk0_f_div, clk0_rsel, clk0_fsel, clk0_fht, clk0_flt, clk0_cnt_max, clk0_cnt_ht, clk0_div);
clk1_div = CLKOUT1_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, clk1_mx, clk1_e, clk1_nc, clk1_dt, clk1_pm, clk1_en, clk1_ht, clk1_lt, CLKOUT1_DIVIDE_REG, CLKOUT1_PHASE_REG, CLKOUT1_DUTY_CYCLE_REG);
ht_calc(3'b0, 1'b0, clk1_e, clk1_ht, clk1_lt, clk1_div, d_rsel, d_fsel, d_fht, d_flt, clk1_cnt_max, clk1_cnt_ht, d_div);
clk2_div = CLKOUT2_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, clk2_mx, clk2_e, clk2_nc, clk2_dt, clk2_pm, clk2_en, clk2_ht, clk2_lt, CLKOUT2_DIVIDE_REG, CLKOUT2_PHASE_REG, CLKOUT2_DUTY_CYCLE_REG);
ht_calc(3'b0, 1'b0, clk2_e, clk2_ht, clk2_lt, clk2_div, d_rsel, d_fsel, d_fht, d_flt, clk2_cnt_max, clk2_cnt_ht, d_div);
clk3_div = CLKOUT3_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, clk3_mx, clk3_e, clk3_nc, clk3_dt, clk3_pm, clk3_en, clk3_ht, clk3_lt, CLKOUT3_DIVIDE_REG, CLKOUT3_PHASE_REG, CLKOUT3_DUTY_CYCLE_REG);
ht_calc(3'b0, 1'b0, clk3_e, clk3_ht, clk3_lt, clk3_div, d_rsel, d_fsel, d_fht, d_flt, clk3_cnt_max, clk3_cnt_ht, d_div);
clk4_div = CLKOUT4_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, clk4_mx, clk4_e, clk4_nc, clk4_dt, clk4_pm, clk4_en, clk4_ht, clk4_lt, CLKOUT4_DIVIDE_REG, CLKOUT4_PHASE_REG, CLKOUT4_DUTY_CYCLE_REG);
ht_calc(3'b0, 1'b0, clk4_e, clk4_ht, clk4_lt, clk4_div, d_rsel, d_fsel, d_fht, d_flt, clk4_cnt_max, clk4_cnt_ht, d_div);
clk5_div = CLKOUT5_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, clk5_mx, clk5_e, clk5_nc, clk5_dt, clk5_pm, clk5_en, clk5_ht, clk5_lt, CLKOUT5_DIVIDE_REG, CLKOUT5_PHASE_REG, CLKOUT5_DUTY_CYCLE_REG);
ht_calc(3'b0, 1'b0, clk5_e, clk5_ht, clk5_lt, clk5_div, d_rsel, d_fsel, d_fht, d_flt, clk5_cnt_max, clk5_cnt_ht, d_div);
clk6_div = CLKOUT6_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, clk6_mx, clk6_e, clk6_nc, clk6_dt, clk6_pm, clk6_en, clk6_ht, clk6_lt, CLKOUT6_DIVIDE_REG, CLKOUT6_PHASE_REG, CLKOUT6_DUTY_CYCLE_REG);
ht_calc(3'b0, 1'b0, clk6_e, clk6_ht, clk6_lt, clk6_div, d_rsel, d_fsel, d_fht, d_flt, clk6_cnt_max, clk6_cnt_ht, d_div);
divclk_div = DIVCLK_DIVIDE_REG;
attr_to_mc(d_pm_f, d_wf_f, d_frac, d_frac_en, d_wf_r, d_mx, divclk_e, divclk_nc, d_dt, d_pm, divclk_en, divclk_ht, divclk_lt, DIVCLK_DIVIDE_REG, 0.000, 0.500);
ht_calc(3'b0, 1'b0, divclk_e, divclk_ht, divclk_lt, divclk_div, d_rsel, d_fsel, d_fht, d_flt, divclk_cnt_max, divclk_cnt_ht, d_div);
ps_in_init = 0;
ps_in_ps = ps_in_init;
ps_cnt = 0;
if (CLKFBOUT_USE_FINE_PS_REG == "TRUE") begin
// if (clkfbout_frac_en == 1) begin
// $display("Attribute Syntax Error : The Attribute CLKFBOUT_USE_FINE_PS on %s instance %m is set to %s. This attribute should be set to FALSE when CLKFBOUT_MULT_F has fraction part.", MODULE_NAME, CLKFBOUT_USE_FINE_PS_REG);
// $finish;
// end
// else
clkfbout_fps_en = 1;
end
else
clkfbout_fps_en = 0;
if (CLKOUT0_USE_FINE_PS_REG == "TRUE") begin
// if (clk0_frac_en == 1'b1) begin
// $display("Attribute Syntax Error : The Attribute CLKOUT0_USE_FINE_PS on %s instance %m is set to %s. This attribute should be set to FALSE when CLKOUT0_DIVIDE has fraction part.", MODULE_NAME, CLKOUT0_USE_FINE_PS_REG);
// $finish;
// end
// else
clk0_fps_en = 1;
end
else
clk0_fps_en = 0;
if (CLKOUT1_USE_FINE_PS_REG == "TRUE")
clk1_fps_en = 1;
else
clk1_fps_en = 0;
if (CLKOUT2_USE_FINE_PS_REG == "TRUE")
clk2_fps_en = 1;
else
clk2_fps_en = 0;
if (CLKOUT3_USE_FINE_PS_REG == "TRUE")
clk3_fps_en = 1;
else
clk3_fps_en = 0;
if (CLKOUT4_USE_FINE_PS_REG == "TRUE")
clk4_fps_en = 1;
else
clk4_fps_en = 0;
if (CLKOUT5_USE_FINE_PS_REG == "TRUE")
clk5_fps_en = 1;
else
clk5_fps_en = 0;
if (CLKOUT6_USE_FINE_PS_REG == "TRUE")
clk6_fps_en = 1;
else
clk6_fps_en = 0;
fps_en = clk0_fps_en || clk1_fps_en || clk2_fps_en || clk3_fps_en
|| clk4_fps_en || clk5_fps_en || clk6_fps_en || clkfbout_fps_en;
if (clk0_frac_en == 1'b1) begin
if (CLKOUT0_DUTY_CYCLE_REG != 0.5) begin
$display("Error: [Unisim %s-3] The Attribute CLKOUT0_DUTY_CYCLE is set to %f. This attribute should be set to 0.5 when CLKOUT0_DIVIDE_F has fraction part. Instance %m", MODULE_NAME, CLKOUT0_DUTY_CYCLE_REG);
#100
$finish;
end
end
pll_lfhf = 2'b00;
if (BANDWIDTH_REG === "LOW")
case (clkfbout_div)
1 : begin pll_cp = 4'b0010; pll_res = 4'b1111; end
2 : begin pll_cp = 4'b0010 ; pll_res = 4'b1111 ; end
3 : begin pll_cp = 4'b0010 ; pll_res = 4'b1111 ; end
4 : begin pll_cp = 4'b0010 ; pll_res = 4'b1111 ; end
5 : begin pll_cp = 4'b0010 ; pll_res = 4'b0111 ; end
6 : begin pll_cp = 4'b0010 ; pll_res = 4'b1011 ; end
7 : begin pll_cp = 4'b0010 ; pll_res = 4'b1101 ; end
8 : begin pll_cp = 4'b0010 ; pll_res = 4'b0011 ; end
9 : begin pll_cp = 4'b0010 ; pll_res = 4'b0101 ; end
10 : begin pll_cp = 4'b0010 ; pll_res = 4'b0101 ; end
11 : begin pll_cp = 4'b0010 ; pll_res = 4'b1001 ; end
12 : begin pll_cp = 4'b0010 ; pll_res = 4'b1110 ; end
13 : begin pll_cp = 4'b0010 ; pll_res = 4'b1110 ; end
14 : begin pll_cp = 4'b0010 ; pll_res = 4'b1110 ; end
15 : begin pll_cp = 4'b0010 ; pll_res = 4'b1110 ; end
16 : begin pll_cp = 4'b0010 ; pll_res = 4'b0001 ; end
17 : begin pll_cp = 4'b0010 ; pll_res = 4'b0001 ; end
18 : begin pll_cp = 4'b0010 ; pll_res = 4'b0001 ; end
19 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
20 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
21 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
22 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
23 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
24 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
25 : begin pll_cp = 4'b0010 ; pll_res = 4'b0110 ; end
26 : begin pll_cp = 4'b0010 ; pll_res = 4'b1010 ; end
27 : begin pll_cp = 4'b0010 ; pll_res = 4'b1010 ; end
28 : begin pll_cp = 4'b0010 ; pll_res = 4'b1010 ; end
29 : begin pll_cp = 4'b0010 ; pll_res = 4'b1010 ; end
30 : begin pll_cp = 4'b0010 ; pll_res = 4'b1010 ; end
31 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
32 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
33 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
34 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
35 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
36 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
37 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
38 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
39 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
40 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
41 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
42 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
43 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
44 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
45 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
46 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
47 : begin pll_cp = 4'b0010 ; pll_res = 4'b1100 ; end
48 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
49 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
50 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
51 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
52 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
53 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
54 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
55 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
56 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
57 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
58 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
59 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
60 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
61 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
62 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
63 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
64 : begin pll_cp = 4'b0010 ; pll_res = 4'b0010 ; end
endcase
else if (BANDWIDTH_REG === "HIGH")
case (clkfbout_div)
1 : begin pll_cp = 4'b0010; pll_res = 4'b1111; end
2 : begin pll_cp = 4'b0100 ; pll_res = 4'b1111 ; end
3 : begin pll_cp = 4'b0101 ; pll_res = 4'b1011 ; end
4 : begin pll_cp = 4'b0111 ; pll_res = 4'b0111 ; end
5 : begin pll_cp = 4'b1101 ; pll_res = 4'b0111 ; end
6 : begin pll_cp = 4'b1110 ; pll_res = 4'b1011 ; end
7 : begin pll_cp = 4'b1110 ; pll_res = 4'b1101 ; end
8 : begin pll_cp = 4'b1111 ; pll_res = 4'b0011 ; end
9 : begin pll_cp = 4'b1110 ; pll_res = 4'b0101 ; end
10 : begin pll_cp = 4'b1111 ; pll_res = 4'b0101 ; end
11 : begin pll_cp = 4'b1111 ; pll_res = 4'b1001 ; end
12 : begin pll_cp = 4'b1101 ; pll_res = 4'b0001 ; end
13 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
14 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
15 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
16 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
17 : begin pll_cp = 4'b1111; pll_res = 4'b0101; end
18 : begin pll_cp = 4'b1111; pll_res = 4'b0101; end
19 : begin pll_cp = 4'b1100; pll_res = 4'b0001; end
20 : begin pll_cp = 4'b1100; pll_res = 4'b0001; end
21 : begin pll_cp = 4'b1100; pll_res = 4'b0001; end
22 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
23 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
24 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
25 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
26 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
27 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
28 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
29 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
30 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
31 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
32 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
33 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
34 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
35 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
36 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
37 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
38 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
39 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
40 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
41 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
42 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
43 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
44 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
45 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
46 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
47 : begin pll_cp = 4'b0111 ; pll_res = 4'b0001 ; end
48 : begin pll_cp = 4'b0111 ; pll_res = 4'b0001 ; end
49 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
50 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
51 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
52 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
53 : begin pll_cp = 4'b0110 ; pll_res = 4'b0001 ; end
54 : begin pll_cp = 4'b0110 ; pll_res = 4'b0001 ; end
55 : begin pll_cp = 4'b0101 ; pll_res = 4'b0110 ; end
56 : begin pll_cp = 4'b0101 ; pll_res = 4'b0110 ; end
57 : begin pll_cp = 4'b0101 ; pll_res = 4'b0110 ; end
58 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
59 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
60 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
61 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
62 : begin pll_cp = 4'b0100 ; pll_res = 4'b1010 ; end
63 : begin pll_cp = 4'b0011 ; pll_res = 4'b1100 ; end
64 : begin pll_cp = 4'b0011 ; pll_res = 4'b1100 ; end
endcase
else if (BANDWIDTH_REG === "OPTIMIZED")
case (clkfbout_div)
1 : begin pll_cp = 4'b0010; pll_res = 4'b1111; end
2 : begin pll_cp = 4'b0100 ; pll_res = 4'b1111 ; end
3 : begin pll_cp = 4'b0101 ; pll_res = 4'b1011 ; end
4 : begin pll_cp = 4'b0111 ; pll_res = 4'b0111 ; end
5 : begin pll_cp = 4'b1101 ; pll_res = 4'b0111 ; end
6 : begin pll_cp = 4'b1110 ; pll_res = 4'b1011 ; end
7 : begin pll_cp = 4'b1110 ; pll_res = 4'b1101 ; end
8 : begin pll_cp = 4'b1111 ; pll_res = 4'b0011 ; end
9 : begin pll_cp = 4'b1110 ; pll_res = 4'b0101 ; end
10 : begin pll_cp = 4'b1111 ; pll_res = 4'b0101 ; end
11 : begin pll_cp = 4'b1111 ; pll_res = 4'b1001 ; end
12 : begin pll_cp = 4'b1101 ; pll_res = 4'b0001 ; end
13 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
14 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
15 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
16 : begin pll_cp = 4'b1111; pll_res = 4'b1001; end
17 : begin pll_cp = 4'b1111; pll_res = 4'b0101; end
18 : begin pll_cp = 4'b1111; pll_res = 4'b0101; end
19 : begin pll_cp = 4'b1100; pll_res = 4'b0001; end
20 : begin pll_cp = 4'b1100; pll_res = 4'b0001; end
21 : begin pll_cp = 4'b1100; pll_res = 4'b0001; end
22 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
23 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
24 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
25 : begin pll_cp = 4'b0101; pll_res = 4'b1100; end
26 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
27 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
28 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
29 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
30 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
31 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
32 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
33 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
34 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
35 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
36 : begin pll_cp = 4'b0011; pll_res = 4'b0100; end
37 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
38 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
39 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
40 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
41 : begin pll_cp = 4'b0011 ; pll_res = 4'b0100 ; end
42 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
43 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
44 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
45 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
46 : begin pll_cp = 4'b0010 ; pll_res = 4'b1000 ; end
47 : begin pll_cp = 4'b0111 ; pll_res = 4'b0001 ; end
48 : begin pll_cp = 4'b0111 ; pll_res = 4'b0001 ; end
49 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
50 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
51 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
52 : begin pll_cp = 4'b0100 ; pll_res = 4'b1100 ; end
53 : begin pll_cp = 4'b0110 ; pll_res = 4'b0001 ; end
54 : begin pll_cp = 4'b0110 ; pll_res = 4'b0001 ; end
55 : begin pll_cp = 4'b0101 ; pll_res = 4'b0110 ; end
56 : begin pll_cp = 4'b0101 ; pll_res = 4'b0110 ; end
57 : begin pll_cp = 4'b0101 ; pll_res = 4'b0110 ; end
58 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
59 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
60 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
61 : begin pll_cp = 4'b0010 ; pll_res = 4'b0100 ; end
62 : begin pll_cp = 4'b0100 ; pll_res = 4'b1010 ; end
63 : begin pll_cp = 4'b0011 ; pll_res = 4'b1100 ; end
64 : begin pll_cp = 4'b0011 ; pll_res = 4'b1100 ; end
endcase
case (clkfbout_div)
1 : begin drp_lock_ref_dly = 5'd6;
drp_lock_fb_dly = 5'd6;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
2 : begin drp_lock_ref_dly = 5'd6;
drp_lock_fb_dly = 5'd6;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
3 : begin drp_lock_ref_dly = 5'd8;
drp_lock_fb_dly = 5'd8;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
4 : begin drp_lock_ref_dly = 5'd11;
drp_lock_fb_dly = 5'd11;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
5 : begin drp_lock_ref_dly = 5'd14;
drp_lock_fb_dly = 5'd14;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
6 : begin drp_lock_ref_dly = 5'd17;
drp_lock_fb_dly = 5'd17;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
7 : begin drp_lock_ref_dly = 5'd19;
drp_lock_fb_dly = 5'd19;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
8 : begin drp_lock_ref_dly = 5'd22;
drp_lock_fb_dly = 5'd22;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
9 : begin drp_lock_ref_dly = 5'd25;
drp_lock_fb_dly = 5'd25;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
10 : begin drp_lock_ref_dly = 5'd28;
drp_lock_fb_dly = 5'd28;
drp_lock_cnt = 10'd1000;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
11 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd900;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
12 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd825;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
13 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd750;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
14 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd700;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
15 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd650;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
16 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd625;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
17 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd575;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
18 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd550;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
19 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd525;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
20 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd500;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
21 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd475;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
22 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd450;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
23 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd425;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
24 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd400;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
25 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd400;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
26 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd375;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
27 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd350;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
28 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd350;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
29 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd325;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
30 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd325;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
31 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd300;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
32 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd300;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
33 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd300;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
34 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd275;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
35 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd275;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
36 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd275;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
37 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
38 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
39 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
40 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
41 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
42 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
43 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
44 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
45 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
46 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
47 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
48 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
49 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
50 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
51 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
52 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
53 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
54 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
55 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
56 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
57 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
58 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
59 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
60 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
61 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
62 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
63 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
64 : begin drp_lock_ref_dly = 5'd31;
drp_lock_fb_dly = 5'd31;
drp_lock_cnt = 10'd250;
drp_lock_sat_high = 10'd1001;
drp_unlock_cnt = 10'd1; end
endcase
tmp_string = "DIVCLK_DIVIDE";
chk_ok = para_int_range_chk (DIVCLK_DIVIDE_REG, tmp_string, D_MIN, D_MAX);
tmp_string = "CLKFBOUT_MULT_F";
chk_ok = para_real_range_chk (CLKFBOUT_MULT_F_RND, tmp_string, M_MIN, M_MAX);
tmp_string = "CLKOUT6_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT6_DIVIDE_REG, CLKOUT6_DUTY_CYCLE_REG, tmp_string);
if(clk0_frac_en == 1'b0) begin
tmp_string = "CLKOUT0_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT0_DIVIDE_F_RND, CLKOUT0_DUTY_CYCLE_REG, tmp_string);
end
tmp_string = "CLKOUT5_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT5_DIVIDE_REG, CLKOUT5_DUTY_CYCLE_REG, tmp_string);
tmp_string = "CLKOUT1_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT1_DIVIDE_REG, CLKOUT1_DUTY_CYCLE_REG, tmp_string);
tmp_string = "CLKOUT2_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT2_DIVIDE_REG, CLKOUT2_DUTY_CYCLE_REG, tmp_string);
tmp_string = "CLKOUT3_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT3_DIVIDE_REG, CLKOUT3_DUTY_CYCLE_REG, tmp_string);
tmp_string = "CLKOUT4_DUTY_CYCLE";
chk_ok = clkout_duty_chk (CLKOUT4_DIVIDE_REG, CLKOUT4_DUTY_CYCLE_REG, tmp_string);
period_vco_max = 1000000 / VCOCLK_FREQ_MIN_REG;
period_vco_min = 1000000 / VCOCLK_FREQ_MAX_REG;
period_vco_target = 1000000 / VCOCLK_FREQ_TARGET;
period_vco_target_half = period_vco_target / 2;
fb_delay_max = MAX_FEEDBACK_DELAY * MAX_FEEDBACK_DELAY_SCALE;
clk0f_product = CLKOUT0_DIVIDE_F_RND * 8;
pll_lock_time = 12;
lock_period_time = 10;
if (clkfbout_frac_en == 1'b1) begin
md_product = clkfbout_div * DIVCLK_DIVIDE_REG;
m_product = clkfbout_div;
mf_product = CLKFBOUT_MULT_F_RND * 8;
clkout_en_val = mf_product - 1;
m_product2 = clkfbout_div / 2;
clkout_en_time = mf_product + 4 + pll_lock_time;
locked_en_time = md_product + clkout_en_time + 2;
lock_cnt_max = locked_en_time + 16;
end
else begin
md_product = clkfbout_div * DIVCLK_DIVIDE_REG;
m_product = clkfbout_div;
mf_product = CLKFBOUT_MULT_F_RND * 8;
m_product2 = clkfbout_div / 2;
clkout_en_val = m_product;
clkout_en_time = md_product + pll_lock_time;
locked_en_time = md_product + clkout_en_time + 2;
lock_cnt_max = locked_en_time + 16;
end
REF_CLK_JITTER_MAX_tmp = REF_CLK_JITTER_MAX;
ht_calc(clkfbout_frac, clkfbout_frac_en, clkfbout_e, clkfbout_ht, clkfbout_lt, clkfbout_f_div, clkfbout_rsel, clkfbout_fsel, clkfbout_fht, clkfbout_flt, clkfbout_cnt_max, clkfbout_cnt_ht, clkfbout_div);
ht_calc(clk0_frac, clk0_frac_en, clk0_e, clk0_ht, clk0_lt, clk0_f_div, clk0_rsel, clk0_fsel, clk0_fht, clk0_flt, clk0_cnt_max, clk0_cnt_ht, clk0_div);
divclk_div = DIVCLK_DIVIDE_REG;
dr_sram[6] = {clk5_pm[2:0], clk5_en, clk5_ht[5:0], clk5_lt[5:0]};
dr_sram[7] = {2'bx, clk0_pm_f[2:0], clk0_wf_f,
2'b0, clk5_e, clk5_nc, clk5_dt[5:0]};
dr_sram[8] = {clk0_pm_r[2:0], clk0_en, clk0_ht[5:0], clk0_lt[5:0]};
dr_sram[9] = {1'bx, clk0_frac[2:0], clk0_frac_en, clk0_wf_r,
2'b0, clk0_e, clk0_nc, clk0_dt[5:0]};
dr_sram[10] = {clk1_pm[2:0], clk1_en, clk1_ht[5:0], clk1_lt[5:0]};
dr_sram[11] = {6'bx, 2'b0, clk1_e, clk1_nc, clk1_dt[5:0]};
dr_sram[12] = {clk2_pm[2:0], clk2_en, clk2_ht[5:0], clk2_lt[5:0]};
dr_sram[13] = {6'bx, 2'b0, clk2_e, clk2_nc, clk2_dt[5:0]};
dr_sram[14] = {clk3_pm[2:0], clk3_en, clk3_ht[5:0], clk3_lt[5:0]};
dr_sram[15] = {6'bx, 2'b0, clk3_e, clk3_nc, clk3_dt[5:0]};
dr_sram[16] = {clk4_pm[2:0], clk4_en, clk4_ht[5:0], clk4_lt[5:0]};
dr_sram[17] = {6'bx, 2'b0, clk4_e, clk4_nc, clk4_dt[5:0]};
dr_sram[18] = {clk6_pm[2:0], clk6_en, clk6_ht[5:0], clk6_lt[5:0]};
dr_sram[19] = {2'bx, clkfbout_pm_f[2:0], clkfbout_wf_f,
2'b0, clk6_e, clk6_nc, clk6_dt[5:0]};
dr_sram[20] = {clkfbout_pm_r[2:0], clkfbout_en, clkfbout_ht[5:0], clkfbout_lt[5:0]};
dr_sram[21] = {1'bx, clkfbout_frac[2:0], clkfbout_frac_en,
clkfbout_wf_r, 2'b0, clkfbout_e, clkfbout_nc, clkfbout_dt[5:0]};
dr_sram[22] = {2'bx, divclk_e, divclk_nc, divclk_ht[5:0], divclk_lt[5:0]};
dr_sram[23] = {2'bx, clkfbin_e, clkfbin_nc, clkfbin_ht[5:0], clkfbin_lt[5:0]};
dr_sram[24] = {6'bx, drp_lock_cnt};
dr_sram[25] = {1'bx, drp_lock_fb_dly, drp_unlock_cnt};
dr_sram[26] = {1'bx, drp_lock_ref_dly, drp_lock_sat_high};
dr_sram[40] = {1'b1, 2'bx, 2'b11, 2'bx, 2'b11, 2'bx, 2'b11, 2'bx, 1'b1};
dr_sram[78] = {pll_cp[3], 2'bx, pll_cp[2:1], 2'bx, pll_cp[0], 1'b0, 2'bx, pll_cpres, 3'bx};
dr_sram[79] = {pll_res[3], 2'bx, pll_res[2:1], 2'bx, pll_res[0], pll_lfhf[1], 2'bx, pll_lfhf[0], 4'bx};
dr_sram[116] = {5'bx, 6'b0, 5'b00001};
end
initial begin
clkpll_jitter_unlock = 0;
clkinstopped_vco_f = 0;
rst_clkfbstopped = 0;
rst_clkinstopped = 0;
rst_clkfbstopped_lk = 0;
rst_clkinstopped_lk = 0;
clkfbin_stop_tmp = 0;
clkin_stop_tmp = 0;
clkvco_lk_en = 0;
clkvco_lk_dly_tmp = 0;
clkin_osc = 0;
clkfbin_osc = 0;
clkin_p = 0;
clkfbin_p = 0;
divclk_div = DIVCLK_DIVIDE_REG;
ps_lock = 0;
ps_lock_dly = 0;
PSDONE_out = 0;
psdone_out1 = 0;
rst_int = 0;
clkinstopped_out1 = 0;
clkfbstopped_out1 = 0;
clkin_period[0] = 0;
clkin_period[1] = 0;
clkin_period[2] = 0;
clkin_period[3] = 0;
clkin_period[4] = 0;
clkin_period_tmp_t = 0;
period_avg = 100000;
period_fb = 100000;
clkin_lost_val = 2;
clkfbin_lost_val = 2;
fb_delay = 0;
clkvco_delay = 0;
val_tmp = 0;
dly_tmp = 0;
fb_comp_delay = 0;
clkfbout_pm_rl = 0;
period_vco = 0;
period_vco1 = 0;
period_vco2 = 0;
period_vco3 = 0;
period_vco4 = 0;
period_vco5 = 0;
period_vco6 = 0;
period_vco7 = 0;
period_vco_half = 0;
period_vco_half1 = 0;
period_vco_half_rm = 0;
period_vco_half_rm1 = 0;
period_vco_half_rm2 = 0;
period_vco_rm = 0;
period_vco_cmp_cnt = 0;
period_vco_cmp_flag = 0;
period_ps = 0;
period_ps_old = 0;
clkfbout_frac_ht = 0;
clkfbout_frac_lt = 0;
clk0_frac_ht = 0;
clk0_frac_lt = 0;
clkvco_rm_cnt = 0;
fb_delay_found = 1'b0;
fb_delay_found_tmp = 1'b0;
clkin_edge = 0;
delay_edge = 0;
fbclk_tmp = 0;
clkfbout_tst = 1'b0;
clkout_en = 0;
clkout_en0 = 0;
clkout_en_t = 0;
clkout_en0_tmp = 0;
clkout_en1 = 0;
pll_locked_tmp1 = 0;
pll_locked_tmp2 = 0;
pll_locked_tm = 0;
pll_locked_delay = 0;
unlock_recover = 0;
clkin_jit = 0;
clkin_lock_cnt = 0;
lock_period = 0;
rst_edge = 0;
rst_ht = 0;
DRDY_out = 0;
drdy_out1 = 0;
LOCKED_out = 0;
locked_out_tmp = 0;
DO_out = 16'b0;
drp_lock = 0;
drp_lock_lat_cnt = 0;
clk0_dly_cnt = 6'b0;
clk1_dly_cnt = 6'b0;
clk2_dly_cnt = 6'b0;
clk3_dly_cnt = 6'b0;
clk4_dly_cnt = 6'b0;
clk5_dly_cnt = 6'b0;
clk6_dly_cnt = 6'b0;
clkfbout_dly_cnt = 6'b0;
clk0_cnt = 8'b0;
clk1_cnt = 8'b0;
clk2_cnt = 8'b0;
clk3_cnt = 8'b0;
clk4_cnt = 8'b0;
clk5_cnt = 8'b0;
clk6_cnt = 8'b0;
clkfbout_cnt = 8'b0;
divclk_cnt = 8'b0;
CLKOUT0_out = 0;
CLKOUT1_out = 0;
CLKOUT2_out = 0;
CLKOUT3_out = 0;
CLKOUT4_out = 0;
CLKOUT5_out = 0;
CLKOUT6_out = 0;
clk1_out = 0;
clk2_out = 0;
clk3_out = 0;
clk4_out = 0;
clk5_out = 0;
clk6_out = 0;
CLKFBOUT_out = 0;
divclk_out = 0;
divclk_out_tmp = 0;
clkin_osc = 0;
clkfbin_osc = 0;
clkin_p = 0;
clkfbin_p = 0;
pwron_int = 1;
#100000 pwron_int = 0;
end
assign #2 clkinsel_tmp = CLKINSEL_in;
assign glock = (startup_wait_sig) ? locked_out_tmp : 1;
assign (weak1, strong0) glbl.PLL_LOCKG = (glock == 0) ? 0 : p_up;
initial begin
init_chk = 0;
#2;
init_chk = 1;
#1;
init_chk = 0;
end
always @(CLKINSEL_in or posedge init_chk ) begin
if (init_chk == 0 && $time > 2 && rst_int === 0 && (clkinsel_tmp === 0 || clkinsel_tmp === 1)) begin
$display("Error: [Unisim %s-4] Input clock can only be switched when RST=1. CLKINSEL at time %t changed when RST=0. Instance %m", MODULE_NAME, $time);
$finish;
end
clkin_chk_t1_r = 1000.000 / CLKIN_FREQ_MIN_REG;
clkin_chk_t1_i = $rtoi(1000.0 * clkin_chk_t1_r);
clkin_chk_t1 = 0.001 * clkin_chk_t1_i;
clkin_chk_t2_r = 1000.000 / CLKIN_FREQ_MAX_REG;
clkin_chk_t2_i = $rtoi(1000.0 * clkin_chk_t2_r);
clkin_chk_t2 = 0.001 * clkin_chk_t2_i;
if (CLKINSEL_in === 1 && $time > 1 || CLKINSEL_in !== 0 && init_chk == 1) begin
if (CLKIN1_PERIOD_REG > clkin_chk_t1 || CLKIN1_PERIOD_REG < clkin_chk_t2) begin
$display ("Error: [Unisim %s-5] The attribute CLKIN1_PERIOD is set to %f ns and out the allowed range %f ns to %f ns set by CLKIN_FREQ_MIN/MAX. Instance %m", MODULE_NAME, CLKIN1_PERIOD_REG, clkin_chk_t2, clkin_chk_t1);
$finish;
end
end
else if (CLKINSEL_in ===0 && $time > 1 || init_chk == 1 && clkinsel_tmp === 0 ) begin
if (CLKIN2_PERIOD_REG > clkin_chk_t1 || CLKIN2_PERIOD_REG < clkin_chk_t2) begin
$display ("Error: [Unisim %s-6] The attribute CLKIN2_PERIOD is set to %f ns and out the allowed range %f ns to %f ns set by CLKIN_FREQ_MIN/MAX. Instance %m", MODULE_NAME, CLKIN2_PERIOD_REG, clkin_chk_t2, clkin_chk_t1);
$finish;
end
end
period_clkin = (CLKINSEL_in === 0) ? CLKIN2_PERIOD_REG : CLKIN1_PERIOD_REG;
if (period_clkin < MAX_FEEDBACK_DELAY)
fb_delay_max = period_clkin * MAX_FEEDBACK_DELAY_SCALE;
else
fb_delay_max = MAX_FEEDBACK_DELAY * MAX_FEEDBACK_DELAY_SCALE;
clkvco_freq_init_chk = (1000.0 * CLKFBOUT_MULT_F_RND) / (period_clkin * DIVCLK_DIVIDE_REG);
if (clkvco_freq_init_chk > VCOCLK_FREQ_MAX_REG || clkvco_freq_init_chk < VCOCLK_FREQ_MIN_REG) begin
if (clkinsel_tmp === 0 && $time > 1 || clkinsel_tmp === 0 && init_chk === 1) begin
$display ("Error: [Unisim %s-7] The calculated VCO frequency=%f Mhz. This exceeds the permitted VCO frequency range of %f Mhz to %f Mhz set by VCOCLK_FREQ_MIN/MAX. The VCO frequency is calculated with formula: VCO frequency = CLKFBOUT_MULT_F / (DIVCLK_DIVIDE * CLKIN2_PERIOD). Please adjust the attributes to the permitted VCO frequency range. Instance %m", MODULE_NAME, clkvco_freq_init_chk, VCOCLK_FREQ_MIN_REG, VCOCLK_FREQ_MAX_REG);
$finish;
end
else if (clkinsel_tmp === 1 && $time > 1 || clkinsel_tmp !== 0 && init_chk === 1) begin
$display ("Error: [Unisim %s-8] The calculated VCO frequency=%f Mhz. This exceeds the permitted VCO frequency range of %f Mhz to %f Mhz set by VCOCLK_FREQ_MIN/MAX. The VCO frequency is calculated with formula: VCO frequency = CLKFBOUT_MULT_F / (DIVCLK_DIVIDE * CLKIN1_PERIOD). Please adjust the attributes to the permitted VCO frequency range. Instance %m", MODULE_NAME, clkvco_freq_init_chk, VCOCLK_FREQ_MIN_REG, VCOCLK_FREQ_MAX_REG);
$finish;
end
end
end
assign init_trig = 1;
assign clkpll_r = (CLKINSEL_in) ? CLKIN1_in : CLKIN2_in;
assign pwrdwn_in1 = (PWRDWN_in === 1) ? 1 : 0;
assign rst_input = (RST_in === 1 | pwrdwn_in1 === 1) ? 1 : 0;
always @(posedge clkpll_r or posedge rst_input)
if (rst_input)
rst_int <= 1;
else
rst_int <= rst_input ;
assign rst_in_o = (rst_int || rst_clkfbstopped || rst_clkinstopped);
//simprim_rst_h
always @(posedge pwrdwn_in1 or posedge pchk_clr)
if (pwrdwn_in1)
pwrdwn_in1_h <= 1;
else if (pchk_clr)
pwrdwn_in1_h <= 0;
always @(posedge RST_in or posedge pchk_clr)
if (RST_in)
rst_input_r_h <= 1;
else if (pchk_clr)
rst_input_r_h <= 0;
always @(rst_input )
if (rst_input==1) begin
rst_edge = $time;
pchk_clr = 0;
end
else if (rst_input==0 && rst_edge > 1) begin
rst_ht = $time - rst_edge;
if (rst_ht < 1500) begin
if (rst_input_r_h == 1 && pwrdwn_in1_h == 1)
$display("Error: [Unisim %s-11] RST and PWRDWN at time %t must be asserted at least for 1.5 ns. Instance %m ", MODULE_NAME, $time);
else if (rst_input_r_h == 1 && pwrdwn_in1_h == 0)
$display("Error: [Unisim %s-12] RST at time %t must be asserted at least for 1.5 ns. Instance %m", MODULE_NAME, $time);
else if (rst_input_r_h == 0 && pwrdwn_in1_h == 1)
$display("Error: [Unisim %s-13] PWRDWN at time %t must be asserted at least for 1.5 ns. Instance %m", MODULE_NAME, $time);
end
pchk_clr = 1;
end
//endsimprim_rst_h
//
// DRP port read and write
//
assign do_out1 = dr_sram[daddr_lat];
always @(posedge DCLK_in or posedge glblGSR)
if (glblGSR == 1) begin
drp_lock <= 0;
drp_lock_lat_cnt <= 0;
drp_updt <= 1'b0;
end
else begin
if (~RST_in && drp_updt) drp_updt <= 1'b0;
if (DEN_in == 1) begin
valid_daddr = addr_is_valid(DADDR_in);
if (drp_lock == 1) begin
$display("Error: [Unisim %s-14] DEN is high at time %t. Need wait for DRDY signal before next read/write operation through DRP. Instance %m ", MODULE_NAME, $time);
end
else begin
drp_lock <= 1;
drp_lock_lat_cnt <= drp_lock_lat_cnt + 1;
daddr_lat <= DADDR_in;
end
if (~valid_daddr) $display("Warning: [Unisim %s-15] Address DADDR=%b is unsupported at time %t. Instance %m ", MODULE_NAME, DADDR_in, $time);
if (DWE_in == 1) begin // write process
if (rst_input == 1) begin
if (valid_daddr) dr_sram[DADDR_in] <= DI_in;
if (valid_daddr || drp_updt) drp_updt <= 1'b1;
if (DADDR_in == 7'd6)
lower_drp(clk5_pm, clk5_en, clk5_ht, clk5_lt, DI_in);
else if (DADDR_in == 7'd7)
upper_mix_drp(clk0_pm_f, clk0_wf_f, clk5_mx, clk5_e, clk5_nc, clk5_dt, DI_in);
else if (DADDR_in == 7'd8)
lower_drp(clk0_pm_r, clk0_en, clk0_ht, clk0_lt, DI_in);
else if (DADDR_in == 7'd9)
upper_frac_drp(clk0_frac, clk0_frac_en, clk0_wf_r, clk0_mx, clk0_e, clk0_nc, clk0_dt, DI_in);
else if (DADDR_in == 7'd10)
lower_drp(clk1_pm, clk1_en, clk1_ht, clk1_lt, DI_in);
else if (DADDR_in == 7'd11)
upper_drp(clk1_mx, clk1_e, clk1_nc, clk1_dt, DI_in);
else if (DADDR_in == 7'd12)
lower_drp(clk2_pm, clk2_en, clk2_ht, clk2_lt, DI_in);
else if (DADDR_in == 7'd13)
upper_drp(clk2_mx, clk2_e, clk2_nc, clk2_dt, DI_in);
else if (DADDR_in == 7'd14)
lower_drp(clk3_pm, clk3_en, clk3_ht, clk3_lt, DI_in);
else if (DADDR_in == 7'd15)
upper_drp(clk3_mx, clk3_e, clk3_nc, clk3_dt, DI_in);
else if (DADDR_in == 7'd16)
lower_drp(clk4_pm, clk4_en, clk4_ht, clk4_lt, DI_in);
else if (DADDR_in == 7'd17)
upper_drp(clk4_mx, clk4_e, clk4_nc, clk4_dt, DI_in);
else if (DADDR_in == 7'd18)
lower_drp(clk3_pm, clk6_en, clk6_ht, clk6_lt, DI_in);
else if (DADDR_in == 7'd19)
upper_mix_drp(clkfbout_pm_f, clkfbout_wf_f, clk6_mx, clk6_e, clk6_nc, clk6_dt, DI_in);
else if (DADDR_in == 7'd20)
lower_drp(clkfbout_pm_r, clkfbout_en, clkfbout_ht, clkfbout_lt, DI_in);
else if (DADDR_in == 7'd21)
upper_frac_drp(clkfbout_frac, clkfbout_frac_en, clkfbout_wf_r, clkfbout_mx, clkfbout_e, clkfbout_nc, clkfbout_dt, DI_in);
else if (DADDR_in == 7'd22) begin
divclk_e = DI_in[13];
divclk_nc = DI_in[12];
divclk_ht = DI_in[11:6];
divclk_lt = DI_in[5:0];
end
end
else begin
$display("Error: [Unisim %s-18] RST is low at time %t. RST need to be high when changing paramters through DRP. Instance %m", MODULE_NAME, $time);
end
end //DWE
end //DEN
if ( drp_lock == 1) begin
if (drp_lock_lat_cnt < drp_lock_lat) begin
drp_lock_lat_cnt <= drp_lock_lat_cnt + 1;
end
else begin
drp_lock <= 0;
drdy_out1 <= 1;
drp_lock_lat_cnt <= 0;
end
end
if (drdy_out1 == 1) drdy_out1 <= 0;
end
function addr_is_valid;
input [6:0] daddr_in;
begin
addr_is_valid = 1'b1;
for (i=0; i<=6; i=i+1)
if (daddr_in[i] != 0 && daddr_in[i] != 1) addr_is_valid = 1'b0;
if ((addr_is_valid) &&
((daddr_in >= 7'd06 && daddr_in <= 7'd22) ||
(daddr_in >= 7'd24 && daddr_in <= 7'd26) ||
(daddr_in == 7'd40) ||
(daddr_in == 7'd78) ||
(daddr_in == 7'd79) ||
(daddr_in == 7'd116))) addr_is_valid = 1'b1;
else addr_is_valid = 1'b0;
end
endfunction
// end process drp;
//
// determine clock period
//
always @(posedge clkpll_r or posedge rst_int or posedge rst_clkinsel_flag)
if (rst_int || rst_clkinsel_flag)
begin
clkin_period[0] <= 1000 * period_clkin;
clkin_period[1] <= 1000 * period_clkin;
clkin_period[2] <= 1000 * period_clkin;
clkin_period[3] <= 1000 * period_clkin;
clkin_period[4] <= 1000 * period_clkin;
clkin_jit <= 0;
clkin_lock_cnt <= 0;
pll_locked_tm <= 0;
lock_period <= 0;
pll_locked_tmp1 <= 0;
clkout_en0_tmp <= 0;
unlock_recover <= 0;
clkin_edge <= 0;
end
else begin
clkin_edge <= $time;
if (clkin_edge != 0 && clkinstopped_out1 == 0 && rst_clkinsel_flag == 0) begin
clkin_period[4] <= clkin_period[3];
clkin_period[3] <= clkin_period[2];
clkin_period[2] <= clkin_period[1];
clkin_period[1] <= clkin_period[0];
clkin_period[0] <= $time - clkin_edge;
end
if (pll_unlock == 0 && clkin_edge != 0 && clkinstopped_out1 == 0)
clkin_jit <= $time - clkin_edge - clkin_period[0];
else
clkin_jit <= 0;
if ( (clkin_lock_cnt < lock_cnt_max) && fb_delay_found && pll_unlock1 == 0)
clkin_lock_cnt <= clkin_lock_cnt + 1;
else if (pll_unlock1 == 1 && pll_locked_tmp1 ==1 ) begin
clkin_lock_cnt <= lock_cnt_max - 6;
unlock_recover <= 1;
end
if ( clkin_lock_cnt >= pll_lock_time && pll_unlock1 == 0)
pll_locked_tm <= #1 1;
if ( clkin_lock_cnt == lock_period_time )
lock_period <= 1;
if (clkin_lock_cnt >= clkout_en_time && pll_locked_tm == 1) begin
clkout_en0_tmp <= 1;
end
if (clkin_lock_cnt >= locked_en_time && clkout_en == 1)
pll_locked_tmp1 <= 1;
if (unlock_recover ==1 && clkin_lock_cnt >= lock_cnt_max)
unlock_recover <= 0;
end
always @(posedge pll_locked_tmp1)
if (CLKINSEL_in === 0) begin
pchk_tmp1 = CLKIN2_PERIOD_REG * 1100;
pchk_tmp2 = CLKIN2_PERIOD_REG * 900;
if (period_avg > pchk_tmp1 || period_avg < pchk_tmp2) begin
$display("Warning: [Unisim %s-19] Input CLKIN2 period and attribute CLKIN2_PERIOD are not same. Instance %m ", MODULE_NAME);
end
end
else begin
pchk_tmp1 = CLKIN1_PERIOD_REG * 1100;
pchk_tmp2 = CLKIN1_PERIOD_REG * 900;
if (period_avg > pchk_tmp1 || period_avg < pchk_tmp2) begin
$display("Warning: [Unisim %s-20] Input CLKIN1 period and attribute CLKIN1_PERIOD are not same. Instance %m ", MODULE_NAME);
end
end
always @(*)
if (rst_int == 0) begin
if (clkfbout_frac_en == 1'b0) begin
clkout_en_val = m_product;
clkout_en_time = md_product + pll_lock_time;
locked_en_time = md_product + clkout_en_time + 2;
lock_cnt_max = locked_en_time + 16;
end
else begin
clkout_en_val = mf_product - 1;
clkout_en_time = mf_product + 4 + pll_lock_time;
locked_en_time = md_product + clkout_en_time + 2;
lock_cnt_max = locked_en_time + 16;
end
end
always @(clkout_en0_tmp)
clkout_en0_tmp1 <= #1 clkout_en0_tmp;
always @(clkout_en0_tmp1 or clkout_en_t or clkout_en0_tmp )
if (clkout_en0_tmp==0 )
clkout_en0 = 0;
else begin
if (clkfbout_frac_en == 1'b1) begin
if (clkout_en_t > clkout_en_val && clkout_en0_tmp1 == 1)
clkout_en0 <= #period_vco6 clkout_en0_tmp1;
end
else begin
if (clkout_en_t == clkout_en_val && clkout_en0_tmp1 == 1)
clkout_en0 <= #period_vco6 clkout_en0_tmp1;
end
end
always @(clkout_en0 )
clkout_en1 <= #(clkvco_delay) clkout_en0;
always @(clkout_en1 or rst_in_o )
if (rst_in_o)
clkout_en = 0;
else
clkout_en = clkout_en1;
always @(pll_locked_tmp1 )
if (pll_locked_tmp1==0)
pll_locked_tmp2 = pll_locked_tmp1;
else begin
pll_locked_tmp2 <= #pll_locked_delay pll_locked_tmp1;
end
always @(rst_int)
if (rst_int) begin
assign pll_locked_tmp2 = 0;
assign clkout_en0 = 0;
assign clkout_en1 = 0;
end
else begin
deassign pll_locked_tmp2;
deassign clkout_en0;
deassign clkout_en1;
end
assign locked_out1 = (pll_locked_tm && pll_locked_tmp2 && ~pll_unlock && !unlock_recover) ? 1 : 0;
always @(rst_int or locked_out1)
if (rst_int == 1)
locked_out_tmp <= #1000 0;
else
locked_out_tmp <= locked_out1;
always @(clkin_period[0] or clkin_period[1] or clkin_period[2] or
clkin_period[3] or clkin_period[4]) begin
if (clkin_period[0] > clkin_period[1])
clkin_period_tmp_t = clkin_period[0] - clkin_period[1];
else
clkin_period_tmp_t = clkin_period[1] - clkin_period[0];
if ( ((clkin_period[0] > 0) && (clkin_period[0] != period_avg)) && (clkin_period[0] < 1.5 * period_avg || clkin_period_tmp_t <= 300) )
period_avg = (clkin_period[0] + clkin_period[1] + clkin_period[2]
+ clkin_period[3] + clkin_period[4])/5;
end
always @(clkinstopped_out_dly or rst_int)
if (rst_int)
clkinstopped_hold = 0;
else begin
if (clkinstopped_out1)
clkinstopped_hold <= #1 1;
else begin
if (clkin_hold_f)
clkinstopped_hold = 0;
end
end
always @(posedge clkinstopped_out1) begin
period_avg_stpi <= period_avg;
pd_stp_p <= #1 1;
@(negedge clkvco)
pd_stp_p <= #1 0;
end
always @(negedge clkvco or posedge rst_int or posedge pd_stp_p)
if (rst_int) begin
period_avg_stp <= 1000;
vco_stp_f <= 0;
end
else if (pd_stp_p)
period_avg_stp <= period_avg_stpi;
else begin
if (clkinstopped_out_dly2 == 1 && clkin_hold_f == 0) begin
if (period_vco > 1739)
vco_stp_f <= 1;
else begin
period_avg_stp <= period_avg_stp + 1;
end
end
end
always @(period_avg or divclk_div or clkfbout_f_div or clkinstopped_hold
or period_avg_stp or posedge rst_clkinstopped_rc)
if (period_avg > 0 ) begin
md_product = divclk_div * clkfbout_f_div;
m_product = clkfbout_f_div;
m_product2 = clkfbout_f_div / 2;
clkvco_div_fint = $rtoi(clkfbout_f_div/divclk_div);
clkvco_div_frac = (clkfbout_f_div/divclk_div) - clkvco_div_fint;
if (clkvco_div_frac > 0.000)
clkvco_frac_en = 1;
else
clkvco_frac_en = 0;
period_fb = period_avg * divclk_div;
period_vco_tmp = period_fb / clkfbout_f_div;
period_vco_rl = 1.0 * period_fb / clkfbout_f_div;
period_vco_rl_half = period_vco_rl / 2.0;
clkvco_pdrm = (period_avg * divclk_div / clkfbout_f_div) - period_vco_tmp;
period_vco_mf = period_avg * 8;
if (clkinstopped_hold == 1) begin
if (clkin_hold_f) begin
period_vco = (20000 * period_vco_tmp) / (20000 - period_vco_tmp);
period_vco_rl = (20000 * period_vco_tmp) / (20000 - period_vco_tmp);
period_vco_rl_half = period_vco_rl / 2.0;
end
else begin
period_vco = period_avg_stp * divclk_div /clkfbout_f_div;
period_vco_rl = period_avg_stp * divclk_div /clkfbout_f_div;
period_vco_rl_half = period_vco_rl / 2.0;
end
end
else
period_vco = period_vco_tmp;
period_vco_rm = period_fb % clkfbout_div;
if (period_vco_rm > 1) begin
if (period_vco_rm > m_product2) begin
period_vco_cmp_cnt = m_product / (m_product - period_vco_rm) - 1;
period_vco_cmp_flag = 2;
end
else begin
period_vco_cmp_cnt = (m_product / period_vco_rm) - 1;
period_vco_cmp_flag = 1;
end
end
else begin
period_vco_cmp_cnt = 0;
period_vco_cmp_flag = 0;
end
period_vco_half = period_vco /2;
period_vco_half_rm = period_vco - period_vco_half;
period_vco_half_rm1 = period_vco_half_rm + 1;
if (period_vco_half_rm < 1)
period_vco_half_rm2 = 0;
else
period_vco_half_rm2 = period_vco_half_rm - 1;
period_vco_half1 = period_vco - period_vco_half + 1;
pll_locked_delay = period_fb * clkfbout_f_div;
clkin_dly_t = period_avg * (divclk_div + 1.25);
clkfbin_dly_t = period_fb * 2.25 ;
period_vco1 = period_vco / 8;
period_vco2 = period_vco / 4;
period_vco3 = period_vco * 3/ 8;
period_vco4 = period_vco / 2;
period_vco5 = period_vco * 5 / 8;
period_vco6 = period_vco *3 / 4;
period_vco7 = period_vco * 7 / 8;
end
always @ (negedge RST_in) begin
if (drp_updt) begin
mc_to_attr(clkfbout_pm_f, clkfbout_wf_f, clkfbout_frac, clkfbout_frac_en, clkfbout_wf_r, clkfbout_mx, clkfbout_e, clkfbout_nc, clkfbout_dt, clkfbout_pm_r, clkfbout_en, clkfbout_ht, clkfbout_lt, clkfbout_f_div, clkfbout_phase, clkfbout_duty);
if (((clkfbout_f_div > M_MAX) || (clkfbout_f_div < M_MIN)) && ~clkfbout_nc)
$display(" Input Error : %s CLKFBOUT_MULT_F has been programmed through DRP to %f which is over the range of %f to %f. Instance %m at time %t.", MODULE_NAME, clkfbout_f_div, M_MIN, M_MAX, $time);
mc_to_attr(clk0_pm_f, clk0_wf_f, clk0_frac, clk0_frac_en, clk0_wf_r, clk0_mx, clk0_e, clk0_nc, clk0_dt, clk0_pm_r, clk0_en, clk0_ht, clk0_lt, clk0_f_div, clk0_phase, clk0_duty);
if (((clk0_f_div > O_MAX) || (clk0_f_div < O_MIN)) && ~clk0_nc)
$display(" Input Error : %s CLKOUT0_DIVIDE_F has been programmed through DRP to %f which is over the range of %d to %d. Instance %m at time %t.", MODULE_NAME, clk0_f_div, O_MIN, O_MAX, $time);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, clk1_mx, clk1_e, clk1_nc, clk1_dt, clk1_pm, clk1_en, clk1_ht, clk1_lt, clk1_div, clk1_phase, clk1_duty);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, clk2_mx, clk2_e, clk2_nc, clk2_dt, clk2_pm, clk2_en, clk2_ht, clk2_lt, clk2_div, clk2_phase, clk2_duty);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, clk3_mx, clk3_e, clk3_nc, clk3_dt, clk3_pm, clk3_en, clk3_ht, clk3_lt, clk3_div, clk3_phase, clk3_duty);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, clk4_mx, clk4_e, clk4_nc, clk4_dt, clk4_pm, clk4_en, clk4_ht, clk4_lt, clk4_div, clk4_phase, clk4_duty);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, clk5_mx, clk5_e, clk5_nc, clk5_dt, clk5_pm, clk5_en, clk5_ht, clk5_lt, clk5_div, clk5_phase, clk5_duty);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, clk6_mx, clk6_e, clk6_nc, clk6_dt, clk6_pm, clk6_en, clk6_ht, clk6_lt, clk6_div, clk6_phase, clk6_duty);
mc_to_attr(3'b0, 1'b0, 3'b0, 1'b0, 1'b0, 2'b0, divclk_e, divclk_nc, 6'b0, 3'b0, divclk_en, divclk_ht, divclk_lt, divclk_div, divclk_phase, divclk_duty);
if (((divclk_div > D_MAX) || (divclk_div < D_MIN)) && ~divclk_nc)
$display(" Error : [Unisim %s-34] DIVCLK_DIVIDE has been programmed through DRP to %f which is over the range of %d to %d at time %t. Instance %m", MODULE_NAME, divclk_div, D_MIN, D_MAX, $time);
ht_calc(clkfbout_frac, clkfbout_frac_en, clkfbout_e, clkfbout_ht, clkfbout_lt, clkfbout_f_div, clkfbout_rsel, clkfbout_fsel, clkfbout_fht, clkfbout_flt, clkfbout_cnt_max, clkfbout_cnt_ht, clkfbout_div);
ht_calc(clk0_frac, clk0_frac_en, clk0_e, clk0_ht, clk0_lt, clk0_f_div, clk0_rsel, clk0_fsel, clk0_fht, clk0_flt, clk0_cnt_max, clk0_cnt_ht, clk0_div);
ht_calc(3'b0, 1'b0, clk1_e, clk1_ht, clk1_lt, clk1_div, d_rsel, d_fsel, d_fht, d_flt, clk1_cnt_max, clk1_cnt_ht, d_div);
ht_calc(3'b0, 1'b0, clk2_e, clk2_ht, clk2_lt, clk2_div, d_rsel, d_fsel, d_fht, d_flt, clk2_cnt_max, clk2_cnt_ht, d_div);
ht_calc(3'b0, 1'b0, clk3_e, clk3_ht, clk3_lt, clk3_div, d_rsel, d_fsel, d_fht, d_flt, clk3_cnt_max, clk3_cnt_ht, d_div);
ht_calc(3'b0, 1'b0, clk4_e, clk4_ht, clk4_lt, clk4_div, d_rsel, d_fsel, d_fht, d_flt, clk4_cnt_max, clk4_cnt_ht, d_div);
ht_calc(3'b0, 1'b0, clk5_e, clk5_ht, clk5_lt, clk5_div, d_rsel, d_fsel, d_fht, d_flt, clk5_cnt_max, clk5_cnt_ht, d_div);
ht_calc(3'b0, 1'b0, clk6_e, clk6_ht, clk6_lt, clk6_div, d_rsel, d_fsel, d_fht, d_flt, clk6_cnt_max, clk6_cnt_ht, d_div);
ht_calc(3'b0, 1'b0, divclk_e, divclk_ht, divclk_lt, divclk_div, d_rsel, d_fsel, d_fht, d_flt, divclk_cnt_max, divclk_cnt_ht, d_div);
end
end
always @(clkfbout_f_div) begin
mf_product = clkfbout_f_div * 8;
end
always @(*) begin
if (clkfbout_frac_en) begin
clkfbout_frac_ht = period_vco_rl * clkfbout_fht + (period_vco_rl * clkfbout_fsel) / 8;
clkfbout_frac_lt = period_vco_rl * clkfbout_flt + (period_vco_rl * clkfbout_rsel) / 8;
end
end
always @(*) begin
if (clk0_frac_en) begin
clk0_frac_ht = period_vco_rl * clk0_fht + (period_vco_rl * clk0_fsel) / 8;
clk0_frac_lt = period_vco_rl * clk0_flt + (period_vco_rl * clk0_rsel) / 8;
end
end
reg ps_wr_to_max = 1'b0;
always @(period_vco or ps_in_ps)
if (fps_en == 1) begin
if (ps_in_ps < 0)
period_ps = period_vco + ps_in_ps * period_vco / 56.0;
else if ((ps_in_ps == 0) && PSINCDEC_in == 0)
period_ps = 0;
else
period_ps = ps_in_ps * period_vco / 56.0;
end
always @( clkpll_r )
clkpll_tmp1 <= #(period_avg) clkpll_r;
always @(clkpll_tmp1)
clkpll <= #(period_avg) clkpll_tmp1;
always @(posedge clkinstopped_out1 or posedge rst_int)
if ( rst_int)
clkinstopped_vco_f <= 0;
else begin
clkinstopped_vco_f <= 1;
@(negedge clkinstopped_out1 or posedge rst_int )
if (rst_int)
clkinstopped_vco_f <= 0;
else begin
@(posedge clkpll);
@(posedge clkpll)
clkinstopped_vco_f <= 0;
end
end
always @(posedge clkinstopped_out1 or posedge rst_int)
if (rst_int)
CLKINSTOPPED_out <= 0;
else begin
CLKINSTOPPED_out <= 1;
if (clkin_hold_f == 1) begin
@(posedge locked_out1 or posedge rst_int)
CLKINSTOPPED_out <= 0;
end
else begin
if (CLKINSEL_in == 1)
$display("Warning: [Unisim %s-21] Input CLKIN1 is stopped. Reset is required when input clock returns. Instance %m ", MODULE_NAME);
else
$display("Warning: [Unisim %s-22] Input CLKIN2 is stopped. Reset is required when input clock returns. Instance %m ", MODULE_NAME);
end
end
always @(posedge clkfbstopped_out1 or posedge rst_int)
if (rst_int)
CLKFBSTOPPED_out <= 0;
else begin
CLKFBSTOPPED_out <= 1;
@(posedge locked_out1)
CLKFBSTOPPED_out <= 0;
end
always @(clkout_en_t)
if (clkout_en_t >= clkout_en_val -3 && clkout_en_t < clkout_en_val)
rst_clkinstopped_tm = 1;
else
rst_clkinstopped_tm = 0;
always @(negedge clkinstopped_out1 or posedge rst_int)
if (rst_int)
rst_clkinstopped <= 0;
else
if (rst_clkinstopped_lk == 0 && clkin_hold_f == 1) begin
@(posedge rst_clkinstopped_tm)
rst_clkinstopped <= #period_vco4 1;
@(negedge rst_clkinstopped_tm ) begin
rst_clkinstopped <= #period_vco5 0;
rst_clkinstopped_rc <= #period_vco6 1;
rst_clkinstopped_rc <= #period_vco7 0;
end
end
always @(posedge clkinstopped_out1 or posedge rst_int)
if (rst_int)
clkinstopped_out_dly <= 0;
else begin
clkinstopped_out_dly <= 1;
if (clkin_hold_f == 1) begin
@(negedge rst_clkinstopped_rc or posedge rst_int)
clkinstopped_out_dly <= 0;
end
end
always @(clkinstopped_out1 or posedge rst_int)
if (rst_int)
clkinstopped_out_dly2 <= 0;
else
clkinstopped_out_dly2 <= #2 clkinstopped_out1;
always @(negedge rst_clkinstopped or posedge rst_int)
if (rst_int)
rst_clkinstopped_lk <= 0;
else begin
rst_clkinstopped_lk <= 1;
@(posedge locked_out1)
rst_clkinstopped_lk <= 0;
end
always @(clkinstopped_vco_f or CLKINSTOPPED_out or clkvco_lk or clkvco_free or rst_int)
if (rst_int)
clkvco_lk = 0;
else begin
if (CLKINSTOPPED_out == 1 && clkin_stop_f == 0)
clkvco_lk <= #(period_vco_half) !clkvco_lk;
else if (clkinstopped_vco_f == 1 && period_vco_half > 0)
clkvco_lk <= #(period_vco_half) !clkvco_lk;
else
clkvco_lk = clkvco_free;
end
// free run vco comp
always @(posedge clkpll)
if (pll_locked_tm == 1 ) begin
clkvco_free = 1'b1;
halfperiod_sum = 0.0;
halfperiod = 0;
if (clkfbout_frac_en == 1'b1 || clkvco_frac_en == 1) begin
for (ik10=1; ik10 < mf_product; ik10=ik10+1) begin
clkout_en_t <= ik10;
halfperiod_sum = halfperiod_sum + period_vco_rl_half - halfperiod;
halfperiod = $rtoi(halfperiod_sum);
#halfperiod clkvco_free = 1'b0;
halfperiod_sum = halfperiod_sum + period_vco_rl_half - halfperiod;
halfperiod = $rtoi(halfperiod_sum);
#halfperiod clkvco_free = 1'b1;
end
clkout_en_t <= ik10;
end else begin
for (ik11=1; ik11 < m_product; ik11=ik11+1) begin
clkout_en_t <= ik11;
halfperiod_sum = halfperiod_sum + period_vco_rl_half - halfperiod;
halfperiod = $rtoi(halfperiod_sum);
#halfperiod clkvco_free = 1'b0;
halfperiod_sum = halfperiod_sum + period_vco_rl_half - halfperiod;
halfperiod = $rtoi(halfperiod_sum);
#halfperiod clkvco_free = 1'b1;
end
clkout_en_t <= ik11;
end
halfperiod_sum = halfperiod_sum + period_vco_rl_half - halfperiod;
halfperiod = $rtoi(halfperiod_sum);
#halfperiod clkvco_free = 1'b0;
end
always @(fb_delay or period_vco or period_vco_mf or clkfbout_dt or clkfbout_pm_rl
or lock_period or ps_in_ps )
if (lock_period == 1) begin
if (clkfbout_frac_en == 1'b1) begin
val_tmp = period_avg * DIVCLK_DIVIDE_REG;
fb_comp_delay = period_vco * (clkfbout_dt + clkfbout_pm_rl);
end
else begin
val_tmp = period_avg * DIVCLK_DIVIDE_REG;
fb_comp_delay = period_vco * (clkfbout_dt + clkfbout_pm_rl);
end
dly_tmp1 = fb_delay + fb_comp_delay;
dly_tmp_int = 1;
if (clkfbout_fps_en == 1) begin
if (ps_in_ps < 0) begin
tmp_ps_val1 = -1 * ps_in_ps;
tmp_ps_val2 = tmp_ps_val1 * period_vco / 56.0;
if (tmp_ps_val2 > dly_tmp1 ) begin
dly_tmp_int = -1;
dly_tmp = tmp_ps_val2 - dly_tmp1;
end
else if (tmp_ps_val2 == dly_tmp1 ) begin
dly_tmp_int = 0;
dly_tmp = 0;
end
else begin
dly_tmp_int = 1;
dly_tmp = dly_tmp1 - tmp_ps_val2;
end
end
else
dly_tmp = dly_tmp1 + ps_in_ps * period_vco / 56.0;
end
else
dly_tmp = dly_tmp1;
if (dly_tmp_int < 0)
clkvco_delay = dly_tmp;
else begin
if (clkfbout_frac_en == 1'b1 && dly_tmp == 0)
clkvco_delay = 0;
else if ( dly_tmp < val_tmp)
clkvco_delay = val_tmp - dly_tmp;
else
clkvco_delay = val_tmp - dly_tmp % val_tmp ;
end
end
always @(clkfbout_pm_r)
case (clkfbout_pm_r)
3'b000 : clkfbout_pm_rl = 0.0;
3'b001 : clkfbout_pm_rl = 0.125;
3'b010 : clkfbout_pm_rl = 0.25;
3'b011 : clkfbout_pm_rl = 0.375;
3'b100 : clkfbout_pm_rl = 0.50;
3'b101 : clkfbout_pm_rl = 0.625;
3'b110 : clkfbout_pm_rl = 0.75;
3'b111 : clkfbout_pm_rl = 0.875;
endcase
always @(clkvco_lk)
clkvco_lk_dly_tmp <= #clkvco_delay clkvco_lk;
always @(clkvco_lk_dly_tmp or clkvco_lk or pll_locked_tm)
if ( pll_locked_tm && vco_stp_f == 0) begin
if (dly_tmp == 0)
clkvco = clkvco_lk;
else
clkvco = clkvco_lk_dly_tmp;
end
else
clkvco = 0;
always @(posedge PSCLK_in or posedge rst_int)
if (rst_int) begin
ps_in_ps <= ps_in_init;
ps_cnt <= 0;
psen_w <= 0;
fps_clk_en <= 0;
end
else if (fps_en == 1) begin
fps_clk_en <= 1;
if (PSEN_in) begin
if (psen_w == 1)
$display("Error: [Unisim %s-23] PSEN is active more than 1 PSCLK period at time %t. PSEN must be active for only one PSCLK period. Instance %m ", MODULE_NAME, $time);
psen_w <= 1;
if (ps_lock == 1)
$display("Warning: [Unisim %s-24] Please wait for PSDONE signal at time %t before adjusting the Phase Shift. Instance %m ", MODULE_NAME, $time);
else if (PSINCDEC_in == 1) begin
if (ps_cnt < ps_max)
ps_cnt <= ps_cnt + 1;
else
ps_cnt <= 0;
if (ps_in_ps < ps_max)
ps_in_ps <= ps_in_ps + 1;
else
ps_in_ps <= 0;
ps_lock <= 1;
end
else if (PSINCDEC_in == 0) begin
ps_cnt_neg = (-1) * ps_cnt;
ps_in_ps_neg = (-1) * ps_in_ps;
if (ps_cnt_neg < ps_max)
ps_cnt <= ps_cnt - 1;
else
ps_cnt <= 0;
if (ps_in_ps_neg < ps_max)
ps_in_ps <= ps_in_ps - 1;
else
ps_in_ps <= 0;
ps_lock <= 1;
end
end
else
psen_w <= 0;
if ( psdone_out1 == 1)
ps_lock <= 0;
end
always @(posedge ps_lock )
if (fps_en == 1) begin
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
@(posedge PSCLK_in)
begin
psdone_out1 = 1;
@(posedge PSCLK_in);
psdone_out1 = 0;
end
end
always @(rst_clkinstopped)
if (rst_clkinstopped) begin
assign clkfbout_frac_ht = 50;
assign clkfbout_frac_lt = 50;
end
else begin
deassign clkfbout_frac_ht;
deassign clkfbout_frac_lt;
end
integer clk0_delay=0, clk1_delay=0, clk2_delay=0, clk3_delay=0, clk4_delay=0, clk5_delay=0, clk6_delay=0, clkfbout_delay=0;
integer clk0_delay_next, clk1_delay_next, clk2_delay_next, clk3_delay_next, clk4_delay_next, clk5_delay_next, clk6_delay_next, clkfbout_delay_next;
always @(*) clk0_delay_next = clk0_pm_r*period_vco/8 + (clk0_fps_en*period_ps);
always @(*) clk1_delay_next = clk1_pm*period_vco/8 + (clk1_fps_en*period_ps);
always @(*) clk2_delay_next = clk2_pm*period_vco/8 + (clk2_fps_en*period_ps);
always @(*) clk3_delay_next = clk3_pm*period_vco/8 + (clk3_fps_en*period_ps);
always @(*) clk4_delay_next = clk4_pm*period_vco/8 + (clk4_fps_en*period_ps);
always @(*) clk5_delay_next = clk5_pm*period_vco/8 + (clk5_fps_en*period_ps);
always @(*) clk6_delay_next = clk6_pm*period_vco/8 + (clk6_fps_en*period_ps);
always @(*) clkfbout_delay_next = clkfbout_pm_r*period_vco/8 + (clkfbout_fps_en*period_ps);
always @ (posedge clkvco) begin
if (ps_lock) begin
if ((period_ps - period_ps_old) > period_vco/2)
ps_wr_to_max = 1'b1;
else
ps_wr_to_max <= 1'b0;
end
period_ps_old = period_ps;
clk0_delay <= clk0_delay_next;
clk1_delay <= clk1_delay_next;
clk2_delay <= clk2_delay_next;
clk3_delay <= clk3_delay_next;
clk4_delay <= clk4_delay_next;
clk5_delay <= clk5_delay_next;
clk6_delay <= clk6_delay_next;
clkfbout_delay <= clkfbout_delay_next;
end
always @ (clkvco) begin
if (clkout_en && clk0_en)
if (clk0_delay == 0) clk0in <= clkvco;
else if (clk0_fps_en && ps_wr_to_max && ~clkvco) begin
clk0in <= #(clk0_delay - period_ps) 1'b0;
clk0in <= #((2 * clk0_delay - period_ps)/2) 1'b1;
clk0in <= #(clk0_delay) 1'b0;
end else begin
clk0in <= #clk0_delay clkvco;
end
else clk0in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clk1_en)
if (clk1_delay == 0) clk1in <= clkvco;
else if (clk1_fps_en && ps_wr_to_max && ~clkvco) begin
clk1in <= #(clk1_delay - period_ps) 1'b0;
clk1in <= #((2 * clk1_delay - period_ps)/2) 1'b1;
clk1in <= #(clk1_delay) 1'b0;
end else begin
clk1in <= #clk1_delay clkvco;
end
else clk1in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clk2_en)
if (clk2_delay == 0) clk2in <= clkvco;
else if (clk2_fps_en && ps_wr_to_max && ~clkvco) begin
clk2in <= #(clk2_delay - period_ps) 1'b0;
clk2in <= #((2 * clk2_delay - period_ps)/2) 1'b1;
clk2in <= #(clk2_delay) 1'b0;
end else begin
clk2in <= #clk2_delay clkvco;
end
else clk2in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clk3_en)
if (clk3_delay == 0) clk3in <= clkvco;
else if (clk3_fps_en && ps_wr_to_max && ~clkvco) begin
clk3in <= #(clk3_delay - period_ps) 1'b0;
clk3in <= #((2 * clk3_delay - period_ps)/2) 1'b1;
clk3in <= #(clk3_delay) 1'b0;
end else begin
clk3in <= #clk3_delay clkvco;
end
else clk3in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clk4_en)
if (clkout4_cascade_int) clk4in <= clk6_out;
else if (clk4_delay == 0) clk4in <= clkvco;
else if (clk4_fps_en && ps_wr_to_max && ~clkvco) begin
clk4in <= #(clk4_delay - period_ps) 1'b0;
clk4in <= #((2 * clk4_delay - period_ps)/2) 1'b1;
clk4in <= #(clk4_delay) 1'b0;
end else begin
clk4in <= #clk4_delay clkvco;
end
else clk4in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clk5_en)
if (clk5_delay == 0) clk5in <= clkvco;
else if (clk5_fps_en && ps_wr_to_max && ~clkvco) begin
clk5in <= #(clk5_delay - period_ps) 1'b0;
clk5in <= #((2 * clk5_delay - period_ps)/2) 1'b1;
clk5in <= #(clk5_delay) 1'b0;
end else begin
clk5in <= #clk5_delay clkvco;
end
else clk5in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clk6_en)
if (clk6_delay == 0) clk6in <= clkvco;
else if (clk6_fps_en && ps_wr_to_max && ~clkvco) begin
clk6in <= #(clk6_delay - period_ps) 1'b0;
clk6in <= #((2 * clk6_delay - period_ps)/2) 1'b1;
clk6in <= #(clk6_delay) 1'b0;
end else begin
clk6in <= #clk6_delay clkvco;
end
else clk6in <= 1'b0;
end
always @ (clkvco) begin
if (clkout_en && clkfbout_en)
if (clkfbout_delay == 0) clkfboutin <= clkvco;
else if (clkfbout_fps_en && ps_wr_to_max && ~clkvco) begin
clkfboutin <= #(clkfbout_delay - period_ps) 1'b0;
clkfboutin <= #((2 * clkfbout_delay - period_ps)/2) 1'b1;
clkfboutin <= #(clkfbout_delay) 1'b0;
end else begin
clkfboutin <= #clkfbout_delay clkvco;
end
else clkfboutin <= 1'b0;
end
assign clk0ps_en = (clk0_dly_cnt == clk0_dt) & clkout_en;
assign clk1ps_en = (clk1_dly_cnt == clk1_dt) & clkout_en;
assign clk2ps_en = (clk2_dly_cnt == clk2_dt) & clkout_en;
assign clk3ps_en = (clk3_dly_cnt == clk3_dt) & clkout_en;
assign clk4ps_en = (clk4_dly_cnt == clk4_dt) & clkout_en;
assign clk5ps_en = (clk5_dly_cnt == clk5_dt) & clkout_en;
assign clk6ps_en = (clk6_dly_cnt == clk6_dt) & clkout_en;
assign clkfbps_en = (clkfbout_dly_cnt == clkfbout_dt) & clkout_en;
always @(negedge clk0in or posedge rst_in_o)
if (rst_in_o)
clk0_dly_cnt <= 6'b0;
else if (clkout_en == 1 ) begin
if (clk0_dly_cnt < clk0_dt)
clk0_dly_cnt <= clk0_dly_cnt + 1;
end
always @(negedge clk1in or posedge rst_in_o)
if (rst_in_o)
clk1_dly_cnt <= 6'b0;
else
if (clk1_dly_cnt < clk1_dt && clkout_en ==1)
clk1_dly_cnt <= clk1_dly_cnt + 1;
always @(negedge clk2in or posedge rst_in_o)
if (rst_in_o)
clk2_dly_cnt <= 6'b0;
else
if (clk2_dly_cnt < clk2_dt && clkout_en ==1)
clk2_dly_cnt <= clk2_dly_cnt + 1;
always @(negedge clk3in or posedge rst_in_o)
if (rst_in_o)
clk3_dly_cnt <= 6'b0;
else
if (clk3_dly_cnt < clk3_dt && clkout_en ==1)
clk3_dly_cnt <= clk3_dly_cnt + 1;
always @(negedge clk4in or posedge rst_in_o)
if (rst_in_o)
clk4_dly_cnt <= 6'b0;
else
if (clk4_dly_cnt < clk4_dt && clkout_en ==1)
clk4_dly_cnt <= clk4_dly_cnt + 1;
always @(negedge clk5in or posedge rst_in_o)
if (rst_in_o)
clk5_dly_cnt <= 6'b0;
else if (clkout_en == 1 ) begin
if (clk5_dly_cnt < clk5_dt)
clk5_dly_cnt <= clk5_dly_cnt + 1;
end
always @(negedge clk6in or posedge rst_in_o)
if (rst_in_o)
clk6_dly_cnt <= 6'b0;
else if (clkout_en == 1 ) begin
if (clk6_dly_cnt < clk6_dt)
clk6_dly_cnt <= clk6_dly_cnt + 1;
end
always @(negedge clkfboutin or posedge rst_in_o)
if (rst_in_o)
clkfbout_dly_cnt <= 6'b0;
else if (clkout_en == 1 ) begin
if (clkfbout_dly_cnt < clkfbout_dt)
clkfbout_dly_cnt <= clkfbout_dly_cnt + 1;
end
always @(posedge clkfboutin or negedge clkfboutin or posedge rst_in_o)
if (rst_in_o || ~clkfbps_en) begin
clkfbout_cnt <= 8'b0;
clkfbout_out <= 0;
end
else if (clkfbout_nc) clkfbout_out <= ~clkfbout_out;
else if (~clkfbout_frac_en) begin
if (clkfbout_cnt < clkfbout_cnt_max)
clkfbout_cnt <= clkfbout_cnt + 1;
else
clkfbout_cnt <= 8'b0;
if (clkfbout_cnt < clkfbout_cnt_ht)
clkfbout_out <= 1;
else
clkfbout_out <= 0;
end
else if (clkfbout_frac_en && clkfboutin) begin
clkfbout_out <= 1;
for (ib=1; ib < 8; ib=ib+1) begin
#(clkfbout_frac_ht) clkfbout_out <= 0;
#(clkfbout_frac_lt) clkfbout_out <= 1;
end
#(clkfbout_frac_ht) clkfbout_out <= 0;
#(clkfbout_frac_lt - period_vco1);
end
always @(posedge clk0in or negedge clk0in or posedge rst_in_o)
if (rst_in_o || ~clk0ps_en) begin
clk0_cnt <= 8'b0;
clk0_out <= 0;
end
else if (clk0_nc) clk0_out <= ~clk0_out;
else if (~clk0_frac_en) begin
if (clk0_cnt < clk0_cnt_max)
clk0_cnt <= clk0_cnt + 1;
else
clk0_cnt <= 8'b0;
if (clk0_cnt < clk0_cnt_ht)
clk0_out <= 1;
else
clk0_out <= 0;
end
else if (clk0_frac_en && clk0in) begin
clk0_out <= 1;
for (ik0=1; ik0 < 8; ik0=ik0+1) begin
#(clk0_frac_ht) clk0_out <= 0;
#(clk0_frac_lt) clk0_out <= 1;
end
#(clk0_frac_ht) clk0_out <= 0;
#(clk0_frac_lt - period_vco1);
end
always @(posedge clk1in or negedge clk1in or posedge rst_in_o)
if (rst_in_o || ~clk1ps_en) begin
clk1_cnt <= 8'b0;
clk1_out <= 0;
end
else if (clk1_nc) clk1_out <= ~clk1_out;
else begin
if (clk1_cnt < clk1_cnt_max)
clk1_cnt <= clk1_cnt + 1;
else
clk1_cnt <= 8'b0;
if (clk1_cnt < clk1_cnt_ht)
clk1_out <= 1;
else
clk1_out <= 0;
end
always @(posedge clk2in or negedge clk2in or posedge rst_in_o)
if (rst_in_o || ~clk2ps_en) begin
clk2_cnt <= 8'b0;
clk2_out <= 0;
end
else if (clk2_nc) clk2_out <= ~clk2_out;
else begin
if (clk2_cnt < clk2_cnt_max)
clk2_cnt <= clk2_cnt + 1;
else
clk2_cnt <= 8'b0;
if (clk2_cnt < clk2_cnt_ht)
clk2_out <= 1;
else
clk2_out <= 0;
end
always @(posedge clk3in or negedge clk3in or posedge rst_in_o)
if (rst_in_o || ~clk3ps_en) begin
clk3_cnt <= 8'b0;
clk3_out <= 0;
end
else if (clk3_nc) clk3_out <= ~clk3_out;
else begin
if (clk3_cnt < clk3_cnt_max)
clk3_cnt <= clk3_cnt + 1;
else
clk3_cnt <= 8'b0;
if (clk3_cnt < clk3_cnt_ht)
clk3_out <= 1;
else
clk3_out <= 0;
end
always @(posedge clk4in or negedge clk4in or posedge rst_in_o)
if (rst_in_o || ~clk4ps_en) begin
clk4_cnt <= 8'b0;
clk4_out <= 0;
end
else if (clk4_nc) clk4_out <= ~clk4_out;
else begin
if (clk4_cnt < clk4_cnt_max)
clk4_cnt <= clk4_cnt + 1;
else
clk4_cnt <= 8'b0;
if (clk4_cnt < clk4_cnt_ht)
clk4_out <= 1;
else
clk4_out <= 0;
end
always @(posedge clk5in or negedge clk5in or posedge rst_in_o)
if (rst_in_o || ~clk5ps_en) begin
clk5_cnt <= 8'b0;
clk5_out <= 0;
end
else if (clk5_nc) clk5_out <= ~clk5_out;
else begin
if (clk5_cnt < clk5_cnt_max)
clk5_cnt <= clk5_cnt + 1;
else
clk5_cnt <= 8'b0;
if (clk5_cnt < clk5_cnt_ht)
clk5_out <= 1;
else
clk5_out <= 0;
end
always @(posedge clk6in or negedge clk6in or posedge rst_in_o)
if (rst_in_o || ~clk6ps_en) begin
clk6_cnt <= 8'b0;
clk6_out <= 0;
end
else if (clk6_nc) clk6_out <= ~clk6_out;
else begin
if (clk6_cnt < clk6_cnt_max)
clk6_cnt <= clk6_cnt + 1;
else
clk6_cnt <= 8'b0;
if (clk6_cnt < clk6_cnt_ht)
clk6_out <= 1;
else
clk6_out <= 0;
end
// assign CLKOUT0_out = clk0_out || clkfbout_tst; // faster?
always @(clk0_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT0_out = clk0_out;
else
CLKOUT0_out = clkfbout_tst;
always @(clk1_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT1_out = clk1_out;
else
CLKOUT1_out = clkfbout_tst;
always @(clk2_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT2_out = clk2_out;
else
CLKOUT2_out = clkfbout_tst;
always @(clk3_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT3_out = clk3_out;
else
CLKOUT3_out = clkfbout_tst;
always @(clk4_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT4_out = clk4_out;
else
CLKOUT4_out = clkfbout_tst;
always @(clk5_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT5_out = clk5_out;
else
CLKOUT5_out = clkfbout_tst;
always @(clk6_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKOUT6_out = clk6_out;
else
CLKOUT6_out = clkfbout_tst;
always @(clkfbout_out or clkfbout_tst or fb_delay_found)
if (fb_delay_found == 1'b1)
CLKFBOUT_out = clkfbout_out;
else
CLKFBOUT_out = clkfbout_tst;
//
// determine feedback delay
//
always @(posedge clkpll_r )
if (pwron_int || rst_int || fb_delay_found) clkfbout_tst <= 1'b0;
else clkfbout_tst <= ~clkfbout_tst;
always @( posedge clkfbout_tst or posedge rst_int )
if (rst_int)
delay_edge <= 0;
else
delay_edge <= $time;
always @(posedge CLKFBIN_in or posedge rst_int )
if (rst_int) begin
fb_delay <= 0;
fb_delay_found_tmp <= 0;
end
else
if (fb_delay_found_tmp ==0 ) begin
if ( delay_edge != 0)
fb_delay <= ($time - delay_edge);
else
fb_delay <= 0;
fb_delay_found_tmp <= 1;
end
always @(rst_int)
if (rst_int)
assign fb_delay_found = 1'b0;
else
deassign fb_delay_found;
// always @(fb_delay_found_tmp or clkvco_delay )
// if (clkvco_delay == 0)
// fb_delay_found <= #1000 fb_delay_found_tmp;
// else
// fb_delay_found <= #(clkvco_delay) fb_delay_found_tmp;
always @(negedge clkfbout_tst)
fb_delay_found <= fb_delay_found_tmp;
always @(fb_delay or fb_delay_found)
if (rst_int==0 && fb_delay_found==1'b1 && (fb_delay/1000.0 > fb_delay_max)) begin
$display("Warning: [Unisim %s-25] The feedback delay at time %t is %f ns. It is over the maximum value %f ns. Instance %m ", MODULE_NAME, $time, fb_delay / 1000.0, fb_delay_max);
end
//
// generate unlock signal
//
always #(2*period_avg/3+250) clkin_osc = ~rst_int && ~clkin_osc;
always #(2*period_avg*divclk_div/3+250) clkfbin_osc = ~rst_int && ~clkfbin_osc;
always @(posedge clkpll_r or negedge clkpll_r) begin
clkin_p <= 1;
clkin_p <= #100 0;
end
always @(posedge CLKFBIN_in or negedge CLKFBIN_in) begin
clkfbin_p <= 1;
clkfbin_p <= #100 0;
end
always @(posedge clkin_osc or posedge rst_int or posedge clkin_p)
if (rst_int == 1) begin
clkinstopped_out1 <= 0;
clkin_lost_cnt <= 0;
end
else if (clkin_p == 1) begin
if (clkinstopped_out1 == 1) begin
@(posedge clkpll_r) begin
clkinstopped_out1 <= 0;
clkin_lost_cnt <= 0;
end
end
else begin
clkinstopped_out1 <= 0;
clkin_lost_cnt <= 0;
end
end
else if (lock_period) begin
if (clkin_lost_cnt < clkin_lost_val) begin
clkin_lost_cnt <= clkin_lost_cnt + 1;
clkinstopped_out1 <= 0;
end
else
clkinstopped_out1 <= 1;
end
always @(posedge clkfbin_osc or posedge rst_int or posedge clkfbin_p)
if (rst_int == 1 || clkfbin_p == 1) begin
clkfbstopped_out1 <= 0;
clkfbin_lost_cnt <= 0;
end
else if (clkout_en) begin
if (clkfbin_lost_cnt < clkfbin_lost_val) begin
clkfbin_lost_cnt <= clkfbin_lost_cnt + 1;
clkfbstopped_out1 <= 0;
end
else
clkfbstopped_out1 <= 1;
end
always @(clkin_jit or rst_int )
if (rst_int)
clkpll_jitter_unlock = 0;
else
if (pll_locked_tmp2 && clkfbstopped_out1 == 0 && clkinstopped_out1 == 0) begin
if ((clkin_jit > REF_CLK_JITTER_MAX_tmp && clkin_jit < period_avg) ||
(clkin_jit < -REF_CLK_JITTER_MAX_tmp && clkin_jit > -period_avg ))
clkpll_jitter_unlock = 1;
else
clkpll_jitter_unlock = 0;
end
else
clkpll_jitter_unlock = 0;
assign pll_unlock1 = (clkinstopped_out_dly ==1 || clkfbstopped_out1==1 || clkpll_jitter_unlock == 1) ? 1 : 0;
assign pll_unlock = (clkinstopped_out_dly ==1 || clkfbstopped_out1==1 || clkpll_jitter_unlock == 1 || unlock_recover == 1) ? 1 : 0;
// tasks
task mc_to_attr;
input [2:0] pm_f;
input wf_f;
input [2:0] frac;
input frac_en;
input wf_r;
input [1:0] mx;
input e;
input nc;
input [5:0] dt;
input [2:0] pm_r;
input en;
input [5:0] ht;
input [5:0] lt;
output real div;
output real phase;
output real duty;
integer odd_frac;
reg odd;
real frac_r;
integer div_2;
integer pm_f_c;
real duty_step;
real phase_step;
begin
if (nc == 1'b1) begin
div = 1.0;
phase = 0.0;
duty = 0.5;
end
else if (frac_en == 1'b1) begin
duty =0.50;
if (dt == 6'b0 && pm_r == 3'b0) pm_f_c = pm_f;
else if (pm_f >= pm_r) pm_f_c = pm_f - pm_r;
else pm_f_c = 8 + pm_f - pm_r;
if (pm_f_c < 4) begin
odd = 1'b0;
odd_frac = frac;
end
else begin
odd = 1'b1;
odd_frac = frac + 8;
end
frac_r = frac * 0.125;
if (odd_frac > 9) div_2 = lt;
else div_2 = lt + 1;
div = 2 * div_2 + odd + frac_r;
phase_step = 360.0 / (div * 8);
phase = phase_step * (dt*8.0 + pm_r);
end
else begin
if (ht == 6'b0 && lt == 6'b0) div = 128.0;
else if (ht == 6'b0) div = 64.0 + lt * 1.0;
else if (lt == 6'b0) div = ht * 1.0 + 64.0;
else div = ht * 1.0 + lt * 1.0;
duty_step = 0.5 / div;
duty = (2.0 * ht + e) * duty_step;
phase_step = 360.0 / div;
phase = dt * phase_step;
end
end
endtask
task upper_mix_drp;
output reg [2:0] pm_f;
output reg wf_f;
output reg [1:0] mx;
output reg e;
output reg nc;
output reg [5:0] dt;
input [15:0] DI;
begin
pm_f = DI[13:11];
wf_f = DI[10];
mx = DI[9:8];
e = DI[7];
nc = DI[6];
dt = DI[5:0];
end
endtask
task upper_frac_drp;
output reg [2:0] frac;
output reg frac_en;
output reg wf_r;
output reg [1:0] mx;
output reg e;
output reg nc;
output reg [5:0] dt;
input [15:0] DI;
begin
frac = DI[14:12];
frac_en = DI[11];
wf_r = DI[10];
mx = DI[9:8];
e = DI[7];
nc = DI[6];
dt = DI[5:0];
end
endtask
task upper_drp;
output reg [1:0] mx;
output reg e;
output reg nc;
output reg [5:0] dt;
input [15:0] DI;
begin
mx = DI[9:8];
e = DI[7];
nc = DI[6];
dt = DI[5:0];
end
endtask
task lower_drp;
output reg [2:0] pm_r;
output reg en;
output reg [5:0] ht;
output reg [5:0] lt;
input [15:0] DI;
begin
pm_r = DI[15:13];
en = DI[12];
ht = DI[11:6];
lt = DI[5:0];
end
endtask
//ht_calc( frac, frac_en, e, ht, lt, div_f, clk_rsel, clk_fsel, clk_fht, clk_flt, clk_cnt_max, clk_cnt_ht, clk_div)
task ht_calc;
input [2:0] frac;
input frac_en;
input e;
input [5:0] ht;
input [6:0] lt;
input real f_div;
output [3:0] clk_rsel;
output [3:0] clk_fsel;
output [6:0] clk_fht;
output [6:0] clk_flt;
output integer clk_cnt_max;
output integer clk_cnt_ht;
output integer clk_div_fint;
integer clk_div_fint_odd;
begin
clk_div_fint = $rtoi(f_div);
if (frac_en) begin
clk_fht = clk_div_fint / 2;
clk_flt = clk_div_fint / 2;
clk_div_fint_odd = clk_div_fint - clk_fht - clk_flt;
if (clk_div_fint_odd > 0) begin
clk_rsel = (8 + frac) / 2;
clk_fsel = 8 + frac - clk_rsel;
end
else begin
clk_rsel = frac / 2;
clk_fsel = frac - clk_rsel;
end
end
else begin
if (ht == 6'b0) clk_fht = 64; else clk_fht = ht;
if (lt == 7'b0) clk_flt = 64; else clk_flt = lt;
clk_cnt_max = 2 * (clk_fht + clk_flt) - 1;
clk_cnt_ht = 2 * clk_fht + e;
end
end
endtask
task attr_to_mc;
output reg [2:0] pm_f;
output reg wf_f;
output reg [2:0] frac;
output reg frac_en;
output reg wf_r;
output reg [1:0] mx;
output reg e;
output reg nc;
output reg [5:0] dt;
output reg [2:0] pm_r;
output reg en;
output reg [5:0] ht;
output reg [5:0] lt;
input real div;
input real phase;
input real duty;
integer div_int;
real div_frac;
real div_rnd;
reg [37:0] vector;
begin
// determine frac_en
div_int = $rtoi(div);
div_frac = div - $itor(div_int);
if (div_frac > 0.000) frac_en = 1'b1;
else frac_en = 1'b0;
// rnd frac to nearest 0.125 - may become .000
div_rnd = $itor($rtoi((div + 0.0625) * 8.0)) / 8.0;
// determine int and frac part
div_int = $rtoi(div_rnd);
div_frac = div_rnd - $itor(div_int);
if (div_int == 1) begin
// nc = 1, rest are dummy
pm_f = 3'b0;
wf_f = 1'b0;
frac = 3'b0;
frac_en = 1'b0;
wf_r = 1'b0;
mx = 2'b0;
e = 1'b0;
nc = 1'b1;
dt = 6'b0;
pm_r = 3'b0;
en = 1'b1;
ht = 6'b1;
lt = 6'b1; end
else begin
if (frac_en == 1'b1) vector = mmcm_frac_calc(div_int,phase*1000,duty*1000,div_frac*1000);
else vector = mmcm_calc(div_int,phase*1000,duty*100000);
if (frac_en == 1'b1) begin
pm_f = vector[35:33];
wf_f = vector[32];
frac = vector[30:28];
frac_en = vector[27];
wf_r = vector[26];
end
else begin
pm_f = 3'b0;
wf_f = 1'b0;
frac = 3'b0;
frac_en = 1'b0;
wf_r = 1'b0;
end
mx = vector[25:24];
e = vector[23];
nc = vector[22];
dt = vector[21:16];
pm_r = vector[15:13];
en = 1'b1;
ht = vector[11:6];
lt = vector[5:0];
end
end
endtask
`define MMCME2_ADV_FRAC_PRECISION 10
`define MMCME2_ADV_FIXED_WIDTH 32
// This function takes a fixed point number and rounds it to the nearest
// fractional precision bit.
function [`MMCME2_ADV_FIXED_WIDTH:1] round_frac
(
// Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
input [`MMCME2_ADV_FIXED_WIDTH:1] decimal,
// This describes the precision of the fraction, for example a value
// of 1 would modify the fractional so that instead of being a .16
// fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
input [`MMCME2_ADV_FIXED_WIDTH:1] precision
);
begin
// If the fractional precision bit is high then round up
if( decimal[(`MMCME2_ADV_FRAC_PRECISION-precision)] == 1'b1) begin
round_frac = decimal + (1'b1 << (`MMCME2_ADV_FRAC_PRECISION-precision));
end else begin
round_frac = decimal;
end
end
endfunction
// This function calculates high_time, low_time, w_edge, and no_count
// of a non-fractional counter based on the divide and duty cycle
//
// NOTE: high_time and low_time are returned as integers between 0 and 63
// inclusive. 64 should equal 6'b000000 (in other words it is okay to
// ignore the overflow)
function [13:0] mmcm_divider
(
input [7:0] divide, // Max divide is 128
input [31:0] duty_cycle // Duty cycle is multiplied by 100,000
);
reg [`MMCME2_ADV_FIXED_WIDTH:1] duty_cycle_fix;
// High/Low time is initially calculated with a wider integer to prevent a
// calculation error when it overflows to 64.
reg [6:0] high_time;
reg [6:0] low_time;
reg w_edge;
reg no_count;
reg [`MMCME2_ADV_FIXED_WIDTH:1] temp;
begin
// Duty Cycle must be between 0 and 1,000
if(duty_cycle <=0 || duty_cycle >= 100000) begin
$display("ERROR: duty_cycle: %d is invalid", duty_cycle);
$finish;
end
// Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
duty_cycle_fix = (duty_cycle << `MMCME2_ADV_FRAC_PRECISION) / 100_000;
// If the divide is 1 nothing needs to be set except the no_count bit.
// Other values are dummies
if(divide == 7'h01) begin
high_time = 7'h01;
w_edge = 1'b0;
low_time = 7'h01;
no_count = 1'b1;
end else begin
temp = round_frac(duty_cycle_fix*divide, 1);
// comes from above round_frac
high_time = temp[`MMCME2_ADV_FRAC_PRECISION+7:`MMCME2_ADV_FRAC_PRECISION+1];
// If the duty cycle * divide rounded is .5 or greater then this bit
// is set.
w_edge = temp[`MMCME2_ADV_FRAC_PRECISION]; // comes from round_frac
// If the high time comes out to 0, it needs to be set to at least 1
// and w_edge set to 0
if(high_time == 7'h00) begin
high_time = 7'h01;
w_edge = 1'b0;
end
if(high_time == divide) begin
high_time = divide - 1;
w_edge = 1'b1;
end
// Calculate low_time based on the divide setting and set no_count to
// 0 as it is only used when divide is 1.
low_time = divide - high_time;
no_count = 1'b0;
end
// Set the return value.
mmcm_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
end
endfunction
// This function calculates mx, delay_time, and phase_mux
// of a non-fractional counter based on the divide and phase
//
// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
// is used.
function [10:0] mmcm_phase
(
// divide must be an integer (use fractional if not)
// assumed that divide already checked to be valid
input [7:0] divide, // Max divide is 128
// Phase is given in degrees (-360,000 to 360,000)
input signed [31:0] phase
);
reg [`MMCME2_ADV_FIXED_WIDTH:1] phase_in_cycles;
reg [`MMCME2_ADV_FIXED_WIDTH:1] phase_fixed;
reg [1:0] mx;
reg [5:0] delay_time;
reg [2:0] phase_mux;
reg [`MMCME2_ADV_FIXED_WIDTH:1] temp;
begin
if ((phase < -360000) || (phase > 360000)) begin
$display("ERROR: phase of (%d) is not between -360000 and 360000. Instance %m",phase);
$finish;
end
// If phase is less than 0, convert it to a positive phase shift
// Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
if(phase < 0) begin
phase_fixed = ( (phase + 360000) << `MMCME2_ADV_FRAC_PRECISION ) / 1000;
end else begin
phase_fixed = ( phase << `MMCME2_ADV_FRAC_PRECISION ) / 1000;
end
// Put phase in terms of decimal number of vco clock cycles
phase_in_cycles = ( phase_fixed * divide ) / 360;
temp = round_frac(phase_in_cycles, 3);
// set mx to 2'b00 that the phase mux from the VCO is enabled
mx = 2'b00;
phase_mux = temp[`MMCME2_ADV_FRAC_PRECISION:`MMCME2_ADV_FRAC_PRECISION-2];
delay_time = temp[`MMCME2_ADV_FRAC_PRECISION+6:`MMCME2_ADV_FRAC_PRECISION+1];
// Setup the return value
mmcm_phase={mx, phase_mux, delay_time};
end
endfunction
// This function takes in the divide, phase, and duty cycle
// setting to calculate the upper and lower counter registers.
function [37:0] mmcm_calc
(
input [7:0] divide, // Max divide is 128
input signed [31:0] phase,
input [31:0] duty_cycle // Multiplied by 100,000
);
reg [13:0] div_calc;
reg [16:0] phase_calc;
begin
// w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
div_calc = mmcm_divider(divide, duty_cycle);
// mx[10:9], pm[8:6], dt[5:0]
phase_calc = mmcm_phase(divide, phase);
// Return value is the upper and lower address of counter
// Upper address is:
// RESERVED [31:26]
// MX [25:24]
// EDGE [23]
// NOCOUNT [22]
// DELAY_TIME [21:16]
// Lower Address is:
// PHASE_MUX [15:13]
// RESERVED [12]
// HIGH_TIME [11:6]
// LOW_TIME [5:0]
mmcm_calc =
{
// Upper Address
6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0],
// Lower Address
phase_calc[8:6], 1'b0, div_calc[11:0]
};
end
endfunction
// This function takes in the divide, phase, and duty cycle
// setting to calculate the upper and lower counter registers.
// for fractional multiply/divide functions.
//
//
function [37:0] mmcm_frac_calc
(
input [7:0] divide, // Max divide is 128
input signed [31:0] phase,
input [31:0] duty_cycle, // Multiplied by 1,000
input [9:0] frac // Multiplied by 1000
);
//Required for fractional divide calculations
reg [7:0] lt_frac;
reg [7:0] ht_frac;
reg /*[7:0]*/ wf_fall_frac;
reg /*[7:0]*/ wf_rise_frac;
reg [31:0] a;
reg [7:0] pm_rise_frac_filtered ;
reg [7:0] pm_fall_frac_filtered ;
reg [7:0] clkout0_divide_int;
reg [2:0] clkout0_divide_frac;
reg [7:0] even_part_high;
reg [7:0] even_part_low;
reg [7:0] odd;
reg [7:0] odd_and_frac;
reg [7:0] pm_fall;
reg [7:0] pm_rise;
reg [7:0] dt;
reg [7:0] dt_int;
reg [63:0] dt_calc;
reg [7:0] pm_rise_frac;
reg [7:0] pm_fall_frac;
reg [31:0] a_per_in_octets;
reg [31:0] a_phase_in_cycles;
parameter precision = 0.125;
reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
reg [31: 0] phase_pos;
reg [31: 0] phase_vco;
reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
reg [13:0] div_calc;
reg [16:0] phase_calc;
begin
//convert phase to fixed
if ((phase < -360000) || (phase > 360000)) begin
$display("ERROR: phase of (%d) is not between -360000 and 360000. Instance %m",phase);
// $display("ERROR: phase of $phase is not between -360000 and 360000");
$finish;
end
// Return value is
// Transfer data
// RESERVED [37:36]
// FRAC_TIME [35:33]
// FRAC_WF_FALL [32]
// Upper address is:
// RESERVED [31:26]
// MX [25:24]
// EDGE [23]
// NOCOUNT [22]
// DELAY_TIME [21:16]
// Lower Address is:
// PHASE_MUX [15:13]
// RESERVED [12]
// HIGH_TIME [11:6]
// LOW_TIME [5:0]
clkout0_divide_frac = frac / 125;
clkout0_divide_int = divide;
even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
even_part_low = even_part_high;
odd = clkout0_divide_int - even_part_high - even_part_low;
odd_and_frac = (8*odd) + clkout0_divide_frac;
lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
ht_frac = even_part_low - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
pm_fall = {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2
pm_rise = 0; //0
wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
//Calculate phase in fractional cycles
a_per_in_octets = (8 * divide) + (frac / 125) ;
a_phase_in_cycles = (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
pm_rise_frac = (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
dt_calc = ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
dt = dt_calc[7:0];
pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ; //((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
dt_int = dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
pm_fall_frac = pm_fall + pm_rise_frac;
pm_fall_frac_filtered = pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
div_calc = mmcm_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
phase_calc = mmcm_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
mmcm_frac_calc[37:0] =
{ 2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0],
pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
} ;
end
endfunction
function clkout_duty_chk;
input CLKOUT_DIVIDE;
input CLKOUT_DUTY_CYCLE;
input reg [160:0] CLKOUT_DUTY_CYCLE_N;
integer CLKOUT_DIVIDE, step_tmp;
real CLKOUT_DUTY_CYCLE;
real CLK_DUTY_CYCLE_MIN, CLK_DUTY_CYCLE_MAX, CLK_DUTY_CYCLE_CHK, CLK_DUTY_CYCLE_STEP;
real CLK_DUTY_CYCLE_MIN_rnd;
reg clk_duty_tmp_int;
begin
if (CLKOUT_DIVIDE > O_MAX_HT_LT) begin
CLK_DUTY_CYCLE_MIN = 1.0 * (CLKOUT_DIVIDE - O_MAX_HT_LT)/CLKOUT_DIVIDE;
CLK_DUTY_CYCLE_MAX = (O_MAX_HT_LT )/CLKOUT_DIVIDE;
CLK_DUTY_CYCLE_CHK = (O_MAX_HT_LT + 0.5)/CLKOUT_DIVIDE;
CLK_DUTY_CYCLE_MIN_rnd = CLK_DUTY_CYCLE_MIN;
end
else begin
if (CLKOUT_DIVIDE == 1) begin
CLK_DUTY_CYCLE_MIN = 0.0;
CLK_DUTY_CYCLE_MIN_rnd = 0.0;
end
else begin
step_tmp = 1000 / CLKOUT_DIVIDE;
CLK_DUTY_CYCLE_MIN_rnd = step_tmp / 1000.0;
CLK_DUTY_CYCLE_MIN = 1.0 /CLKOUT_DIVIDE;
end
CLK_DUTY_CYCLE_CHK = 1.0;
CLK_DUTY_CYCLE_MAX = 1.0;
end
if (CLKOUT_DUTY_CYCLE > CLK_DUTY_CYCLE_CHK || CLKOUT_DUTY_CYCLE < CLK_DUTY_CYCLE_MIN_rnd) begin
$display("Warning: [Unisim %s-30] %s is set to %f and is not in the allowed range %f to %f. Instance %m ", MODULE_NAME, CLKOUT_DUTY_CYCLE_N, CLKOUT_DUTY_CYCLE, CLK_DUTY_CYCLE_MIN, CLK_DUTY_CYCLE_MAX );
end
clk_duty_tmp_int = 0;
CLK_DUTY_CYCLE_STEP = 0.5 / CLKOUT_DIVIDE;
for (j = 0; j < (2 * CLKOUT_DIVIDE - CLK_DUTY_CYCLE_MIN/CLK_DUTY_CYCLE_STEP); j = j + 1)
if (((CLK_DUTY_CYCLE_MIN + CLK_DUTY_CYCLE_STEP * j) - CLKOUT_DUTY_CYCLE) > -0.001 &&
((CLK_DUTY_CYCLE_MIN + CLK_DUTY_CYCLE_STEP * j) - CLKOUT_DUTY_CYCLE) < 0.001)
clk_duty_tmp_int = 1;
if ( clk_duty_tmp_int != 1) begin
$display("Warning: [Unisim %s-31] %s is set to %f and is not an allowed value. Allowed values are:", MODULE_NAME, CLKOUT_DUTY_CYCLE_N, CLKOUT_DUTY_CYCLE);
for (j = 0; j < (2 * CLKOUT_DIVIDE - CLK_DUTY_CYCLE_MIN/CLK_DUTY_CYCLE_STEP); j = j + 1)
$display("%f", CLK_DUTY_CYCLE_MIN + CLK_DUTY_CYCLE_STEP * j);
$display(" Instance %m ");
end
clkout_duty_chk = 1'b1;
end
endfunction
function para_int_range_chk;
input para_in;
input reg [160:0] para_name;
input range_low;
input range_high;
integer para_in;
integer range_low;
integer range_high;
begin
if ( para_in < range_low || para_in > range_high) begin
$display("Error: [Unisim %s-32] The Attribute %s is set to %d. Legal values for this attribute are %d to %d. Instance %m ", MODULE_NAME, para_name, para_in, range_low, range_high);
$finish;
end
para_int_range_chk = 1'b1;
end
endfunction
function para_real_range_chk;
input para_in;
input reg [160:0] para_name;
input range_low;
input range_high;
real para_in;
real range_low;
real range_high;
begin
if ( para_in < range_low || para_in > range_high) begin
$display("Error : [Unisim %s-33] The Attribute %s is set to %f. Legal values for this attribute are %f to %f. Instance %m ", MODULE_NAME, para_name, para_in, range_low, range_high);
$finish;
end
para_real_range_chk = 1'b0;
end
endfunction
`ifdef XIL_TIMING
reg notifier;
`endif
specify
(CLKIN1 => LOCKED) = (100:100:100, 100:100:100);
(CLKIN2 => LOCKED) = (100:100:100, 100:100:100);
(DCLK *> DO) = (100:100:100, 100:100:100);
(DCLK => DRDY) = (100:100:100, 100:100:100);
(PSCLK => PSDONE) = (100:100:100, 100:100:100);
(negedge RST => (CLKFBSTOPPED +: 0)) = (100:100:100, 100:100:100);
(negedge RST => (CLKINSTOPPED +: 0)) = (100:100:100, 100:100:100);
(negedge RST => (LOCKED +: 0)) = (100:100:100, 100:100:100);
(posedge RST => (CLKFBSTOPPED +: 0)) = (100:100:100, 100:100:100);
(posedge RST => (CLKINSTOPPED +: 0)) = (100:100:100, 100:100:100);
(posedge RST => (LOCKED +: 0)) = (100:100:100, 100:100:100);
`ifdef XIL_TIMING
$period (negedge CLKFBIN, 0:0:0, notifier);
$period (negedge CLKFBOUT, 0:0:0, notifier);
$period (negedge CLKFBOUTB, 0:0:0, notifier);
$period (negedge CLKIN1, 0:0:0, notifier);
$period (negedge CLKIN2, 0:0:0, notifier);
$period (negedge CLKOUT0, 0:0:0, notifier);
$period (negedge CLKOUT0B, 0:0:0, notifier);
$period (negedge CLKOUT1, 0:0:0, notifier);
$period (negedge CLKOUT1B, 0:0:0, notifier);
$period (negedge CLKOUT2, 0:0:0, notifier);
$period (negedge CLKOUT2B, 0:0:0, notifier);
$period (negedge CLKOUT3, 0:0:0, notifier);
$period (negedge CLKOUT3B, 0:0:0, notifier);
$period (negedge CLKOUT4, 0:0:0, notifier);
$period (negedge CLKOUT5, 0:0:0, notifier);
$period (negedge CLKOUT6, 0:0:0, notifier);
$period (negedge DCLK, 0:0:0, notifier);
$period (negedge PSCLK, 0:0:0, notifier);
$period (posedge CLKFBIN, 0:0:0, notifier);
$period (posedge CLKFBOUT, 0:0:0, notifier);
$period (posedge CLKFBOUTB, 0:0:0, notifier);
$period (posedge CLKIN1, 0:0:0, notifier);
$period (posedge CLKIN2, 0:0:0, notifier);
$period (posedge CLKOUT0, 0:0:0, notifier);
$period (posedge CLKOUT0B, 0:0:0, notifier);
$period (posedge CLKOUT1, 0:0:0, notifier);
$period (posedge CLKOUT1B, 0:0:0, notifier);
$period (posedge CLKOUT2, 0:0:0, notifier);
$period (posedge CLKOUT2B, 0:0:0, notifier);
$period (posedge CLKOUT3, 0:0:0, notifier);
$period (posedge CLKOUT3B, 0:0:0, notifier);
$period (posedge CLKOUT4, 0:0:0, notifier);
$period (posedge CLKOUT5, 0:0:0, notifier);
$period (posedge CLKOUT6, 0:0:0, notifier);
$period (posedge DCLK, 0:0:0, notifier);
$period (posedge PSCLK, 0:0:0, notifier);
$setuphold (posedge DCLK, negedge DADDR, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DADDR_delay);
$setuphold (posedge DCLK, negedge DEN, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DEN_delay);
$setuphold (posedge DCLK, negedge DI, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DI_delay);
$setuphold (posedge DCLK, negedge DWE, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DWE_delay);
$setuphold (posedge DCLK, posedge DADDR, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DADDR_delay);
$setuphold (posedge DCLK, posedge DEN, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DEN_delay);
$setuphold (posedge DCLK, posedge DI, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DI_delay);
$setuphold (posedge DCLK, posedge DWE, 0:0:0, 0:0:0, notifier,,, DCLK_delay, DWE_delay);
$setuphold (posedge PSCLK, negedge PSEN, 0:0:0, 0:0:0, notifier,,, PSCLK_delay, PSEN_delay);
$setuphold (posedge PSCLK, negedge PSINCDEC, 0:0:0, 0:0:0, notifier,,, PSCLK_delay, PSINCDEC_delay);
$setuphold (posedge PSCLK, posedge PSEN, 0:0:0, 0:0:0, notifier,,, PSCLK_delay, PSEN_delay);
$setuphold (posedge PSCLK, posedge PSINCDEC, 0:0:0, 0:0:0, notifier,,, PSCLK_delay, PSINCDEC_delay);
$width (negedge CLKIN1, 0:0:0, 0, notifier);
$width (negedge CLKIN2, 0:0:0, 0, notifier);
$width (negedge DCLK, 0:0:0, 0, notifier);
$width (negedge PSCLK, 0:0:0, 0, notifier);
$width (negedge PWRDWN, 0:0:0, 0, notifier);
$width (negedge RST, 0:0:0, 0, notifier);
$width (posedge CLKIN1, 0:0:0, 0, notifier);
$width (posedge CLKIN2, 0:0:0, 0, notifier);
$width (posedge DCLK, 0:0:0, 0, notifier);
$width (posedge PSCLK, 0:0:0, 0, notifier);
$width (posedge PWRDWN, 0:0:0, 0, notifier);
$width (posedge RST, 0:0:0, 0, notifier);
`endif
specparam PATHPULSE$ = 0;
endspecify
endmodule
`endcelldefine
|
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module system1_input0 (
// inputs:
address,
clk,
in_port,
reset_n,
// outputs:
readdata
)
;
output [ 31: 0] readdata;
input [ 1: 0] address;
input clk;
input [ 31: 0] in_port;
input reset_n;
wire clk_en;
wire [ 31: 0] data_in;
wire [ 31: 0] read_mux_out;
reg [ 31: 0] readdata;
assign clk_en = 1;
//s1, which is an e_avalon_slave
assign read_mux_out = {32 {(address == 0)}} & data_in;
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
readdata <= 0;
else if (clk_en)
readdata <= {32'b0 | read_mux_out};
end
assign data_in = in_port;
endmodule
|
// ***************************************************************************
// ***************************************************************************
// Copyright 2011(c) Analog Devices, Inc.
//
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without modification,
// are permitted provided that the following conditions are met:
// - Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
// - Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
// - Neither the name of Analog Devices, Inc. nor the names of its
// contributors may be used to endorse or promote products derived
// from this software without specific prior written permission.
// - The use of this software may or may not infringe the patent rights
// of one or more patent holders. This license does not release you
// from the requirement that you obtain separate licenses from these
// patent holders to use this software.
// - Use of the software either in source or binary form, must be run
// on or directly connected to an Analog Devices Inc. component.
//
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED.
//
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// Receive HDMI, hdmi embedded syncs data in, video dma data out.
module cf_h2v_hdmi (
// hdmi interface
hdmi_clk,
hdmi_data,
hdmi_hs_count_mismatch, // indicates receive hs mismatch against programmed
hdmi_hs_count_toggle, // toggle to register received hs count
hdmi_hs_count, // received hs count
hdmi_vs_count_mismatch, // indicates receive vs mismatch against programmed
hdmi_vs_count_toggle, // toggle to register received vs count
hdmi_vs_count, // received vs count
hdmi_tpm_oos, // test pattern monitor out of sync
hdmi_oos, // hdmi receive mismatch against programmed
hdmi_fs_toggle, // start-of-frame toggle for write address
hdmi_fs_waddr, // start-of-frame write address
hdmi_wr, // write interface
hdmi_waddr,
hdmi_wdata,
hdmi_waddr_rel_toggle, // toggle for released write address
hdmi_waddr_rel, // released write address
hdmi_waddr_g, // running write address (for ovf/unf)
// processor interface
up_toggle,
hdmi_up_toggle_ret,
up_enable,
up_crcb_init,
up_edge_sel,
up_hs_count,
up_vs_count,
up_csc_bypass,
up_tpg_enable,
// debug interface (chipscope)
debug_data,
debug_trigger);
// hdmi interface
input hdmi_clk;
input [15:0] hdmi_data;
output hdmi_hs_count_mismatch;
output hdmi_hs_count_toggle;
output [15:0] hdmi_hs_count;
output hdmi_vs_count_mismatch;
output hdmi_vs_count_toggle;
output [15:0] hdmi_vs_count;
output hdmi_tpm_oos;
output hdmi_oos;
output hdmi_fs_toggle;
output [ 8:0] hdmi_fs_waddr;
output hdmi_wr;
output [ 8:0] hdmi_waddr;
output [48:0] hdmi_wdata;
output hdmi_waddr_rel_toggle;
output [ 8:0] hdmi_waddr_rel;
output [ 8:0] hdmi_waddr_g;
// processor interface
input up_toggle;
output hdmi_up_toggle_ret;
input up_enable;
input up_crcb_init;
input up_edge_sel;
input [15:0] up_hs_count;
input [15:0] up_vs_count;
input up_csc_bypass;
input up_tpg_enable;
// debug interface (chipscope)
output [61:0] debug_data;
output [ 7:0] debug_trigger;
reg hdmi_waddr_rel_toggle = 'd0;
reg [ 8:0] hdmi_waddr_rel = 'd0;
reg hdmi_fs_toggle = 'd0;
reg [ 8:0] hdmi_fs_waddr = 'd0;
reg hdmi_wr = 'd0;
reg hdmi_wr_d = 'd0;
reg [ 9:0] hdmi_waddr_f = 'd0;
reg [ 8:0] hdmi_waddr_g = 'd0;
reg [48:0] hdmi_wdata = 'd0;
reg [23:0] hdmi_tpm_data = 'd0;
reg [ 4:0] hdmi_tpm_mismatch_count = 'd0;
reg hdmi_tpm_oos = 'd0;
reg hdmi_fs_422 = 'd0;
reg hdmi_de_422 = 'd0;
reg [15:0] hdmi_data_422 = 'd0;
reg hdmi_fs_444 = 'd0;
reg hdmi_de_444 = 'd0;
reg [23:0] hdmi_data_444 = 'd0;
reg hdmi_fs_444_d = 'd0;
reg hdmi_de_444_d = 'd0;
reg [23:0] hdmi_data_444_d = 'd0;
reg hdmi_oos = 'd0;
reg hdmi_sof = 'd0;
reg hdmi_hs_de_d = 'd0;
reg hdmi_vs_de_d = 'd0;
reg [15:0] hdmi_hs_run_count = 'd0;
reg [15:0] hdmi_vs_run_count = 'd0;
reg hdmi_hs_count_mismatch = 'd0;
reg hdmi_hs_count_toggle = 'd0;
reg [15:0] hdmi_hs_count = 'd0;
reg hdmi_vs_count_mismatch = 'd0;
reg hdmi_vs_count_toggle = 'd0;
reg [15:0] hdmi_vs_count = 'd0;
reg hdmi_enable = 'd0;
reg [15:0] hdmi_data_d = 'd0;
reg hdmi_hs_de_rcv_d = 'd0;
reg hdmi_vs_de_rcv_d = 'd0;
reg [15:0] hdmi_data_2d = 'd0;
reg hdmi_hs_de_rcv_2d = 'd0;
reg hdmi_vs_de_rcv_2d = 'd0;
reg [15:0] hdmi_data_3d = 'd0;
reg hdmi_hs_de_rcv_3d = 'd0;
reg hdmi_vs_de_rcv_3d = 'd0;
reg [15:0] hdmi_data_4d = 'd0;
reg hdmi_hs_de_rcv_4d = 'd0;
reg hdmi_vs_de_rcv_4d = 'd0;
reg [15:0] hdmi_data_de = 'd0;
reg hdmi_hs_de = 'd0;
reg hdmi_vs_de = 'd0;
reg [ 1:0] hdmi_preamble_cnt = 'd0;
reg hdmi_hs_de_rcv = 'd0;
reg hdmi_vs_de_rcv = 'd0;
reg [15:0] hdmi_data_neg_p = 'd0;
reg [15:0] hdmi_data_pos_p = 'd0;
reg [15:0] hdmi_data_p = 'd0;
reg [15:0] hdmi_data_neg = 'd0;
reg hdmi_up_enable = 'd0;
reg hdmi_up_crcb_init = 'd0;
reg hdmi_up_edge_sel = 'd0;
reg [15:0] hdmi_up_hs_count = 'd0;
reg [15:0] hdmi_up_vs_count = 'd0;
reg hdmi_up_csc_bypass = 'd0;
reg hdmi_up_tpg_enable = 'd0;
wire hdmi_waddr_rel_1_s;
wire hdmi_waddr_rel_2_s;
wire hdmi_waddr_rel_s;
wire hdmi_tpm_mismatch_s;
wire [15:0] hdmi_tpm_data_s;
wire hdmi_sof_s;
wire hdmi_count_mismatch_s;
wire hdmi_oos_s;
wire hdmi_fs_444_s;
wire hdmi_de_444_s;
wire [23:0] hdmi_data_444_s;
wire ss_fs_s;
wire ss_de_s;
wire [23:0] ss_data_s;
// binary to grey coversion
function [8:0] b2g;
input [8:0] b;
reg [8:0] g;
begin
g[8] = b[8];
g[7] = b[8] ^ b[7];
g[6] = b[7] ^ b[6];
g[5] = b[6] ^ b[5];
g[4] = b[5] ^ b[4];
g[3] = b[4] ^ b[3];
g[2] = b[3] ^ b[2];
g[1] = b[2] ^ b[1];
g[0] = b[1] ^ b[0];
b2g = g;
end
endfunction
// debug signals
assign debug_data[61:61] = hdmi_tpm_oos;
assign debug_data[60:60] = hdmi_tpm_mismatch_s;
assign debug_data[59:59] = hdmi_de_422;
assign debug_data[58:43] = hdmi_data_422;
assign debug_data[42:42] = hdmi_oos;
assign debug_data[41:41] = hdmi_sof;
assign debug_data[40:40] = hdmi_hs_count_mismatch;
assign debug_data[39:39] = hdmi_vs_count_mismatch;
assign debug_data[38:38] = hdmi_enable;
assign debug_data[37:37] = hdmi_vs_de;
assign debug_data[36:36] = hdmi_hs_de;
assign debug_data[35:20] = hdmi_data_de;
assign debug_data[19:18] = hdmi_preamble_cnt;
assign debug_data[17:17] = hdmi_vs_de_rcv;
assign debug_data[16:16] = hdmi_hs_de_rcv;
assign debug_data[15: 0] = hdmi_data_p;
assign debug_trigger[7] = hdmi_tpm_mismatch_s;
assign debug_trigger[6] = hdmi_tpm_oos;
assign debug_trigger[5] = hdmi_enable;
assign debug_trigger[4] = hdmi_hs_de;
assign debug_trigger[3] = hdmi_vs_de;
assign debug_trigger[2] = hdmi_sof;
assign debug_trigger[1] = hdmi_vs_de_rcv;
assign debug_trigger[0] = hdmi_hs_de_rcv;
// pass the write addresses during start of frame and after that, periodically
// until (and also at) the end of frame.
assign hdmi_waddr_rel_1_s = hdmi_wr_d & ~hdmi_de_444_d;
assign hdmi_waddr_rel_2_s = (hdmi_waddr_f[6:0] == 7'h7f) ? hdmi_wr_d : 1'b0;
assign hdmi_waddr_rel_s = hdmi_waddr_rel_1_s | hdmi_waddr_rel_2_s;
always @(posedge hdmi_clk) begin
if (hdmi_waddr_rel_s == 1'b1) begin
hdmi_waddr_rel_toggle <= ~hdmi_waddr_rel_toggle;
hdmi_waddr_rel <= hdmi_waddr_f[9:1];
end
if (hdmi_fs_444_d == 1'b1) begin
hdmi_fs_toggle <= ~hdmi_fs_toggle;
hdmi_fs_waddr <= hdmi_waddr_f[9:1];
end
end
// hdmi data write (last is required for vdma)
assign hdmi_waddr = hdmi_waddr_f[9:1];
always @(posedge hdmi_clk) begin
hdmi_wr <= hdmi_de_444_d;
hdmi_wr_d <= hdmi_wr;
if (hdmi_wr == 1'b1) begin
hdmi_waddr_f <= hdmi_waddr_f + 1'b1;
end
hdmi_waddr_g <= b2g(hdmi_waddr_f[9:1]);
hdmi_wdata[48:48] <= hdmi_wr & ~hdmi_de_444;
hdmi_wdata[47:24] <= hdmi_data_444_d;
hdmi_wdata[23: 0] <= hdmi_wdata[47:24];
end
// TPM on 422 data (the data must be passed through the cable as it is transmitted
// by the v2h module. Any csc conversions must be disabled on info frame
assign hdmi_tpm_mismatch_s = (hdmi_data_422 == hdmi_tpm_data_s) ? 1'b0 : hdmi_de_422;
assign hdmi_tpm_data_s = {hdmi_tpm_data[3:2], 6'h20, hdmi_tpm_data[1:0], 6'h20};
always @(posedge hdmi_clk) begin
if (hdmi_fs_422 == 1'b1) begin
hdmi_tpm_data <= 'd0;
end else if (hdmi_de_422 == 1'b1) begin
hdmi_tpm_data <= hdmi_tpm_data + 1'b1;
end
if (hdmi_tpm_mismatch_s == 1'b1) begin
hdmi_tpm_mismatch_count <= 5'h10;
end else if (hdmi_tpm_mismatch_count[4] == 1'b1) begin
hdmi_tpm_mismatch_count <= hdmi_tpm_mismatch_count + 1'b1;
end
hdmi_tpm_oos <= hdmi_tpm_mismatch_count[4];
end
// fs, enable and data on 422 and 444 domains
always @(posedge hdmi_clk) begin
hdmi_fs_422 <= hdmi_sof & hdmi_enable;
hdmi_de_422 <= hdmi_hs_de & hdmi_vs_de & hdmi_enable;
hdmi_data_422 <= hdmi_data_de;
if (hdmi_up_csc_bypass == 1'b1) begin
hdmi_fs_444 <= hdmi_fs_422;
hdmi_de_444 <= hdmi_de_422;
end else begin
hdmi_fs_444 <= hdmi_fs_444_s;
hdmi_de_444 <= hdmi_de_444_s;
end
if (hdmi_up_tpg_enable == 1'b1) begin
hdmi_data_444 <= hdmi_tpm_data;
end else if (hdmi_up_csc_bypass == 1'b1) begin
hdmi_data_444 <= {8'd0, hdmi_data_422};
end else begin
hdmi_data_444 <= hdmi_data_444_s;
end
hdmi_fs_444_d <= hdmi_fs_444;
hdmi_de_444_d <= hdmi_de_444;
hdmi_data_444_d <= hdmi_data_444;
end
// start of frame
assign hdmi_sof_s = hdmi_vs_de & ~hdmi_vs_de_d;
assign hdmi_count_mismatch_s = hdmi_hs_count_mismatch | hdmi_vs_count_mismatch;
assign hdmi_oos_s = ((hdmi_hs_count == hdmi_up_hs_count) &&
(hdmi_vs_count == hdmi_up_vs_count)) ? hdmi_count_mismatch_s : 1'b1;
// hdmi side of the interface, horizontal and vertical sync counters.
// capture active video size and report mismatch
always @(posedge hdmi_clk) begin
hdmi_oos <= hdmi_oos_s;
hdmi_sof <= hdmi_sof_s;
hdmi_hs_de_d <= hdmi_hs_de;
hdmi_vs_de_d <= hdmi_vs_de;
if ((hdmi_hs_de == 1'b1) && (hdmi_hs_de_d == 1'b0)) begin
hdmi_hs_run_count <= 'd1;
end else if (hdmi_hs_de == 1'b1) begin
hdmi_hs_run_count <= hdmi_hs_run_count + 1'b1;
end
if ((hdmi_vs_de == 1'b1) && (hdmi_vs_de_d == 1'b0)) begin
hdmi_vs_run_count <= 'd0;
end else if ((hdmi_vs_de == 1'b1) && (hdmi_hs_de == 1'b1) && (hdmi_hs_de_d == 1'b0)) begin
hdmi_vs_run_count <= hdmi_vs_run_count + 1'b1;
end
if ((hdmi_hs_de == 1'b0) && (hdmi_hs_de_d == 1'b1)) begin
hdmi_hs_count_mismatch <= (hdmi_hs_count == hdmi_hs_run_count) ? 1'b0 : 1'b1;
hdmi_hs_count_toggle <= ~hdmi_hs_count_toggle;
hdmi_hs_count <= hdmi_hs_run_count;
end
if ((hdmi_vs_de == 1'b0) && (hdmi_vs_de_d == 1'b1)) begin
hdmi_vs_count_mismatch <= (hdmi_vs_count == hdmi_vs_run_count) ? 1'b0 : 1'b1;
hdmi_vs_count_toggle <= ~hdmi_vs_count_toggle;
hdmi_vs_count <= hdmi_vs_run_count;
end
if (hdmi_sof_s == 1'b1) begin
hdmi_enable <= hdmi_up_enable & ~hdmi_oos_s;
end
end
// delay to get rid of eav's 4 bytes
always @(posedge hdmi_clk) begin
hdmi_data_d <= hdmi_data_p;
hdmi_hs_de_rcv_d <= hdmi_hs_de_rcv;
hdmi_vs_de_rcv_d <= hdmi_vs_de_rcv;
hdmi_data_2d <= hdmi_data_d;
hdmi_hs_de_rcv_2d <= hdmi_hs_de_rcv_d;
hdmi_vs_de_rcv_2d <= hdmi_vs_de_rcv_d;
hdmi_data_3d <= hdmi_data_2d;
hdmi_hs_de_rcv_3d <= hdmi_hs_de_rcv_2d;
hdmi_vs_de_rcv_3d <= hdmi_vs_de_rcv_2d;
hdmi_data_4d <= hdmi_data_3d;
hdmi_hs_de_rcv_4d <= hdmi_hs_de_rcv_3d;
hdmi_vs_de_rcv_4d <= hdmi_vs_de_rcv_3d;
hdmi_data_de <= hdmi_data_4d;
hdmi_hs_de <= hdmi_hs_de_rcv & hdmi_hs_de_rcv_4d;
hdmi_vs_de <= hdmi_vs_de_rcv & hdmi_vs_de_rcv_4d;
end
// check for sav and eav and generate the corresponding enables
always @(posedge hdmi_clk) begin
if ((hdmi_data_p == 16'hffff) || (hdmi_data_p == 16'h0000)) begin
hdmi_preamble_cnt <= hdmi_preamble_cnt + 1'b1;
end else begin
hdmi_preamble_cnt <= 'd0;
end
if (hdmi_preamble_cnt == 3'b11) begin
if ((hdmi_data_p == 16'hb6b6) || (hdmi_data_p == 16'h9d9d)) begin
hdmi_hs_de_rcv <= 1'b0;
end else if ((hdmi_data_p == 16'habab) || (hdmi_data_p == 16'h8080)) begin
hdmi_hs_de_rcv <= 1'b1;
end
if (hdmi_data_p == 16'hb6b6) begin
hdmi_vs_de_rcv <= 1'b0;
end else if (hdmi_data_p == 16'h9d9d) begin
hdmi_vs_de_rcv <= 1'b1;
end
end
end
// hdmi input data registers
always @(posedge hdmi_clk) begin
hdmi_data_neg_p <= hdmi_data_neg;
hdmi_data_pos_p <= hdmi_data;
if (hdmi_up_edge_sel == 1'b1) begin
hdmi_data_p <= hdmi_data_neg_p;
end else begin
hdmi_data_p <= hdmi_data_pos_p;
end
end
always @(negedge hdmi_clk) begin
hdmi_data_neg <= hdmi_data;
end
// microprocessor signals on the hdmi side
reg [2:0] hdmi_up_toggle;
assign hdmi_up_toggle_ret = hdmi_up_toggle[2];
always @(posedge hdmi_clk) begin
hdmi_up_toggle[0] <= up_toggle;
hdmi_up_toggle[2:1] <= hdmi_up_toggle[1:0];
if (hdmi_up_toggle[2] ^ hdmi_up_toggle[1]) begin
hdmi_up_enable <= up_enable;
hdmi_up_crcb_init <= up_crcb_init;
hdmi_up_edge_sel <= up_edge_sel;
hdmi_up_hs_count <= up_hs_count;
hdmi_up_vs_count <= up_vs_count;
hdmi_up_csc_bypass <= up_csc_bypass;
hdmi_up_tpg_enable <= up_tpg_enable;
end
end
// super sampling, 422 to 444
cf_ss_422to444 i_ss (
.clk (hdmi_clk),
.s422_vs (1'b0),
.s422_hs (hdmi_fs_422),
.s422_de (hdmi_de_422),
.s422_data (hdmi_data_422),
.s444_vs (),
.s444_hs (ss_fs_s),
.s444_de (ss_de_s),
.s444_data (ss_data_s),
.Cr_Cb_sel_init (hdmi_up_crcb_init));
// color space conversion, CrYCb to RGB
cf_csc_CrYCb2RGB i_csc (
.clk (hdmi_clk),
.CrYCb_vs (1'b0),
.CrYCb_hs (ss_fs_s),
.CrYCb_de (ss_de_s),
.CrYCb_data (ss_data_s),
.RGB_vs (),
.RGB_hs (hdmi_fs_444_s),
.RGB_de (hdmi_de_444_s),
.RGB_data (hdmi_data_444_s));
endmodule
// ***************************************************************************
// ***************************************************************************
|
// stack.v
//
// AVM stack in Verilog
//
// This is an implementation of AVM in C for experimenation purposes.
// It is both an AVM interpretr and an assembler for the instruction set.
//
// (C) 2016 David J. Goehrig
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are met:
//
// 1. Redistributions of source code must retain the above copyright notice,
// this list of conditions and the following disclaimer.
//
// 2. Redistributions in binary form must reproduce the above copyright notice,
// this list of conditions and the following disclaimer in the documentation
// and/or other materials provided with the distribution.
//
// 3. Neither the name of the copyright holder nor the names of its contributors
// may be used to endorse or promote products derived from this software without
// specific prior written permission.
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
// THE POSSIBILITY OF SUCH DAMAGE.
//
`include "avm.vh"
module stack( reset, clock, nip, dup, we, data_in, tos_out, nos_out);
input reset;
input clock;
input nip;
input dup;
input we;
input [`BITS-1:0] data_in;
output [`BITS-1:0] tos_out;
output [`BITS-1:0] nos_out;
reg [`BITS-1:0] nos;
reg [`BITS-1:0] tos;
reg [`PTR-1:0] sp;
reg [`PTR-1:0] nsp;
reg [`BITS-1:0] cells[`DEPTH-1:0];
always @* begin
nsp = sp - 1;
end
assign tos_out = cells[sp];
assign nos_out = cells[nsp];
always @(posedge clock) begin
if (reset) begin
sp = `PTR 'b0000;
cells[sp] = `BITS 'b0;
tos = `BITS 'b0;
nos = `BITS 'b0;
end
tos = cells[sp];
nos = cells[nsp];
if (nip & !dup) begin // nip
sp = sp - 1;
end
if (dup & !nip) begin // dup
sp = sp + 1;
end
if (dup & nip) begin // swap
cells[nsp] = tos;
tos = nos;
end
if (we) begin
tos = data_in;
end
cells[sp] = tos;
end
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__DLYGATE4S18_BEHAVIORAL_V
`define SKY130_FD_SC_LP__DLYGATE4S18_BEHAVIORAL_V
/**
* dlygate4s18: Delay Buffer 4-stage 0.18um length inner stage gates.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_lp__dlygate4s18 (
X,
A
);
// Module ports
output X;
input A;
// Module supplies
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
// Local signals
wire buf0_out_X;
// Name Output Other arguments
buf buf0 (buf0_out_X, A );
buf buf1 (X , buf0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__DLYGATE4S18_BEHAVIORAL_V |
/**
* This is written by Zhiyang Ong
* and Andrew Mattheisen
* for EE577b Troy WideWord Processor Project
*/
`timescale 1ns/10ps
/**
* `timescale time_unit base / precision base
*
* -Specifies the time units and precision for delays:
* -time_unit is the amount of time a delay of 1 represents.
* The time unit must be 1 10 or 100
* -base is the time base for each unit, ranging from seconds
* to femtoseconds, and must be: s ms us ns ps or fs
* -precision and base represent how many decimal points of
* precision to use relative to the time units.
*/
// Testbench for behavioral model for the program counter
// Import the modules that will be tested for in this testbench
`include "prog_counter.v"
// IMPORTANT: To run this, try: ncverilog -f prog_counter.f +gui
module tb_prog_counter();
// ============================================================
/**
* Declare signal types for testbench to drive and monitor
* signals during the simulation of the prog_counter
*
* The reg data type holds a value until a new value is driven
* onto it in an "initial" or "always" block. It can only be
* assigned a value in an "always" or "initial" block, and is
* used to apply stimulus to the inputs of the DUT.
*
* The wire type is a passive data type that holds a value driven
* onto it by a port, assign statement or reg type. Wires cannot be
* assigned values inside "always" and "initial" blocks. They can
* be used to hold the values of the DUT's outputs
*/
// Declare "wire" signals: outputs from the DUT
// next_pc output signal
wire [0:31] n_pc;
// ============================================================
// Declare "reg" signals: inputs to the DUT
// clk, rst
reg clock,reset;
// cur_pc
reg [0:31] c_pc;
// ============================================================
// Counter for loop to enumerate all the values of r
integer count;
// ============================================================
// Defining constants: parameter [name_of_constant] = value;
//parameter size_of_input = 6'd32;
// ============================================================
/**
* Each sequential control block, such as the initial or always
* block, will execute concurrently in every module at the start
* of the simulation
*/
always begin
/**
* Clock frequency is arbitrarily chosen;
* Period = 5ns <==> 200 MHz clock
*/
#5 clock = 0;
#5 clock = 1;
end
// ============================================================
/**
* Instantiate an instance of regfile() so that
* inputs can be passed to the Device Under Test (DUT)
* Given instance name is "rg"
*/
program_counter pc (
// instance_name(signal name),
// Signal name can be the same as the instance name
// next_pc,cur_pc,rst,clk
n_pc,c_pc,reset,clock);
// ============================================================
/**
* Initial block start executing sequentially @ t=0
* If and when a delay is encountered, the execution of this block
* pauses or waits until the delay time has passed, before resuming
* execution
*
* Each intial or always block executes concurrently; that is,
* multiple "always" or "initial" blocks will execute simultaneously
*
* E.g.
* always
* begin
* #10 clk_50 = ~clk_50; // Invert clock signal every 10 ns
* // Clock signal has a period of 20 ns or 50 MHz
* end
*/
initial
begin
// "$time" indicates the current time in the simulation
$display($time, " << Starting the simulation >>");
c_pc=$random;
reset=1'b1;
#10
c_pc=200;
reset=1'b0;
// Write to 8 data locations
for(count=200; count<216; count=count+1)
begin
#20
//c_pc=count;
c_pc=n_pc;
reset=1'b0;
end
// end simulation
#30
$display($time, " << Finishing the simulation >>");
$finish;
end
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__AND2B_FUNCTIONAL_PP_V
`define SKY130_FD_SC_LP__AND2B_FUNCTIONAL_PP_V
/**
* and2b: 2-input AND, first input inverted.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_lp__udp_pwrgood_pp_pg.v"
`celldefine
module sky130_fd_sc_lp__and2b (
X ,
A_N ,
B ,
VPWR,
VGND,
VPB ,
VNB
);
// Module ports
output X ;
input A_N ;
input B ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
// Local signals
wire not0_out ;
wire and0_out_X ;
wire pwrgood_pp0_out_X;
// Name Output Other arguments
not not0 (not0_out , A_N );
and and0 (and0_out_X , not0_out, B );
sky130_fd_sc_lp__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, and0_out_X, VPWR, VGND);
buf buf0 (X , pwrgood_pp0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__AND2B_FUNCTIONAL_PP_V |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__A21BO_2_V
`define SKY130_FD_SC_HS__A21BO_2_V
/**
* a21bo: 2-input AND into first input of 2-input OR,
* 2nd input inverted.
*
* X = ((A1 & A2) | (!B1_N))
*
* Verilog wrapper for a21bo with size of 2 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hs__a21bo.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hs__a21bo_2 (
X ,
A1 ,
A2 ,
B1_N,
VPWR,
VGND
);
output X ;
input A1 ;
input A2 ;
input B1_N;
input VPWR;
input VGND;
sky130_fd_sc_hs__a21bo base (
.X(X),
.A1(A1),
.A2(A2),
.B1_N(B1_N),
.VPWR(VPWR),
.VGND(VGND)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hs__a21bo_2 (
X ,
A1 ,
A2 ,
B1_N
);
output X ;
input A1 ;
input A2 ;
input B1_N;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
sky130_fd_sc_hs__a21bo base (
.X(X),
.A1(A1),
.A2(A2),
.B1_N(B1_N)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HS__A21BO_2_V
|
/*
Copyright 2018 Nuclei System Technology, Inc.
Licensed under the Apache License, Version 2.0 (the "License");
you may not use this file except in compliance with the License.
You may obtain a copy of the License at
http://www.apache.org/licenses/LICENSE-2.0
Unless required by applicable law or agreed to in writing, software
distributed under the License is distributed on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
See the License for the specific language governing permissions and
limitations under the License.
*/
//=====================================================================
//
// Designer : Bob Hu
//
// Description:
// The ALU module to implement the compute function unit
// and the AGU (address generate unit) for LSU is also handled by ALU
// additionaly, the shared-impelmentation of MUL and DIV instruction
// is also shared by ALU in E200
//
// ====================================================================
`include "e203_defines.v"
module e203_exu_alu(
//////////////////////////////////////////////////////////////
// The operands and decode info from dispatch
input i_valid,
output i_ready,
output i_longpipe, // Indicate this instruction is
// issued as a long pipe instruction
`ifdef E203_HAS_CSR_EAI//{
`ifndef E203_HAS_EAI
input eai_xs_off,
`endif//
output eai_csr_valid,
input eai_csr_ready,
output [31:0] eai_csr_addr,
output eai_csr_wr,
output [31:0] eai_csr_wdata,
input [31:0] eai_csr_rdata,
`endif//}
output amo_wait,
input oitf_empty,
input [`E203_ITAG_WIDTH-1:0] i_itag,
input [`E203_XLEN-1:0] i_rs1,
input [`E203_XLEN-1:0] i_rs2,
input [`E203_XLEN-1:0] i_imm,
input [`E203_DECINFO_WIDTH-1:0] i_info,
input [`E203_PC_SIZE-1:0] i_pc,
input [`E203_INSTR_SIZE-1:0] i_instr,
input i_pc_vld,
input [`E203_RFIDX_WIDTH-1:0] i_rdidx,
input i_rdwen,
input i_ilegl,
input i_buserr,
input i_misalgn,
input flush_req,
input flush_pulse,
//////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////
// The Commit Interface
output cmt_o_valid, // Handshake valid
input cmt_o_ready, // Handshake ready
output cmt_o_pc_vld,
output [`E203_PC_SIZE-1:0] cmt_o_pc,
output [`E203_INSTR_SIZE-1:0] cmt_o_instr,
output [`E203_XLEN-1:0] cmt_o_imm,// The resolved ture/false
// The Branch and Jump Commit
output cmt_o_rv32,// The predicted ture/false
output cmt_o_bjp,
output cmt_o_mret,
output cmt_o_dret,
output cmt_o_ecall,
output cmt_o_ebreak,
output cmt_o_fencei,
output cmt_o_wfi,
output cmt_o_ifu_misalgn,
output cmt_o_ifu_buserr,
output cmt_o_ifu_ilegl,
output cmt_o_bjp_prdt,// The predicted ture/false
output cmt_o_bjp_rslv,// The resolved ture/false
// The AGU Exception
output cmt_o_misalgn, // The misalign exception generated
output cmt_o_ld,
output cmt_o_stamo,
output cmt_o_buserr , // The bus-error exception generated
output [`E203_ADDR_SIZE-1:0] cmt_o_badaddr,
//////////////////////////////////////////////////////////////
// The ALU Write-Back Interface
output wbck_o_valid, // Handshake valid
input wbck_o_ready, // Handshake ready
output [`E203_XLEN-1:0] wbck_o_wdat,
output [`E203_RFIDX_WIDTH-1:0] wbck_o_rdidx,
input mdv_nob2b,
//////////////////////////////////////////////////////////////
// The CSR Interface
output csr_ena,
output csr_wr_en,
output csr_rd_en,
output [12-1:0] csr_idx,
input nonflush_cmt_ena,
input csr_access_ilgl,
input [`E203_XLEN-1:0] read_csr_dat,
output [`E203_XLEN-1:0] wbck_csr_dat,
//////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////
// The AGU ICB Interface to LSU-ctrl
// * Bus cmd channel
output agu_icb_cmd_valid, // Handshake valid
input agu_icb_cmd_ready, // Handshake ready
output [`E203_ADDR_SIZE-1:0] agu_icb_cmd_addr, // Bus transaction start addr
output agu_icb_cmd_read, // Read or write
output [`E203_XLEN-1:0] agu_icb_cmd_wdata,
output [`E203_XLEN/8-1:0] agu_icb_cmd_wmask,
output agu_icb_cmd_lock,
output agu_icb_cmd_excl,
output [1:0] agu_icb_cmd_size,
output agu_icb_cmd_back2agu,
output agu_icb_cmd_usign,
output [`E203_ITAG_WIDTH -1:0] agu_icb_cmd_itag,
// * Bus RSP channel
input agu_icb_rsp_valid, // Response valid
output agu_icb_rsp_ready, // Response ready
input agu_icb_rsp_err , // Response error
input agu_icb_rsp_excl_ok,
input [`E203_XLEN-1:0] agu_icb_rsp_rdata,
input clk,
input rst_n
);
//////////////////////////////////////////////////////////////
// Dispatch to different sub-modules according to their types
wire ifu_excp_op = i_ilegl | i_buserr | i_misalgn;
wire alu_op = (~ifu_excp_op) & (i_info[`E203_DECINFO_GRP] == `E203_DECINFO_GRP_ALU);
wire agu_op = (~ifu_excp_op) & (i_info[`E203_DECINFO_GRP] == `E203_DECINFO_GRP_AGU);
wire bjp_op = (~ifu_excp_op) & (i_info[`E203_DECINFO_GRP] == `E203_DECINFO_GRP_BJP);
wire csr_op = (~ifu_excp_op) & (i_info[`E203_DECINFO_GRP] == `E203_DECINFO_GRP_CSR);
`ifdef E203_SUPPORT_SHARE_MULDIV //{
wire mdv_op = (~ifu_excp_op) & (i_info[`E203_DECINFO_GRP] == `E203_DECINFO_GRP_MULDIV);
`endif//E203_SUPPORT_SHARE_MULDIV}
// The ALU incoming instruction may go to several different targets:
// * The ALUDATAPATH if it is a regular ALU instructions
// * The Branch-cmp if it is a BJP instructions
// * The AGU if it is a load/store relevant instructions
// * The MULDIV if it is a MUL/DIV relevant instructions and MULDIV
// is reusing the ALU adder
`ifdef E203_SUPPORT_SHARE_MULDIV //{
wire mdv_i_valid = i_valid & mdv_op;
`endif//E203_SUPPORT_SHARE_MULDIV}
wire agu_i_valid = i_valid & agu_op;
wire alu_i_valid = i_valid & alu_op;
wire bjp_i_valid = i_valid & bjp_op;
wire csr_i_valid = i_valid & csr_op;
wire ifu_excp_i_valid = i_valid & ifu_excp_op;
`ifdef E203_SUPPORT_SHARE_MULDIV //{
wire mdv_i_ready;
`endif//E203_SUPPORT_SHARE_MULDIV}
wire agu_i_ready;
wire alu_i_ready;
wire bjp_i_ready;
wire csr_i_ready;
wire ifu_excp_i_ready;
assign i_ready = (agu_i_ready & agu_op)
`ifdef E203_SUPPORT_SHARE_MULDIV //{
| (mdv_i_ready & mdv_op)
`endif//E203_SUPPORT_SHARE_MULDIV}
| (alu_i_ready & alu_op)
| (ifu_excp_i_ready & ifu_excp_op)
| (bjp_i_ready & bjp_op)
| (csr_i_ready & csr_op)
;
wire agu_i_longpipe;
`ifdef E203_SUPPORT_SHARE_MULDIV //{
wire mdv_i_longpipe;
`endif//E203_SUPPORT_SHARE_MULDIV}
assign i_longpipe = (agu_i_longpipe & agu_op)
`ifdef E203_SUPPORT_SHARE_MULDIV //{
| (mdv_i_longpipe & mdv_op)
`endif//E203_SUPPORT_SHARE_MULDIV}
;
//////////////////////////////////////////////////////////////
// Instantiate the CSR module
//
wire csr_o_valid;
wire csr_o_ready;
wire [`E203_XLEN-1:0] csr_o_wbck_wdat;
wire csr_o_wbck_err;
wire [`E203_XLEN-1:0] csr_i_rs1 = {`E203_XLEN {csr_op}} & i_rs1;
wire [`E203_XLEN-1:0] csr_i_rs2 = {`E203_XLEN {csr_op}} & i_rs2;
wire [`E203_XLEN-1:0] csr_i_imm = {`E203_XLEN {csr_op}} & i_imm;
wire [`E203_DECINFO_WIDTH-1:0] csr_i_info = {`E203_DECINFO_WIDTH{csr_op}} & i_info;
wire csr_i_rdwen = csr_op & i_rdwen;
`ifndef E203_HAS_EAI//{
wire eai_o_cmt_wr_reg;
wire csr_sel_eai;
`endif//}
e203_exu_alu_csrctrl u_e203_exu_alu_csrctrl(
`ifdef E203_HAS_CSR_EAI//{
.csr_sel_eai (csr_sel_eai),
.eai_xs_off (eai_xs_off),
.eai_csr_valid (eai_csr_valid),
.eai_csr_ready (eai_csr_ready),
.eai_csr_addr (eai_csr_addr ),
.eai_csr_wr (eai_csr_wr ),
.eai_csr_wdata (eai_csr_wdata),
.eai_csr_rdata (eai_csr_rdata),
`endif//}
.csr_access_ilgl (csr_access_ilgl),
.csr_i_valid (csr_i_valid),
.csr_i_ready (csr_i_ready),
.csr_i_rs1 (csr_i_rs1 ),
.csr_i_info (csr_i_info[`E203_DECINFO_CSR_WIDTH-1:0]),
.csr_i_rdwen (csr_i_rdwen),
.csr_ena (csr_ena),
.csr_idx (csr_idx),
.csr_rd_en (csr_rd_en),
.csr_wr_en (csr_wr_en),
.read_csr_dat (read_csr_dat),
.wbck_csr_dat (wbck_csr_dat),
.csr_o_valid (csr_o_valid ),
.csr_o_ready (csr_o_ready ),
.csr_o_wbck_wdat (csr_o_wbck_wdat ),
.csr_o_wbck_err (csr_o_wbck_err ),
.clk (clk),
.rst_n (rst_n)
);
//////////////////////////////////////////////////////////////
// Instantiate the BJP module
//
wire bjp_o_valid;
wire bjp_o_ready;
wire [`E203_XLEN-1:0] bjp_o_wbck_wdat;
wire bjp_o_wbck_err;
wire bjp_o_cmt_bjp;
wire bjp_o_cmt_mret;
wire bjp_o_cmt_dret;
wire bjp_o_cmt_fencei;
wire bjp_o_cmt_prdt;
wire bjp_o_cmt_rslv;
wire [`E203_XLEN-1:0] bjp_req_alu_op1;
wire [`E203_XLEN-1:0] bjp_req_alu_op2;
wire bjp_req_alu_cmp_eq ;
wire bjp_req_alu_cmp_ne ;
wire bjp_req_alu_cmp_lt ;
wire bjp_req_alu_cmp_gt ;
wire bjp_req_alu_cmp_ltu;
wire bjp_req_alu_cmp_gtu;
wire bjp_req_alu_add;
wire bjp_req_alu_cmp_res;
wire [`E203_XLEN-1:0] bjp_req_alu_add_res;
wire [`E203_XLEN-1:0] bjp_i_rs1 = {`E203_XLEN {bjp_op}} & i_rs1;
wire [`E203_XLEN-1:0] bjp_i_rs2 = {`E203_XLEN {bjp_op}} & i_rs2;
wire [`E203_XLEN-1:0] bjp_i_imm = {`E203_XLEN {bjp_op}} & i_imm;
wire [`E203_DECINFO_WIDTH-1:0] bjp_i_info = {`E203_DECINFO_WIDTH{bjp_op}} & i_info;
wire [`E203_PC_SIZE-1:0] bjp_i_pc = {`E203_PC_SIZE {bjp_op}} & i_pc;
e203_exu_alu_bjp u_e203_exu_alu_bjp(
.bjp_i_valid (bjp_i_valid ),
.bjp_i_ready (bjp_i_ready ),
.bjp_i_rs1 (bjp_i_rs1 ),
.bjp_i_rs2 (bjp_i_rs2 ),
.bjp_i_info (bjp_i_info[`E203_DECINFO_BJP_WIDTH-1:0]),
.bjp_i_imm (bjp_i_imm ),
.bjp_i_pc (bjp_i_pc ),
.bjp_o_valid (bjp_o_valid ),
.bjp_o_ready (bjp_o_ready ),
.bjp_o_wbck_wdat (bjp_o_wbck_wdat ),
.bjp_o_wbck_err (bjp_o_wbck_err ),
.bjp_o_cmt_bjp (bjp_o_cmt_bjp ),
.bjp_o_cmt_mret (bjp_o_cmt_mret ),
.bjp_o_cmt_dret (bjp_o_cmt_dret ),
.bjp_o_cmt_fencei (bjp_o_cmt_fencei ),
.bjp_o_cmt_prdt (bjp_o_cmt_prdt ),
.bjp_o_cmt_rslv (bjp_o_cmt_rslv ),
.bjp_req_alu_op1 (bjp_req_alu_op1 ),
.bjp_req_alu_op2 (bjp_req_alu_op2 ),
.bjp_req_alu_cmp_eq (bjp_req_alu_cmp_eq ),
.bjp_req_alu_cmp_ne (bjp_req_alu_cmp_ne ),
.bjp_req_alu_cmp_lt (bjp_req_alu_cmp_lt ),
.bjp_req_alu_cmp_gt (bjp_req_alu_cmp_gt ),
.bjp_req_alu_cmp_ltu (bjp_req_alu_cmp_ltu ),
.bjp_req_alu_cmp_gtu (bjp_req_alu_cmp_gtu ),
.bjp_req_alu_add (bjp_req_alu_add ),
.bjp_req_alu_cmp_res (bjp_req_alu_cmp_res ),
.bjp_req_alu_add_res (bjp_req_alu_add_res ),
.clk (clk),
.rst_n (rst_n)
);
//////////////////////////////////////////////////////////////
// Instantiate the AGU module
//
wire agu_o_valid;
wire agu_o_ready;
wire [`E203_XLEN-1:0] agu_o_wbck_wdat;
wire agu_o_wbck_err;
wire agu_o_cmt_misalgn;
wire agu_o_cmt_ld;
wire agu_o_cmt_stamo;
wire agu_o_cmt_buserr ;
wire [`E203_ADDR_SIZE-1:0]agu_o_cmt_badaddr ;
wire [`E203_XLEN-1:0] agu_req_alu_op1;
wire [`E203_XLEN-1:0] agu_req_alu_op2;
wire agu_req_alu_swap;
wire agu_req_alu_add ;
wire agu_req_alu_and ;
wire agu_req_alu_or ;
wire agu_req_alu_xor ;
wire agu_req_alu_max ;
wire agu_req_alu_min ;
wire agu_req_alu_maxu;
wire agu_req_alu_minu;
wire [`E203_XLEN-1:0] agu_req_alu_res;
wire agu_sbf_0_ena;
wire [`E203_XLEN-1:0] agu_sbf_0_nxt;
wire [`E203_XLEN-1:0] agu_sbf_0_r;
wire agu_sbf_1_ena;
wire [`E203_XLEN-1:0] agu_sbf_1_nxt;
wire [`E203_XLEN-1:0] agu_sbf_1_r;
wire [`E203_XLEN-1:0] agu_i_rs1 = {`E203_XLEN {agu_op}} & i_rs1;
wire [`E203_XLEN-1:0] agu_i_rs2 = {`E203_XLEN {agu_op}} & i_rs2;
wire [`E203_XLEN-1:0] agu_i_imm = {`E203_XLEN {agu_op}} & i_imm;
wire [`E203_DECINFO_WIDTH-1:0] agu_i_info = {`E203_DECINFO_WIDTH{agu_op}} & i_info;
wire [`E203_ITAG_WIDTH-1:0] agu_i_itag = {`E203_ITAG_WIDTH {agu_op}} & i_itag;
e203_exu_alu_lsuagu u_e203_exu_alu_lsuagu(
.agu_i_valid (agu_i_valid ),
.agu_i_ready (agu_i_ready ),
.agu_i_rs1 (agu_i_rs1 ),
.agu_i_rs2 (agu_i_rs2 ),
.agu_i_imm (agu_i_imm ),
.agu_i_info (agu_i_info[`E203_DECINFO_AGU_WIDTH-1:0]),
.agu_i_longpipe (agu_i_longpipe ),
.agu_i_itag (agu_i_itag ),
.flush_pulse (flush_pulse ),
.flush_req (flush_req ),
.amo_wait (amo_wait),
.oitf_empty (oitf_empty),
.agu_o_valid (agu_o_valid ),
.agu_o_ready (agu_o_ready ),
.agu_o_wbck_wdat (agu_o_wbck_wdat ),
.agu_o_wbck_err (agu_o_wbck_err ),
.agu_o_cmt_misalgn (agu_o_cmt_misalgn ),
.agu_o_cmt_ld (agu_o_cmt_ld ),
.agu_o_cmt_stamo (agu_o_cmt_stamo ),
.agu_o_cmt_buserr (agu_o_cmt_buserr ),
.agu_o_cmt_badaddr (agu_o_cmt_badaddr ),
.agu_icb_cmd_valid (agu_icb_cmd_valid ),
.agu_icb_cmd_ready (agu_icb_cmd_ready ),
.agu_icb_cmd_addr (agu_icb_cmd_addr ),
.agu_icb_cmd_read (agu_icb_cmd_read ),
.agu_icb_cmd_wdata (agu_icb_cmd_wdata ),
.agu_icb_cmd_wmask (agu_icb_cmd_wmask ),
.agu_icb_cmd_lock (agu_icb_cmd_lock ),
.agu_icb_cmd_excl (agu_icb_cmd_excl ),
.agu_icb_cmd_size (agu_icb_cmd_size ),
.agu_icb_cmd_back2agu(agu_icb_cmd_back2agu),
.agu_icb_cmd_usign (agu_icb_cmd_usign ),
.agu_icb_cmd_itag (agu_icb_cmd_itag ),
.agu_icb_rsp_valid (agu_icb_rsp_valid ),
.agu_icb_rsp_ready (agu_icb_rsp_ready ),
.agu_icb_rsp_err (agu_icb_rsp_err ),
.agu_icb_rsp_excl_ok (agu_icb_rsp_excl_ok ),
.agu_icb_rsp_rdata (agu_icb_rsp_rdata ),
.agu_req_alu_op1 (agu_req_alu_op1 ),
.agu_req_alu_op2 (agu_req_alu_op2 ),
.agu_req_alu_swap (agu_req_alu_swap ),
.agu_req_alu_add (agu_req_alu_add ),
.agu_req_alu_and (agu_req_alu_and ),
.agu_req_alu_or (agu_req_alu_or ),
.agu_req_alu_xor (agu_req_alu_xor ),
.agu_req_alu_max (agu_req_alu_max ),
.agu_req_alu_min (agu_req_alu_min ),
.agu_req_alu_maxu (agu_req_alu_maxu ),
.agu_req_alu_minu (agu_req_alu_minu ),
.agu_req_alu_res (agu_req_alu_res ),
.agu_sbf_0_ena (agu_sbf_0_ena ),
.agu_sbf_0_nxt (agu_sbf_0_nxt ),
.agu_sbf_0_r (agu_sbf_0_r ),
.agu_sbf_1_ena (agu_sbf_1_ena ),
.agu_sbf_1_nxt (agu_sbf_1_nxt ),
.agu_sbf_1_r (agu_sbf_1_r ),
.clk (clk),
.rst_n (rst_n)
);
//////////////////////////////////////////////////////////////
// Instantiate the regular ALU module
//
wire alu_o_valid;
wire alu_o_ready;
wire [`E203_XLEN-1:0] alu_o_wbck_wdat;
wire alu_o_wbck_err;
wire alu_o_cmt_ecall;
wire alu_o_cmt_ebreak;
wire alu_o_cmt_wfi;
wire alu_req_alu_add ;
wire alu_req_alu_sub ;
wire alu_req_alu_xor ;
wire alu_req_alu_sll ;
wire alu_req_alu_srl ;
wire alu_req_alu_sra ;
wire alu_req_alu_or ;
wire alu_req_alu_and ;
wire alu_req_alu_slt ;
wire alu_req_alu_sltu;
wire alu_req_alu_lui ;
wire [`E203_XLEN-1:0] alu_req_alu_op1;
wire [`E203_XLEN-1:0] alu_req_alu_op2;
wire [`E203_XLEN-1:0] alu_req_alu_res;
wire [`E203_XLEN-1:0] alu_i_rs1 = {`E203_XLEN {alu_op}} & i_rs1;
wire [`E203_XLEN-1:0] alu_i_rs2 = {`E203_XLEN {alu_op}} & i_rs2;
wire [`E203_XLEN-1:0] alu_i_imm = {`E203_XLEN {alu_op}} & i_imm;
wire [`E203_DECINFO_WIDTH-1:0] alu_i_info = {`E203_DECINFO_WIDTH{alu_op}} & i_info;
wire [`E203_PC_SIZE-1:0] alu_i_pc = {`E203_PC_SIZE {alu_op}} & i_pc;
e203_exu_alu_rglr u_e203_exu_alu_rglr(
.alu_i_valid (alu_i_valid ),
.alu_i_ready (alu_i_ready ),
.alu_i_rs1 (alu_i_rs1 ),
.alu_i_rs2 (alu_i_rs2 ),
.alu_i_info (alu_i_info[`E203_DECINFO_ALU_WIDTH-1:0]),
.alu_i_imm (alu_i_imm ),
.alu_i_pc (alu_i_pc ),
.alu_o_valid (alu_o_valid ),
.alu_o_ready (alu_o_ready ),
.alu_o_wbck_wdat (alu_o_wbck_wdat ),
.alu_o_wbck_err (alu_o_wbck_err ),
.alu_o_cmt_ecall (alu_o_cmt_ecall ),
.alu_o_cmt_ebreak (alu_o_cmt_ebreak),
.alu_o_cmt_wfi (alu_o_cmt_wfi ),
.alu_req_alu_add (alu_req_alu_add ),
.alu_req_alu_sub (alu_req_alu_sub ),
.alu_req_alu_xor (alu_req_alu_xor ),
.alu_req_alu_sll (alu_req_alu_sll ),
.alu_req_alu_srl (alu_req_alu_srl ),
.alu_req_alu_sra (alu_req_alu_sra ),
.alu_req_alu_or (alu_req_alu_or ),
.alu_req_alu_and (alu_req_alu_and ),
.alu_req_alu_slt (alu_req_alu_slt ),
.alu_req_alu_sltu (alu_req_alu_sltu ),
.alu_req_alu_lui (alu_req_alu_lui ),
.alu_req_alu_op1 (alu_req_alu_op1 ),
.alu_req_alu_op2 (alu_req_alu_op2 ),
.alu_req_alu_res (alu_req_alu_res ),
.clk (clk ),
.rst_n (rst_n )
);
`ifdef E203_SUPPORT_SHARE_MULDIV //{
//////////////////////////////////////////////////////
// Instantiate the MULDIV module
wire [`E203_XLEN-1:0] mdv_i_rs1 = {`E203_XLEN {mdv_op}} & i_rs1;
wire [`E203_XLEN-1:0] mdv_i_rs2 = {`E203_XLEN {mdv_op}} & i_rs2;
wire [`E203_XLEN-1:0] mdv_i_imm = {`E203_XLEN {mdv_op}} & i_imm;
wire [`E203_DECINFO_WIDTH-1:0] mdv_i_info = {`E203_DECINFO_WIDTH{mdv_op}} & i_info;
wire [`E203_ITAG_WIDTH-1:0] mdv_i_itag = {`E203_ITAG_WIDTH {mdv_op}} & i_itag;
wire mdv_o_valid;
wire mdv_o_ready;
wire [`E203_XLEN-1:0] mdv_o_wbck_wdat;
wire mdv_o_wbck_err;
wire [`E203_ALU_ADDER_WIDTH-1:0] muldiv_req_alu_op1;
wire [`E203_ALU_ADDER_WIDTH-1:0] muldiv_req_alu_op2;
wire muldiv_req_alu_add ;
wire muldiv_req_alu_sub ;
wire [`E203_ALU_ADDER_WIDTH-1:0] muldiv_req_alu_res;
wire muldiv_sbf_0_ena;
wire [33-1:0] muldiv_sbf_0_nxt;
wire [33-1:0] muldiv_sbf_0_r;
wire muldiv_sbf_1_ena;
wire [33-1:0] muldiv_sbf_1_nxt;
wire [33-1:0] muldiv_sbf_1_r;
e203_exu_alu_muldiv u_e203_exu_alu_muldiv(
.mdv_nob2b (mdv_nob2b),
.muldiv_i_valid (mdv_i_valid ),
.muldiv_i_ready (mdv_i_ready ),
.muldiv_i_rs1 (mdv_i_rs1 ),
.muldiv_i_rs2 (mdv_i_rs2 ),
.muldiv_i_imm (mdv_i_imm ),
.muldiv_i_info (mdv_i_info[`E203_DECINFO_MULDIV_WIDTH-1:0]),
.muldiv_i_longpipe (mdv_i_longpipe ),
.muldiv_i_itag (mdv_i_itag ),
.flush_pulse (flush_pulse ),
.muldiv_o_valid (mdv_o_valid ),
.muldiv_o_ready (mdv_o_ready ),
.muldiv_o_wbck_wdat (mdv_o_wbck_wdat),
.muldiv_o_wbck_err (mdv_o_wbck_err ),
.muldiv_req_alu_op1 (muldiv_req_alu_op1),
.muldiv_req_alu_op2 (muldiv_req_alu_op2),
.muldiv_req_alu_add (muldiv_req_alu_add),
.muldiv_req_alu_sub (muldiv_req_alu_sub),
.muldiv_req_alu_res (muldiv_req_alu_res),
.muldiv_sbf_0_ena (muldiv_sbf_0_ena ),
.muldiv_sbf_0_nxt (muldiv_sbf_0_nxt ),
.muldiv_sbf_0_r (muldiv_sbf_0_r ),
.muldiv_sbf_1_ena (muldiv_sbf_1_ena ),
.muldiv_sbf_1_nxt (muldiv_sbf_1_nxt ),
.muldiv_sbf_1_r (muldiv_sbf_1_r ),
.clk (clk ),
.rst_n (rst_n )
);
`endif//E203_SUPPORT_SHARE_MULDIV}
//////////////////////////////////////////////////////////////
// Instantiate the Shared Datapath module
//
wire alu_req_alu = alu_op & i_rdwen;// Regular ALU only req datapath when it need to write-back
`ifdef E203_SUPPORT_SHARE_MULDIV //{
wire muldiv_req_alu = mdv_op;// Since MULDIV have no point to let rd=0, so always need ALU datapath
`endif//E203_SUPPORT_SHARE_MULDIV}
wire bjp_req_alu = bjp_op;// Since BJP may not write-back, but still need ALU datapath
wire agu_req_alu = agu_op;// Since AGU may have some other features, so always need ALU datapath
e203_exu_alu_dpath u_e203_exu_alu_dpath(
.alu_req_alu (alu_req_alu ),
.alu_req_alu_add (alu_req_alu_add ),
.alu_req_alu_sub (alu_req_alu_sub ),
.alu_req_alu_xor (alu_req_alu_xor ),
.alu_req_alu_sll (alu_req_alu_sll ),
.alu_req_alu_srl (alu_req_alu_srl ),
.alu_req_alu_sra (alu_req_alu_sra ),
.alu_req_alu_or (alu_req_alu_or ),
.alu_req_alu_and (alu_req_alu_and ),
.alu_req_alu_slt (alu_req_alu_slt ),
.alu_req_alu_sltu (alu_req_alu_sltu ),
.alu_req_alu_lui (alu_req_alu_lui ),
.alu_req_alu_op1 (alu_req_alu_op1 ),
.alu_req_alu_op2 (alu_req_alu_op2 ),
.alu_req_alu_res (alu_req_alu_res ),
.bjp_req_alu (bjp_req_alu ),
.bjp_req_alu_op1 (bjp_req_alu_op1 ),
.bjp_req_alu_op2 (bjp_req_alu_op2 ),
.bjp_req_alu_cmp_eq (bjp_req_alu_cmp_eq ),
.bjp_req_alu_cmp_ne (bjp_req_alu_cmp_ne ),
.bjp_req_alu_cmp_lt (bjp_req_alu_cmp_lt ),
.bjp_req_alu_cmp_gt (bjp_req_alu_cmp_gt ),
.bjp_req_alu_cmp_ltu (bjp_req_alu_cmp_ltu ),
.bjp_req_alu_cmp_gtu (bjp_req_alu_cmp_gtu ),
.bjp_req_alu_add (bjp_req_alu_add ),
.bjp_req_alu_cmp_res (bjp_req_alu_cmp_res ),
.bjp_req_alu_add_res (bjp_req_alu_add_res ),
.agu_req_alu (agu_req_alu ),
.agu_req_alu_op1 (agu_req_alu_op1 ),
.agu_req_alu_op2 (agu_req_alu_op2 ),
.agu_req_alu_swap (agu_req_alu_swap ),
.agu_req_alu_add (agu_req_alu_add ),
.agu_req_alu_and (agu_req_alu_and ),
.agu_req_alu_or (agu_req_alu_or ),
.agu_req_alu_xor (agu_req_alu_xor ),
.agu_req_alu_max (agu_req_alu_max ),
.agu_req_alu_min (agu_req_alu_min ),
.agu_req_alu_maxu (agu_req_alu_maxu ),
.agu_req_alu_minu (agu_req_alu_minu ),
.agu_req_alu_res (agu_req_alu_res ),
.agu_sbf_0_ena (agu_sbf_0_ena ),
.agu_sbf_0_nxt (agu_sbf_0_nxt ),
.agu_sbf_0_r (agu_sbf_0_r ),
.agu_sbf_1_ena (agu_sbf_1_ena ),
.agu_sbf_1_nxt (agu_sbf_1_nxt ),
.agu_sbf_1_r (agu_sbf_1_r ),
`ifdef E203_SUPPORT_SHARE_MULDIV //{
.muldiv_req_alu (muldiv_req_alu ),
.muldiv_req_alu_op1 (muldiv_req_alu_op1),
.muldiv_req_alu_op2 (muldiv_req_alu_op2),
.muldiv_req_alu_add (muldiv_req_alu_add),
.muldiv_req_alu_sub (muldiv_req_alu_sub),
.muldiv_req_alu_res (muldiv_req_alu_res),
.muldiv_sbf_0_ena (muldiv_sbf_0_ena ),
.muldiv_sbf_0_nxt (muldiv_sbf_0_nxt ),
.muldiv_sbf_0_r (muldiv_sbf_0_r ),
.muldiv_sbf_1_ena (muldiv_sbf_1_ena ),
.muldiv_sbf_1_nxt (muldiv_sbf_1_nxt ),
.muldiv_sbf_1_r (muldiv_sbf_1_r ),
`endif//E203_SUPPORT_SHARE_MULDIV}
.clk (clk ),
.rst_n (rst_n )
);
wire ifu_excp_o_valid;
wire ifu_excp_o_ready;
wire [`E203_XLEN-1:0] ifu_excp_o_wbck_wdat;
wire ifu_excp_o_wbck_err;
assign ifu_excp_i_ready = ifu_excp_o_ready;
assign ifu_excp_o_valid = ifu_excp_i_valid;
assign ifu_excp_o_wbck_wdat = `E203_XLEN'b0;
assign ifu_excp_o_wbck_err = 1'b1;// IFU illegal instruction always treat as error
//////////////////////////////////////////////////////////////
// Aribtrate the Result and generate output interfaces
//
wire o_valid;
wire o_ready;
wire o_sel_ifu_excp = ifu_excp_op;
wire o_sel_alu = alu_op;
wire o_sel_bjp = bjp_op;
wire o_sel_csr = csr_op;
wire o_sel_agu = agu_op;
`ifdef E203_SUPPORT_SHARE_MULDIV //{
wire o_sel_mdv = mdv_op;
`endif//E203_SUPPORT_SHARE_MULDIV}
assign o_valid = (o_sel_alu & alu_o_valid )
| (o_sel_bjp & bjp_o_valid )
| (o_sel_csr & csr_o_valid )
| (o_sel_agu & agu_o_valid )
| (o_sel_ifu_excp & ifu_excp_o_valid)
`ifdef E203_SUPPORT_SHARE_MULDIV //{
| (o_sel_mdv & mdv_o_valid )
`endif//E203_SUPPORT_SHARE_MULDIV}
;
assign ifu_excp_o_ready = o_sel_ifu_excp & o_ready;
assign alu_o_ready = o_sel_alu & o_ready;
assign agu_o_ready = o_sel_agu & o_ready;
`ifdef E203_SUPPORT_SHARE_MULDIV //{
assign mdv_o_ready = o_sel_mdv & o_ready;
`endif//E203_SUPPORT_SHARE_MULDIV}
assign bjp_o_ready = o_sel_bjp & o_ready;
assign csr_o_ready = o_sel_csr & o_ready;
assign wbck_o_wdat =
({`E203_XLEN{o_sel_alu}} & alu_o_wbck_wdat)
| ({`E203_XLEN{o_sel_bjp}} & bjp_o_wbck_wdat)
| ({`E203_XLEN{o_sel_csr}} & csr_o_wbck_wdat)
| ({`E203_XLEN{o_sel_agu}} & agu_o_wbck_wdat)
`ifdef E203_SUPPORT_SHARE_MULDIV //{
| ({`E203_XLEN{o_sel_mdv}} & mdv_o_wbck_wdat)
`endif//E203_SUPPORT_SHARE_MULDIV}
| ({`E203_XLEN{o_sel_ifu_excp}} & ifu_excp_o_wbck_wdat)
;
assign wbck_o_rdidx = i_rdidx;
wire wbck_o_rdwen = i_rdwen;
wire wbck_o_err =
({1{o_sel_alu}} & alu_o_wbck_err)
| ({1{o_sel_bjp}} & bjp_o_wbck_err)
| ({1{o_sel_csr}} & csr_o_wbck_err)
| ({1{o_sel_agu}} & agu_o_wbck_err)
`ifdef E203_SUPPORT_SHARE_MULDIV //{
| ({1{o_sel_mdv}} & mdv_o_wbck_err)
`endif//E203_SUPPORT_SHARE_MULDIV}
| ({1{o_sel_ifu_excp}} & ifu_excp_o_wbck_err)
;
// Each Instruction need to commit or write-back
// * The write-back only needed when the unit need to write-back
// the result (need to write RD), and it is not a long-pipe uop
// (need to be write back by its long-pipe write-back, not here)
// * Each instruction need to be commited
wire o_need_wbck = wbck_o_rdwen & (~i_longpipe) & (~wbck_o_err);
wire o_need_cmt = 1'b1;
assign o_ready =
(o_need_cmt ? cmt_o_ready : 1'b1)
& (o_need_wbck ? wbck_o_ready : 1'b1);
assign wbck_o_valid = o_need_wbck & o_valid & (o_need_cmt ? cmt_o_ready : 1'b1);
assign cmt_o_valid = o_need_cmt & o_valid & (o_need_wbck ? wbck_o_ready : 1'b1);
//
// The commint interface have some special signals
assign cmt_o_instr = i_instr;
assign cmt_o_pc = i_pc;
assign cmt_o_imm = i_imm;
assign cmt_o_rv32 = i_info[`E203_DECINFO_RV32];
// The cmt_o_pc_vld is used by the commit stage to check
// if current instruction is outputing a valid current PC
// to guarante the commit to flush pipeline safely, this
// vld only be asserted when:
// * There is a valid instruction here
// --- otherwise, the commit stage may use wrong PC
// value to stored in DPC or EPC
assign cmt_o_pc_vld =
// Otherwise, just use the i_pc_vld
i_pc_vld;
assign cmt_o_misalgn = (o_sel_agu & agu_o_cmt_misalgn)
;
assign cmt_o_ld = (o_sel_agu & agu_o_cmt_ld)
;
assign cmt_o_badaddr = ({`E203_ADDR_SIZE{o_sel_agu}} & agu_o_cmt_badaddr)
;
assign cmt_o_buserr = o_sel_agu & agu_o_cmt_buserr;
assign cmt_o_stamo = o_sel_agu & agu_o_cmt_stamo ;
assign cmt_o_bjp = o_sel_bjp & bjp_o_cmt_bjp;
assign cmt_o_mret = o_sel_bjp & bjp_o_cmt_mret;
assign cmt_o_dret = o_sel_bjp & bjp_o_cmt_dret;
assign cmt_o_bjp_prdt = o_sel_bjp & bjp_o_cmt_prdt;
assign cmt_o_bjp_rslv = o_sel_bjp & bjp_o_cmt_rslv;
assign cmt_o_fencei = o_sel_bjp & bjp_o_cmt_fencei;
assign cmt_o_ecall = o_sel_alu & alu_o_cmt_ecall;
assign cmt_o_ebreak = o_sel_alu & alu_o_cmt_ebreak;
assign cmt_o_wfi = o_sel_alu & alu_o_cmt_wfi;
assign cmt_o_ifu_misalgn = i_misalgn;
assign cmt_o_ifu_buserr = i_buserr;
assign cmt_o_ifu_ilegl = i_ilegl
| (o_sel_csr & csr_access_ilgl)
;
endmodule
|
//-----------------------------------------------------------------------------
//
// (c) Copyright 2012-2012 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//-----------------------------------------------------------------------------
//
// Project : Virtex-7 FPGA Gen3 Integrated Block for PCI Express
// File : pcie3_7x_0_pcie_init_ctrl_7vx.v
// Version : 3.0
//----------------------------------------------------------------------------//
// Project : Virtex-7 FPGA Gen3 Integrated Block for PCI Express //
// Filename : pcie3_7x_0_pcie_init_ctrl_7vx.v //
// Description : Initialization Controller for Gen3 Integrated Block for PCI //
// Express //
// //
//---------- PIPE Wrapper Hierarchy ------------------------------------------//
// pcie_init_ctrl.v //
//----------------------------------------------------------------------------//
`timescale 1ps/1ps
module pcie3_7x_0_pcie_init_ctrl_7vx # (
parameter TCQ = 100,
parameter PL_UPSTREAM_FACING = "TRUE"
) (
input clk_i, // User Clock
output reset_n_o, // Fundamental reset, active low
output pipe_reset_n_o, // Resets the PIPE clock domain logic, active low
output mgmt_reset_n_o, // Resets management and configuration registers, active low
output mgmt_sticky_reset_n_o, // Resets sticky management and configuration register bits, active low
input mmcm_lock_i, // MMCM Locked : 1b = MMCM Locked
input phy_rdy_i, // GT is ready : 1b = GT Ready
input cfg_input_update_done_i, // Configuration Input update Complete
output cfg_input_update_request_o, // Configuration Input Update Request
input cfg_mc_update_done_i, // Configuration Memory Cell Update Complete
output cfg_mc_update_request_o, // Configuration Memory Cell Update Request
input user_cfg_input_update_i, // User driven Configuration Input Update Request
output [2:0] state_o // Debug state
);
// Local Params
localparam STATE_RESET = 3'b000;
localparam STATE_MGMT_RESET_DEASSERT = 3'b001;
localparam STATE_MC_TRANSFER_REQ = 3'b010;
localparam STATE_INPUT_UPDATE_REQ = 3'b011;
localparam STATE_PHY_RDY = 3'b100;
localparam STATE_RESET_DEASSERT = 3'b101;
localparam STATE_INPUT_UPDATE_REQ_REDO = 3'b110;
localparam STATE_MGMT_RESET_ASSERT = 3'b111;
// Local Registers
reg [2:0] reg_state /* synthesis syn_state_machine=1 */;
reg [2:0] reg_next_state;
reg [1:0] reg_clock_locked;
reg [1:0] reg_phy_rdy;
reg reg_cold_reset = 1'b1 ;
reg reg_reset_n_o;
reg reg_pipe_reset_n_o;
reg reg_mgmt_reset_n_o;
reg reg_mgmt_sticky_reset_n_o;
reg reg_cfg_input_update_request_o;
reg reg_cfg_mc_update_request_o;
reg [1:0] reg_reset_timer;
reg [4:0] reg_mgmt_reset_timer;
reg regff_mgmt_reset_n_o = 1'b0;
reg regff_mgmt_sticky_reset_n_o = 1'b0;
reg regff_reset_n_o = 1'b0;
reg regff_pipe_reset_n_o = 1'b0;
// Local Wires
wire [2:0] state_w;
wire [2:0] next_state_w;
wire clock_locked;
wire phy_rdy;
wire cold_reset;
wire [1:0] reset_timer_w;
// Synchronize MMCM lock output
always @ (posedge clk_i or negedge mmcm_lock_i) begin
if (!mmcm_lock_i) begin
reg_clock_locked[1:0] <= #TCQ 2'b11;
end else begin
reg_clock_locked[1:0] <= #TCQ {reg_clock_locked[0], 1'b0};
end
end
assign clock_locked = !reg_clock_locked[1];
// Synchronize PHY Ready
always @ (posedge clk_i or negedge phy_rdy_i) begin
if (!phy_rdy_i) begin
reg_phy_rdy[1:0] <= #TCQ 2'b11;
end else begin
reg_phy_rdy[1:0] <= #TCQ {reg_phy_rdy[0], 1'b0};
end
end
assign phy_rdy = !reg_phy_rdy[1];
// Controller FSM
always @ (posedge clk_i or negedge clock_locked) begin
if (!clock_locked) begin
reg_state <= #(TCQ) STATE_RESET;
reg_reset_timer <= #(TCQ) 2'b00;
end else begin
reg_state <= #(TCQ) reg_next_state;
if ((state_w == STATE_MGMT_RESET_DEASSERT) && (reset_timer_w != 2'b11))
reg_reset_timer <= #(TCQ) reset_timer_w + 1'b1;
end
end
always @ (posedge clk_i) begin
// reset the cold reset flag
if ((state_w == STATE_PHY_RDY) && (next_state_w == STATE_RESET_DEASSERT) && (cold_reset == 1'b1))
reg_cold_reset <= #(TCQ) 1'b0;
end
always @ (posedge clk_i) begin // mgmt reset timer
if (state_w == STATE_MGMT_RESET_ASSERT)
reg_mgmt_reset_timer <= #(TCQ) reg_mgmt_reset_timer + 1'b1;
else if (state_w == STATE_MGMT_RESET_DEASSERT)
reg_mgmt_reset_timer <= #(TCQ) 5'h00;
else
reg_mgmt_reset_timer <= #(TCQ) reg_mgmt_reset_timer;
end
generate // Resets for EP and Downstream Port
begin: generate_resets
if( PL_UPSTREAM_FACING == "TRUE") // DUT is a EP
begin
always @ (*) begin
reg_next_state = STATE_RESET;
reg_mgmt_reset_n_o = 1'b1;
reg_mgmt_sticky_reset_n_o = 1'b1;
reg_cfg_input_update_request_o = 1'b0;
reg_cfg_mc_update_request_o = 1'b0;
reg_reset_n_o = 1'b0;
reg_pipe_reset_n_o = 1'b0;
case(state_w)
STATE_RESET : begin
reg_mgmt_reset_n_o = 1'b0;
reg_mgmt_sticky_reset_n_o = 1'b0;
if (clock_locked) begin
reg_next_state = STATE_MGMT_RESET_DEASSERT;
end else begin
reg_next_state = STATE_RESET;
end
end
STATE_MGMT_RESET_DEASSERT : begin
if (reset_timer_w == 2'b11) begin
reg_next_state = STATE_MC_TRANSFER_REQ;
end else begin
reg_next_state = STATE_MGMT_RESET_DEASSERT;
end
end
STATE_MC_TRANSFER_REQ : begin
reg_cfg_mc_update_request_o = 1'b1;
if (cfg_mc_update_done_i) begin
reg_next_state = STATE_INPUT_UPDATE_REQ;
end else begin
reg_next_state = STATE_MC_TRANSFER_REQ;
end
end
STATE_INPUT_UPDATE_REQ : begin
reg_cfg_input_update_request_o = 1'b1;
if (cfg_input_update_done_i) begin
reg_next_state = STATE_PHY_RDY;
end else begin
reg_next_state = STATE_INPUT_UPDATE_REQ;
end
end
STATE_PHY_RDY : begin
// Check warm reset flag
if (!cold_reset) begin
reg_pipe_reset_n_o = 1'b1;
end
if (phy_rdy) begin
reg_next_state = STATE_RESET_DEASSERT;
end else begin
reg_next_state = STATE_PHY_RDY;
end
end
STATE_RESET_DEASSERT : begin
reg_reset_n_o = 1'b1;
reg_pipe_reset_n_o = 1'b1;
if (!phy_rdy) begin
reg_next_state = STATE_MGMT_RESET_ASSERT;
end else if (user_cfg_input_update_i) begin
reg_next_state = STATE_INPUT_UPDATE_REQ_REDO;
end else begin
reg_next_state = STATE_RESET_DEASSERT;
end
end
STATE_INPUT_UPDATE_REQ_REDO : begin
reg_reset_n_o = 1'b1;
reg_pipe_reset_n_o = 1'b1;
reg_cfg_input_update_request_o = 1'b1;
if (cfg_input_update_done_i) begin
reg_next_state = STATE_RESET_DEASSERT;
end else begin
reg_next_state = STATE_INPUT_UPDATE_REQ_REDO;
end
end
STATE_MGMT_RESET_ASSERT : begin
if (reg_mgmt_reset_timer == 5'h1f) begin
reg_next_state = STATE_MGMT_RESET_DEASSERT;
reg_mgmt_reset_n_o = 1'b1;
end else begin
reg_next_state = STATE_MGMT_RESET_ASSERT;
reg_mgmt_reset_n_o = 1'b0;
end
end
endcase
end //always
end else begin // DUT is a Downstream port
always @ (*) begin
reg_next_state = STATE_RESET;
reg_mgmt_reset_n_o = 1'b1;
reg_mgmt_sticky_reset_n_o = 1'b1;
reg_cfg_input_update_request_o = 1'b0;
reg_cfg_mc_update_request_o = 1'b0;
reg_reset_n_o = 1'b0;
reg_pipe_reset_n_o = 1'b0;
case(state_w)
STATE_RESET : begin
reg_mgmt_reset_n_o = 1'b0;
reg_mgmt_sticky_reset_n_o = 1'b0;
if (clock_locked) begin
reg_next_state = STATE_MGMT_RESET_DEASSERT;
end else begin
reg_next_state = STATE_RESET;
end
end
STATE_MGMT_RESET_DEASSERT : begin
if (reset_timer_w == 2'b11) begin
reg_next_state = STATE_MC_TRANSFER_REQ;
end else begin
reg_next_state = STATE_MGMT_RESET_DEASSERT;
end
end
STATE_MC_TRANSFER_REQ : begin
reg_cfg_mc_update_request_o = 1'b1;
if (cfg_mc_update_done_i) begin
reg_next_state = STATE_INPUT_UPDATE_REQ;
end else begin
reg_next_state = STATE_MC_TRANSFER_REQ;
end
end
STATE_INPUT_UPDATE_REQ : begin
reg_cfg_input_update_request_o = 1'b1;
if (cfg_input_update_done_i) begin
reg_next_state = STATE_PHY_RDY;
end else begin
reg_next_state = STATE_INPUT_UPDATE_REQ;
end
end
STATE_PHY_RDY : begin
// Check warm reset flag
if (!cold_reset) begin
reg_pipe_reset_n_o = 1'b1;
end
if (phy_rdy) begin
reg_next_state = STATE_RESET_DEASSERT;
end else begin
reg_next_state = STATE_PHY_RDY;
end
end
STATE_RESET_DEASSERT : begin
reg_reset_n_o = 1'b1;
reg_pipe_reset_n_o = 1'b1;
if (!phy_rdy) begin
reg_next_state = STATE_PHY_RDY;
end else if (user_cfg_input_update_i) begin
reg_next_state = STATE_INPUT_UPDATE_REQ_REDO;
end else begin
reg_next_state = STATE_RESET_DEASSERT;
end
end
STATE_INPUT_UPDATE_REQ_REDO : begin
reg_reset_n_o = 1'b1;
reg_pipe_reset_n_o = 1'b1;
reg_cfg_input_update_request_o = 1'b1;
if (cfg_input_update_done_i) begin
reg_next_state = STATE_RESET_DEASSERT;
end else begin
reg_next_state = STATE_INPUT_UPDATE_REQ_REDO;
end
end
endcase
end //always
end // else // DUT is a Downstream port
end // generate resets
endgenerate
// Register signals
always @(posedge clk_i) begin
regff_mgmt_reset_n_o <= reg_mgmt_reset_n_o;
regff_mgmt_sticky_reset_n_o <= reg_mgmt_sticky_reset_n_o;
regff_pipe_reset_n_o <= reg_pipe_reset_n_o;
regff_reset_n_o <= reg_reset_n_o;
end
// Assigns
assign state_w = reg_state;
assign next_state_w = reg_next_state;
//assign reset_n_o = reg_reset_n_o;
//assign pipe_reset_n_o = reg_pipe_reset_n_o;
//assign mgmt_reset_n_o = reg_mgmt_reset_n_o;
//assign mgmt_sticky_reset_n_o = reg_mgmt_sticky_reset_n_o;
assign reset_n_o = regff_reset_n_o;
assign pipe_reset_n_o = regff_pipe_reset_n_o;
assign mgmt_reset_n_o = regff_mgmt_reset_n_o;
assign mgmt_sticky_reset_n_o = regff_mgmt_sticky_reset_n_o;
assign cfg_input_update_request_o = reg_cfg_input_update_request_o;
assign cfg_mc_update_request_o = reg_cfg_mc_update_request_o;
assign cold_reset = reg_cold_reset;
assign state_o = reg_state;
assign reset_timer_w = reg_reset_timer;
endmodule // pcie_init_ctrl_7vx
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.