text
stringlengths
938
1.05M
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HDLL__OR4B_FUNCTIONAL_V `define SKY130_FD_SC_HDLL__OR4B_FUNCTIONAL_V /** * or4b: 4-input OR, first input inverted. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none `celldefine module sky130_fd_sc_hdll__or4b ( X , A , B , C , D_N ); // Module ports output X ; input A ; input B ; input C ; input D_N; // Local signals wire not0_out ; wire or0_out_X; // Name Output Other arguments not not0 (not0_out , D_N ); or or0 (or0_out_X, not0_out, C, B, A); buf buf0 (X , or0_out_X ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HDLL__OR4B_FUNCTIONAL_V
module genetico(conf_les, conf_outs, in, out); input [10:0] conf_les[8:0]; input [3:0] conf_outs[1:0]; input [2:0] in; output [1:0] out; wire [8:0] le_out; wire [11:0] all_inputs; assign all_inputs = {le_out, in}; assign out = {all_inputs[conf_outs[1]], all_inputs[conf_outs[0]]}; logic_e le00( .conf_func(conf_les[0][10:8]), .conf_ins(conf_les[0][7:0]), .all_inputs(all_inputs), .out(le_out[0]) ); logic_e le10( .conf_func(conf_les[1][10:8]), .conf_ins(conf_les[1][7:0]), .all_inputs(all_inputs), .out(le_out[1]) ); logic_e le20( .conf_func(conf_les[2][10:8]), .conf_ins(conf_les[2][7:0]), .all_inputs(all_inputs), .out(le_out[2]) ); logic_e le01( .conf_func(conf_les[3][10:8]), .conf_ins(conf_les[3][7:0]), .all_inputs(all_inputs), .out(le_out[3]) ); logic_e le11( .conf_func(conf_les[4][10:8]), .conf_ins(conf_les[4][7:0]), .all_inputs(all_inputs), .out(le_out[4]) ); logic_e le21( .conf_func(conf_les[5][10:8]), .conf_ins(conf_les[5][7:0]), .all_inputs(all_inputs), .out(le_out[5]) ); logic_e le02( .conf_func(conf_les[6][10:8]), .conf_ins(conf_les[6][7:0]), .all_inputs(all_inputs), .out(le_out[6]) ); logic_e le12( .conf_func(conf_les[7][10:8]), .conf_ins(conf_les[7][7:0]), .all_inputs(all_inputs), .out(le_out[7]) ); logic_e le22( .conf_func(conf_les[8][10:8]), .conf_ins(conf_les[8][7:0]), .all_inputs(all_inputs), .out(le_out[8]) ); endmodule
`timescale 1 ns / 1 ns ////////////////////////////////////////////////////////////////////////////////// // Company: Rehkopf // Engineer: Rehkopf // // Create Date: 01:13:46 05/09/2009 // Design Name: // Module Name: main // Project Name: // Target Devices: // Tool versions: // Description: Master Control FSM // // Dependencies: address // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module main( `ifdef MK2 /* Bus 1: PSRAM, 128Mbit, 16bit, 70ns */ output [22:0] ROM_ADDR, output ROM_CE, input MCU_OVR, /* debug */ output p113_out, `endif `ifdef MK3 input SNES_CIC_CLK, /* Bus 1: 2x PSRAM, 64Mbit, 16bit, 70ns */ output [21:0] ROM_ADDR, output ROM_1CE, output ROM_2CE, output ROM_ZZ, /* debug */ output PM6_out, output PN6_out, input PT5_in, `endif /* input clock */ input CLKIN, /* SNES signals */ input [23:0] SNES_ADDR_IN, input SNES_READ_IN, input SNES_WRITE_IN, input SNES_ROMSEL_IN, inout [7:0] SNES_DATA, input SNES_CPU_CLK_IN, input SNES_REFRESH, output SNES_IRQ, output SNES_DATABUS_OE, output SNES_DATABUS_DIR, input SNES_SYSCLK, input [7:0] SNES_PA_IN, input SNES_PARD_IN, input SNES_PAWR_IN, /* SRAM signals */ inout [15:0] ROM_DATA, output ROM_OE, output ROM_WE, output ROM_BHE, output ROM_BLE, /* Bus 2: SRAM, 4Mbit, 8bit, 45ns */ inout [7:0] RAM_DATA, output [18:0] RAM_ADDR, output RAM_OE, output RAM_WE, /* MCU signals */ input SPI_MOSI, inout SPI_MISO, input SPI_SS, input SPI_SCK, output MCU_RDY, output DAC_MCLK, output DAC_LRCK, output DAC_SDOUT, /* SD signals */ input [3:0] SD_DAT, inout SD_CMD, inout SD_CLK ); assign DAC_MCLK = 0; assign DAC_LRCK = 0; assign DAC_SDOUT = 0; wire CLK2; wire [7:0] spi_cmd_data; wire [7:0] spi_param_data; wire [7:0] spi_input_data; wire [31:0] spi_byte_cnt; wire [2:0] spi_bit_cnt; wire [23:0] MCU_ADDR; wire [23:0] SAVERAM_MASK; wire [23:0] ROM_MASK; wire [23:0] MAPPED_SNES_ADDR; wire ROM_ADDR0; reg [7:0] SNES_PARDr = 8'b11111111; reg [7:0] SNES_READr = 8'b11111111; reg [7:0] SNES_WRITEr = 8'b11111111; reg [7:0] SNES_CPU_CLKr = 8'b00000000; reg [7:0] SNES_ROMSELr = 8'b11111111; reg [7:0] SNES_PULSEr = 8'b11111111; reg [23:0] SNES_ADDRr [6:0]; reg SNES_DEADr = 1; reg SNES_reset_strobe = 0; reg free_strobe = 0; wire SNES_PULSE_IN = SNES_READ_IN & SNES_WRITE_IN & ~SNES_CPU_CLK_IN; wire SNES_PULSE_end = (SNES_PULSEr[6:1] == 6'b000011); wire SNES_PARD_start = (SNES_PARDr[6:1] == 6'b111110); wire SNES_PARD_end = (SNES_PARDr[6:1] == 6'b000001); wire SNES_RD_start = (SNES_READr[6:1] == 6'b111110); wire SNES_RD_end = (SNES_READr[6:1] == 6'b000001); wire SNES_WR_end = (SNES_WRITEr[6:1] == 6'b000001); wire SNES_cycle_start = (SNES_CPU_CLKr[6:1] == 6'b000001); wire SNES_cycle_end = (SNES_CPU_CLKr[6:1] == 6'b111110); wire SNES_WRITE = SNES_WRITEr[2] & SNES_WRITEr[1]; wire SNES_READ = SNES_READr[2] & SNES_READr[1]; wire SNES_READ_late = SNES_READr[5] & SNES_READr[4]; wire SNES_READ_narrow = SNES_READ | SNES_READ_late; wire SNES_CPU_CLK = SNES_CPU_CLKr[2] & SNES_CPU_CLKr[1]; wire SNES_PARD = SNES_PARDr[2] & SNES_PARDr[1]; wire SNES_ROMSEL = (SNES_ROMSELr[5] & SNES_ROMSELr[4]); wire [23:0] SNES_ADDR = (SNES_ADDRr[6] & SNES_ADDRr[5]); wire free_slot = SNES_PULSE_end | free_strobe; wire ROM_HIT; assign DCM_RST=0; always @(posedge CLK2) begin free_strobe <= 1'b0; if(SNES_cycle_start) free_strobe <= ~ROM_HIT; end always @(posedge CLK2) begin SNES_PULSEr <= {SNES_PULSEr[6:0], SNES_PULSE_IN}; SNES_PARDr <= {SNES_PARDr[6:0], SNES_PARD_IN}; SNES_READr <= {SNES_READr[6:0], SNES_READ_IN}; SNES_WRITEr <= {SNES_WRITEr[6:0], SNES_WRITE_IN}; SNES_CPU_CLKr <= {SNES_CPU_CLKr[6:0], SNES_CPU_CLK_IN}; SNES_ROMSELr <= {SNES_ROMSELr[6:0], SNES_ROMSEL_IN}; SNES_ADDRr[6] <= SNES_ADDRr[5]; SNES_ADDRr[5] <= SNES_ADDRr[4]; SNES_ADDRr[4] <= SNES_ADDRr[3]; SNES_ADDRr[3] <= SNES_ADDRr[2]; SNES_ADDRr[2] <= SNES_ADDRr[1]; SNES_ADDRr[1] <= SNES_ADDRr[0]; SNES_ADDRr[0] <= SNES_ADDR_IN; end spi snes_spi( .clk(CLK2), .MOSI(SPI_MOSI), .MISO(SPI_MISO), .SSEL(SPI_SS), .SCK(SPI_SCK), .cmd_ready(spi_cmd_ready), .param_ready(spi_param_ready), .cmd_data(spi_cmd_data), .param_data(spi_param_data), .input_data(spi_input_data), .byte_cnt(spi_byte_cnt), .bit_cnt(spi_bit_cnt) ); reg [7:0] MCU_DINr; wire [7:0] MCU_DOUT; mcu_cmd snes_mcu_cmd( .clk(CLK2), .cmd_ready(spi_cmd_ready), .param_ready(spi_param_ready), .cmd_data(spi_cmd_data), .param_data(spi_param_data), .mcu_data_in(MCU_DINr), .mcu_data_out(MCU_DOUT), .spi_byte_cnt(spi_byte_cnt), .spi_bit_cnt(spi_bit_cnt), .spi_data_out(spi_input_data), .addr_out(MCU_ADDR), .saveram_mask_out(SAVERAM_MASK), .rom_mask_out(ROM_MASK), .mcu_rrq(MCU_RRQ), .mcu_wrq(MCU_WRQ), .mcu_rq_rdy(MCU_RDY) ); address snes_addr( .CLK(CLK2), .SNES_ADDR(SNES_ADDR), // requested address from SNES .ROM_ADDR(MAPPED_SNES_ADDR), // Address to request from SRAM (active low) .SNES_ROMSEL(SNES_ROMSEL), .ROM_HIT(ROM_HIT), .IS_SAVERAM(IS_SAVERAM), .IS_ROM(IS_ROM), .SAVERAM_MASK(SAVERAM_MASK), .ROM_MASK(ROM_MASK) ); parameter ST_IDLE = 5'b00001; parameter ST_MCU_RD_ADDR = 5'b00010; parameter ST_MCU_RD_END = 5'b00100; parameter ST_MCU_WR_ADDR = 5'b01000; parameter ST_MCU_WR_END = 5'b10000; parameter SNES_DEAD_TIMEOUT = 17'd96000; // 1ms parameter ROM_CYCLE_LEN = 4'd7; // ideally 70ns but close enough... reg [4:0] STATE; initial STATE = ST_IDLE; assign SNES_DATA = ~SNES_READ ? (ROM_ADDR0 ? ROM_DATA[7:0] : ROM_DATA[15:8]) : 8'bZ; reg [3:0] ST_MEM_DELAYr; reg MCU_RD_PENDr = 0; reg MCU_WR_PENDr = 0; reg [23:0] ROM_ADDRr; reg RQ_MCU_RDYr; initial RQ_MCU_RDYr = 1'b1; assign MCU_RDY = RQ_MCU_RDYr; wire MCU_WE_HIT = |(STATE & ST_MCU_WR_ADDR); wire MCU_WR_HIT = |(STATE & (ST_MCU_WR_ADDR | ST_MCU_WR_END)); wire MCU_RD_HIT = |(STATE & (ST_MCU_RD_ADDR | ST_MCU_RD_END)); wire MCU_HIT = MCU_WR_HIT | MCU_RD_HIT; `ifdef MK2 my_dcm snes_dcm( .CLKIN(CLKIN), .CLKFX(CLK2), .LOCKED(DCM_LOCKED), .RST(DCM_RST) ); assign ROM_ADDR = MCU_HIT ? ROM_ADDRr[23:1] : MAPPED_SNES_ADDR[23:1]; assign ROM_ADDR0 = MCU_HIT ? ROM_ADDRr[0] : MAPPED_SNES_ADDR[0]; assign ROM_CE = 1'b0; assign p113_out = 1'b0; `endif `ifdef MK3 pll snes_pll( .inclk0(CLKIN), .c0(CLK2), .locked(DCM_LOCKED), .areset(DCM_RST) ); wire ROM_ADDR22; assign ROM_ADDR22 = MCU_HIT ? ROM_ADDRr[1] : MAPPED_SNES_ADDR[1]; assign ROM_ADDR = MCU_HIT ? ROM_ADDRr[23:2] : MAPPED_SNES_ADDR[23:2]; assign ROM_ADDR0 = MCU_HIT ? ROM_ADDRr[0] : MAPPED_SNES_ADDR[0]; assign ROM_ZZ = 1'b1; assign ROM_1CE = ROM_ADDR22; assign ROM_2CE = ~ROM_ADDR22; `endif // OE always active. Overridden by WE when needed. assign ROM_OE = 1'b0; reg[17:0] SNES_DEAD_CNTr; initial SNES_DEAD_CNTr = 0; // MCU r/w request always @(posedge CLK2) begin if(MCU_RRQ) begin MCU_RD_PENDr <= 1'b1; RQ_MCU_RDYr <= 1'b0; ROM_ADDRr <= MCU_ADDR; end else if(MCU_WRQ) begin MCU_WR_PENDr <= 1'b1; RQ_MCU_RDYr <= 1'b0; ROM_ADDRr <= MCU_ADDR; end else if(STATE & (ST_MCU_RD_END | ST_MCU_WR_END)) begin MCU_RD_PENDr <= 1'b0; MCU_WR_PENDr <= 1'b0; RQ_MCU_RDYr <= 1'b1; end end always @(posedge CLK2) begin if(~SNES_CPU_CLKr[1]) SNES_DEAD_CNTr <= SNES_DEAD_CNTr + 1; else SNES_DEAD_CNTr <= 18'h0; end always @(posedge CLK2) begin if(SNES_CPU_CLKr[1]) SNES_DEADr <= 1'b0; else if(SNES_DEAD_CNTr > SNES_DEAD_TIMEOUT) SNES_DEADr <= 1'b1; end always @(posedge CLK2) begin if(SNES_DEADr & SNES_CPU_CLKr[1]) STATE <= ST_IDLE; // interrupt+restart an ongoing MCU access when the SNES comes alive else case(STATE) ST_IDLE: begin STATE <= ST_IDLE; if(free_slot | SNES_DEADr) begin if(MCU_RD_PENDr) begin STATE <= ST_MCU_RD_ADDR; ST_MEM_DELAYr <= ROM_CYCLE_LEN; end else if(MCU_WR_PENDr) begin STATE <= ST_MCU_WR_ADDR; ST_MEM_DELAYr <= ROM_CYCLE_LEN; end end end ST_MCU_RD_ADDR: begin STATE <= ST_MCU_RD_ADDR; ST_MEM_DELAYr <= ST_MEM_DELAYr - 1; if(ST_MEM_DELAYr == 0) STATE <= ST_MCU_RD_END; MCU_DINr <= (ROM_ADDR0 ? ROM_DATA[7:0] : ROM_DATA[15:8]); end ST_MCU_WR_ADDR: begin STATE <= ST_MCU_WR_ADDR; ST_MEM_DELAYr <= ST_MEM_DELAYr - 1; if(ST_MEM_DELAYr == 0) STATE <= ST_MCU_WR_END; end ST_MCU_RD_END, ST_MCU_WR_END: begin STATE <= ST_IDLE; end endcase end assign ROM_DATA[7:0] = ROM_ADDR0 ?((ROM_HIT & ~SNES_WRITE) ? SNES_DATA : MCU_WR_HIT ? MCU_DOUT : 8'bZ ) :8'bZ; assign ROM_DATA[15:8] = ROM_ADDR0 ? 8'bZ :((ROM_HIT & ~SNES_WRITE) ? SNES_DATA : MCU_WR_HIT ? MCU_DOUT : 8'bZ ); assign ROM_WE = (ROM_HIT & IS_SAVERAM & SNES_CPU_CLK) ? SNES_WRITE : MCU_WE_HIT ? 1'b0 : 1'b1; assign ROM_BHE = ROM_ADDR0; assign ROM_BLE = ~ROM_ADDR0; assign SNES_DATABUS_OE = (SNES_ROMSEL & ~IS_SAVERAM) |(SNES_READ_narrow & SNES_WRITE); assign SNES_DATABUS_DIR = ~SNES_READ; assign SNES_IRQ = 1'b0; /* wire [35:0] CONTROL0; icon icon ( .CONTROL0(CONTROL0) // INOUT BUS [35:0] ); ila_srtc ila ( .CONTROL(CONTROL0), // INOUT BUS [35:0] .CLK(CLK2), // IN .TRIG0(SNES_ADDR), // IN BUS [23:0] .TRIG1(SNES_DATA), // IN BUS [7:0] .TRIG2({SNES_READ, SNES_WRITE, SNES_CPU_CLK, SNES_cycle_start, SNES_cycle_end, SNES_DEADr, MCU_RRQ, MCU_WRQ, MCU_RDY, ROM_WEr, ROM_WE, ROM_DOUT_ENr, ROM_SA, DBG_mcu_nextaddr, SNES_DATABUS_DIR, SNES_DATABUS_OE}), // IN BUS [15:0] .TRIG3({bsx_data_ovr, SPI_SCK, SPI_MISO, SPI_MOSI, spi_cmd_ready, spi_param_ready, spi_input_data, SD_DAT}), // IN BUS [17:0] .TRIG4(ROM_ADDRr), // IN BUS [23:0] .TRIG5(ROM_DATA), // IN BUS [15:0] .TRIG6(MCU_DINr), // IN BUS [7:0] .TRIG7(spi_byte_cnt[3:0]) ); */ /* ila_srtc ila ( .CONTROL(CONTROL0), // INOUT BUS [35:0] .CLK(CLK2), // IN .TRIG0(SD_DMA_DBG_cyclecnt), // IN BUS [23:0] .TRIG1(SD_DMA_SRAM_DATA), // IN BUS [7:0] .TRIG2({SPI_SCK, SPI_MOSI, SPI_MISO, spi_cmd_ready, SD_DMA_SRAM_WE, SD_DMA_EN, SD_CLK, SD_DAT, SD_DMA_NEXTADDR, SD_DMA_STATUS, 3'b000}), // IN BUS [15:0] .TRIG3({spi_cmd_data, spi_param_data}), // IN BUS [17:0] .TRIG4(ROM_ADDRr), // IN BUS [23:0] .TRIG5(ROM_DATA), // IN BUS [15:0] .TRIG6(MCU_DINr), // IN BUS [7:0] .TRIG7(ST_MEM_DELAYr) ); */ endmodule
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 05/22/2015 09:35:04 AM // Design Name: // Module Name: pwm // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: Enable signal is assumed to synchronous; if enable transitions low during pwm pulse, // pulse will gracefully complete // ////////////////////////////////////////////////////////////////////////////////// module pwm ( input clk, input enable, input [31:0] clk_period, input [31:0] pwm_period, output out ); reg clk_out=1'b0; reg [31:0] counter = 32'b0; always @(posedge clk) begin if (enable == 1'b1) begin if (counter < pwm_period) begin clk_out <= 1'b1; counter <= counter + 32'b1; end else begin if (counter < (clk_period-1)) begin clk_out <= 1'b0; counter <= counter + 32'b1; end else begin clk_out <= 1'b0; counter <= 32'b0; end end //end if counter < pwm_period end //end if(enable) else begin if ((counter > 0) && (counter < pwm_period) ) //gracefully end the last pwm if it has begun begin clk_out <= 1'b1; counter <= counter + 32'b1; end else begin clk_out <= 1'b0; counter <= 32'b0; end end end assign out = clk_out; endmodule
module test_top(); dbl_buf #(1, 2,3, REG_WIDTH + 64) dbl_buf (.rst_l(rst_l), .clk(clk), .wr(dbl_buf_wr), .din(c2i_packet), .rd(dbl_buf_rd), .dout(outdata_buf_in), .vld(dbl_buf_vld), .full(dbl_buf_full)); module_being_instanced MODULE_NAME ( .serial_in ({serial_in[87:72] } ), .afo ({afo[87:72] } ), .serial_out ({serial_out[87:72] } ), .afi ({afi[87:72] } ), .vrefcode_i_l ({net0527[0] ,net0527[1] ,net0527[2] ,net0527[3] , net0527[4] ,net0527[5] ,net0527[6] ,net0527[7] } ), .vrefcode_i_r ({net0578[0] ,net0578[1] ,net0578[2] ,net0578[3] , net0578[4] ,net0578[5] ,net0578[6] ,net0578[7] } ), .data_neg ({\dram_io_data_out[247] , \dram_io_data_out[246] , \dram_io_data_out[245] , \dram_io_data_out[244] , \dram_io_data_out[243] , \dram_io_data_out[242] , \dram_io_data_out[241] , \dram_io_data_out[240] , \dram_io_data_out[183] , \dram_io_data_out[182] , \dram_io_data_out[181] , \dram_io_data_out[180] , \dram_io_data_out[179] , \dram_io_data_out[178] , \dram_io_data_out[177] , \dram_io_data_out[176] } ) ); endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__MUX2_4_V `define SKY130_FD_SC_LS__MUX2_4_V /** * mux2: 2-input multiplexer. * * Verilog wrapper for mux2 with size of 4 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_ls__mux2.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ls__mux2_4 ( X , A0 , A1 , S , VPWR, VGND, VPB , VNB ); output X ; input A0 ; input A1 ; input S ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_ls__mux2 base ( .X(X), .A0(A0), .A1(A1), .S(S), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ls__mux2_4 ( X , A0, A1, S ); output X ; input A0; input A1; input S ; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_ls__mux2 base ( .X(X), .A0(A0), .A1(A1), .S(S) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_LS__MUX2_4_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__FAH_SYMBOL_V `define SKY130_FD_SC_HD__FAH_SYMBOL_V /** * fah: Full adder. * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hd__fah ( //# {{data|Data Signals}} input A , input B , input CI , output COUT, output SUM ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_HD__FAH_SYMBOL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__A211O_TB_V `define SKY130_FD_SC_LP__A211O_TB_V /** * a211o: 2-input AND into first input of 3-input OR. * * X = ((A1 & A2) | B1 | C1) * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_lp__a211o.v" module top(); // Inputs are registered reg A1; reg A2; reg B1; reg C1; reg VPWR; reg VGND; reg VPB; reg VNB; // Outputs are wires wire X; initial begin // Initial state is x for all inputs. A1 = 1'bX; A2 = 1'bX; B1 = 1'bX; C1 = 1'bX; VGND = 1'bX; VNB = 1'bX; VPB = 1'bX; VPWR = 1'bX; #20 A1 = 1'b0; #40 A2 = 1'b0; #60 B1 = 1'b0; #80 C1 = 1'b0; #100 VGND = 1'b0; #120 VNB = 1'b0; #140 VPB = 1'b0; #160 VPWR = 1'b0; #180 A1 = 1'b1; #200 A2 = 1'b1; #220 B1 = 1'b1; #240 C1 = 1'b1; #260 VGND = 1'b1; #280 VNB = 1'b1; #300 VPB = 1'b1; #320 VPWR = 1'b1; #340 A1 = 1'b0; #360 A2 = 1'b0; #380 B1 = 1'b0; #400 C1 = 1'b0; #420 VGND = 1'b0; #440 VNB = 1'b0; #460 VPB = 1'b0; #480 VPWR = 1'b0; #500 VPWR = 1'b1; #520 VPB = 1'b1; #540 VNB = 1'b1; #560 VGND = 1'b1; #580 C1 = 1'b1; #600 B1 = 1'b1; #620 A2 = 1'b1; #640 A1 = 1'b1; #660 VPWR = 1'bx; #680 VPB = 1'bx; #700 VNB = 1'bx; #720 VGND = 1'bx; #740 C1 = 1'bx; #760 B1 = 1'bx; #780 A2 = 1'bx; #800 A1 = 1'bx; end sky130_fd_sc_lp__a211o dut (.A1(A1), .A2(A2), .B1(B1), .C1(C1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X)); endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__A211O_TB_V
//----------------------------------------------------------------------------- // processing_system7 // processor sub system wrapper //----------------------------------------------------------------------------- // // ************************************************************************ // ** DISCLAIMER OF LIABILITY ** // ** ** // ** This file contains proprietary and confidential information of ** // ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** // ** from Xilinx, and may be used, copied and/or diSCLosed only ** // ** pursuant to the terms of a valid license agreement with Xilinx. ** // ** ** // ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** // ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** // ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** // ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** // ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** // ** does not warrant that functions included in the Materials will ** // ** meet the requirements of Licensee, or that the operation of the ** // ** Materials will be uninterrupted or error-free, or that defects ** // ** in the Materials will be corrected. Furthermore, Xilinx does ** // ** not warrant or make any representations regarding use, or the ** // ** results of the use, of the Materials in terms of correctness, ** // ** accuracy, reliability or otherwise. ** // ** ** // ** Xilinx products are not designed or intended to be fail-safe, ** // ** or for use in any application requiring fail-safe performance, ** // ** such as life-support or safety devices or systems, Class III ** // ** medical devices, nuclear facilities, applications related to ** // ** the deployment of airbags, or any other applications that could ** // ** lead to death, personal injury or severe property or ** // ** environmental damage (individually and collectively, "critical ** // ** applications"). Customer assumes the sole risk and liability ** // ** of any use of Xilinx products in critical applications, ** // ** subject only to applicable laws and regulations governing ** // ** limitations on product liability. ** // ** ** // ** Copyright 2010 Xilinx, Inc. ** // ** All rights reserved. ** // ** ** // ** This disclaimer and copyright notice must be retained as part ** // ** of this file at all times. ** // ************************************************************************ // //----------------------------------------------------------------------------- // Filename: processing_system7_v5_5_processing_system7.v // Version: v1.00.a // Description: This is the wrapper file for PSS. //----------------------------------------------------------------------------- // Structure: This section shows the hierarchical structure of // pss_wrapper. // // --processing_system7_v5_5_processing_system7.v // --PS7.v - Unisim component //----------------------------------------------------------------------------- // Author: SD // // History: // // SD 09/20/11 -- First version // ~~~~~~ // Created the first version v2.00.a // ^^^^^^ //------------------------------------------------------------------------------ // ^^^^^^ // SR 11/25/11 -- v3.00.a version // ~~~~~~~ // Key changes are // 1. Changed all clock, reset and clktrig ports to be individual // signals instead of vectors. This is required for modeling of tools. // 2. Interrupts are now defined as individual signals as well. // 3. Added Clk buffer logic for FCLK_CLK // 4. Includes the ACP related changes done // // TODO: // 1. C_NUM_F2P_INTR_INPUTS needs to have control on the // number of interrupt ports connected for IRQ_F2P. // //------------------------------------------------------------------------------ // ^^^^^^ // KP 12/07/11 -- v3.00.a version // ~~~~~~~ // Key changes are // C_NUM_F2P_INTR_INPUTS taken into account for IRQ_F2P //------------------------------------------------------------------------------ // ^^^^^^ // NR 12/09/11 -- v3.00.a version // ~~~~~~~ // Key changes are // C_FCLK_CLK0_BUF to C_FCLK_CLK3_BUF parameters were updated // to STRING and fix for CR 640523 //------------------------------------------------------------------------------ // ^^^^^^ // NR 12/13/11 -- v3.00.a version // ~~~~~~~ // Key changes are // Updated IRQ_F2P logic to address CR 641523. //------------------------------------------------------------------------------ // ^^^^^^ // NR 02/01/12 -- v3.01.a version // ~~~~~~~ // Key changes are // Updated SDIO logic to address CR 636210. // | // Added C_PS7_SI_REV parameter to track SI Rev // Removed compress/decompress logic to address CR 642527. //------------------------------------------------------------------------------ // ^^^^^^ // NR 02/27/12 -- v3.01.a version // ~~~~~~~ // Key changes are // TTC(0,1)_WAVE_OUT and TTC(0,1)_CLK_IN vector signals are made as individual // ports as fix for CR 646379 //------------------------------------------------------------------------------ // ^^^^^^ // NR 03/05/12 -- v3.01.a version // ~~~~~~~ // Key changes are // Added/updated compress/decompress logic to address 648393 //------------------------------------------------------------------------------ // ^^^^^^ // NR 03/14/12 -- v4.00.a version // ~~~~~~~ // Unused parameters deleted CR 651120 // Addressed CR 651751 //------------------------------------------------------------------------------ // ^^^^^^ // NR 04/17/12 -- v4.01.a version // ~~~~~~~ // Added FTM trace buffer functionality // Added support for ACP AxUSER ports local update //------------------------------------------------------------------------------ // ^^^^^^ // VR 05/18/12 -- v4.01.a version // ~~~~~~~ // Fixed CR#659157 //------------------------------------------------------------------------------ // ^^^^^^ // VR 07/25/12 -- v4.01.a version // ~~~~~~~ // Changed S_AXI_HP{1,2}_WACOUNT port's width to 6 from 8 to match unisim model // Changed fclk_clktrig_gnd width to 4 from 16 to match unisim model //------------------------------------------------------------------------------ // ^^^^^^ // VR 11/06/12 -- v5.00 version // ~~~~~~~ // CR #682573 // Added BIBUF to fixed IO ports and IBUF to fixed input ports //------------------------------------------------------------------------------ (*POWER= "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p0} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={50} usageRate={0.5} />/>" *) (* CORE_GENERATION_INFO = "processing_system7_v5.5 ,processing_system7_v5.5_user_configuration,{ PCW_UIPARAM_DDR_FREQ_MHZ=533.333313, PCW_UIPARAM_DDR_BANK_ADDR_COUNT=3, PCW_UIPARAM_DDR_ROW_ADDR_COUNT=14, PCW_UIPARAM_DDR_COL_ADDR_COUNT=10, PCW_UIPARAM_DDR_CL=7, PCW_UIPARAM_DDR_CWL=6, PCW_UIPARAM_DDR_T_RCD=7, PCW_UIPARAM_DDR_T_RP=7, PCW_UIPARAM_DDR_T_RC=49.5, PCW_UIPARAM_DDR_T_RAS_MIN=36.0, PCW_UIPARAM_DDR_T_FAW=45.0, PCW_UIPARAM_DDR_AL=0, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0=0.025, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1=0.028, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2=-0.009, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3=-0.061, PCW_UIPARAM_DDR_BOARD_DELAY0=0.41, PCW_UIPARAM_DDR_BOARD_DELAY1=0.411, PCW_UIPARAM_DDR_BOARD_DELAY2=0.341, PCW_UIPARAM_DDR_BOARD_DELAY3=0.358, PCW_UIPARAM_DDR_DQS_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_3_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH=68.4725, PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH=71.086, PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH=66.794, PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH=108.7385, PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH=64.1705, PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH=63.686, PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH=68.46, PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH=105.4895, PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH=61.0905, PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH=61.0905, PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH=61.0905, PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH=61.0905, PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY=160, PCW_CRYSTAL_PERIPHERAL_FREQMHZ=33.333333, PCW_APU_PERIPHERAL_FREQMHZ=666.666667, PCW_DCI_PERIPHERAL_FREQMHZ=10.159, PCW_QSPI_PERIPHERAL_FREQMHZ=200, PCW_SMC_PERIPHERAL_FREQMHZ=100, PCW_USB0_PERIPHERAL_FREQMHZ=60, PCW_USB1_PERIPHERAL_FREQMHZ=60, PCW_SDIO_PERIPHERAL_FREQMHZ=50, PCW_UART_PERIPHERAL_FREQMHZ=50, PCW_SPI_PERIPHERAL_FREQMHZ=166.666666, PCW_CAN_PERIPHERAL_FREQMHZ=100, PCW_CAN0_PERIPHERAL_FREQMHZ=-1, PCW_CAN1_PERIPHERAL_FREQMHZ=-1, PCW_WDT_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC_PERIPHERAL_FREQMHZ=50, PCW_TTC0_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_PCAP_PERIPHERAL_FREQMHZ=200, PCW_TPIU_PERIPHERAL_FREQMHZ=200, PCW_FPGA0_PERIPHERAL_FREQMHZ=50, PCW_FPGA1_PERIPHERAL_FREQMHZ=150.000000, PCW_FPGA2_PERIPHERAL_FREQMHZ=148.5, PCW_FPGA3_PERIPHERAL_FREQMHZ=50, PCW_OVERRIDE_BASIC_CLOCK=0, PCW_ARMPLL_CTRL_FBDIV=40, PCW_IOPLL_CTRL_FBDIV=30, PCW_DDRPLL_CTRL_FBDIV=32, PCW_CPU_CPU_PLL_FREQMHZ=1333.333, PCW_IO_IO_PLL_FREQMHZ=1000.000, PCW_DDR_DDR_PLL_FREQMHZ=1066.667, PCW_USE_M_AXI_GP0=1, PCW_USE_M_AXI_GP1=0, PCW_USE_S_AXI_GP0=0, PCW_USE_S_AXI_GP1=0, PCW_USE_S_AXI_ACP=0, PCW_USE_S_AXI_HP0=0, PCW_USE_S_AXI_HP1=0, PCW_USE_S_AXI_HP2=0, PCW_USE_S_AXI_HP3=0, PCW_M_AXI_GP0_FREQMHZ=50, PCW_M_AXI_GP1_FREQMHZ=10, PCW_S_AXI_GP0_FREQMHZ=10, PCW_S_AXI_GP1_FREQMHZ=10, PCW_S_AXI_ACP_FREQMHZ=10, PCW_S_AXI_HP0_FREQMHZ=10, PCW_S_AXI_HP1_FREQMHZ=10, PCW_S_AXI_HP2_FREQMHZ=10, PCW_S_AXI_HP3_FREQMHZ=10, PCW_USE_CROSS_TRIGGER=0, PCW_FTM_CTI_IN0=DISABLED, PCW_FTM_CTI_IN1=DISABLED, PCW_FTM_CTI_IN2=DISABLED, PCW_FTM_CTI_IN3=DISABLED, PCW_FTM_CTI_OUT0=DISABLED, PCW_FTM_CTI_OUT1=DISABLED, PCW_FTM_CTI_OUT2=DISABLED, PCW_FTM_CTI_OUT3=DISABLED, PCW_UART0_BAUD_RATE=115200, PCW_UART1_BAUD_RATE=115200, PCW_S_AXI_HP0_DATA_WIDTH=64, PCW_S_AXI_HP1_DATA_WIDTH=64, PCW_S_AXI_HP2_DATA_WIDTH=64, PCW_S_AXI_HP3_DATA_WIDTH=64, PCW_IRQ_F2P_MODE=DIRECT, PCW_PRESET_BANK0_VOLTAGE=LVCMOS 3.3V, PCW_PRESET_BANK1_VOLTAGE=LVCMOS 1.8V, PCW_UIPARAM_DDR_ENABLE=1, PCW_UIPARAM_DDR_ADV_ENABLE=0, PCW_UIPARAM_DDR_MEMORY_TYPE=DDR 3, PCW_UIPARAM_DDR_ECC=Disabled, PCW_UIPARAM_DDR_BUS_WIDTH=32 Bit, PCW_UIPARAM_DDR_BL=8, PCW_UIPARAM_DDR_HIGH_TEMP=Normal (0-85), PCW_UIPARAM_DDR_PARTNO=MT41J128M16 HA-15E, PCW_UIPARAM_DDR_DRAM_WIDTH=16 Bits, PCW_UIPARAM_DDR_DEVICE_CAPACITY=2048 MBits, PCW_UIPARAM_DDR_SPEED_BIN=DDR3_1066F, PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL=1, PCW_UIPARAM_DDR_TRAIN_READ_GATE=1, PCW_UIPARAM_DDR_TRAIN_DATA_EYE=1, PCW_UIPARAM_DDR_CLOCK_STOP_EN=0, PCW_UIPARAM_DDR_USE_INTERNAL_VREF=1, PCW_DDR_PORT0_HPR_ENABLE=0, PCW_DDR_PORT1_HPR_ENABLE=0, PCW_DDR_PORT2_HPR_ENABLE=0, PCW_DDR_PORT3_HPR_ENABLE=0, PCW_DDR_HPRLPR_QUEUE_PARTITION=HPR(0)/LPR(32), PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL=15, PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_NAND_PERIPHERAL_ENABLE=0, PCW_NAND_GRP_D8_ENABLE=0, PCW_NOR_PERIPHERAL_ENABLE=0, PCW_NOR_GRP_A25_ENABLE=0, PCW_NOR_GRP_CS0_ENABLE=0, PCW_NOR_GRP_SRAM_CS0_ENABLE=0, PCW_NOR_GRP_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_INT_ENABLE=0, PCW_QSPI_PERIPHERAL_ENABLE=1, PCW_QSPI_QSPI_IO=MIO 1 .. 6, PCW_QSPI_GRP_SINGLE_SS_ENABLE=1, PCW_QSPI_GRP_SINGLE_SS_IO=MIO 1 .. 6, PCW_QSPI_GRP_SS1_ENABLE=0, PCW_QSPI_GRP_IO1_ENABLE=0, PCW_QSPI_GRP_FBCLK_ENABLE=0, PCW_QSPI_INTERNAL_HIGHADDRESS=0xFCFFFFFF, PCW_ENET0_PERIPHERAL_ENABLE=1, PCW_ENET0_ENET0_IO=MIO 16 .. 27, PCW_ENET0_GRP_MDIO_ENABLE=1, PCW_ENET0_RESET_ENABLE=0, PCW_ENET1_PERIPHERAL_ENABLE=0, PCW_ENET1_GRP_MDIO_ENABLE=0, PCW_ENET1_RESET_ENABLE=0, PCW_SD0_PERIPHERAL_ENABLE=1, PCW_SD0_SD0_IO=MIO 40 .. 45, PCW_SD0_GRP_CD_ENABLE=1, PCW_SD0_GRP_CD_IO=MIO 47, PCW_SD0_GRP_WP_ENABLE=1, PCW_SD0_GRP_WP_IO=MIO 46, PCW_SD0_GRP_POW_ENABLE=0, PCW_SD1_PERIPHERAL_ENABLE=0, PCW_SD1_GRP_CD_ENABLE=0, PCW_SD1_GRP_WP_ENABLE=0, PCW_SD1_GRP_POW_ENABLE=0, PCW_UART0_PERIPHERAL_ENABLE=0, PCW_UART0_GRP_FULL_ENABLE=0, PCW_UART1_PERIPHERAL_ENABLE=1, PCW_UART1_UART1_IO=MIO 48 .. 49, PCW_UART1_GRP_FULL_ENABLE=0, PCW_SPI0_PERIPHERAL_ENABLE=0, PCW_SPI0_GRP_SS0_ENABLE=0, PCW_SPI0_GRP_SS1_ENABLE=0, PCW_SPI0_GRP_SS2_ENABLE=0, PCW_SPI1_PERIPHERAL_ENABLE=0, PCW_SPI1_GRP_SS0_ENABLE=0, PCW_SPI1_GRP_SS1_ENABLE=0, PCW_SPI1_GRP_SS2_ENABLE=0, PCW_CAN0_PERIPHERAL_ENABLE=0, PCW_CAN0_GRP_CLK_ENABLE=0, PCW_CAN1_PERIPHERAL_ENABLE=0, PCW_CAN1_GRP_CLK_ENABLE=0, PCW_TRACE_PERIPHERAL_ENABLE=0, PCW_TRACE_GRP_2BIT_ENABLE=0, PCW_TRACE_GRP_4BIT_ENABLE=0, PCW_TRACE_GRP_8BIT_ENABLE=0, PCW_TRACE_GRP_16BIT_ENABLE=0, PCW_TRACE_GRP_32BIT_ENABLE=0, PCW_WDT_PERIPHERAL_ENABLE=0, PCW_TTC0_PERIPHERAL_ENABLE=1, PCW_TTC0_TTC0_IO=EMIO, PCW_TTC1_PERIPHERAL_ENABLE=0, PCW_PJTAG_PERIPHERAL_ENABLE=0, PCW_USB0_PERIPHERAL_ENABLE=1, PCW_USB0_USB0_IO=MIO 28 .. 39, PCW_USB0_RESET_ENABLE=0, PCW_USB1_PERIPHERAL_ENABLE=0, PCW_USB1_RESET_ENABLE=0, PCW_I2C0_PERIPHERAL_ENABLE=1, PCW_I2C0_I2C0_IO=MIO 10 .. 11, PCW_I2C0_GRP_INT_ENABLE=0, PCW_I2C0_RESET_ENABLE=0, PCW_I2C1_PERIPHERAL_ENABLE=1, PCW_I2C1_I2C1_IO=EMIO, PCW_I2C1_GRP_INT_ENABLE=1, PCW_I2C1_GRP_INT_IO=EMIO, PCW_I2C1_RESET_ENABLE=0, PCW_GPIO_PERIPHERAL_ENABLE=0, PCW_GPIO_MIO_GPIO_ENABLE=1, PCW_GPIO_MIO_GPIO_IO=MIO, PCW_GPIO_EMIO_GPIO_ENABLE=0, PCW_APU_CLK_RATIO_ENABLE=6:2:1, PCW_ENET0_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_ENET1_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_CPU_PERIPHERAL_CLKSRC=ARM PLL, PCW_DDR_PERIPHERAL_CLKSRC=DDR PLL, PCW_SMC_PERIPHERAL_CLKSRC=IO PLL, PCW_QSPI_PERIPHERAL_CLKSRC=IO PLL, PCW_SDIO_PERIPHERAL_CLKSRC=IO PLL, PCW_UART_PERIPHERAL_CLKSRC=IO PLL, PCW_SPI_PERIPHERAL_CLKSRC=IO PLL, PCW_CAN_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK0_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK1_PERIPHERAL_CLKSRC=DDR PLL, PCW_FCLK2_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK3_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET0_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET1_PERIPHERAL_CLKSRC=IO PLL, PCW_CAN0_PERIPHERAL_CLKSRC=External, PCW_CAN1_PERIPHERAL_CLKSRC=External, PCW_TPIU_PERIPHERAL_CLKSRC=External, PCW_TTC0_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_WDT_PERIPHERAL_CLKSRC=CPU_1X, PCW_DCI_PERIPHERAL_CLKSRC=DDR PLL, PCW_PCAP_PERIPHERAL_CLKSRC=IO PLL, PCW_USB_RESET_POLARITY=Active Low, PCW_ENET_RESET_POLARITY=Active Low, PCW_I2C_RESET_POLARITY=Active Low, PCW_FPGA_FCLK0_ENABLE=1, PCW_FPGA_FCLK1_ENABLE=1, PCW_FPGA_FCLK2_ENABLE=1, PCW_FPGA_FCLK3_ENABLE=0, PCW_NOR_SRAM_CS0_T_TR=1, PCW_NOR_SRAM_CS0_T_PC=1, PCW_NOR_SRAM_CS0_T_WP=1, PCW_NOR_SRAM_CS0_T_CEOE=1, PCW_NOR_SRAM_CS0_T_WC=2, PCW_NOR_SRAM_CS0_T_RC=2, PCW_NOR_SRAM_CS0_WE_TIME=0, PCW_NOR_SRAM_CS1_T_TR=1, PCW_NOR_SRAM_CS1_T_PC=1, PCW_NOR_SRAM_CS1_T_WP=1, PCW_NOR_SRAM_CS1_T_CEOE=1, PCW_NOR_SRAM_CS1_T_WC=2, PCW_NOR_SRAM_CS1_T_RC=2, PCW_NOR_SRAM_CS1_WE_TIME=0, PCW_NOR_CS0_T_TR=1, PCW_NOR_CS0_T_PC=1, PCW_NOR_CS0_T_WP=1, PCW_NOR_CS0_T_CEOE=1, PCW_NOR_CS0_T_WC=2, PCW_NOR_CS0_T_RC=2, PCW_NOR_CS0_WE_TIME=0, PCW_NOR_CS1_T_TR=1, PCW_NOR_CS1_T_PC=1, PCW_NOR_CS1_T_WP=1, PCW_NOR_CS1_T_CEOE=1, PCW_NOR_CS1_T_WC=2, PCW_NOR_CS1_T_RC=2, PCW_NOR_CS1_WE_TIME=0, PCW_NAND_CYCLES_T_RR=1, PCW_NAND_CYCLES_T_AR=1, PCW_NAND_CYCLES_T_CLR=1, PCW_NAND_CYCLES_T_WP=1, PCW_NAND_CYCLES_T_REA=1, PCW_NAND_CYCLES_T_WC=2, PCW_NAND_CYCLES_T_RC=2 }" *) module processing_system7_v5_5_processing_system7 #( parameter integer C_USE_DEFAULT_ACP_USER_VAL = 1, parameter integer C_S_AXI_ACP_ARUSER_VAL = 31, parameter integer C_S_AXI_ACP_AWUSER_VAL = 31, parameter integer C_M_AXI_GP0_THREAD_ID_WIDTH = 12, parameter integer C_M_AXI_GP1_THREAD_ID_WIDTH = 12, parameter integer C_M_AXI_GP0_ENABLE_STATIC_REMAP = 1, parameter integer C_M_AXI_GP1_ENABLE_STATIC_REMAP = 1, parameter integer C_M_AXI_GP0_ID_WIDTH = 12, parameter integer C_M_AXI_GP1_ID_WIDTH = 12, parameter integer C_S_AXI_GP0_ID_WIDTH = 6, parameter integer C_S_AXI_GP1_ID_WIDTH = 6, parameter integer C_S_AXI_HP0_ID_WIDTH = 6, parameter integer C_S_AXI_HP1_ID_WIDTH = 6, parameter integer C_S_AXI_HP2_ID_WIDTH = 6, parameter integer C_S_AXI_HP3_ID_WIDTH = 6, parameter integer C_S_AXI_ACP_ID_WIDTH = 3, parameter integer C_S_AXI_HP0_DATA_WIDTH = 64, parameter integer C_S_AXI_HP1_DATA_WIDTH = 64, parameter integer C_S_AXI_HP2_DATA_WIDTH = 64, parameter integer C_S_AXI_HP3_DATA_WIDTH = 64, parameter integer C_INCLUDE_ACP_TRANS_CHECK = 0, parameter integer C_NUM_F2P_INTR_INPUTS = 1, parameter C_FCLK_CLK0_BUF = "TRUE", parameter C_FCLK_CLK1_BUF = "TRUE", parameter C_FCLK_CLK2_BUF = "TRUE", parameter C_FCLK_CLK3_BUF = "TRUE", parameter integer C_EMIO_GPIO_WIDTH = 64, parameter integer C_INCLUDE_TRACE_BUFFER = 0, parameter integer C_TRACE_BUFFER_FIFO_SIZE = 128, parameter integer C_TRACE_BUFFER_CLOCK_DELAY = 12, parameter integer USE_TRACE_DATA_EDGE_DETECTOR = 0, parameter integer C_TRACE_PIPELINE_WIDTH = 8, parameter C_PS7_SI_REV = "PRODUCTION", parameter integer C_EN_EMIO_ENET0 = 0, parameter integer C_EN_EMIO_ENET1 = 0, parameter integer C_EN_EMIO_TRACE = 0, parameter integer C_DQ_WIDTH = 32, parameter integer C_DQS_WIDTH = 4, parameter integer C_DM_WIDTH = 4, parameter integer C_MIO_PRIMITIVE = 54, parameter C_PACKAGE_NAME = "clg484", parameter C_IRQ_F2P_MODE = "DIRECT", parameter C_TRACE_INTERNAL_WIDTH = 32, parameter integer C_EN_EMIO_PJTAG = 0 ) ( //FMIO ========================================= //FMIO CAN0 output CAN0_PHY_TX, input CAN0_PHY_RX, //FMIO CAN1 output CAN1_PHY_TX, input CAN1_PHY_RX, //FMIO ENET0 output reg ENET0_GMII_TX_EN, output reg ENET0_GMII_TX_ER, output ENET0_MDIO_MDC, output ENET0_MDIO_O, output ENET0_MDIO_T, output ENET0_PTP_DELAY_REQ_RX, output ENET0_PTP_DELAY_REQ_TX, output ENET0_PTP_PDELAY_REQ_RX, output ENET0_PTP_PDELAY_REQ_TX, output ENET0_PTP_PDELAY_RESP_RX, output ENET0_PTP_PDELAY_RESP_TX, output ENET0_PTP_SYNC_FRAME_RX, output ENET0_PTP_SYNC_FRAME_TX, output ENET0_SOF_RX, output ENET0_SOF_TX, output reg [7:0] ENET0_GMII_TXD, input ENET0_GMII_COL, input ENET0_GMII_CRS, input ENET0_GMII_RX_CLK, input ENET0_GMII_RX_DV, input ENET0_GMII_RX_ER, input ENET0_GMII_TX_CLK, input ENET0_MDIO_I, input ENET0_EXT_INTIN, input [7:0] ENET0_GMII_RXD, //FMIO ENET1 output reg ENET1_GMII_TX_EN, output reg ENET1_GMII_TX_ER, output ENET1_MDIO_MDC, output ENET1_MDIO_O, output ENET1_MDIO_T, output ENET1_PTP_DELAY_REQ_RX, output ENET1_PTP_DELAY_REQ_TX, output ENET1_PTP_PDELAY_REQ_RX, output ENET1_PTP_PDELAY_REQ_TX, output ENET1_PTP_PDELAY_RESP_RX, output ENET1_PTP_PDELAY_RESP_TX, output ENET1_PTP_SYNC_FRAME_RX, output ENET1_PTP_SYNC_FRAME_TX, output ENET1_SOF_RX, output ENET1_SOF_TX, output reg [7:0] ENET1_GMII_TXD, input ENET1_GMII_COL, input ENET1_GMII_CRS, input ENET1_GMII_RX_CLK, input ENET1_GMII_RX_DV, input ENET1_GMII_RX_ER, input ENET1_GMII_TX_CLK, input ENET1_MDIO_I, input ENET1_EXT_INTIN, input [7:0] ENET1_GMII_RXD, //FMIO GPIO input [(C_EMIO_GPIO_WIDTH-1):0] GPIO_I, output [(C_EMIO_GPIO_WIDTH-1):0] GPIO_O, output [(C_EMIO_GPIO_WIDTH-1):0] GPIO_T, //FMIO I2C0 input I2C0_SDA_I, output I2C0_SDA_O, output I2C0_SDA_T, input I2C0_SCL_I, output I2C0_SCL_O, output I2C0_SCL_T, //FMIO I2C1 input I2C1_SDA_I, output I2C1_SDA_O, output I2C1_SDA_T, input I2C1_SCL_I, output I2C1_SCL_O, output I2C1_SCL_T, //FMIO PJTAG input PJTAG_TCK, input PJTAG_TMS, input PJTAG_TDI, output PJTAG_TDO, //FMIO SDIO0 output SDIO0_CLK, input SDIO0_CLK_FB, output SDIO0_CMD_O, input SDIO0_CMD_I, output SDIO0_CMD_T, input [3:0] SDIO0_DATA_I, output [3:0] SDIO0_DATA_O, output [3:0] SDIO0_DATA_T, output SDIO0_LED, input SDIO0_CDN, input SDIO0_WP, output SDIO0_BUSPOW, output [2:0] SDIO0_BUSVOLT, //FMIO SDIO1 output SDIO1_CLK, input SDIO1_CLK_FB, output SDIO1_CMD_O, input SDIO1_CMD_I, output SDIO1_CMD_T, input [3:0] SDIO1_DATA_I, output [3:0] SDIO1_DATA_O, output [3:0] SDIO1_DATA_T, output SDIO1_LED, input SDIO1_CDN, input SDIO1_WP, output SDIO1_BUSPOW, output [2:0] SDIO1_BUSVOLT, //FMIO SPI0 input SPI0_SCLK_I, output SPI0_SCLK_O, output SPI0_SCLK_T, input SPI0_MOSI_I, output SPI0_MOSI_O, output SPI0_MOSI_T, input SPI0_MISO_I, output SPI0_MISO_O, output SPI0_MISO_T, input SPI0_SS_I, output SPI0_SS_O, output SPI0_SS1_O, output SPI0_SS2_O, output SPI0_SS_T, //FMIO SPI1 input SPI1_SCLK_I, output SPI1_SCLK_O, output SPI1_SCLK_T, input SPI1_MOSI_I, output SPI1_MOSI_O, output SPI1_MOSI_T, input SPI1_MISO_I, output SPI1_MISO_O, output SPI1_MISO_T, input SPI1_SS_I, output SPI1_SS_O, output SPI1_SS1_O, output SPI1_SS2_O, output SPI1_SS_T, //FMIO UART0 output UART0_DTRN, output UART0_RTSN, output UART0_TX, input UART0_CTSN, input UART0_DCDN, input UART0_DSRN, input UART0_RIN, input UART0_RX, //FMIO UART1 output UART1_DTRN, output UART1_RTSN, output UART1_TX, input UART1_CTSN, input UART1_DCDN, input UART1_DSRN, input UART1_RIN, input UART1_RX, //FMIO TTC0 output TTC0_WAVE0_OUT, output TTC0_WAVE1_OUT, output TTC0_WAVE2_OUT, input TTC0_CLK0_IN, input TTC0_CLK1_IN, input TTC0_CLK2_IN, //FMIO TTC1 output TTC1_WAVE0_OUT, output TTC1_WAVE1_OUT, output TTC1_WAVE2_OUT, input TTC1_CLK0_IN, input TTC1_CLK1_IN, input TTC1_CLK2_IN, //WDT input WDT_CLK_IN, output WDT_RST_OUT, //FTPORT input TRACE_CLK, output TRACE_CTL, output [(C_TRACE_INTERNAL_WIDTH)-1:0] TRACE_DATA, output reg TRACE_CLK_OUT, // USB output [1:0] USB0_PORT_INDCTL, output USB0_VBUS_PWRSELECT, input USB0_VBUS_PWRFAULT, output [1:0] USB1_PORT_INDCTL, output USB1_VBUS_PWRSELECT, input USB1_VBUS_PWRFAULT, input SRAM_INTIN, //AIO =================================================== //M_AXI_GP0 // -- Output output M_AXI_GP0_ARESETN, output M_AXI_GP0_ARVALID, output M_AXI_GP0_AWVALID, output M_AXI_GP0_BREADY, output M_AXI_GP0_RREADY, output M_AXI_GP0_WLAST, output M_AXI_GP0_WVALID, output [(C_M_AXI_GP0_THREAD_ID_WIDTH - 1):0] M_AXI_GP0_ARID, output [(C_M_AXI_GP0_THREAD_ID_WIDTH - 1):0] M_AXI_GP0_AWID, output [(C_M_AXI_GP0_THREAD_ID_WIDTH - 1):0] M_AXI_GP0_WID, output [1:0] M_AXI_GP0_ARBURST, output [1:0] M_AXI_GP0_ARLOCK, output [2:0] M_AXI_GP0_ARSIZE, output [1:0] M_AXI_GP0_AWBURST, output [1:0] M_AXI_GP0_AWLOCK, output [2:0] M_AXI_GP0_AWSIZE, output [2:0] M_AXI_GP0_ARPROT, output [2:0] M_AXI_GP0_AWPROT, output [31:0] M_AXI_GP0_ARADDR, output [31:0] M_AXI_GP0_AWADDR, output [31:0] M_AXI_GP0_WDATA, output [3:0] M_AXI_GP0_ARCACHE, output [3:0] M_AXI_GP0_ARLEN, output [3:0] M_AXI_GP0_ARQOS, output [3:0] M_AXI_GP0_AWCACHE, output [3:0] M_AXI_GP0_AWLEN, output [3:0] M_AXI_GP0_AWQOS, output [3:0] M_AXI_GP0_WSTRB, // -- Input input M_AXI_GP0_ACLK, input M_AXI_GP0_ARREADY, input M_AXI_GP0_AWREADY, input M_AXI_GP0_BVALID, input M_AXI_GP0_RLAST, input M_AXI_GP0_RVALID, input M_AXI_GP0_WREADY, input [(C_M_AXI_GP0_THREAD_ID_WIDTH - 1):0] M_AXI_GP0_BID, input [(C_M_AXI_GP0_THREAD_ID_WIDTH - 1):0] M_AXI_GP0_RID, input [1:0] M_AXI_GP0_BRESP, input [1:0] M_AXI_GP0_RRESP, input [31:0] M_AXI_GP0_RDATA, //M_AXI_GP1 // -- Output output M_AXI_GP1_ARESETN, output M_AXI_GP1_ARVALID, output M_AXI_GP1_AWVALID, output M_AXI_GP1_BREADY, output M_AXI_GP1_RREADY, output M_AXI_GP1_WLAST, output M_AXI_GP1_WVALID, output [(C_M_AXI_GP1_THREAD_ID_WIDTH - 1):0] M_AXI_GP1_ARID, output [(C_M_AXI_GP1_THREAD_ID_WIDTH - 1):0] M_AXI_GP1_AWID, output [(C_M_AXI_GP1_THREAD_ID_WIDTH - 1):0] M_AXI_GP1_WID, output [1:0] M_AXI_GP1_ARBURST, output [1:0] M_AXI_GP1_ARLOCK, output [2:0] M_AXI_GP1_ARSIZE, output [1:0] M_AXI_GP1_AWBURST, output [1:0] M_AXI_GP1_AWLOCK, output [2:0] M_AXI_GP1_AWSIZE, output [2:0] M_AXI_GP1_ARPROT, output [2:0] M_AXI_GP1_AWPROT, output [31:0] M_AXI_GP1_ARADDR, output [31:0] M_AXI_GP1_AWADDR, output [31:0] M_AXI_GP1_WDATA, output [3:0] M_AXI_GP1_ARCACHE, output [3:0] M_AXI_GP1_ARLEN, output [3:0] M_AXI_GP1_ARQOS, output [3:0] M_AXI_GP1_AWCACHE, output [3:0] M_AXI_GP1_AWLEN, output [3:0] M_AXI_GP1_AWQOS, output [3:0] M_AXI_GP1_WSTRB, // -- Input input M_AXI_GP1_ACLK, input M_AXI_GP1_ARREADY, input M_AXI_GP1_AWREADY, input M_AXI_GP1_BVALID, input M_AXI_GP1_RLAST, input M_AXI_GP1_RVALID, input M_AXI_GP1_WREADY, input [(C_M_AXI_GP1_THREAD_ID_WIDTH - 1):0] M_AXI_GP1_BID, input [(C_M_AXI_GP1_THREAD_ID_WIDTH - 1):0] M_AXI_GP1_RID, input [1:0] M_AXI_GP1_BRESP, input [1:0] M_AXI_GP1_RRESP, input [31:0] M_AXI_GP1_RDATA, // S_AXI_GP0 // -- Output output S_AXI_GP0_ARESETN, output S_AXI_GP0_ARREADY, output S_AXI_GP0_AWREADY, output S_AXI_GP0_BVALID, output S_AXI_GP0_RLAST, output S_AXI_GP0_RVALID, output S_AXI_GP0_WREADY, output [1:0] S_AXI_GP0_BRESP, output [1:0] S_AXI_GP0_RRESP, output [31:0] S_AXI_GP0_RDATA, output [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] S_AXI_GP0_BID, output [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] S_AXI_GP0_RID, // -- Input input S_AXI_GP0_ACLK, input S_AXI_GP0_ARVALID, input S_AXI_GP0_AWVALID, input S_AXI_GP0_BREADY, input S_AXI_GP0_RREADY, input S_AXI_GP0_WLAST, input S_AXI_GP0_WVALID, input [1:0] S_AXI_GP0_ARBURST, input [1:0] S_AXI_GP0_ARLOCK, input [2:0] S_AXI_GP0_ARSIZE, input [1:0] S_AXI_GP0_AWBURST, input [1:0] S_AXI_GP0_AWLOCK, input [2:0] S_AXI_GP0_AWSIZE, input [2:0] S_AXI_GP0_ARPROT, input [2:0] S_AXI_GP0_AWPROT, input [31:0] S_AXI_GP0_ARADDR, input [31:0] S_AXI_GP0_AWADDR, input [31:0] S_AXI_GP0_WDATA, input [3:0] S_AXI_GP0_ARCACHE, input [3:0] S_AXI_GP0_ARLEN, input [3:0] S_AXI_GP0_ARQOS, input [3:0] S_AXI_GP0_AWCACHE, input [3:0] S_AXI_GP0_AWLEN, input [3:0] S_AXI_GP0_AWQOS, input [3:0] S_AXI_GP0_WSTRB, input [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] S_AXI_GP0_ARID, input [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] S_AXI_GP0_AWID, input [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] S_AXI_GP0_WID, // S_AXI_GP1 // -- Output output S_AXI_GP1_ARESETN, output S_AXI_GP1_ARREADY, output S_AXI_GP1_AWREADY, output S_AXI_GP1_BVALID, output S_AXI_GP1_RLAST, output S_AXI_GP1_RVALID, output S_AXI_GP1_WREADY, output [1:0] S_AXI_GP1_BRESP, output [1:0] S_AXI_GP1_RRESP, output [31:0] S_AXI_GP1_RDATA, output [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] S_AXI_GP1_BID, output [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] S_AXI_GP1_RID, // -- Input input S_AXI_GP1_ACLK, input S_AXI_GP1_ARVALID, input S_AXI_GP1_AWVALID, input S_AXI_GP1_BREADY, input S_AXI_GP1_RREADY, input S_AXI_GP1_WLAST, input S_AXI_GP1_WVALID, input [1:0] S_AXI_GP1_ARBURST, input [1:0] S_AXI_GP1_ARLOCK, input [2:0] S_AXI_GP1_ARSIZE, input [1:0] S_AXI_GP1_AWBURST, input [1:0] S_AXI_GP1_AWLOCK, input [2:0] S_AXI_GP1_AWSIZE, input [2:0] S_AXI_GP1_ARPROT, input [2:0] S_AXI_GP1_AWPROT, input [31:0] S_AXI_GP1_ARADDR, input [31:0] S_AXI_GP1_AWADDR, input [31:0] S_AXI_GP1_WDATA, input [3:0] S_AXI_GP1_ARCACHE, input [3:0] S_AXI_GP1_ARLEN, input [3:0] S_AXI_GP1_ARQOS, input [3:0] S_AXI_GP1_AWCACHE, input [3:0] S_AXI_GP1_AWLEN, input [3:0] S_AXI_GP1_AWQOS, input [3:0] S_AXI_GP1_WSTRB, input [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] S_AXI_GP1_ARID, input [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] S_AXI_GP1_AWID, input [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] S_AXI_GP1_WID, //S_AXI_ACP // -- Output output S_AXI_ACP_ARESETN, output S_AXI_ACP_ARREADY, output S_AXI_ACP_AWREADY, output S_AXI_ACP_BVALID, output S_AXI_ACP_RLAST, output S_AXI_ACP_RVALID, output S_AXI_ACP_WREADY, output [1:0] S_AXI_ACP_BRESP, output [1:0] S_AXI_ACP_RRESP, output [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ACP_BID, output [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ACP_RID, output [63:0] S_AXI_ACP_RDATA, // -- Input input S_AXI_ACP_ACLK, input S_AXI_ACP_ARVALID, input S_AXI_ACP_AWVALID, input S_AXI_ACP_BREADY, input S_AXI_ACP_RREADY, input S_AXI_ACP_WLAST, input S_AXI_ACP_WVALID, input [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ACP_ARID, input [2:0] S_AXI_ACP_ARPROT, input [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ACP_AWID, input [2:0] S_AXI_ACP_AWPROT, input [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ACP_WID, input [31:0] S_AXI_ACP_ARADDR, input [31:0] S_AXI_ACP_AWADDR, input [3:0] S_AXI_ACP_ARCACHE, input [3:0] S_AXI_ACP_ARLEN, input [3:0] S_AXI_ACP_ARQOS, input [3:0] S_AXI_ACP_AWCACHE, input [3:0] S_AXI_ACP_AWLEN, input [3:0] S_AXI_ACP_AWQOS, input [1:0] S_AXI_ACP_ARBURST, input [1:0] S_AXI_ACP_ARLOCK, input [2:0] S_AXI_ACP_ARSIZE, input [1:0] S_AXI_ACP_AWBURST, input [1:0] S_AXI_ACP_AWLOCK, input [2:0] S_AXI_ACP_AWSIZE, input [4:0] S_AXI_ACP_ARUSER, input [4:0] S_AXI_ACP_AWUSER, input [63:0] S_AXI_ACP_WDATA, input [7:0] S_AXI_ACP_WSTRB, // S_AXI_HP_0 // -- Output output S_AXI_HP0_ARESETN, output S_AXI_HP0_ARREADY, output S_AXI_HP0_AWREADY, output S_AXI_HP0_BVALID, output S_AXI_HP0_RLAST, output S_AXI_HP0_RVALID, output S_AXI_HP0_WREADY, output [1:0] S_AXI_HP0_BRESP, output [1:0] S_AXI_HP0_RRESP, output [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] S_AXI_HP0_BID, output [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] S_AXI_HP0_RID, output [(C_S_AXI_HP0_DATA_WIDTH - 1) :0] S_AXI_HP0_RDATA, output [7:0] S_AXI_HP0_RCOUNT, output [7:0] S_AXI_HP0_WCOUNT, output [2:0] S_AXI_HP0_RACOUNT, output [5:0] S_AXI_HP0_WACOUNT, // -- Input input S_AXI_HP0_ACLK, input S_AXI_HP0_ARVALID, input S_AXI_HP0_AWVALID, input S_AXI_HP0_BREADY, input S_AXI_HP0_RDISSUECAP1_EN, input S_AXI_HP0_RREADY, input S_AXI_HP0_WLAST, input S_AXI_HP0_WRISSUECAP1_EN, input S_AXI_HP0_WVALID, input [1:0] S_AXI_HP0_ARBURST, input [1:0] S_AXI_HP0_ARLOCK, input [2:0] S_AXI_HP0_ARSIZE, input [1:0] S_AXI_HP0_AWBURST, input [1:0] S_AXI_HP0_AWLOCK, input [2:0] S_AXI_HP0_AWSIZE, input [2:0] S_AXI_HP0_ARPROT, input [2:0] S_AXI_HP0_AWPROT, input [31:0] S_AXI_HP0_ARADDR, input [31:0] S_AXI_HP0_AWADDR, input [3:0] S_AXI_HP0_ARCACHE, input [3:0] S_AXI_HP0_ARLEN, input [3:0] S_AXI_HP0_ARQOS, input [3:0] S_AXI_HP0_AWCACHE, input [3:0] S_AXI_HP0_AWLEN, input [3:0] S_AXI_HP0_AWQOS, input [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] S_AXI_HP0_ARID, input [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] S_AXI_HP0_AWID, input [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] S_AXI_HP0_WID, input [(C_S_AXI_HP0_DATA_WIDTH - 1) :0] S_AXI_HP0_WDATA, input [((C_S_AXI_HP0_DATA_WIDTH/8)-1):0] S_AXI_HP0_WSTRB, // S_AXI_HP1 // -- Output output S_AXI_HP1_ARESETN, output S_AXI_HP1_ARREADY, output S_AXI_HP1_AWREADY, output S_AXI_HP1_BVALID, output S_AXI_HP1_RLAST, output S_AXI_HP1_RVALID, output S_AXI_HP1_WREADY, output [1:0] S_AXI_HP1_BRESP, output [1:0] S_AXI_HP1_RRESP, output [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] S_AXI_HP1_BID, output [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] S_AXI_HP1_RID, output [(C_S_AXI_HP1_DATA_WIDTH - 1) :0] S_AXI_HP1_RDATA, output [7:0] S_AXI_HP1_RCOUNT, output [7:0] S_AXI_HP1_WCOUNT, output [2:0] S_AXI_HP1_RACOUNT, output [5:0] S_AXI_HP1_WACOUNT, // -- Input input S_AXI_HP1_ACLK, input S_AXI_HP1_ARVALID, input S_AXI_HP1_AWVALID, input S_AXI_HP1_BREADY, input S_AXI_HP1_RDISSUECAP1_EN, input S_AXI_HP1_RREADY, input S_AXI_HP1_WLAST, input S_AXI_HP1_WRISSUECAP1_EN, input S_AXI_HP1_WVALID, input [1:0] S_AXI_HP1_ARBURST, input [1:0] S_AXI_HP1_ARLOCK, input [2:0] S_AXI_HP1_ARSIZE, input [1:0] S_AXI_HP1_AWBURST, input [1:0] S_AXI_HP1_AWLOCK, input [2:0] S_AXI_HP1_AWSIZE, input [2:0] S_AXI_HP1_ARPROT, input [2:0] S_AXI_HP1_AWPROT, input [31:0] S_AXI_HP1_ARADDR, input [31:0] S_AXI_HP1_AWADDR, input [3:0] S_AXI_HP1_ARCACHE, input [3:0] S_AXI_HP1_ARLEN, input [3:0] S_AXI_HP1_ARQOS, input [3:0] S_AXI_HP1_AWCACHE, input [3:0] S_AXI_HP1_AWLEN, input [3:0] S_AXI_HP1_AWQOS, input [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] S_AXI_HP1_ARID, input [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] S_AXI_HP1_AWID, input [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] S_AXI_HP1_WID, input [(C_S_AXI_HP1_DATA_WIDTH - 1) :0] S_AXI_HP1_WDATA, input [((C_S_AXI_HP1_DATA_WIDTH/8)-1):0] S_AXI_HP1_WSTRB, // S_AXI_HP2 // -- Output output S_AXI_HP2_ARESETN, output S_AXI_HP2_ARREADY, output S_AXI_HP2_AWREADY, output S_AXI_HP2_BVALID, output S_AXI_HP2_RLAST, output S_AXI_HP2_RVALID, output S_AXI_HP2_WREADY, output [1:0] S_AXI_HP2_BRESP, output [1:0] S_AXI_HP2_RRESP, output [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] S_AXI_HP2_BID, output [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] S_AXI_HP2_RID, output [(C_S_AXI_HP2_DATA_WIDTH - 1) :0] S_AXI_HP2_RDATA, output [7:0] S_AXI_HP2_RCOUNT, output [7:0] S_AXI_HP2_WCOUNT, output [2:0] S_AXI_HP2_RACOUNT, output [5:0] S_AXI_HP2_WACOUNT, // -- Input input S_AXI_HP2_ACLK, input S_AXI_HP2_ARVALID, input S_AXI_HP2_AWVALID, input S_AXI_HP2_BREADY, input S_AXI_HP2_RDISSUECAP1_EN, input S_AXI_HP2_RREADY, input S_AXI_HP2_WLAST, input S_AXI_HP2_WRISSUECAP1_EN, input S_AXI_HP2_WVALID, input [1:0] S_AXI_HP2_ARBURST, input [1:0] S_AXI_HP2_ARLOCK, input [2:0] S_AXI_HP2_ARSIZE, input [1:0] S_AXI_HP2_AWBURST, input [1:0] S_AXI_HP2_AWLOCK, input [2:0] S_AXI_HP2_AWSIZE, input [2:0] S_AXI_HP2_ARPROT, input [2:0] S_AXI_HP2_AWPROT, input [31:0] S_AXI_HP2_ARADDR, input [31:0] S_AXI_HP2_AWADDR, input [3:0] S_AXI_HP2_ARCACHE, input [3:0] S_AXI_HP2_ARLEN, input [3:0] S_AXI_HP2_ARQOS, input [3:0] S_AXI_HP2_AWCACHE, input [3:0] S_AXI_HP2_AWLEN, input [3:0] S_AXI_HP2_AWQOS, input [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] S_AXI_HP2_ARID, input [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] S_AXI_HP2_AWID, input [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] S_AXI_HP2_WID, input [(C_S_AXI_HP2_DATA_WIDTH - 1) :0] S_AXI_HP2_WDATA, input [((C_S_AXI_HP2_DATA_WIDTH/8)-1):0] S_AXI_HP2_WSTRB, // S_AXI_HP_3 // -- Output output S_AXI_HP3_ARESETN, output S_AXI_HP3_ARREADY, output S_AXI_HP3_AWREADY, output S_AXI_HP3_BVALID, output S_AXI_HP3_RLAST, output S_AXI_HP3_RVALID, output S_AXI_HP3_WREADY, output [1:0] S_AXI_HP3_BRESP, output [1:0] S_AXI_HP3_RRESP, output [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] S_AXI_HP3_BID, output [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] S_AXI_HP3_RID, output [(C_S_AXI_HP3_DATA_WIDTH - 1) :0] S_AXI_HP3_RDATA, output [7:0] S_AXI_HP3_RCOUNT, output [7:0] S_AXI_HP3_WCOUNT, output [2:0] S_AXI_HP3_RACOUNT, output [5:0] S_AXI_HP3_WACOUNT, // -- Input input S_AXI_HP3_ACLK, input S_AXI_HP3_ARVALID, input S_AXI_HP3_AWVALID, input S_AXI_HP3_BREADY, input S_AXI_HP3_RDISSUECAP1_EN, input S_AXI_HP3_RREADY, input S_AXI_HP3_WLAST, input S_AXI_HP3_WRISSUECAP1_EN, input S_AXI_HP3_WVALID, input [1:0] S_AXI_HP3_ARBURST, input [1:0] S_AXI_HP3_ARLOCK, input [2:0] S_AXI_HP3_ARSIZE, input [1:0] S_AXI_HP3_AWBURST, input [1:0] S_AXI_HP3_AWLOCK, input [2:0] S_AXI_HP3_AWSIZE, input [2:0] S_AXI_HP3_ARPROT, input [2:0] S_AXI_HP3_AWPROT, input [31:0] S_AXI_HP3_ARADDR, input [31:0] S_AXI_HP3_AWADDR, input [3:0] S_AXI_HP3_ARCACHE, input [3:0] S_AXI_HP3_ARLEN, input [3:0] S_AXI_HP3_ARQOS, input [3:0] S_AXI_HP3_AWCACHE, input [3:0] S_AXI_HP3_AWLEN, input [3:0] S_AXI_HP3_AWQOS, input [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] S_AXI_HP3_ARID, input [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] S_AXI_HP3_AWID, input [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] S_AXI_HP3_WID, input [(C_S_AXI_HP3_DATA_WIDTH - 1) :0] S_AXI_HP3_WDATA, input [((C_S_AXI_HP3_DATA_WIDTH/8)-1):0] S_AXI_HP3_WSTRB, //FIO ======================================== //IRQ //output [28:0] IRQ_P2F, output IRQ_P2F_DMAC_ABORT , output IRQ_P2F_DMAC0, output IRQ_P2F_DMAC1, output IRQ_P2F_DMAC2, output IRQ_P2F_DMAC3, output IRQ_P2F_DMAC4, output IRQ_P2F_DMAC5, output IRQ_P2F_DMAC6, output IRQ_P2F_DMAC7, output IRQ_P2F_SMC, output IRQ_P2F_QSPI, output IRQ_P2F_CTI, output IRQ_P2F_GPIO, output IRQ_P2F_USB0, output IRQ_P2F_ENET0, output IRQ_P2F_ENET_WAKE0, output IRQ_P2F_SDIO0, output IRQ_P2F_I2C0, output IRQ_P2F_SPI0, output IRQ_P2F_UART0, output IRQ_P2F_CAN0, output IRQ_P2F_USB1, output IRQ_P2F_ENET1, output IRQ_P2F_ENET_WAKE1, output IRQ_P2F_SDIO1, output IRQ_P2F_I2C1, output IRQ_P2F_SPI1, output IRQ_P2F_UART1, output IRQ_P2F_CAN1, input [(C_NUM_F2P_INTR_INPUTS-1):0] IRQ_F2P, input Core0_nFIQ, input Core0_nIRQ, input Core1_nFIQ, input Core1_nIRQ, //DMA output [1:0] DMA0_DATYPE, output DMA0_DAVALID, output DMA0_DRREADY, output DMA0_RSTN, output [1:0] DMA1_DATYPE, output DMA1_DAVALID, output DMA1_DRREADY, output DMA1_RSTN, output [1:0] DMA2_DATYPE, output DMA2_DAVALID, output DMA2_DRREADY, output DMA2_RSTN, output [1:0] DMA3_DATYPE, output DMA3_DAVALID, output DMA3_DRREADY, output DMA3_RSTN, input DMA0_ACLK, input DMA0_DAREADY, input DMA0_DRLAST, input DMA0_DRVALID, input DMA1_ACLK, input DMA1_DAREADY, input DMA1_DRLAST, input DMA1_DRVALID, input DMA2_ACLK, input DMA2_DAREADY, input DMA2_DRLAST, input DMA2_DRVALID, input DMA3_ACLK, input DMA3_DAREADY, input DMA3_DRLAST, input DMA3_DRVALID, input [1:0] DMA0_DRTYPE, input [1:0] DMA1_DRTYPE, input [1:0] DMA2_DRTYPE, input [1:0] DMA3_DRTYPE, //FCLK output FCLK_CLK3, output FCLK_CLK2, output FCLK_CLK1, output FCLK_CLK0, input FCLK_CLKTRIG3_N, input FCLK_CLKTRIG2_N, input FCLK_CLKTRIG1_N, input FCLK_CLKTRIG0_N, output FCLK_RESET3_N, output FCLK_RESET2_N, output FCLK_RESET1_N, output FCLK_RESET0_N, //FTMD input [31:0] FTMD_TRACEIN_DATA, input FTMD_TRACEIN_VALID, input FTMD_TRACEIN_CLK, input [3:0] FTMD_TRACEIN_ATID, //FTMT input FTMT_F2P_TRIG_0, output FTMT_F2P_TRIGACK_0, input FTMT_F2P_TRIG_1, output FTMT_F2P_TRIGACK_1, input FTMT_F2P_TRIG_2, output FTMT_F2P_TRIGACK_2, input FTMT_F2P_TRIG_3, output FTMT_F2P_TRIGACK_3, input [31:0] FTMT_F2P_DEBUG, input FTMT_P2F_TRIGACK_0, output FTMT_P2F_TRIG_0, input FTMT_P2F_TRIGACK_1, output FTMT_P2F_TRIG_1, input FTMT_P2F_TRIGACK_2, output FTMT_P2F_TRIG_2, input FTMT_P2F_TRIGACK_3, output FTMT_P2F_TRIG_3, output [31:0] FTMT_P2F_DEBUG, //FIDLE input FPGA_IDLE_N, //EVENT output EVENT_EVENTO, output [1:0] EVENT_STANDBYWFE, output [1:0] EVENT_STANDBYWFI, input EVENT_EVENTI, //DARB input [3:0] DDR_ARB, inout [C_MIO_PRIMITIVE - 1:0] MIO, //DDR inout DDR_CAS_n, // CASB inout DDR_CKE, // CKE inout DDR_Clk_n, // CKN inout DDR_Clk, // CKP inout DDR_CS_n, // CSB inout DDR_DRSTB, // DDR_DRSTB inout DDR_ODT, // ODT inout DDR_RAS_n, // RASB inout DDR_WEB, inout [2:0] DDR_BankAddr, // BA inout [14:0] DDR_Addr, // A inout DDR_VRN, inout DDR_VRP, inout [C_DM_WIDTH - 1:0] DDR_DM, // DM inout [C_DQ_WIDTH - 1:0] DDR_DQ, // DQ inout [C_DQS_WIDTH -1:0] DDR_DQS_n, // DQSN inout [C_DQS_WIDTH - 1:0] DDR_DQS, // DQSP inout PS_SRSTB, // SRSTB inout PS_CLK, // CLK inout PS_PORB // PORB ); wire [11:0] M_AXI_GP0_AWID_FULL; wire [11:0] M_AXI_GP0_WID_FULL; wire [11:0] M_AXI_GP0_ARID_FULL; wire [11:0] M_AXI_GP0_BID_FULL; wire [11:0] M_AXI_GP0_RID_FULL; wire [11:0] M_AXI_GP1_AWID_FULL; wire [11:0] M_AXI_GP1_WID_FULL; wire [11:0] M_AXI_GP1_ARID_FULL; wire [11:0] M_AXI_GP1_BID_FULL; wire [11:0] M_AXI_GP1_RID_FULL; wire ENET0_GMII_TX_EN_i; wire ENET0_GMII_TX_ER_i; reg ENET0_GMII_COL_i; reg ENET0_GMII_CRS_i; reg ENET0_GMII_RX_DV_i; reg ENET0_GMII_RX_ER_i; reg [7:0] ENET0_GMII_RXD_i; wire [7:0] ENET0_GMII_TXD_i; wire ENET1_GMII_TX_EN_i; wire ENET1_GMII_TX_ER_i; reg ENET1_GMII_COL_i; reg ENET1_GMII_CRS_i; reg ENET1_GMII_RX_DV_i; reg ENET1_GMII_RX_ER_i; reg [7:0] ENET1_GMII_RXD_i; wire [7:0] ENET1_GMII_TXD_i; reg [31:0] FTMD_TRACEIN_DATA_notracebuf; reg FTMD_TRACEIN_VALID_notracebuf; reg [3:0] FTMD_TRACEIN_ATID_notracebuf; wire [31:0] FTMD_TRACEIN_DATA_i; wire FTMD_TRACEIN_VALID_i; wire [3:0] FTMD_TRACEIN_ATID_i; wire [31:0] FTMD_TRACEIN_DATA_tracebuf; wire FTMD_TRACEIN_VALID_tracebuf; wire [3:0] FTMD_TRACEIN_ATID_tracebuf; wire [5:0] S_AXI_GP0_BID_out; wire [5:0] S_AXI_GP0_RID_out; wire [5:0] S_AXI_GP0_ARID_in; wire [5:0] S_AXI_GP0_AWID_in; wire [5:0] S_AXI_GP0_WID_in; wire [5:0] S_AXI_GP1_BID_out; wire [5:0] S_AXI_GP1_RID_out; wire [5:0] S_AXI_GP1_ARID_in; wire [5:0] S_AXI_GP1_AWID_in; wire [5:0] S_AXI_GP1_WID_in; wire [5:0] S_AXI_HP0_BID_out; wire [5:0] S_AXI_HP0_RID_out; wire [5:0] S_AXI_HP0_ARID_in; wire [5:0] S_AXI_HP0_AWID_in; wire [5:0] S_AXI_HP0_WID_in; wire [5:0] S_AXI_HP1_BID_out; wire [5:0] S_AXI_HP1_RID_out; wire [5:0] S_AXI_HP1_ARID_in; wire [5:0] S_AXI_HP1_AWID_in; wire [5:0] S_AXI_HP1_WID_in; wire [5:0] S_AXI_HP2_BID_out; wire [5:0] S_AXI_HP2_RID_out; wire [5:0] S_AXI_HP2_ARID_in; wire [5:0] S_AXI_HP2_AWID_in; wire [5:0] S_AXI_HP2_WID_in; wire [5:0] S_AXI_HP3_BID_out; wire [5:0] S_AXI_HP3_RID_out; wire [5:0] S_AXI_HP3_ARID_in; wire [5:0] S_AXI_HP3_AWID_in; wire [5:0] S_AXI_HP3_WID_in; wire [2:0] S_AXI_ACP_BID_out; wire [2:0] S_AXI_ACP_RID_out; wire [2:0] S_AXI_ACP_ARID_in; wire [2:0] S_AXI_ACP_AWID_in; wire [2:0] S_AXI_ACP_WID_in; wire [63:0] S_AXI_HP0_WDATA_in; wire [7:0] S_AXI_HP0_WSTRB_in; wire [63:0] S_AXI_HP0_RDATA_out; wire [63:0] S_AXI_HP1_WDATA_in; wire [7:0] S_AXI_HP1_WSTRB_in; wire [63:0] S_AXI_HP1_RDATA_out; wire [63:0] S_AXI_HP2_WDATA_in; wire [7:0] S_AXI_HP2_WSTRB_in; wire [63:0] S_AXI_HP2_RDATA_out; wire [63:0] S_AXI_HP3_WDATA_in; wire [7:0] S_AXI_HP3_WSTRB_in; wire [63:0] S_AXI_HP3_RDATA_out; wire [1:0] M_AXI_GP0_ARSIZE_i; wire [1:0] M_AXI_GP0_AWSIZE_i; wire [1:0] M_AXI_GP1_ARSIZE_i; wire [1:0] M_AXI_GP1_AWSIZE_i; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] SAXIACPBID_W; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] SAXIACPRID_W; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] SAXIACPARID_W; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] SAXIACPAWID_W; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] SAXIACPWID_W; wire SAXIACPARREADY_W; wire SAXIACPAWREADY_W; wire SAXIACPBVALID_W; wire SAXIACPRLAST_W; wire SAXIACPRVALID_W; wire SAXIACPWREADY_W; wire [1:0] SAXIACPBRESP_W; wire [1:0] SAXIACPRRESP_W; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ATC_BID; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ATC_RID; wire [63:0] SAXIACPRDATA_W; wire S_AXI_ATC_ARVALID; wire S_AXI_ATC_AWVALID; wire S_AXI_ATC_BREADY; wire S_AXI_ATC_RREADY; wire S_AXI_ATC_WLAST; wire S_AXI_ATC_WVALID; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ATC_ARID; wire [2:0] S_AXI_ATC_ARPROT; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ATC_AWID; wire [2:0] S_AXI_ATC_AWPROT; wire [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] S_AXI_ATC_WID; wire [31:0] S_AXI_ATC_ARADDR; wire [31:0] S_AXI_ATC_AWADDR; wire [3:0] S_AXI_ATC_ARCACHE; wire [3:0] S_AXI_ATC_ARLEN; wire [3:0] S_AXI_ATC_ARQOS; wire [3:0] S_AXI_ATC_AWCACHE; wire [3:0] S_AXI_ATC_AWLEN; wire [3:0] S_AXI_ATC_AWQOS; wire [1:0] S_AXI_ATC_ARBURST; wire [1:0] S_AXI_ATC_ARLOCK; wire [2:0] S_AXI_ATC_ARSIZE; wire [1:0] S_AXI_ATC_AWBURST; wire [1:0] S_AXI_ATC_AWLOCK; wire [2:0] S_AXI_ATC_AWSIZE; wire [4:0] S_AXI_ATC_ARUSER; wire [4:0] S_AXI_ATC_AWUSER; wire [63:0] S_AXI_ATC_WDATA; wire [7:0] S_AXI_ATC_WSTRB; wire SAXIACPARVALID_W; wire SAXIACPAWVALID_W; wire SAXIACPBREADY_W; wire SAXIACPRREADY_W; wire SAXIACPWLAST_W; wire SAXIACPWVALID_W; wire [2:0] SAXIACPARPROT_W; wire [2:0] SAXIACPAWPROT_W; wire [31:0] SAXIACPARADDR_W; wire [31:0] SAXIACPAWADDR_W; wire [3:0] SAXIACPARCACHE_W; wire [3:0] SAXIACPARLEN_W; wire [3:0] SAXIACPARQOS_W; wire [3:0] SAXIACPAWCACHE_W; wire [3:0] SAXIACPAWLEN_W; wire [3:0] SAXIACPAWQOS_W; wire [1:0] SAXIACPARBURST_W; wire [1:0] SAXIACPARLOCK_W; wire [2:0] SAXIACPARSIZE_W; wire [1:0] SAXIACPAWBURST_W; wire [1:0] SAXIACPAWLOCK_W; wire [2:0] SAXIACPAWSIZE_W; wire [4:0] SAXIACPARUSER_W; wire [4:0] SAXIACPAWUSER_W; wire [63:0] SAXIACPWDATA_W; wire [7:0] SAXIACPWSTRB_W; // AxUSER signal update wire [4:0] param_aruser; wire [4:0] param_awuser; // Added to address CR 651751 wire [3:0] fclk_clktrig_gnd = 4'h0; wire [19:0] irq_f2p_i; wire [15:0] irq_f2p_null = 16'h0000; // EMIO I2C0 wire I2C0_SDA_T_n; wire I2C0_SCL_T_n; // EMIO I2C1 wire I2C1_SDA_T_n; wire I2C1_SCL_T_n; // EMIO SPI0 wire SPI0_SCLK_T_n; wire SPI0_MOSI_T_n; wire SPI0_MISO_T_n; wire SPI0_SS_T_n; // EMIO SPI1 wire SPI1_SCLK_T_n; wire SPI1_MOSI_T_n; wire SPI1_MISO_T_n; wire SPI1_SS_T_n; // EMIO GEM0 wire ENET0_MDIO_T_n; // EMIO GEM1 wire ENET1_MDIO_T_n; // EMIO GPIO wire [(C_EMIO_GPIO_WIDTH-1):0] GPIO_T_n; wire [63:0] gpio_out_t_n; wire [63:0] gpio_out; wire [63:0] gpio_in63_0; //For Clock buffering wire [3:0] FCLK_CLK_unbuffered; wire [3:0] FCLK_CLK_buffered; // EMIO PJTAG wire PJTAG_TDO_O; wire PJTAG_TDO_T; wire PJTAG_TDO_T_n; // EMIO SDIO0 wire SDIO0_CMD_T_n; wire [3:0] SDIO0_DATA_T_n; // EMIO SDIO1 wire SDIO1_CMD_T_n; wire [3:0] SDIO1_DATA_T_n; // buffered IO wire [C_MIO_PRIMITIVE - 1:0] buffered_MIO; wire buffered_DDR_WEB; wire buffered_DDR_CAS_n; wire buffered_DDR_CKE; wire buffered_DDR_Clk_n; wire buffered_DDR_Clk; wire buffered_DDR_CS_n; wire buffered_DDR_DRSTB; wire buffered_DDR_ODT; wire buffered_DDR_RAS_n; wire [2:0] buffered_DDR_BankAddr; wire [14:0] buffered_DDR_Addr; wire buffered_DDR_VRN; wire buffered_DDR_VRP; wire [C_DM_WIDTH - 1:0] buffered_DDR_DM; wire [C_DQ_WIDTH - 1:0] buffered_DDR_DQ; wire [C_DQS_WIDTH -1:0] buffered_DDR_DQS_n; wire [C_DQS_WIDTH - 1:0] buffered_DDR_DQS; wire buffered_PS_SRSTB; wire buffered_PS_CLK; wire buffered_PS_PORB; wire [31:0] TRACE_DATA_i; wire TRACE_CTL_i; reg TRACE_CTL_PIPE [(C_TRACE_PIPELINE_WIDTH - 1):0]; reg [(C_TRACE_INTERNAL_WIDTH)-1:0] TRACE_DATA_PIPE [(C_TRACE_PIPELINE_WIDTH - 1):0]; // fixed CR #665394 integer j; generate if (C_EN_EMIO_TRACE == 1) begin always @(posedge TRACE_CLK) begin TRACE_CTL_PIPE[C_TRACE_PIPELINE_WIDTH - 1] <= TRACE_CTL_i; TRACE_DATA_PIPE[C_TRACE_PIPELINE_WIDTH - 1] <= TRACE_DATA_i[(C_TRACE_INTERNAL_WIDTH-1):0]; for (j=(C_TRACE_PIPELINE_WIDTH-1); j>0; j=j-1) begin TRACE_CTL_PIPE[j-1] <= TRACE_CTL_PIPE[j]; TRACE_DATA_PIPE[j-1] <= TRACE_DATA_PIPE[j]; end TRACE_CLK_OUT <= ~TRACE_CLK_OUT; end end endgenerate assign TRACE_CTL = TRACE_CTL_PIPE[0]; assign TRACE_DATA = TRACE_DATA_PIPE[0]; //irq_p2f // Updated IRQ_F2P logic to address CR 641523 generate if(C_NUM_F2P_INTR_INPUTS == 0) begin : irq_f2p_select_null assign irq_f2p_i[19:0] = {Core1_nFIQ,Core0_nFIQ,Core1_nIRQ,Core0_nIRQ,irq_f2p_null[15:0]}; end else if(C_NUM_F2P_INTR_INPUTS == 16) begin : irq_f2p_select_all assign irq_f2p_i[19:0] = {Core1_nFIQ,Core0_nFIQ,Core1_nIRQ,Core0_nIRQ,IRQ_F2P[15:0]}; end else begin : irq_f2p_select if (C_IRQ_F2P_MODE == "DIRECT") begin assign irq_f2p_i[19:0] = {Core1_nFIQ,Core0_nFIQ,Core1_nIRQ,Core0_nIRQ, irq_f2p_null[(15-C_NUM_F2P_INTR_INPUTS):0], IRQ_F2P[(C_NUM_F2P_INTR_INPUTS-1):0]}; end else begin assign irq_f2p_i[19:0] = {Core1_nFIQ,Core0_nFIQ,Core1_nIRQ,Core0_nIRQ, IRQ_F2P[(C_NUM_F2P_INTR_INPUTS-1):0], irq_f2p_null[(15-C_NUM_F2P_INTR_INPUTS):0]}; end end endgenerate assign M_AXI_GP0_ARSIZE[2:0] = {1'b0, M_AXI_GP0_ARSIZE_i[1:0]}; assign M_AXI_GP0_AWSIZE[2:0] = {1'b0, M_AXI_GP0_AWSIZE_i[1:0]}; assign M_AXI_GP1_ARSIZE[2:0] = {1'b0, M_AXI_GP1_ARSIZE_i[1:0]}; assign M_AXI_GP1_AWSIZE[2:0] = {1'b0, M_AXI_GP1_AWSIZE_i[1:0]}; // Compress Function // Modified as per CR 631955 //function [11:0] uncompress_id; // input [5:0] id; // begin // case (id[5:0]) // // dmac0 // 6'd1 : uncompress_id = 12'b010000_1000_00 ; // 6'd2 : uncompress_id = 12'b010000_0000_00 ; // 6'd3 : uncompress_id = 12'b010000_0001_00 ; // 6'd4 : uncompress_id = 12'b010000_0010_00 ; // 6'd5 : uncompress_id = 12'b010000_0011_00 ; // 6'd6 : uncompress_id = 12'b010000_0100_00 ; // 6'd7 : uncompress_id = 12'b010000_0101_00 ; // 6'd8 : uncompress_id = 12'b010000_0110_00 ; // 6'd9 : uncompress_id = 12'b010000_0111_00 ; // // ioum // 6'd10 : uncompress_id = 12'b0100000_000_01 ; // 6'd11 : uncompress_id = 12'b0100000_001_01 ; // 6'd12 : uncompress_id = 12'b0100000_010_01 ; // 6'd13 : uncompress_id = 12'b0100000_011_01 ; // 6'd14 : uncompress_id = 12'b0100000_100_01 ; // 6'd15 : uncompress_id = 12'b0100000_101_01 ; // // devci // 6'd16 : uncompress_id = 12'b1000_0000_0000 ; // // dap // 6'd17 : uncompress_id = 12'b1000_0000_0001 ; // // l2m1 (CPU000) // 6'd18 : uncompress_id = 12'b11_000_000_00_00 ; // 6'd19 : uncompress_id = 12'b11_010_000_00_00 ; // 6'd20 : uncompress_id = 12'b11_011_000_00_00 ; // 6'd21 : uncompress_id = 12'b11_100_000_00_00 ; // 6'd22 : uncompress_id = 12'b11_101_000_00_00 ; // 6'd23 : uncompress_id = 12'b11_110_000_00_00 ; // 6'd24 : uncompress_id = 12'b11_111_000_00_00 ; // // l2m1 (CPU001) // 6'd25 : uncompress_id = 12'b11_000_001_00_00 ; // 6'd26 : uncompress_id = 12'b11_010_001_00_00 ; // 6'd27 : uncompress_id = 12'b11_011_001_00_00 ; // 6'd28 : uncompress_id = 12'b11_100_001_00_00 ; // 6'd29 : uncompress_id = 12'b11_101_001_00_00 ; // 6'd30 : uncompress_id = 12'b11_110_001_00_00 ; // 6'd31 : uncompress_id = 12'b11_111_001_00_00 ; // // l2m1 (L2CC) // 6'd32 : uncompress_id = 12'b11_000_00101_00 ; // 6'd33 : uncompress_id = 12'b11_000_01001_00 ; // 6'd34 : uncompress_id = 12'b11_000_01101_00 ; // 6'd35 : uncompress_id = 12'b11_000_10011_00 ; // 6'd36 : uncompress_id = 12'b11_000_10111_00 ; // 6'd37 : uncompress_id = 12'b11_000_11011_00 ; // 6'd38 : uncompress_id = 12'b11_000_11111_00 ; // 6'd39 : uncompress_id = 12'b11_000_00011_00 ; // 6'd40 : uncompress_id = 12'b11_000_00111_00 ; // 6'd41 : uncompress_id = 12'b11_000_01011_00 ; // 6'd42 : uncompress_id = 12'b11_000_01111_00 ; // 6'd43 : uncompress_id = 12'b11_000_00001_00 ; // // l2m1 (ACP) // 6'd44 : uncompress_id = 12'b11_000_10000_00 ; // 6'd45 : uncompress_id = 12'b11_001_10000_00 ; // 6'd46 : uncompress_id = 12'b11_010_10000_00 ; // 6'd47 : uncompress_id = 12'b11_011_10000_00 ; // 6'd48 : uncompress_id = 12'b11_100_10000_00 ; // 6'd49 : uncompress_id = 12'b11_101_10000_00 ; // 6'd50 : uncompress_id = 12'b11_110_10000_00 ; // 6'd51 : uncompress_id = 12'b11_111_10000_00 ; // default : uncompress_id = ~0; // endcase // end //endfunction // //function [5:0] compress_id; // input [11:0] id; // begin // case (id[11:0]) // // dmac0 // 12'b010000_1000_00 : compress_id = 'd1 ; // 12'b010000_0000_00 : compress_id = 'd2 ; // 12'b010000_0001_00 : compress_id = 'd3 ; // 12'b010000_0010_00 : compress_id = 'd4 ; // 12'b010000_0011_00 : compress_id = 'd5 ; // 12'b010000_0100_00 : compress_id = 'd6 ; // 12'b010000_0101_00 : compress_id = 'd7 ; // 12'b010000_0110_00 : compress_id = 'd8 ; // 12'b010000_0111_00 : compress_id = 'd9 ; // // ioum // 12'b0100000_000_01 : compress_id = 'd10 ; // 12'b0100000_001_01 : compress_id = 'd11 ; // 12'b0100000_010_01 : compress_id = 'd12 ; // 12'b0100000_011_01 : compress_id = 'd13 ; // 12'b0100000_100_01 : compress_id = 'd14 ; // 12'b0100000_101_01 : compress_id = 'd15 ; // // devci // 12'b1000_0000_0000 : compress_id = 'd16 ; // // dap // 12'b1000_0000_0001 : compress_id = 'd17 ; // // l2m1 (CPU000) // 12'b11_000_000_00_00 : compress_id = 'd18 ; // 12'b11_010_000_00_00 : compress_id = 'd19 ; // 12'b11_011_000_00_00 : compress_id = 'd20 ; // 12'b11_100_000_00_00 : compress_id = 'd21 ; // 12'b11_101_000_00_00 : compress_id = 'd22 ; // 12'b11_110_000_00_00 : compress_id = 'd23 ; // 12'b11_111_000_00_00 : compress_id = 'd24 ; // // l2m1 (CPU001) // 12'b11_000_001_00_00 : compress_id = 'd25 ; // 12'b11_010_001_00_00 : compress_id = 'd26 ; // 12'b11_011_001_00_00 : compress_id = 'd27 ; // 12'b11_100_001_00_00 : compress_id = 'd28 ; // 12'b11_101_001_00_00 : compress_id = 'd29 ; // 12'b11_110_001_00_00 : compress_id = 'd30 ; // 12'b11_111_001_00_00 : compress_id = 'd31 ; // // l2m1 (L2CC) // 12'b11_000_00101_00 : compress_id = 'd32 ; // 12'b11_000_01001_00 : compress_id = 'd33 ; // 12'b11_000_01101_00 : compress_id = 'd34 ; // 12'b11_000_10011_00 : compress_id = 'd35 ; // 12'b11_000_10111_00 : compress_id = 'd36 ; // 12'b11_000_11011_00 : compress_id = 'd37 ; // 12'b11_000_11111_00 : compress_id = 'd38 ; // 12'b11_000_00011_00 : compress_id = 'd39 ; // 12'b11_000_00111_00 : compress_id = 'd40 ; // 12'b11_000_01011_00 : compress_id = 'd41 ; // 12'b11_000_01111_00 : compress_id = 'd42 ; // 12'b11_000_00001_00 : compress_id = 'd43 ; // // l2m1 (ACP) // 12'b11_000_10000_00 : compress_id = 'd44 ; // 12'b11_001_10000_00 : compress_id = 'd45 ; // 12'b11_010_10000_00 : compress_id = 'd46 ; // 12'b11_011_10000_00 : compress_id = 'd47 ; // 12'b11_100_10000_00 : compress_id = 'd48 ; // 12'b11_101_10000_00 : compress_id = 'd49 ; // 12'b11_110_10000_00 : compress_id = 'd50 ; // 12'b11_111_10000_00 : compress_id = 'd51 ; // default: compress_id = ~0; // endcase // end //endfunction // Modified as per CR 648393 function [5:0] compress_id; input [11:0] id; begin compress_id[0] = id[7] | (id[4] & id[2]) | (~id[11] & id[2]) | (id[11] & id[0]); compress_id[1] = id[8] | id[5] | (~id[11] & id[3]); compress_id[2] = id[9] | (id[6] & id[3] & id[2]) | (~id[11] & id[4]); compress_id[3] = (id[11] & id[10] & id[4]) | (id[11] & id[10] & id[2]) | (~id[11] & id[10] & ~id[5] & ~id[0]); compress_id[4] = (id[11] & id[3]) | (id[10] & id[0]) | (id[11] & id[10] & ~id[2] &~id[6]); compress_id[5] = id[11] & id[10] & ~id[3]; end endfunction function [11:0] uncompress_id; input [5:0] id; begin case (id[5:0]) // dmac0 6'b000_010 : uncompress_id = 12'b010000_1000_00 ; 6'b001_000 : uncompress_id = 12'b010000_0000_00 ; 6'b001_001 : uncompress_id = 12'b010000_0001_00 ; 6'b001_010 : uncompress_id = 12'b010000_0010_00 ; 6'b001_011 : uncompress_id = 12'b010000_0011_00 ; 6'b001_100 : uncompress_id = 12'b010000_0100_00 ; 6'b001_101 : uncompress_id = 12'b010000_0101_00 ; 6'b001_110 : uncompress_id = 12'b010000_0110_00 ; 6'b001_111 : uncompress_id = 12'b010000_0111_00 ; // ioum 6'b010_000 : uncompress_id = 12'b0100000_000_01 ; 6'b010_001 : uncompress_id = 12'b0100000_001_01 ; 6'b010_010 : uncompress_id = 12'b0100000_010_01 ; 6'b010_011 : uncompress_id = 12'b0100000_011_01 ; 6'b010_100 : uncompress_id = 12'b0100000_100_01 ; 6'b010_101 : uncompress_id = 12'b0100000_101_01 ; // devci 6'b000_000 : uncompress_id = 12'b1000_0000_0000 ; // dap 6'b000_001 : uncompress_id = 12'b1000_0000_0001 ; // l2m1 (CPU000) 6'b110_000 : uncompress_id = 12'b11_000_000_00_00 ; 6'b110_010 : uncompress_id = 12'b11_010_000_00_00 ; 6'b110_011 : uncompress_id = 12'b11_011_000_00_00 ; 6'b110_100 : uncompress_id = 12'b11_100_000_00_00 ; 6'b110_101 : uncompress_id = 12'b11_101_000_00_00 ; 6'b110_110 : uncompress_id = 12'b11_110_000_00_00 ; 6'b110_111 : uncompress_id = 12'b11_111_000_00_00 ; // l2m1 (CPU001) 6'b111_000 : uncompress_id = 12'b11_000_001_00_00 ; 6'b111_010 : uncompress_id = 12'b11_010_001_00_00 ; 6'b111_011 : uncompress_id = 12'b11_011_001_00_00 ; 6'b111_100 : uncompress_id = 12'b11_100_001_00_00 ; 6'b111_101 : uncompress_id = 12'b11_101_001_00_00 ; 6'b111_110 : uncompress_id = 12'b11_110_001_00_00 ; 6'b111_111 : uncompress_id = 12'b11_111_001_00_00 ; // l2m1 (L2CC) 6'b101_001 : uncompress_id = 12'b11_000_00101_00 ; 6'b101_010 : uncompress_id = 12'b11_000_01001_00 ; 6'b101_011 : uncompress_id = 12'b11_000_01101_00 ; 6'b011_100 : uncompress_id = 12'b11_000_10011_00 ; 6'b011_101 : uncompress_id = 12'b11_000_10111_00 ; 6'b011_110 : uncompress_id = 12'b11_000_11011_00 ; 6'b011_111 : uncompress_id = 12'b11_000_11111_00 ; 6'b011_000 : uncompress_id = 12'b11_000_00011_00 ; 6'b011_001 : uncompress_id = 12'b11_000_00111_00 ; 6'b011_010 : uncompress_id = 12'b11_000_01011_00 ; 6'b011_011 : uncompress_id = 12'b11_000_01111_00 ; 6'b101_000 : uncompress_id = 12'b11_000_00001_00 ; // l2m1 (ACP) 6'b100_000 : uncompress_id = 12'b11_000_10000_00 ; 6'b100_001 : uncompress_id = 12'b11_001_10000_00 ; 6'b100_010 : uncompress_id = 12'b11_010_10000_00 ; 6'b100_011 : uncompress_id = 12'b11_011_10000_00 ; 6'b100_100 : uncompress_id = 12'b11_100_10000_00 ; 6'b100_101 : uncompress_id = 12'b11_101_10000_00 ; 6'b100_110 : uncompress_id = 12'b11_110_10000_00 ; 6'b100_111 : uncompress_id = 12'b11_111_10000_00 ; default : uncompress_id = 12'hx ; endcase end endfunction // Static Remap logic Enablement and Disablement for C_M_AXI0 port assign M_AXI_GP0_AWID = (C_M_AXI_GP0_ENABLE_STATIC_REMAP == 1) ? compress_id(M_AXI_GP0_AWID_FULL) : M_AXI_GP0_AWID_FULL; assign M_AXI_GP0_WID = (C_M_AXI_GP0_ENABLE_STATIC_REMAP == 1) ? compress_id(M_AXI_GP0_WID_FULL) : M_AXI_GP0_WID_FULL; assign M_AXI_GP0_ARID = (C_M_AXI_GP0_ENABLE_STATIC_REMAP == 1) ? compress_id(M_AXI_GP0_ARID_FULL) : M_AXI_GP0_ARID_FULL; assign M_AXI_GP0_BID_FULL = (C_M_AXI_GP0_ENABLE_STATIC_REMAP == 1) ? uncompress_id(M_AXI_GP0_BID) : M_AXI_GP0_BID; assign M_AXI_GP0_RID_FULL = (C_M_AXI_GP0_ENABLE_STATIC_REMAP == 1) ? uncompress_id(M_AXI_GP0_RID) : M_AXI_GP0_RID; // Static Remap logic Enablement and Disablement for C_M_AXI1 port assign M_AXI_GP1_AWID = (C_M_AXI_GP1_ENABLE_STATIC_REMAP == 1) ? compress_id(M_AXI_GP1_AWID_FULL) : M_AXI_GP1_AWID_FULL; assign M_AXI_GP1_WID = (C_M_AXI_GP1_ENABLE_STATIC_REMAP == 1) ? compress_id(M_AXI_GP1_WID_FULL) : M_AXI_GP1_WID_FULL; assign M_AXI_GP1_ARID = (C_M_AXI_GP1_ENABLE_STATIC_REMAP == 1) ? compress_id(M_AXI_GP1_ARID_FULL) : M_AXI_GP1_ARID_FULL; assign M_AXI_GP1_BID_FULL = (C_M_AXI_GP1_ENABLE_STATIC_REMAP == 1) ? uncompress_id(M_AXI_GP1_BID) : M_AXI_GP1_BID; assign M_AXI_GP1_RID_FULL = (C_M_AXI_GP1_ENABLE_STATIC_REMAP == 1) ? uncompress_id(M_AXI_GP1_RID) : M_AXI_GP1_RID; //// Compress_id and uncompress_id has been removed to address CR 642527 //// AXI interconnect v1.05.a and beyond implements dynamic ID compression/decompression. // assign M_AXI_GP0_AWID = M_AXI_GP0_AWID_FULL; // assign M_AXI_GP0_WID = M_AXI_GP0_WID_FULL; // assign M_AXI_GP0_ARID = M_AXI_GP0_ARID_FULL; // assign M_AXI_GP0_BID_FULL = M_AXI_GP0_BID; // assign M_AXI_GP0_RID_FULL = M_AXI_GP0_RID; // // assign M_AXI_GP1_AWID = M_AXI_GP1_AWID_FULL; // assign M_AXI_GP1_WID = M_AXI_GP1_WID_FULL; // assign M_AXI_GP1_ARID = M_AXI_GP1_ARID_FULL; // assign M_AXI_GP1_BID_FULL = M_AXI_GP1_BID; // assign M_AXI_GP1_RID_FULL = M_AXI_GP1_RID; // Pipeline Stage for ENET0 generate if (C_EN_EMIO_ENET0 == 1) begin always @(posedge ENET0_GMII_TX_CLK) begin ENET0_GMII_TXD <= ENET0_GMII_TXD_i; ENET0_GMII_TX_EN <= ENET0_GMII_TX_EN_i; ENET0_GMII_TX_ER <= ENET0_GMII_TX_ER_i; ENET0_GMII_COL_i <= ENET0_GMII_COL; ENET0_GMII_CRS_i <= ENET0_GMII_CRS; end end endgenerate generate if (C_EN_EMIO_ENET0 == 1) begin always @(posedge ENET0_GMII_RX_CLK) begin ENET0_GMII_RXD_i <= ENET0_GMII_RXD; ENET0_GMII_RX_DV_i <= ENET0_GMII_RX_DV; ENET0_GMII_RX_ER_i <= ENET0_GMII_RX_ER; end end endgenerate // Pipeline Stage for ENET1 generate if (C_EN_EMIO_ENET1 == 1) begin always @(posedge ENET1_GMII_TX_CLK) begin ENET1_GMII_TXD <= ENET1_GMII_TXD_i; ENET1_GMII_TX_EN <= ENET1_GMII_TX_EN_i; ENET1_GMII_TX_ER <= ENET1_GMII_TX_ER_i; ENET1_GMII_COL_i <= ENET1_GMII_COL; ENET1_GMII_CRS_i <= ENET1_GMII_CRS; end end endgenerate generate if (C_EN_EMIO_ENET1 == 1) begin always @(posedge ENET1_GMII_RX_CLK) begin ENET1_GMII_RXD_i <= ENET1_GMII_RXD; ENET1_GMII_RX_DV_i <= ENET1_GMII_RX_DV; ENET1_GMII_RX_ER_i <= ENET1_GMII_RX_ER; end end endgenerate // Trace buffer instantiated when C_INCLUDE_TRACE_BUFFER is 1. generate if (C_EN_EMIO_TRACE == 1) begin if (C_INCLUDE_TRACE_BUFFER == 0) begin : gen_no_trace_buffer // Pipeline Stage for Traceport ATID always @(posedge FTMD_TRACEIN_CLK) begin FTMD_TRACEIN_DATA_notracebuf <= FTMD_TRACEIN_DATA; FTMD_TRACEIN_VALID_notracebuf <= FTMD_TRACEIN_VALID; FTMD_TRACEIN_ATID_notracebuf <= FTMD_TRACEIN_ATID; end assign FTMD_TRACEIN_DATA_i = FTMD_TRACEIN_DATA_notracebuf; assign FTMD_TRACEIN_VALID_i = FTMD_TRACEIN_VALID_notracebuf; assign FTMD_TRACEIN_ATID_i = FTMD_TRACEIN_ATID_notracebuf; end else begin : gen_trace_buffer processing_system7_v5_5_trace_buffer #(.FIFO_SIZE (C_TRACE_BUFFER_FIFO_SIZE), .USE_TRACE_DATA_EDGE_DETECTOR(USE_TRACE_DATA_EDGE_DETECTOR), .C_DELAY_CLKS(C_TRACE_BUFFER_CLOCK_DELAY) ) trace_buffer_i ( .TRACE_CLK(FTMD_TRACEIN_CLK), .RST(~FCLK_RESET0_N), .TRACE_VALID_IN(FTMD_TRACEIN_VALID), .TRACE_DATA_IN(FTMD_TRACEIN_DATA), .TRACE_ATID_IN(FTMD_TRACEIN_ATID), .TRACE_ATID_OUT(FTMD_TRACEIN_ATID_tracebuf), .TRACE_VALID_OUT(FTMD_TRACEIN_VALID_tracebuf), .TRACE_DATA_OUT(FTMD_TRACEIN_DATA_tracebuf) ); assign FTMD_TRACEIN_DATA_i = FTMD_TRACEIN_DATA_tracebuf; assign FTMD_TRACEIN_VALID_i = FTMD_TRACEIN_VALID_tracebuf; assign FTMD_TRACEIN_ATID_i = FTMD_TRACEIN_ATID_tracebuf; end end endgenerate // ID Width Control on AXI Slave ports // S_AXI_GP0 function [5:0] id_in_gp0; input [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] axi_id_gp0_in; begin case (C_S_AXI_GP0_ID_WIDTH) 1: id_in_gp0 = {5'b0, axi_id_gp0_in}; 2: id_in_gp0 = {4'b0, axi_id_gp0_in}; 3: id_in_gp0 = {3'b0, axi_id_gp0_in}; 4: id_in_gp0 = {2'b0, axi_id_gp0_in}; 5: id_in_gp0 = {1'b0, axi_id_gp0_in}; 6: id_in_gp0 = axi_id_gp0_in; default : id_in_gp0 = axi_id_gp0_in; endcase end endfunction assign S_AXI_GP0_ARID_in = id_in_gp0(S_AXI_GP0_ARID); assign S_AXI_GP0_AWID_in = id_in_gp0(S_AXI_GP0_AWID); assign S_AXI_GP0_WID_in = id_in_gp0(S_AXI_GP0_WID); function [5:0] id_out_gp0; input [(C_S_AXI_GP0_ID_WIDTH - 1) : 0] axi_id_gp0_out; begin case (C_S_AXI_GP0_ID_WIDTH) 1: id_out_gp0 = axi_id_gp0_out[0]; 2: id_out_gp0 = axi_id_gp0_out[1:0]; 3: id_out_gp0 = axi_id_gp0_out[2:0]; 4: id_out_gp0 = axi_id_gp0_out[3:0]; 5: id_out_gp0 = axi_id_gp0_out[4:0]; 6: id_out_gp0 = axi_id_gp0_out; default : id_out_gp0 = axi_id_gp0_out; endcase end endfunction assign S_AXI_GP0_BID = id_out_gp0(S_AXI_GP0_BID_out); assign S_AXI_GP0_RID = id_out_gp0(S_AXI_GP0_RID_out); // S_AXI_GP1 function [5:0] id_in_gp1; input [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] axi_id_gp1_in; begin case (C_S_AXI_GP1_ID_WIDTH) 1: id_in_gp1 = {5'b0, axi_id_gp1_in}; 2: id_in_gp1 = {4'b0, axi_id_gp1_in}; 3: id_in_gp1 = {3'b0, axi_id_gp1_in}; 4: id_in_gp1 = {2'b0, axi_id_gp1_in}; 5: id_in_gp1 = {1'b0, axi_id_gp1_in}; 6: id_in_gp1 = axi_id_gp1_in; default : id_in_gp1 = axi_id_gp1_in; endcase end endfunction assign S_AXI_GP1_ARID_in = id_in_gp1(S_AXI_GP1_ARID); assign S_AXI_GP1_AWID_in = id_in_gp1(S_AXI_GP1_AWID); assign S_AXI_GP1_WID_in = id_in_gp1(S_AXI_GP1_WID); function [5:0] id_out_gp1; input [(C_S_AXI_GP1_ID_WIDTH - 1) : 0] axi_id_gp1_out; begin case (C_S_AXI_GP1_ID_WIDTH) 1: id_out_gp1 = axi_id_gp1_out[0]; 2: id_out_gp1 = axi_id_gp1_out[1:0]; 3: id_out_gp1 = axi_id_gp1_out[2:0]; 4: id_out_gp1 = axi_id_gp1_out[3:0]; 5: id_out_gp1 = axi_id_gp1_out[4:0]; 6: id_out_gp1 = axi_id_gp1_out; default : id_out_gp1 = axi_id_gp1_out; endcase end endfunction assign S_AXI_GP1_BID = id_out_gp1(S_AXI_GP1_BID_out); assign S_AXI_GP1_RID = id_out_gp1(S_AXI_GP1_RID_out); // S_AXI_HP0 function [5:0] id_in_hp0; input [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] axi_id_hp0_in; begin case (C_S_AXI_HP0_ID_WIDTH) 1: id_in_hp0 = {5'b0, axi_id_hp0_in}; 2: id_in_hp0 = {4'b0, axi_id_hp0_in}; 3: id_in_hp0 = {3'b0, axi_id_hp0_in}; 4: id_in_hp0 = {2'b0, axi_id_hp0_in}; 5: id_in_hp0 = {1'b0, axi_id_hp0_in}; 6: id_in_hp0 = axi_id_hp0_in; default : id_in_hp0 = axi_id_hp0_in; endcase end endfunction assign S_AXI_HP0_ARID_in = id_in_hp0(S_AXI_HP0_ARID); assign S_AXI_HP0_AWID_in = id_in_hp0(S_AXI_HP0_AWID); assign S_AXI_HP0_WID_in = id_in_hp0(S_AXI_HP0_WID); function [5:0] id_out_hp0; input [(C_S_AXI_HP0_ID_WIDTH - 1) : 0] axi_id_hp0_out; begin case (C_S_AXI_HP0_ID_WIDTH) 1: id_out_hp0 = axi_id_hp0_out[0]; 2: id_out_hp0 = axi_id_hp0_out[1:0]; 3: id_out_hp0 = axi_id_hp0_out[2:0]; 4: id_out_hp0 = axi_id_hp0_out[3:0]; 5: id_out_hp0 = axi_id_hp0_out[4:0]; 6: id_out_hp0 = axi_id_hp0_out; default : id_out_hp0 = axi_id_hp0_out; endcase end endfunction assign S_AXI_HP0_BID = id_out_hp0(S_AXI_HP0_BID_out); assign S_AXI_HP0_RID = id_out_hp0(S_AXI_HP0_RID_out); assign S_AXI_HP0_WDATA_in = (C_S_AXI_HP0_DATA_WIDTH == 64) ? S_AXI_HP0_WDATA : {32'b0,S_AXI_HP0_WDATA}; assign S_AXI_HP0_WSTRB_in = (C_S_AXI_HP0_DATA_WIDTH == 64) ? S_AXI_HP0_WSTRB : {4'b0,S_AXI_HP0_WSTRB}; assign S_AXI_HP0_RDATA = (C_S_AXI_HP0_DATA_WIDTH == 64) ? S_AXI_HP0_RDATA_out : S_AXI_HP0_RDATA_out[31:0]; // S_AXI_HP1 function [5:0] id_in_hp1; input [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] axi_id_hp1_in; begin case (C_S_AXI_HP1_ID_WIDTH) 1: id_in_hp1 = {5'b0, axi_id_hp1_in}; 2: id_in_hp1 = {4'b0, axi_id_hp1_in}; 3: id_in_hp1 = {3'b0, axi_id_hp1_in}; 4: id_in_hp1 = {2'b0, axi_id_hp1_in}; 5: id_in_hp1 = {1'b0, axi_id_hp1_in}; 6: id_in_hp1 = axi_id_hp1_in; default : id_in_hp1 = axi_id_hp1_in; endcase end endfunction assign S_AXI_HP1_ARID_in = id_in_hp1(S_AXI_HP1_ARID); assign S_AXI_HP1_AWID_in = id_in_hp1(S_AXI_HP1_AWID); assign S_AXI_HP1_WID_in = id_in_hp1(S_AXI_HP1_WID); function [5:0] id_out_hp1; input [(C_S_AXI_HP1_ID_WIDTH - 1) : 0] axi_id_hp1_out; begin case (C_S_AXI_HP1_ID_WIDTH) 1: id_out_hp1 = axi_id_hp1_out[0]; 2: id_out_hp1 = axi_id_hp1_out[1:0]; 3: id_out_hp1 = axi_id_hp1_out[2:0]; 4: id_out_hp1 = axi_id_hp1_out[3:0]; 5: id_out_hp1 = axi_id_hp1_out[4:0]; 6: id_out_hp1 = axi_id_hp1_out; default : id_out_hp1 = axi_id_hp1_out; endcase end endfunction assign S_AXI_HP1_BID = id_out_hp1(S_AXI_HP1_BID_out); assign S_AXI_HP1_RID = id_out_hp1(S_AXI_HP1_RID_out); assign S_AXI_HP1_WDATA_in = (C_S_AXI_HP1_DATA_WIDTH == 64) ? S_AXI_HP1_WDATA : {32'b0,S_AXI_HP1_WDATA}; assign S_AXI_HP1_WSTRB_in = (C_S_AXI_HP1_DATA_WIDTH == 64) ? S_AXI_HP1_WSTRB : {4'b0,S_AXI_HP1_WSTRB}; assign S_AXI_HP1_RDATA = (C_S_AXI_HP1_DATA_WIDTH == 64) ? S_AXI_HP1_RDATA_out : S_AXI_HP1_RDATA_out[31:0]; // S_AXI_HP2 function [5:0] id_in_hp2; input [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] axi_id_hp2_in; begin case (C_S_AXI_HP2_ID_WIDTH) 1: id_in_hp2 = {5'b0, axi_id_hp2_in}; 2: id_in_hp2 = {4'b0, axi_id_hp2_in}; 3: id_in_hp2 = {3'b0, axi_id_hp2_in}; 4: id_in_hp2 = {2'b0, axi_id_hp2_in}; 5: id_in_hp2 = {1'b0, axi_id_hp2_in}; 6: id_in_hp2 = axi_id_hp2_in; default : id_in_hp2 = axi_id_hp2_in; endcase end endfunction assign S_AXI_HP2_ARID_in = id_in_hp2(S_AXI_HP2_ARID); assign S_AXI_HP2_AWID_in = id_in_hp2(S_AXI_HP2_AWID); assign S_AXI_HP2_WID_in = id_in_hp2(S_AXI_HP2_WID); function [5:0] id_out_hp2; input [(C_S_AXI_HP2_ID_WIDTH - 1) : 0] axi_id_hp2_out; begin case (C_S_AXI_HP2_ID_WIDTH) 1: id_out_hp2 = axi_id_hp2_out[0]; 2: id_out_hp2 = axi_id_hp2_out[1:0]; 3: id_out_hp2 = axi_id_hp2_out[2:0]; 4: id_out_hp2 = axi_id_hp2_out[3:0]; 5: id_out_hp2 = axi_id_hp2_out[4:0]; 6: id_out_hp2 = axi_id_hp2_out; default : id_out_hp2 = axi_id_hp2_out; endcase end endfunction assign S_AXI_HP2_BID = id_out_hp2(S_AXI_HP2_BID_out); assign S_AXI_HP2_RID = id_out_hp2(S_AXI_HP2_RID_out); assign S_AXI_HP2_WDATA_in = (C_S_AXI_HP2_DATA_WIDTH == 64) ? S_AXI_HP2_WDATA : {32'b0,S_AXI_HP2_WDATA}; assign S_AXI_HP2_WSTRB_in = (C_S_AXI_HP2_DATA_WIDTH == 64) ? S_AXI_HP2_WSTRB : {4'b0,S_AXI_HP2_WSTRB}; assign S_AXI_HP2_RDATA = (C_S_AXI_HP2_DATA_WIDTH == 64) ? S_AXI_HP2_RDATA_out : S_AXI_HP2_RDATA_out[31:0]; // S_AXI_HP3 function [5:0] id_in_hp3; input [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] axi_id_hp3_in; begin case (C_S_AXI_HP3_ID_WIDTH) 1: id_in_hp3 = {5'b0, axi_id_hp3_in}; 2: id_in_hp3 = {4'b0, axi_id_hp3_in}; 3: id_in_hp3 = {3'b0, axi_id_hp3_in}; 4: id_in_hp3 = {2'b0, axi_id_hp3_in}; 5: id_in_hp3 = {1'b0, axi_id_hp3_in}; 6: id_in_hp3 = axi_id_hp3_in; default : id_in_hp3 = axi_id_hp3_in; endcase end endfunction assign S_AXI_HP3_ARID_in = id_in_hp3(S_AXI_HP3_ARID); assign S_AXI_HP3_AWID_in = id_in_hp3(S_AXI_HP3_AWID); assign S_AXI_HP3_WID_in = id_in_hp3(S_AXI_HP3_WID); function [5:0] id_out_hp3; input [(C_S_AXI_HP3_ID_WIDTH - 1) : 0] axi_id_hp3_out; begin case (C_S_AXI_HP3_ID_WIDTH) 1: id_out_hp3 = axi_id_hp3_out[0]; 2: id_out_hp3 = axi_id_hp3_out[1:0]; 3: id_out_hp3 = axi_id_hp3_out[2:0]; 4: id_out_hp3 = axi_id_hp3_out[3:0]; 5: id_out_hp3 = axi_id_hp3_out[4:0]; 6: id_out_hp3 = axi_id_hp3_out; default : id_out_hp3 = axi_id_hp3_out; endcase end endfunction assign S_AXI_HP3_BID = id_out_hp3(S_AXI_HP3_BID_out); assign S_AXI_HP3_RID = id_out_hp3(S_AXI_HP3_RID_out); assign S_AXI_HP3_WDATA_in = (C_S_AXI_HP3_DATA_WIDTH == 64) ? S_AXI_HP3_WDATA : {32'b0,S_AXI_HP3_WDATA}; assign S_AXI_HP3_WSTRB_in = (C_S_AXI_HP3_DATA_WIDTH == 64) ? S_AXI_HP3_WSTRB : {4'b0,S_AXI_HP3_WSTRB}; assign S_AXI_HP3_RDATA = (C_S_AXI_HP3_DATA_WIDTH == 64) ? S_AXI_HP3_RDATA_out : S_AXI_HP3_RDATA_out[31:0]; // S_AXI_ACP function [2:0] id_in_acp; input [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] axi_id_acp_in; begin case (C_S_AXI_ACP_ID_WIDTH) 1: id_in_acp = {2'b0, axi_id_acp_in}; 2: id_in_acp = {1'b0, axi_id_acp_in}; 3: id_in_acp = axi_id_acp_in; default : id_in_acp = axi_id_acp_in; endcase end endfunction assign S_AXI_ACP_ARID_in = id_in_acp(SAXIACPARID_W); assign S_AXI_ACP_AWID_in = id_in_acp(SAXIACPAWID_W); assign S_AXI_ACP_WID_in = id_in_acp(SAXIACPWID_W); function [2:0] id_out_acp; input [(C_S_AXI_ACP_ID_WIDTH - 1) : 0] axi_id_acp_out; begin case (C_S_AXI_ACP_ID_WIDTH) 1: id_out_acp = axi_id_acp_out[0]; 2: id_out_acp = axi_id_acp_out[1:0]; 3: id_out_acp = axi_id_acp_out; default : id_out_acp = axi_id_acp_out; endcase end endfunction assign SAXIACPBID_W = id_out_acp(S_AXI_ACP_BID_out); assign SAXIACPRID_W = id_out_acp(S_AXI_ACP_RID_out); // FMIO Tristate Inversion logic //FMIO I2C0 assign I2C0_SDA_T = ~ I2C0_SDA_T_n; assign I2C0_SCL_T = ~ I2C0_SCL_T_n; //FMIO I2C1 assign I2C1_SDA_T = ~ I2C1_SDA_T_n; assign I2C1_SCL_T = ~ I2C1_SCL_T_n; //FMIO SPI0 assign SPI0_SCLK_T = ~ SPI0_SCLK_T_n; assign SPI0_MOSI_T = ~ SPI0_MOSI_T_n; assign SPI0_MISO_T = ~ SPI0_MISO_T_n; assign SPI0_SS_T = ~ SPI0_SS_T_n; //FMIO SPI1 assign SPI1_SCLK_T = ~ SPI1_SCLK_T_n; assign SPI1_MOSI_T = ~ SPI1_MOSI_T_n; assign SPI1_MISO_T = ~ SPI1_MISO_T_n; assign SPI1_SS_T = ~ SPI1_SS_T_n; // EMIO GEM0 MDIO assign ENET0_MDIO_T = ~ ENET0_MDIO_T_n; // EMIO GEM1 MDIO assign ENET1_MDIO_T = ~ ENET1_MDIO_T_n; // EMIO GPIO assign GPIO_T = ~ GPIO_T_n; // EMIO GPIO Width Control function [63:0] gpio_width_adjust_in; input [(C_EMIO_GPIO_WIDTH - 1) : 0] gpio_in; begin case (C_EMIO_GPIO_WIDTH) 1: gpio_width_adjust_in = {63'b0, gpio_in}; 2: gpio_width_adjust_in = {62'b0, gpio_in}; 3: gpio_width_adjust_in = {61'b0, gpio_in}; 4: gpio_width_adjust_in = {60'b0, gpio_in}; 5: gpio_width_adjust_in = {59'b0, gpio_in}; 6: gpio_width_adjust_in = {58'b0, gpio_in}; 7: gpio_width_adjust_in = {57'b0, gpio_in}; 8: gpio_width_adjust_in = {56'b0, gpio_in}; 9: gpio_width_adjust_in = {55'b0, gpio_in}; 10: gpio_width_adjust_in = {54'b0, gpio_in}; 11: gpio_width_adjust_in = {53'b0, gpio_in}; 12: gpio_width_adjust_in = {52'b0, gpio_in}; 13: gpio_width_adjust_in = {51'b0, gpio_in}; 14: gpio_width_adjust_in = {50'b0, gpio_in}; 15: gpio_width_adjust_in = {49'b0, gpio_in}; 16: gpio_width_adjust_in = {48'b0, gpio_in}; 17: gpio_width_adjust_in = {47'b0, gpio_in}; 18: gpio_width_adjust_in = {46'b0, gpio_in}; 19: gpio_width_adjust_in = {45'b0, gpio_in}; 20: gpio_width_adjust_in = {44'b0, gpio_in}; 21: gpio_width_adjust_in = {43'b0, gpio_in}; 22: gpio_width_adjust_in = {42'b0, gpio_in}; 23: gpio_width_adjust_in = {41'b0, gpio_in}; 24: gpio_width_adjust_in = {40'b0, gpio_in}; 25: gpio_width_adjust_in = {39'b0, gpio_in}; 26: gpio_width_adjust_in = {38'b0, gpio_in}; 27: gpio_width_adjust_in = {37'b0, gpio_in}; 28: gpio_width_adjust_in = {36'b0, gpio_in}; 29: gpio_width_adjust_in = {35'b0, gpio_in}; 30: gpio_width_adjust_in = {34'b0, gpio_in}; 31: gpio_width_adjust_in = {33'b0, gpio_in}; 32: gpio_width_adjust_in = {32'b0, gpio_in}; 33: gpio_width_adjust_in = {31'b0, gpio_in}; 34: gpio_width_adjust_in = {30'b0, gpio_in}; 35: gpio_width_adjust_in = {29'b0, gpio_in}; 36: gpio_width_adjust_in = {28'b0, gpio_in}; 37: gpio_width_adjust_in = {27'b0, gpio_in}; 38: gpio_width_adjust_in = {26'b0, gpio_in}; 39: gpio_width_adjust_in = {25'b0, gpio_in}; 40: gpio_width_adjust_in = {24'b0, gpio_in}; 41: gpio_width_adjust_in = {23'b0, gpio_in}; 42: gpio_width_adjust_in = {22'b0, gpio_in}; 43: gpio_width_adjust_in = {21'b0, gpio_in}; 44: gpio_width_adjust_in = {20'b0, gpio_in}; 45: gpio_width_adjust_in = {19'b0, gpio_in}; 46: gpio_width_adjust_in = {18'b0, gpio_in}; 47: gpio_width_adjust_in = {17'b0, gpio_in}; 48: gpio_width_adjust_in = {16'b0, gpio_in}; 49: gpio_width_adjust_in = {15'b0, gpio_in}; 50: gpio_width_adjust_in = {14'b0, gpio_in}; 51: gpio_width_adjust_in = {13'b0, gpio_in}; 52: gpio_width_adjust_in = {12'b0, gpio_in}; 53: gpio_width_adjust_in = {11'b0, gpio_in}; 54: gpio_width_adjust_in = {10'b0, gpio_in}; 55: gpio_width_adjust_in = {9'b0, gpio_in}; 56: gpio_width_adjust_in = {8'b0, gpio_in}; 57: gpio_width_adjust_in = {7'b0, gpio_in}; 58: gpio_width_adjust_in = {6'b0, gpio_in}; 59: gpio_width_adjust_in = {5'b0, gpio_in}; 60: gpio_width_adjust_in = {4'b0, gpio_in}; 61: gpio_width_adjust_in = {3'b0, gpio_in}; 62: gpio_width_adjust_in = {2'b0, gpio_in}; 63: gpio_width_adjust_in = {1'b0, gpio_in}; 64: gpio_width_adjust_in = gpio_in; default : gpio_width_adjust_in = gpio_in; endcase end endfunction assign gpio_in63_0 = gpio_width_adjust_in(GPIO_I); function [63:0] gpio_width_adjust_out; input [(C_EMIO_GPIO_WIDTH - 1) : 0] gpio_o; begin case (C_EMIO_GPIO_WIDTH) 1: gpio_width_adjust_out = gpio_o[0]; 2: gpio_width_adjust_out = gpio_o[1:0]; 3: gpio_width_adjust_out = gpio_o[2:0]; 4: gpio_width_adjust_out = gpio_o[3:0]; 5: gpio_width_adjust_out = gpio_o[4:0]; 6: gpio_width_adjust_out = gpio_o[5:0]; 7: gpio_width_adjust_out = gpio_o[6:0]; 8: gpio_width_adjust_out = gpio_o[7:0]; 9: gpio_width_adjust_out = gpio_o[8:0]; 10: gpio_width_adjust_out = gpio_o[9:0]; 11: gpio_width_adjust_out = gpio_o[10:0]; 12: gpio_width_adjust_out = gpio_o[11:0]; 13: gpio_width_adjust_out = gpio_o[12:0]; 14: gpio_width_adjust_out = gpio_o[13:0]; 15: gpio_width_adjust_out = gpio_o[14:0]; 16: gpio_width_adjust_out = gpio_o[15:0]; 17: gpio_width_adjust_out = gpio_o[16:0]; 18: gpio_width_adjust_out = gpio_o[17:0]; 19: gpio_width_adjust_out = gpio_o[18:0]; 20: gpio_width_adjust_out = gpio_o[19:0]; 21: gpio_width_adjust_out = gpio_o[20:0]; 22: gpio_width_adjust_out = gpio_o[21:0]; 23: gpio_width_adjust_out = gpio_o[22:0]; 24: gpio_width_adjust_out = gpio_o[23:0]; 25: gpio_width_adjust_out = gpio_o[24:0]; 26: gpio_width_adjust_out = gpio_o[25:0]; 27: gpio_width_adjust_out = gpio_o[26:0]; 28: gpio_width_adjust_out = gpio_o[27:0]; 29: gpio_width_adjust_out = gpio_o[28:0]; 30: gpio_width_adjust_out = gpio_o[29:0]; 31: gpio_width_adjust_out = gpio_o[30:0]; 32: gpio_width_adjust_out = gpio_o[31:0]; 33: gpio_width_adjust_out = gpio_o[32:0]; 34: gpio_width_adjust_out = gpio_o[33:0]; 35: gpio_width_adjust_out = gpio_o[34:0]; 36: gpio_width_adjust_out = gpio_o[35:0]; 37: gpio_width_adjust_out = gpio_o[36:0]; 38: gpio_width_adjust_out = gpio_o[37:0]; 39: gpio_width_adjust_out = gpio_o[38:0]; 40: gpio_width_adjust_out = gpio_o[39:0]; 41: gpio_width_adjust_out = gpio_o[40:0]; 42: gpio_width_adjust_out = gpio_o[41:0]; 43: gpio_width_adjust_out = gpio_o[42:0]; 44: gpio_width_adjust_out = gpio_o[43:0]; 45: gpio_width_adjust_out = gpio_o[44:0]; 46: gpio_width_adjust_out = gpio_o[45:0]; 47: gpio_width_adjust_out = gpio_o[46:0]; 48: gpio_width_adjust_out = gpio_o[47:0]; 49: gpio_width_adjust_out = gpio_o[48:0]; 50: gpio_width_adjust_out = gpio_o[49:0]; 51: gpio_width_adjust_out = gpio_o[50:0]; 52: gpio_width_adjust_out = gpio_o[51:0]; 53: gpio_width_adjust_out = gpio_o[52:0]; 54: gpio_width_adjust_out = gpio_o[53:0]; 55: gpio_width_adjust_out = gpio_o[54:0]; 56: gpio_width_adjust_out = gpio_o[55:0]; 57: gpio_width_adjust_out = gpio_o[56:0]; 58: gpio_width_adjust_out = gpio_o[57:0]; 59: gpio_width_adjust_out = gpio_o[58:0]; 60: gpio_width_adjust_out = gpio_o[59:0]; 61: gpio_width_adjust_out = gpio_o[60:0]; 62: gpio_width_adjust_out = gpio_o[61:0]; 63: gpio_width_adjust_out = gpio_o[62:0]; 64: gpio_width_adjust_out = gpio_o; default : gpio_width_adjust_out = gpio_o; endcase end endfunction assign GPIO_O[(C_EMIO_GPIO_WIDTH - 1) : 0] = gpio_width_adjust_out(gpio_out); assign GPIO_T_n[(C_EMIO_GPIO_WIDTH - 1) : 0] = gpio_width_adjust_out(gpio_out_t_n); // Adding OBUFT to JTAG out port generate if ( C_EN_EMIO_PJTAG == 1 ) begin : PJTAG_OBUFT_TRUE OBUFT jtag_obuft_inst ( .O(PJTAG_TDO), .I(PJTAG_TDO_O), .T(PJTAG_TDO_T) ); end endgenerate // ------- // EMIO PJTAG assign PJTAG_TDO_T = ~ PJTAG_TDO_T_n; // EMIO SDIO0 : No negation required as per CR#636210 for 1.0 version of Silicon, // FOR Other SI REV, inversion is required assign SDIO0_CMD_T = (C_PS7_SI_REV == "1.0") ? (SDIO0_CMD_T_n) : (~ SDIO0_CMD_T_n); assign SDIO0_DATA_T[3:0] = (C_PS7_SI_REV == "1.0") ? (SDIO0_DATA_T_n[3:0]) : (~ SDIO0_DATA_T_n[3:0]); // EMIO SDIO1 : No negation required as per CR#636210 for 1.0 version of Silicon, // FOR Other SI REV, inversion is required assign SDIO1_CMD_T = (C_PS7_SI_REV == "1.0") ? (SDIO1_CMD_T_n) : (~ SDIO1_CMD_T_n); assign SDIO1_DATA_T[3:0] = (C_PS7_SI_REV == "1.0") ? (SDIO1_DATA_T_n[3:0]) : (~ SDIO1_DATA_T_n[3:0]); // FCLK_CLK optional clock buffers generate if (C_FCLK_CLK0_BUF == "TRUE" | C_FCLK_CLK0_BUF == "true") begin : buffer_fclk_clk_0 BUFG FCLK_CLK_0_BUFG (.I(FCLK_CLK_unbuffered[0]), .O(FCLK_CLK_buffered[0])); end if (C_FCLK_CLK1_BUF == "TRUE" | C_FCLK_CLK1_BUF == "true") begin : buffer_fclk_clk_1 BUFG FCLK_CLK_1_BUFG (.I(FCLK_CLK_unbuffered[1]), .O(FCLK_CLK_buffered[1])); end if (C_FCLK_CLK2_BUF == "TRUE" | C_FCLK_CLK2_BUF == "true") begin : buffer_fclk_clk_2 BUFG FCLK_CLK_2_BUFG (.I(FCLK_CLK_unbuffered[2]), .O(FCLK_CLK_buffered[2])); end if (C_FCLK_CLK3_BUF == "TRUE" | C_FCLK_CLK3_BUF == "true") begin : buffer_fclk_clk_3 BUFG FCLK_CLK_3_BUFG (.I(FCLK_CLK_unbuffered[3]), .O(FCLK_CLK_buffered[3])); end endgenerate assign FCLK_CLK0 = (C_FCLK_CLK0_BUF == "TRUE" | C_FCLK_CLK0_BUF == "true") ? FCLK_CLK_buffered[0] : FCLK_CLK_unbuffered[0]; assign FCLK_CLK1 = (C_FCLK_CLK1_BUF == "TRUE" | C_FCLK_CLK1_BUF == "true") ? FCLK_CLK_buffered[1] : FCLK_CLK_unbuffered[1]; assign FCLK_CLK2 = (C_FCLK_CLK2_BUF == "TRUE" | C_FCLK_CLK2_BUF == "true") ? FCLK_CLK_buffered[2] : FCLK_CLK_unbuffered[2]; assign FCLK_CLK3 = (C_FCLK_CLK3_BUF == "TRUE" | C_FCLK_CLK3_BUF == "true") ? FCLK_CLK_buffered[3] : FCLK_CLK_unbuffered[3]; // Adding BIBUF for fixed IO Ports and IBUF for fixed Input Ports BIBUF DDR_CAS_n_BIBUF (.PAD(DDR_CAS_n), .IO(buffered_DDR_CAS_n)); BIBUF DDR_CKE_BIBUF (.PAD(DDR_CKE), .IO(buffered_DDR_CKE)); BIBUF DDR_Clk_n_BIBUF (.PAD(DDR_Clk_n), .IO(buffered_DDR_Clk_n)); BIBUF DDR_Clk_BIBUF (.PAD(DDR_Clk), .IO(buffered_DDR_Clk)); BIBUF DDR_CS_n_BIBUF (.PAD(DDR_CS_n), .IO(buffered_DDR_CS_n)); BIBUF DDR_DRSTB_BIBUF (.PAD(DDR_DRSTB), .IO(buffered_DDR_DRSTB)); BIBUF DDR_ODT_BIBUF (.PAD(DDR_ODT), .IO(buffered_DDR_ODT)); BIBUF DDR_RAS_n_BIBUF (.PAD(DDR_RAS_n), .IO(buffered_DDR_RAS_n)); BIBUF DDR_WEB_BIBUF (.PAD(DDR_WEB), .IO(buffered_DDR_WEB)); BIBUF DDR_VRN_BIBUF (.PAD(DDR_VRN), .IO(buffered_DDR_VRN)); BIBUF DDR_VRP_BIBUF (.PAD(DDR_VRP), .IO(buffered_DDR_VRP)); BIBUF PS_SRSTB_BIBUF (.PAD(PS_SRSTB), .IO(buffered_PS_SRSTB)); BIBUF PS_CLK_BIBUF (.PAD(PS_CLK), .IO(buffered_PS_CLK)); BIBUF PS_PORB_BIBUF (.PAD(PS_PORB), .IO(buffered_PS_PORB)); genvar i; generate for (i=0; i < C_MIO_PRIMITIVE; i=i+1) begin BIBUF MIO_BIBUF (.PAD(MIO[i]), .IO(buffered_MIO[i])); end endgenerate generate for (i=0; i < 3; i=i+1) begin BIBUF DDR_BankAddr_BIBUF (.PAD(DDR_BankAddr[i]), .IO(buffered_DDR_BankAddr[i])); end endgenerate generate for (i=0; i < 15; i=i+1) begin BIBUF DDR_Addr_BIBUF (.PAD(DDR_Addr[i]), .IO(buffered_DDR_Addr[i])); end endgenerate generate for (i=0; i < C_DM_WIDTH; i=i+1) begin BIBUF DDR_DM_BIBUF (.PAD(DDR_DM[i]), .IO(buffered_DDR_DM[i])); end endgenerate generate for (i=0; i < C_DQ_WIDTH; i=i+1) begin BIBUF DDR_DQ_BIBUF (.PAD(DDR_DQ[i]), .IO(buffered_DDR_DQ[i])); end endgenerate generate for (i=0; i < C_DQS_WIDTH; i=i+1) begin BIBUF DDR_DQS_n_BIBUF (.PAD(DDR_DQS_n[i]), .IO(buffered_DDR_DQS_n[i])); end endgenerate generate for (i=0; i < C_DQS_WIDTH; i=i+1) begin BIBUF DDR_DQS_BIBUF (.PAD(DDR_DQS[i]), .IO(buffered_DDR_DQS[i])); end endgenerate //==================== //PSS TOP //==================== generate if (C_PACKAGE_NAME == "clg225" ) begin wire [21:0] dummy; PS7 PS7_i ( .DMA0DATYPE (DMA0_DATYPE ), .DMA0DAVALID (DMA0_DAVALID), .DMA0DRREADY (DMA0_DRREADY), .DMA0RSTN (DMA0_RSTN ), .DMA1DATYPE (DMA1_DATYPE ), .DMA1DAVALID (DMA1_DAVALID), .DMA1DRREADY (DMA1_DRREADY), .DMA1RSTN (DMA1_RSTN ), .DMA2DATYPE (DMA2_DATYPE ), .DMA2DAVALID (DMA2_DAVALID), .DMA2DRREADY (DMA2_DRREADY), .DMA2RSTN (DMA2_RSTN ), .DMA3DATYPE (DMA3_DATYPE ), .DMA3DAVALID (DMA3_DAVALID), .DMA3DRREADY (DMA3_DRREADY), .DMA3RSTN (DMA3_RSTN ), .EMIOCAN0PHYTX (CAN0_PHY_TX ), .EMIOCAN1PHYTX (CAN1_PHY_TX ), .EMIOENET0GMIITXD (ENET0_GMII_TXD_i ), .EMIOENET0GMIITXEN (ENET0_GMII_TX_EN_i), .EMIOENET0GMIITXER (ENET0_GMII_TX_ER_i), .EMIOENET0MDIOMDC (ENET0_MDIO_MDC), .EMIOENET0MDIOO (ENET0_MDIO_O ), .EMIOENET0MDIOTN (ENET0_MDIO_T_n ), .EMIOENET0PTPDELAYREQRX (ENET0_PTP_DELAY_REQ_RX), .EMIOENET0PTPDELAYREQTX (ENET0_PTP_DELAY_REQ_TX), .EMIOENET0PTPPDELAYREQRX (ENET0_PTP_PDELAY_REQ_RX), .EMIOENET0PTPPDELAYREQTX (ENET0_PTP_PDELAY_REQ_TX), .EMIOENET0PTPPDELAYRESPRX(ENET0_PTP_PDELAY_RESP_RX), .EMIOENET0PTPPDELAYRESPTX(ENET0_PTP_PDELAY_RESP_TX), .EMIOENET0PTPSYNCFRAMERX (ENET0_PTP_SYNC_FRAME_RX), .EMIOENET0PTPSYNCFRAMETX (ENET0_PTP_SYNC_FRAME_TX), .EMIOENET0SOFRX (ENET0_SOF_RX), .EMIOENET0SOFTX (ENET0_SOF_TX), .EMIOENET1GMIITXD (ENET1_GMII_TXD_i), .EMIOENET1GMIITXEN (ENET1_GMII_TX_EN_i), .EMIOENET1GMIITXER (ENET1_GMII_TX_ER_i), .EMIOENET1MDIOMDC (ENET1_MDIO_MDC), .EMIOENET1MDIOO (ENET1_MDIO_O ), .EMIOENET1MDIOTN (ENET1_MDIO_T_n), .EMIOENET1PTPDELAYREQRX (ENET1_PTP_DELAY_REQ_RX), .EMIOENET1PTPDELAYREQTX (ENET1_PTP_DELAY_REQ_TX), .EMIOENET1PTPPDELAYREQRX (ENET1_PTP_PDELAY_REQ_RX), .EMIOENET1PTPPDELAYREQTX (ENET1_PTP_PDELAY_REQ_TX), .EMIOENET1PTPPDELAYRESPRX(ENET1_PTP_PDELAY_RESP_RX), .EMIOENET1PTPPDELAYRESPTX(ENET1_PTP_PDELAY_RESP_TX), .EMIOENET1PTPSYNCFRAMERX (ENET1_PTP_SYNC_FRAME_RX), .EMIOENET1PTPSYNCFRAMETX (ENET1_PTP_SYNC_FRAME_TX), .EMIOENET1SOFRX (ENET1_SOF_RX), .EMIOENET1SOFTX (ENET1_SOF_TX), .EMIOGPIOO (gpio_out), .EMIOGPIOTN (gpio_out_t_n), .EMIOI2C0SCLO (I2C0_SCL_O), .EMIOI2C0SCLTN (I2C0_SCL_T_n), .EMIOI2C0SDAO (I2C0_SDA_O), .EMIOI2C0SDATN (I2C0_SDA_T_n), .EMIOI2C1SCLO (I2C1_SCL_O), .EMIOI2C1SCLTN (I2C1_SCL_T_n), .EMIOI2C1SDAO (I2C1_SDA_O), .EMIOI2C1SDATN (I2C1_SDA_T_n), .EMIOPJTAGTDO (PJTAG_TDO_O), .EMIOPJTAGTDTN (PJTAG_TDO_T_n), .EMIOSDIO0BUSPOW (SDIO0_BUSPOW), .EMIOSDIO0CLK (SDIO0_CLK ), .EMIOSDIO0CMDO (SDIO0_CMD_O ), .EMIOSDIO0CMDTN (SDIO0_CMD_T_n ), .EMIOSDIO0DATAO (SDIO0_DATA_O), .EMIOSDIO0DATATN (SDIO0_DATA_T_n), .EMIOSDIO0LED (SDIO0_LED), .EMIOSDIO1BUSPOW (SDIO1_BUSPOW), .EMIOSDIO1CLK (SDIO1_CLK ), .EMIOSDIO1CMDO (SDIO1_CMD_O ), .EMIOSDIO1CMDTN (SDIO1_CMD_T_n ), .EMIOSDIO1DATAO (SDIO1_DATA_O), .EMIOSDIO1DATATN (SDIO1_DATA_T_n), .EMIOSDIO1LED (SDIO1_LED), .EMIOSPI0MO (SPI0_MOSI_O), .EMIOSPI0MOTN (SPI0_MOSI_T_n), .EMIOSPI0SCLKO (SPI0_SCLK_O), .EMIOSPI0SCLKTN (SPI0_SCLK_T_n), .EMIOSPI0SO (SPI0_MISO_O), .EMIOSPI0STN (SPI0_MISO_T_n), .EMIOSPI0SSON ({SPI0_SS2_O,SPI0_SS1_O,SPI0_SS_O}), .EMIOSPI0SSNTN (SPI0_SS_T_n), .EMIOSPI1MO (SPI1_MOSI_O), .EMIOSPI1MOTN (SPI1_MOSI_T_n), .EMIOSPI1SCLKO (SPI1_SCLK_O), .EMIOSPI1SCLKTN (SPI1_SCLK_T_n), .EMIOSPI1SO (SPI1_MISO_O), .EMIOSPI1STN (SPI1_MISO_T_n), .EMIOSPI1SSON ({SPI1_SS2_O,SPI1_SS1_O,SPI1_SS_O}), .EMIOSPI1SSNTN (SPI1_SS_T_n), .EMIOTRACECTL (TRACE_CTL_i), .EMIOTRACEDATA (TRACE_DATA_i), .EMIOTTC0WAVEO ({TTC0_WAVE2_OUT,TTC0_WAVE1_OUT,TTC0_WAVE0_OUT}), .EMIOTTC1WAVEO ({TTC1_WAVE2_OUT,TTC1_WAVE1_OUT,TTC1_WAVE0_OUT}), .EMIOUART0DTRN (UART0_DTRN), .EMIOUART0RTSN (UART0_RTSN), .EMIOUART0TX (UART0_TX ), .EMIOUART1DTRN (UART1_DTRN), .EMIOUART1RTSN (UART1_RTSN), .EMIOUART1TX (UART1_TX ), .EMIOUSB0PORTINDCTL (USB0_PORT_INDCTL), .EMIOUSB0VBUSPWRSELECT (USB0_VBUS_PWRSELECT), .EMIOUSB1PORTINDCTL (USB1_PORT_INDCTL), .EMIOUSB1VBUSPWRSELECT (USB1_VBUS_PWRSELECT), .EMIOWDTRSTO (WDT_RST_OUT), .EVENTEVENTO (EVENT_EVENTO), .EVENTSTANDBYWFE (EVENT_STANDBYWFE), .EVENTSTANDBYWFI (EVENT_STANDBYWFI), .FCLKCLK (FCLK_CLK_unbuffered), .FCLKRESETN ({FCLK_RESET3_N,FCLK_RESET2_N,FCLK_RESET1_N,FCLK_RESET0_N}), .EMIOSDIO0BUSVOLT (SDIO0_BUSVOLT), .EMIOSDIO1BUSVOLT (SDIO1_BUSVOLT), .FTMTF2PTRIGACK ({FTMT_F2P_TRIGACK_3,FTMT_F2P_TRIGACK_2,FTMT_F2P_TRIGACK_1,FTMT_F2P_TRIGACK_0}), .FTMTP2FDEBUG (FTMT_P2F_DEBUG ), .FTMTP2FTRIG ({FTMT_P2F_TRIG_3,FTMT_P2F_TRIG_2,FTMT_P2F_TRIG_1,FTMT_P2F_TRIG_0}), .IRQP2F ({IRQ_P2F_DMAC_ABORT, IRQ_P2F_DMAC7, IRQ_P2F_DMAC6, IRQ_P2F_DMAC5, IRQ_P2F_DMAC4, IRQ_P2F_DMAC3, IRQ_P2F_DMAC2, IRQ_P2F_DMAC1, IRQ_P2F_DMAC0, IRQ_P2F_SMC, IRQ_P2F_QSPI, IRQ_P2F_CTI, IRQ_P2F_GPIO, IRQ_P2F_USB0, IRQ_P2F_ENET0, IRQ_P2F_ENET_WAKE0, IRQ_P2F_SDIO0, IRQ_P2F_I2C0, IRQ_P2F_SPI0, IRQ_P2F_UART0, IRQ_P2F_CAN0, IRQ_P2F_USB1, IRQ_P2F_ENET1, IRQ_P2F_ENET_WAKE1, IRQ_P2F_SDIO1, IRQ_P2F_I2C1, IRQ_P2F_SPI1, IRQ_P2F_UART1, IRQ_P2F_CAN1}), .MAXIGP0ARADDR (M_AXI_GP0_ARADDR), .MAXIGP0ARBURST (M_AXI_GP0_ARBURST), .MAXIGP0ARCACHE (M_AXI_GP0_ARCACHE), .MAXIGP0ARESETN (M_AXI_GP0_ARESETN), .MAXIGP0ARID (M_AXI_GP0_ARID_FULL ), .MAXIGP0ARLEN (M_AXI_GP0_ARLEN ), .MAXIGP0ARLOCK (M_AXI_GP0_ARLOCK ), .MAXIGP0ARPROT (M_AXI_GP0_ARPROT ), .MAXIGP0ARQOS (M_AXI_GP0_ARQOS ), .MAXIGP0ARSIZE (M_AXI_GP0_ARSIZE_i ), .MAXIGP0ARVALID (M_AXI_GP0_ARVALID), .MAXIGP0AWADDR (M_AXI_GP0_AWADDR ), .MAXIGP0AWBURST (M_AXI_GP0_AWBURST), .MAXIGP0AWCACHE (M_AXI_GP0_AWCACHE), .MAXIGP0AWID (M_AXI_GP0_AWID_FULL ), .MAXIGP0AWLEN (M_AXI_GP0_AWLEN ), .MAXIGP0AWLOCK (M_AXI_GP0_AWLOCK ), .MAXIGP0AWPROT (M_AXI_GP0_AWPROT ), .MAXIGP0AWQOS (M_AXI_GP0_AWQOS ), .MAXIGP0AWSIZE (M_AXI_GP0_AWSIZE_i ), .MAXIGP0AWVALID (M_AXI_GP0_AWVALID), .MAXIGP0BREADY (M_AXI_GP0_BREADY ), .MAXIGP0RREADY (M_AXI_GP0_RREADY ), .MAXIGP0WDATA (M_AXI_GP0_WDATA ), .MAXIGP0WID (M_AXI_GP0_WID_FULL ), .MAXIGP0WLAST (M_AXI_GP0_WLAST ), .MAXIGP0WSTRB (M_AXI_GP0_WSTRB ), .MAXIGP0WVALID (M_AXI_GP0_WVALID ), .MAXIGP1ARADDR (M_AXI_GP1_ARADDR ), .MAXIGP1ARBURST (M_AXI_GP1_ARBURST), .MAXIGP1ARCACHE (M_AXI_GP1_ARCACHE), .MAXIGP1ARESETN (M_AXI_GP1_ARESETN), .MAXIGP1ARID (M_AXI_GP1_ARID_FULL ), .MAXIGP1ARLEN (M_AXI_GP1_ARLEN ), .MAXIGP1ARLOCK (M_AXI_GP1_ARLOCK ), .MAXIGP1ARPROT (M_AXI_GP1_ARPROT ), .MAXIGP1ARQOS (M_AXI_GP1_ARQOS ), .MAXIGP1ARSIZE (M_AXI_GP1_ARSIZE_i ), .MAXIGP1ARVALID (M_AXI_GP1_ARVALID), .MAXIGP1AWADDR (M_AXI_GP1_AWADDR ), .MAXIGP1AWBURST (M_AXI_GP1_AWBURST), .MAXIGP1AWCACHE (M_AXI_GP1_AWCACHE), .MAXIGP1AWID (M_AXI_GP1_AWID_FULL ), .MAXIGP1AWLEN (M_AXI_GP1_AWLEN ), .MAXIGP1AWLOCK (M_AXI_GP1_AWLOCK ), .MAXIGP1AWPROT (M_AXI_GP1_AWPROT ), .MAXIGP1AWQOS (M_AXI_GP1_AWQOS ), .MAXIGP1AWSIZE (M_AXI_GP1_AWSIZE_i ), .MAXIGP1AWVALID (M_AXI_GP1_AWVALID), .MAXIGP1BREADY (M_AXI_GP1_BREADY ), .MAXIGP1RREADY (M_AXI_GP1_RREADY ), .MAXIGP1WDATA (M_AXI_GP1_WDATA ), .MAXIGP1WID (M_AXI_GP1_WID_FULL ), .MAXIGP1WLAST (M_AXI_GP1_WLAST ), .MAXIGP1WSTRB (M_AXI_GP1_WSTRB ), .MAXIGP1WVALID (M_AXI_GP1_WVALID ), .SAXIACPARESETN (S_AXI_ACP_ARESETN), .SAXIACPARREADY (SAXIACPARREADY_W), .SAXIACPAWREADY (SAXIACPAWREADY_W), .SAXIACPBID (S_AXI_ACP_BID_out ), .SAXIACPBRESP (SAXIACPBRESP_W ), .SAXIACPBVALID (SAXIACPBVALID_W ), .SAXIACPRDATA (SAXIACPRDATA_W ), .SAXIACPRID (S_AXI_ACP_RID_out), .SAXIACPRLAST (SAXIACPRLAST_W ), .SAXIACPRRESP (SAXIACPRRESP_W ), .SAXIACPRVALID (SAXIACPRVALID_W ), .SAXIACPWREADY (SAXIACPWREADY_W ), .SAXIGP0ARESETN (S_AXI_GP0_ARESETN), .SAXIGP0ARREADY (S_AXI_GP0_ARREADY), .SAXIGP0AWREADY (S_AXI_GP0_AWREADY), .SAXIGP0BID (S_AXI_GP0_BID_out), .SAXIGP0BRESP (S_AXI_GP0_BRESP ), .SAXIGP0BVALID (S_AXI_GP0_BVALID ), .SAXIGP0RDATA (S_AXI_GP0_RDATA ), .SAXIGP0RID (S_AXI_GP0_RID_out ), .SAXIGP0RLAST (S_AXI_GP0_RLAST ), .SAXIGP0RRESP (S_AXI_GP0_RRESP ), .SAXIGP0RVALID (S_AXI_GP0_RVALID ), .SAXIGP0WREADY (S_AXI_GP0_WREADY ), .SAXIGP1ARESETN (S_AXI_GP1_ARESETN), .SAXIGP1ARREADY (S_AXI_GP1_ARREADY), .SAXIGP1AWREADY (S_AXI_GP1_AWREADY), .SAXIGP1BID (S_AXI_GP1_BID_out ), .SAXIGP1BRESP (S_AXI_GP1_BRESP ), .SAXIGP1BVALID (S_AXI_GP1_BVALID ), .SAXIGP1RDATA (S_AXI_GP1_RDATA ), .SAXIGP1RID (S_AXI_GP1_RID_out ), .SAXIGP1RLAST (S_AXI_GP1_RLAST ), .SAXIGP1RRESP (S_AXI_GP1_RRESP ), .SAXIGP1RVALID (S_AXI_GP1_RVALID ), .SAXIGP1WREADY (S_AXI_GP1_WREADY ), .SAXIHP0ARESETN (S_AXI_HP0_ARESETN), .SAXIHP0ARREADY (S_AXI_HP0_ARREADY), .SAXIHP0AWREADY (S_AXI_HP0_AWREADY), .SAXIHP0BID (S_AXI_HP0_BID_out ), .SAXIHP0BRESP (S_AXI_HP0_BRESP ), .SAXIHP0BVALID (S_AXI_HP0_BVALID ), .SAXIHP0RACOUNT (S_AXI_HP0_RACOUNT), .SAXIHP0RCOUNT (S_AXI_HP0_RCOUNT), .SAXIHP0RDATA (S_AXI_HP0_RDATA_out), .SAXIHP0RID (S_AXI_HP0_RID_out ), .SAXIHP0RLAST (S_AXI_HP0_RLAST), .SAXIHP0RRESP (S_AXI_HP0_RRESP), .SAXIHP0RVALID (S_AXI_HP0_RVALID), .SAXIHP0WCOUNT (S_AXI_HP0_WCOUNT), .SAXIHP0WACOUNT (S_AXI_HP0_WACOUNT), .SAXIHP0WREADY (S_AXI_HP0_WREADY), .SAXIHP1ARESETN (S_AXI_HP1_ARESETN), .SAXIHP1ARREADY (S_AXI_HP1_ARREADY), .SAXIHP1AWREADY (S_AXI_HP1_AWREADY), .SAXIHP1BID (S_AXI_HP1_BID_out ), .SAXIHP1BRESP (S_AXI_HP1_BRESP ), .SAXIHP1BVALID (S_AXI_HP1_BVALID ), .SAXIHP1RACOUNT (S_AXI_HP1_RACOUNT ), .SAXIHP1RCOUNT (S_AXI_HP1_RCOUNT ), .SAXIHP1RDATA (S_AXI_HP1_RDATA_out), .SAXIHP1RID (S_AXI_HP1_RID_out ), .SAXIHP1RLAST (S_AXI_HP1_RLAST ), .SAXIHP1RRESP (S_AXI_HP1_RRESP ), .SAXIHP1RVALID (S_AXI_HP1_RVALID), .SAXIHP1WACOUNT (S_AXI_HP1_WACOUNT), .SAXIHP1WCOUNT (S_AXI_HP1_WCOUNT), .SAXIHP1WREADY (S_AXI_HP1_WREADY), .SAXIHP2ARESETN (S_AXI_HP2_ARESETN), .SAXIHP2ARREADY (S_AXI_HP2_ARREADY), .SAXIHP2AWREADY (S_AXI_HP2_AWREADY), .SAXIHP2BID (S_AXI_HP2_BID_out ), .SAXIHP2BRESP (S_AXI_HP2_BRESP), .SAXIHP2BVALID (S_AXI_HP2_BVALID), .SAXIHP2RACOUNT (S_AXI_HP2_RACOUNT), .SAXIHP2RCOUNT (S_AXI_HP2_RCOUNT), .SAXIHP2RDATA (S_AXI_HP2_RDATA_out), .SAXIHP2RID (S_AXI_HP2_RID_out ), .SAXIHP2RLAST (S_AXI_HP2_RLAST), .SAXIHP2RRESP (S_AXI_HP2_RRESP), .SAXIHP2RVALID (S_AXI_HP2_RVALID), .SAXIHP2WACOUNT (S_AXI_HP2_WACOUNT), .SAXIHP2WCOUNT (S_AXI_HP2_WCOUNT), .SAXIHP2WREADY (S_AXI_HP2_WREADY), .SAXIHP3ARESETN (S_AXI_HP3_ARESETN), .SAXIHP3ARREADY (S_AXI_HP3_ARREADY), .SAXIHP3AWREADY (S_AXI_HP3_AWREADY), .SAXIHP3BID (S_AXI_HP3_BID_out), .SAXIHP3BRESP (S_AXI_HP3_BRESP), .SAXIHP3BVALID (S_AXI_HP3_BVALID), .SAXIHP3RACOUNT (S_AXI_HP3_RACOUNT), .SAXIHP3RCOUNT (S_AXI_HP3_RCOUNT), .SAXIHP3RDATA (S_AXI_HP3_RDATA_out), .SAXIHP3RID (S_AXI_HP3_RID_out), .SAXIHP3RLAST (S_AXI_HP3_RLAST), .SAXIHP3RRESP (S_AXI_HP3_RRESP), .SAXIHP3RVALID (S_AXI_HP3_RVALID), .SAXIHP3WCOUNT (S_AXI_HP3_WCOUNT), .SAXIHP3WACOUNT (S_AXI_HP3_WACOUNT), .SAXIHP3WREADY (S_AXI_HP3_WREADY), .DDRARB (DDR_ARB), .DMA0ACLK (DMA0_ACLK ), .DMA0DAREADY (DMA0_DAREADY), .DMA0DRLAST (DMA0_DRLAST ), .DMA0DRTYPE (DMA0_DRTYPE), .DMA0DRVALID (DMA0_DRVALID), .DMA1ACLK (DMA1_ACLK ), .DMA1DAREADY (DMA1_DAREADY), .DMA1DRLAST (DMA1_DRLAST ), .DMA1DRTYPE (DMA1_DRTYPE), .DMA1DRVALID (DMA1_DRVALID), .DMA2ACLK (DMA2_ACLK ), .DMA2DAREADY (DMA2_DAREADY), .DMA2DRLAST (DMA2_DRLAST ), .DMA2DRTYPE (DMA2_DRTYPE), .DMA2DRVALID (DMA2_DRVALID), .DMA3ACLK (DMA3_ACLK ), .DMA3DAREADY (DMA3_DAREADY), .DMA3DRLAST (DMA3_DRLAST ), .DMA3DRTYPE (DMA3_DRTYPE), .DMA3DRVALID (DMA3_DRVALID), .EMIOCAN0PHYRX (CAN0_PHY_RX), .EMIOCAN1PHYRX (CAN1_PHY_RX), .EMIOENET0EXTINTIN (ENET0_EXT_INTIN), .EMIOENET0GMIICOL (ENET0_GMII_COL_i), .EMIOENET0GMIICRS (ENET0_GMII_CRS_i), .EMIOENET0GMIIRXCLK (ENET0_GMII_RX_CLK), .EMIOENET0GMIIRXD (ENET0_GMII_RXD_i), .EMIOENET0GMIIRXDV (ENET0_GMII_RX_DV_i), .EMIOENET0GMIIRXER (ENET0_GMII_RX_ER_i), .EMIOENET0GMIITXCLK (ENET0_GMII_TX_CLK), .EMIOENET0MDIOI (ENET0_MDIO_I), .EMIOENET1EXTINTIN (ENET1_EXT_INTIN), .EMIOENET1GMIICOL (ENET1_GMII_COL_i), .EMIOENET1GMIICRS (ENET1_GMII_CRS_i), .EMIOENET1GMIIRXCLK (ENET1_GMII_RX_CLK), .EMIOENET1GMIIRXD (ENET1_GMII_RXD_i), .EMIOENET1GMIIRXDV (ENET1_GMII_RX_DV_i), .EMIOENET1GMIIRXER (ENET1_GMII_RX_ER_i), .EMIOENET1GMIITXCLK (ENET1_GMII_TX_CLK), .EMIOENET1MDIOI (ENET1_MDIO_I), .EMIOGPIOI (gpio_in63_0 ), .EMIOI2C0SCLI (I2C0_SCL_I), .EMIOI2C0SDAI (I2C0_SDA_I), .EMIOI2C1SCLI (I2C1_SCL_I), .EMIOI2C1SDAI (I2C1_SDA_I), .EMIOPJTAGTCK (PJTAG_TCK), .EMIOPJTAGTDI (PJTAG_TDI), .EMIOPJTAGTMS (PJTAG_TMS), .EMIOSDIO0CDN (SDIO0_CDN), .EMIOSDIO0CLKFB (SDIO0_CLK_FB ), .EMIOSDIO0CMDI (SDIO0_CMD_I ), .EMIOSDIO0DATAI (SDIO0_DATA_I ), .EMIOSDIO0WP (SDIO0_WP), .EMIOSDIO1CDN (SDIO1_CDN), .EMIOSDIO1CLKFB (SDIO1_CLK_FB ), .EMIOSDIO1CMDI (SDIO1_CMD_I ), .EMIOSDIO1DATAI (SDIO1_DATA_I ), .EMIOSDIO1WP (SDIO1_WP), .EMIOSPI0MI (SPI0_MISO_I), .EMIOSPI0SCLKI (SPI0_SCLK_I), .EMIOSPI0SI (SPI0_MOSI_I), .EMIOSPI0SSIN (SPI0_SS_I), .EMIOSPI1MI (SPI1_MISO_I), .EMIOSPI1SCLKI (SPI1_SCLK_I), .EMIOSPI1SI (SPI1_MOSI_I), .EMIOSPI1SSIN (SPI1_SS_I), .EMIOSRAMINTIN (SRAM_INTIN), .EMIOTRACECLK (TRACE_CLK), .EMIOTTC0CLKI ({TTC0_CLK2_IN, TTC0_CLK1_IN, TTC0_CLK0_IN}), .EMIOTTC1CLKI ({TTC1_CLK2_IN, TTC1_CLK1_IN, TTC1_CLK0_IN}), .EMIOUART0CTSN (UART0_CTSN), .EMIOUART0DCDN (UART0_DCDN), .EMIOUART0DSRN (UART0_DSRN), .EMIOUART0RIN (UART0_RIN ), .EMIOUART0RX (UART0_RX ), .EMIOUART1CTSN (UART1_CTSN), .EMIOUART1DCDN (UART1_DCDN), .EMIOUART1DSRN (UART1_DSRN), .EMIOUART1RIN (UART1_RIN ), .EMIOUART1RX (UART1_RX ), .EMIOUSB0VBUSPWRFAULT (USB0_VBUS_PWRFAULT), .EMIOUSB1VBUSPWRFAULT (USB1_VBUS_PWRFAULT), .EMIOWDTCLKI (WDT_CLK_IN), .EVENTEVENTI (EVENT_EVENTI), .FCLKCLKTRIGN (fclk_clktrig_gnd), .FPGAIDLEN (FPGA_IDLE_N), .FTMDTRACEINATID (FTMD_TRACEIN_ATID_i), .FTMDTRACEINCLOCK (FTMD_TRACEIN_CLK), .FTMDTRACEINDATA (FTMD_TRACEIN_DATA_i), .FTMDTRACEINVALID (FTMD_TRACEIN_VALID_i), .FTMTF2PDEBUG (FTMT_F2P_DEBUG ), .FTMTF2PTRIG ({FTMT_F2P_TRIG_3,FTMT_F2P_TRIG_2,FTMT_F2P_TRIG_1,FTMT_F2P_TRIG_0}), .FTMTP2FTRIGACK ({FTMT_P2F_TRIGACK_3,FTMT_P2F_TRIGACK_2,FTMT_P2F_TRIGACK_1,FTMT_P2F_TRIGACK_0}), .IRQF2P (irq_f2p_i), .MAXIGP0ACLK (M_AXI_GP0_ACLK), .MAXIGP0ARREADY (M_AXI_GP0_ARREADY), .MAXIGP0AWREADY (M_AXI_GP0_AWREADY), .MAXIGP0BID (M_AXI_GP0_BID_FULL ), .MAXIGP0BRESP (M_AXI_GP0_BRESP ), .MAXIGP0BVALID (M_AXI_GP0_BVALID ), .MAXIGP0RDATA (M_AXI_GP0_RDATA ), .MAXIGP0RID (M_AXI_GP0_RID_FULL ), .MAXIGP0RLAST (M_AXI_GP0_RLAST ), .MAXIGP0RRESP (M_AXI_GP0_RRESP ), .MAXIGP0RVALID (M_AXI_GP0_RVALID ), .MAXIGP0WREADY (M_AXI_GP0_WREADY ), .MAXIGP1ACLK (M_AXI_GP1_ACLK ), .MAXIGP1ARREADY (M_AXI_GP1_ARREADY), .MAXIGP1AWREADY (M_AXI_GP1_AWREADY), .MAXIGP1BID (M_AXI_GP1_BID_FULL ), .MAXIGP1BRESP (M_AXI_GP1_BRESP ), .MAXIGP1BVALID (M_AXI_GP1_BVALID ), .MAXIGP1RDATA (M_AXI_GP1_RDATA ), .MAXIGP1RID (M_AXI_GP1_RID_FULL ), .MAXIGP1RLAST (M_AXI_GP1_RLAST ), .MAXIGP1RRESP (M_AXI_GP1_RRESP ), .MAXIGP1RVALID (M_AXI_GP1_RVALID ), .MAXIGP1WREADY (M_AXI_GP1_WREADY ), .SAXIACPACLK (S_AXI_ACP_ACLK ), .SAXIACPARADDR (SAXIACPARADDR_W ), .SAXIACPARBURST (SAXIACPARBURST_W), .SAXIACPARCACHE (SAXIACPARCACHE_W), .SAXIACPARID (S_AXI_ACP_ARID_in ), .SAXIACPARLEN (SAXIACPARLEN_W ), .SAXIACPARLOCK (SAXIACPARLOCK_W ), .SAXIACPARPROT (SAXIACPARPROT_W ), .SAXIACPARQOS (S_AXI_ACP_ARQOS ), .SAXIACPARSIZE (SAXIACPARSIZE_W[1:0] ), .SAXIACPARUSER (SAXIACPARUSER_W ), .SAXIACPARVALID (SAXIACPARVALID_W), .SAXIACPAWADDR (SAXIACPAWADDR_W ), .SAXIACPAWBURST (SAXIACPAWBURST_W), .SAXIACPAWCACHE (SAXIACPAWCACHE_W), .SAXIACPAWID (S_AXI_ACP_AWID_in ), .SAXIACPAWLEN (SAXIACPAWLEN_W ), .SAXIACPAWLOCK (SAXIACPAWLOCK_W ), .SAXIACPAWPROT (SAXIACPAWPROT_W ), .SAXIACPAWQOS (S_AXI_ACP_AWQOS ), .SAXIACPAWSIZE (SAXIACPAWSIZE_W[1:0] ), .SAXIACPAWUSER (SAXIACPAWUSER_W ), .SAXIACPAWVALID (SAXIACPAWVALID_W), .SAXIACPBREADY (SAXIACPBREADY_W ), .SAXIACPRREADY (SAXIACPRREADY_W ), .SAXIACPWDATA (SAXIACPWDATA_W ), .SAXIACPWID (S_AXI_ACP_WID_in ), .SAXIACPWLAST (SAXIACPWLAST_W ), .SAXIACPWSTRB (SAXIACPWSTRB_W ), .SAXIACPWVALID (SAXIACPWVALID_W ), .SAXIGP0ACLK (S_AXI_GP0_ACLK ), .SAXIGP0ARADDR (S_AXI_GP0_ARADDR ), .SAXIGP0ARBURST (S_AXI_GP0_ARBURST), .SAXIGP0ARCACHE (S_AXI_GP0_ARCACHE), .SAXIGP0ARID (S_AXI_GP0_ARID_in ), .SAXIGP0ARLEN (S_AXI_GP0_ARLEN ), .SAXIGP0ARLOCK (S_AXI_GP0_ARLOCK ), .SAXIGP0ARPROT (S_AXI_GP0_ARPROT ), .SAXIGP0ARQOS (S_AXI_GP0_ARQOS ), .SAXIGP0ARSIZE (S_AXI_GP0_ARSIZE[1:0] ), .SAXIGP0ARVALID (S_AXI_GP0_ARVALID), .SAXIGP0AWADDR (S_AXI_GP0_AWADDR ), .SAXIGP0AWBURST (S_AXI_GP0_AWBURST), .SAXIGP0AWCACHE (S_AXI_GP0_AWCACHE), .SAXIGP0AWID (S_AXI_GP0_AWID_in ), .SAXIGP0AWLEN (S_AXI_GP0_AWLEN ), .SAXIGP0AWLOCK (S_AXI_GP0_AWLOCK ), .SAXIGP0AWPROT (S_AXI_GP0_AWPROT ), .SAXIGP0AWQOS (S_AXI_GP0_AWQOS ), .SAXIGP0AWSIZE (S_AXI_GP0_AWSIZE[1:0] ), .SAXIGP0AWVALID (S_AXI_GP0_AWVALID), .SAXIGP0BREADY (S_AXI_GP0_BREADY ), .SAXIGP0RREADY (S_AXI_GP0_RREADY ), .SAXIGP0WDATA (S_AXI_GP0_WDATA ), .SAXIGP0WID (S_AXI_GP0_WID_in ), .SAXIGP0WLAST (S_AXI_GP0_WLAST ), .SAXIGP0WSTRB (S_AXI_GP0_WSTRB ), .SAXIGP0WVALID (S_AXI_GP0_WVALID ), .SAXIGP1ACLK (S_AXI_GP1_ACLK ), .SAXIGP1ARADDR (S_AXI_GP1_ARADDR ), .SAXIGP1ARBURST (S_AXI_GP1_ARBURST), .SAXIGP1ARCACHE (S_AXI_GP1_ARCACHE), .SAXIGP1ARID (S_AXI_GP1_ARID_in ), .SAXIGP1ARLEN (S_AXI_GP1_ARLEN ), .SAXIGP1ARLOCK (S_AXI_GP1_ARLOCK ), .SAXIGP1ARPROT (S_AXI_GP1_ARPROT ), .SAXIGP1ARQOS (S_AXI_GP1_ARQOS ), .SAXIGP1ARSIZE (S_AXI_GP1_ARSIZE[1:0] ), .SAXIGP1ARVALID (S_AXI_GP1_ARVALID), .SAXIGP1AWADDR (S_AXI_GP1_AWADDR ), .SAXIGP1AWBURST (S_AXI_GP1_AWBURST), .SAXIGP1AWCACHE (S_AXI_GP1_AWCACHE), .SAXIGP1AWID (S_AXI_GP1_AWID_in ), .SAXIGP1AWLEN (S_AXI_GP1_AWLEN ), .SAXIGP1AWLOCK (S_AXI_GP1_AWLOCK ), .SAXIGP1AWPROT (S_AXI_GP1_AWPROT ), .SAXIGP1AWQOS (S_AXI_GP1_AWQOS ), .SAXIGP1AWSIZE (S_AXI_GP1_AWSIZE[1:0] ), .SAXIGP1AWVALID (S_AXI_GP1_AWVALID), .SAXIGP1BREADY (S_AXI_GP1_BREADY ), .SAXIGP1RREADY (S_AXI_GP1_RREADY ), .SAXIGP1WDATA (S_AXI_GP1_WDATA ), .SAXIGP1WID (S_AXI_GP1_WID_in ), .SAXIGP1WLAST (S_AXI_GP1_WLAST ), .SAXIGP1WSTRB (S_AXI_GP1_WSTRB ), .SAXIGP1WVALID (S_AXI_GP1_WVALID ), .SAXIHP0ACLK (S_AXI_HP0_ACLK ), .SAXIHP0ARADDR (S_AXI_HP0_ARADDR), .SAXIHP0ARBURST (S_AXI_HP0_ARBURST), .SAXIHP0ARCACHE (S_AXI_HP0_ARCACHE), .SAXIHP0ARID (S_AXI_HP0_ARID_in), .SAXIHP0ARLEN (S_AXI_HP0_ARLEN), .SAXIHP0ARLOCK (S_AXI_HP0_ARLOCK), .SAXIHP0ARPROT (S_AXI_HP0_ARPROT), .SAXIHP0ARQOS (S_AXI_HP0_ARQOS), .SAXIHP0ARSIZE (S_AXI_HP0_ARSIZE[1:0]), .SAXIHP0ARVALID (S_AXI_HP0_ARVALID), .SAXIHP0AWADDR (S_AXI_HP0_AWADDR), .SAXIHP0AWBURST (S_AXI_HP0_AWBURST), .SAXIHP0AWCACHE (S_AXI_HP0_AWCACHE), .SAXIHP0AWID (S_AXI_HP0_AWID_in), .SAXIHP0AWLEN (S_AXI_HP0_AWLEN), .SAXIHP0AWLOCK (S_AXI_HP0_AWLOCK), .SAXIHP0AWPROT (S_AXI_HP0_AWPROT), .SAXIHP0AWQOS (S_AXI_HP0_AWQOS), .SAXIHP0AWSIZE (S_AXI_HP0_AWSIZE[1:0]), .SAXIHP0AWVALID (S_AXI_HP0_AWVALID), .SAXIHP0BREADY (S_AXI_HP0_BREADY), .SAXIHP0RDISSUECAP1EN (S_AXI_HP0_RDISSUECAP1_EN), .SAXIHP0RREADY (S_AXI_HP0_RREADY), .SAXIHP0WDATA (S_AXI_HP0_WDATA_in), .SAXIHP0WID (S_AXI_HP0_WID_in), .SAXIHP0WLAST (S_AXI_HP0_WLAST), .SAXIHP0WRISSUECAP1EN (S_AXI_HP0_WRISSUECAP1_EN), .SAXIHP0WSTRB (S_AXI_HP0_WSTRB_in), .SAXIHP0WVALID (S_AXI_HP0_WVALID), .SAXIHP1ACLK (S_AXI_HP1_ACLK), .SAXIHP1ARADDR (S_AXI_HP1_ARADDR), .SAXIHP1ARBURST (S_AXI_HP1_ARBURST), .SAXIHP1ARCACHE (S_AXI_HP1_ARCACHE), .SAXIHP1ARID (S_AXI_HP1_ARID_in), .SAXIHP1ARLEN (S_AXI_HP1_ARLEN), .SAXIHP1ARLOCK (S_AXI_HP1_ARLOCK), .SAXIHP1ARPROT (S_AXI_HP1_ARPROT), .SAXIHP1ARQOS (S_AXI_HP1_ARQOS), .SAXIHP1ARSIZE (S_AXI_HP1_ARSIZE[1:0]), .SAXIHP1ARVALID (S_AXI_HP1_ARVALID), .SAXIHP1AWADDR (S_AXI_HP1_AWADDR), .SAXIHP1AWBURST (S_AXI_HP1_AWBURST), .SAXIHP1AWCACHE (S_AXI_HP1_AWCACHE), .SAXIHP1AWID (S_AXI_HP1_AWID_in), .SAXIHP1AWLEN (S_AXI_HP1_AWLEN), .SAXIHP1AWLOCK (S_AXI_HP1_AWLOCK), .SAXIHP1AWPROT (S_AXI_HP1_AWPROT), .SAXIHP1AWQOS (S_AXI_HP1_AWQOS), .SAXIHP1AWSIZE (S_AXI_HP1_AWSIZE[1:0]), .SAXIHP1AWVALID (S_AXI_HP1_AWVALID), .SAXIHP1BREADY (S_AXI_HP1_BREADY), .SAXIHP1RDISSUECAP1EN (S_AXI_HP1_RDISSUECAP1_EN), .SAXIHP1RREADY (S_AXI_HP1_RREADY), .SAXIHP1WDATA (S_AXI_HP1_WDATA_in), .SAXIHP1WID (S_AXI_HP1_WID_in), .SAXIHP1WLAST (S_AXI_HP1_WLAST), .SAXIHP1WRISSUECAP1EN (S_AXI_HP1_WRISSUECAP1_EN), .SAXIHP1WSTRB (S_AXI_HP1_WSTRB_in), .SAXIHP1WVALID (S_AXI_HP1_WVALID), .SAXIHP2ACLK (S_AXI_HP2_ACLK), .SAXIHP2ARADDR (S_AXI_HP2_ARADDR), .SAXIHP2ARBURST (S_AXI_HP2_ARBURST), .SAXIHP2ARCACHE (S_AXI_HP2_ARCACHE), .SAXIHP2ARID (S_AXI_HP2_ARID_in), .SAXIHP2ARLEN (S_AXI_HP2_ARLEN), .SAXIHP2ARLOCK (S_AXI_HP2_ARLOCK), .SAXIHP2ARPROT (S_AXI_HP2_ARPROT), .SAXIHP2ARQOS (S_AXI_HP2_ARQOS), .SAXIHP2ARSIZE (S_AXI_HP2_ARSIZE[1:0]), .SAXIHP2ARVALID (S_AXI_HP2_ARVALID), .SAXIHP2AWADDR (S_AXI_HP2_AWADDR), .SAXIHP2AWBURST (S_AXI_HP2_AWBURST), .SAXIHP2AWCACHE (S_AXI_HP2_AWCACHE), .SAXIHP2AWID (S_AXI_HP2_AWID_in), .SAXIHP2AWLEN (S_AXI_HP2_AWLEN), .SAXIHP2AWLOCK (S_AXI_HP2_AWLOCK), .SAXIHP2AWPROT (S_AXI_HP2_AWPROT), .SAXIHP2AWQOS (S_AXI_HP2_AWQOS), .SAXIHP2AWSIZE (S_AXI_HP2_AWSIZE[1:0]), .SAXIHP2AWVALID (S_AXI_HP2_AWVALID), .SAXIHP2BREADY (S_AXI_HP2_BREADY), .SAXIHP2RDISSUECAP1EN (S_AXI_HP2_RDISSUECAP1_EN), .SAXIHP2RREADY (S_AXI_HP2_RREADY), .SAXIHP2WDATA (S_AXI_HP2_WDATA_in), .SAXIHP2WID (S_AXI_HP2_WID_in), .SAXIHP2WLAST (S_AXI_HP2_WLAST), .SAXIHP2WRISSUECAP1EN (S_AXI_HP2_WRISSUECAP1_EN), .SAXIHP2WSTRB (S_AXI_HP2_WSTRB_in), .SAXIHP2WVALID (S_AXI_HP2_WVALID), .SAXIHP3ACLK (S_AXI_HP3_ACLK), .SAXIHP3ARADDR (S_AXI_HP3_ARADDR ), .SAXIHP3ARBURST (S_AXI_HP3_ARBURST), .SAXIHP3ARCACHE (S_AXI_HP3_ARCACHE), .SAXIHP3ARID (S_AXI_HP3_ARID_in ), .SAXIHP3ARLEN (S_AXI_HP3_ARLEN), .SAXIHP3ARLOCK (S_AXI_HP3_ARLOCK), .SAXIHP3ARPROT (S_AXI_HP3_ARPROT), .SAXIHP3ARQOS (S_AXI_HP3_ARQOS), .SAXIHP3ARSIZE (S_AXI_HP3_ARSIZE[1:0]), .SAXIHP3ARVALID (S_AXI_HP3_ARVALID), .SAXIHP3AWADDR (S_AXI_HP3_AWADDR), .SAXIHP3AWBURST (S_AXI_HP3_AWBURST), .SAXIHP3AWCACHE (S_AXI_HP3_AWCACHE), .SAXIHP3AWID (S_AXI_HP3_AWID_in), .SAXIHP3AWLEN (S_AXI_HP3_AWLEN), .SAXIHP3AWLOCK (S_AXI_HP3_AWLOCK), .SAXIHP3AWPROT (S_AXI_HP3_AWPROT), .SAXIHP3AWQOS (S_AXI_HP3_AWQOS), .SAXIHP3AWSIZE (S_AXI_HP3_AWSIZE[1:0]), .SAXIHP3AWVALID (S_AXI_HP3_AWVALID), .SAXIHP3BREADY (S_AXI_HP3_BREADY), .SAXIHP3RDISSUECAP1EN (S_AXI_HP3_RDISSUECAP1_EN), .SAXIHP3RREADY (S_AXI_HP3_RREADY), .SAXIHP3WDATA (S_AXI_HP3_WDATA_in), .SAXIHP3WID (S_AXI_HP3_WID_in), .SAXIHP3WLAST (S_AXI_HP3_WLAST), .SAXIHP3WRISSUECAP1EN (S_AXI_HP3_WRISSUECAP1_EN), .SAXIHP3WSTRB (S_AXI_HP3_WSTRB_in), .SAXIHP3WVALID (S_AXI_HP3_WVALID), .DDRA (buffered_DDR_Addr), .DDRBA (buffered_DDR_BankAddr), .DDRCASB (buffered_DDR_CAS_n), .DDRCKE (buffered_DDR_CKE), .DDRCKN (buffered_DDR_Clk_n), .DDRCKP (buffered_DDR_Clk), .DDRCSB (buffered_DDR_CS_n), .DDRDM (buffered_DDR_DM), .DDRDQ (buffered_DDR_DQ), .DDRDQSN (buffered_DDR_DQS_n), .DDRDQSP (buffered_DDR_DQS), .DDRDRSTB (buffered_DDR_DRSTB), .DDRODT (buffered_DDR_ODT), .DDRRASB (buffered_DDR_RAS_n), .DDRVRN (buffered_DDR_VRN), .DDRVRP (buffered_DDR_VRP), .DDRWEB (buffered_DDR_WEB), .MIO ({buffered_MIO[31:30],dummy[21:20],buffered_MIO[29:28],dummy[19:12],buffered_MIO[27:16],dummy[11:0],buffered_MIO[15:0]}), .PSCLK (buffered_PS_CLK), .PSPORB (buffered_PS_PORB), .PSSRSTB (buffered_PS_SRSTB) ); end else begin PS7 PS7_i ( .DMA0DATYPE (DMA0_DATYPE ), .DMA0DAVALID (DMA0_DAVALID), .DMA0DRREADY (DMA0_DRREADY), .DMA0RSTN (DMA0_RSTN ), .DMA1DATYPE (DMA1_DATYPE ), .DMA1DAVALID (DMA1_DAVALID), .DMA1DRREADY (DMA1_DRREADY), .DMA1RSTN (DMA1_RSTN ), .DMA2DATYPE (DMA2_DATYPE ), .DMA2DAVALID (DMA2_DAVALID), .DMA2DRREADY (DMA2_DRREADY), .DMA2RSTN (DMA2_RSTN ), .DMA3DATYPE (DMA3_DATYPE ), .DMA3DAVALID (DMA3_DAVALID), .DMA3DRREADY (DMA3_DRREADY), .DMA3RSTN (DMA3_RSTN ), .EMIOCAN0PHYTX (CAN0_PHY_TX ), .EMIOCAN1PHYTX (CAN1_PHY_TX ), .EMIOENET0GMIITXD (ENET0_GMII_TXD_i ), .EMIOENET0GMIITXEN (ENET0_GMII_TX_EN_i), .EMIOENET0GMIITXER (ENET0_GMII_TX_ER_i), .EMIOENET0MDIOMDC (ENET0_MDIO_MDC), .EMIOENET0MDIOO (ENET0_MDIO_O ), .EMIOENET0MDIOTN (ENET0_MDIO_T_n ), .EMIOENET0PTPDELAYREQRX (ENET0_PTP_DELAY_REQ_RX), .EMIOENET0PTPDELAYREQTX (ENET0_PTP_DELAY_REQ_TX), .EMIOENET0PTPPDELAYREQRX (ENET0_PTP_PDELAY_REQ_RX), .EMIOENET0PTPPDELAYREQTX (ENET0_PTP_PDELAY_REQ_TX), .EMIOENET0PTPPDELAYRESPRX(ENET0_PTP_PDELAY_RESP_RX), .EMIOENET0PTPPDELAYRESPTX(ENET0_PTP_PDELAY_RESP_TX), .EMIOENET0PTPSYNCFRAMERX (ENET0_PTP_SYNC_FRAME_RX), .EMIOENET0PTPSYNCFRAMETX (ENET0_PTP_SYNC_FRAME_TX), .EMIOENET0SOFRX (ENET0_SOF_RX), .EMIOENET0SOFTX (ENET0_SOF_TX), .EMIOENET1GMIITXD (ENET1_GMII_TXD_i), .EMIOENET1GMIITXEN (ENET1_GMII_TX_EN_i), .EMIOENET1GMIITXER (ENET1_GMII_TX_ER_i), .EMIOENET1MDIOMDC (ENET1_MDIO_MDC), .EMIOENET1MDIOO (ENET1_MDIO_O ), .EMIOENET1MDIOTN (ENET1_MDIO_T_n), .EMIOENET1PTPDELAYREQRX (ENET1_PTP_DELAY_REQ_RX), .EMIOENET1PTPDELAYREQTX (ENET1_PTP_DELAY_REQ_TX), .EMIOENET1PTPPDELAYREQRX (ENET1_PTP_PDELAY_REQ_RX), .EMIOENET1PTPPDELAYREQTX (ENET1_PTP_PDELAY_REQ_TX), .EMIOENET1PTPPDELAYRESPRX(ENET1_PTP_PDELAY_RESP_RX), .EMIOENET1PTPPDELAYRESPTX(ENET1_PTP_PDELAY_RESP_TX), .EMIOENET1PTPSYNCFRAMERX (ENET1_PTP_SYNC_FRAME_RX), .EMIOENET1PTPSYNCFRAMETX (ENET1_PTP_SYNC_FRAME_TX), .EMIOENET1SOFRX (ENET1_SOF_RX), .EMIOENET1SOFTX (ENET1_SOF_TX), .EMIOGPIOO (gpio_out), .EMIOGPIOTN (gpio_out_t_n), .EMIOI2C0SCLO (I2C0_SCL_O), .EMIOI2C0SCLTN (I2C0_SCL_T_n), .EMIOI2C0SDAO (I2C0_SDA_O), .EMIOI2C0SDATN (I2C0_SDA_T_n), .EMIOI2C1SCLO (I2C1_SCL_O), .EMIOI2C1SCLTN (I2C1_SCL_T_n), .EMIOI2C1SDAO (I2C1_SDA_O), .EMIOI2C1SDATN (I2C1_SDA_T_n), .EMIOPJTAGTDO (PJTAG_TDO_O), .EMIOPJTAGTDTN (PJTAG_TDO_T_n), .EMIOSDIO0BUSPOW (SDIO0_BUSPOW), .EMIOSDIO0CLK (SDIO0_CLK ), .EMIOSDIO0CMDO (SDIO0_CMD_O ), .EMIOSDIO0CMDTN (SDIO0_CMD_T_n ), .EMIOSDIO0DATAO (SDIO0_DATA_O), .EMIOSDIO0DATATN (SDIO0_DATA_T_n), .EMIOSDIO0LED (SDIO0_LED), .EMIOSDIO1BUSPOW (SDIO1_BUSPOW), .EMIOSDIO1CLK (SDIO1_CLK ), .EMIOSDIO1CMDO (SDIO1_CMD_O ), .EMIOSDIO1CMDTN (SDIO1_CMD_T_n ), .EMIOSDIO1DATAO (SDIO1_DATA_O), .EMIOSDIO1DATATN (SDIO1_DATA_T_n), .EMIOSDIO1LED (SDIO1_LED), .EMIOSPI0MO (SPI0_MOSI_O), .EMIOSPI0MOTN (SPI0_MOSI_T_n), .EMIOSPI0SCLKO (SPI0_SCLK_O), .EMIOSPI0SCLKTN (SPI0_SCLK_T_n), .EMIOSPI0SO (SPI0_MISO_O), .EMIOSPI0STN (SPI0_MISO_T_n), .EMIOSPI0SSON ({SPI0_SS2_O,SPI0_SS1_O,SPI0_SS_O}), .EMIOSPI0SSNTN (SPI0_SS_T_n), .EMIOSPI1MO (SPI1_MOSI_O), .EMIOSPI1MOTN (SPI1_MOSI_T_n), .EMIOSPI1SCLKO (SPI1_SCLK_O), .EMIOSPI1SCLKTN (SPI1_SCLK_T_n), .EMIOSPI1SO (SPI1_MISO_O), .EMIOSPI1STN (SPI1_MISO_T_n), .EMIOSPI1SSON ({SPI1_SS2_O,SPI1_SS1_O,SPI1_SS_O}), .EMIOSPI1SSNTN (SPI1_SS_T_n), .EMIOTRACECTL (TRACE_CTL_i), .EMIOTRACEDATA (TRACE_DATA_i), .EMIOTTC0WAVEO ({TTC0_WAVE2_OUT,TTC0_WAVE1_OUT,TTC0_WAVE0_OUT}), .EMIOTTC1WAVEO ({TTC1_WAVE2_OUT,TTC1_WAVE1_OUT,TTC1_WAVE0_OUT}), .EMIOUART0DTRN (UART0_DTRN), .EMIOUART0RTSN (UART0_RTSN), .EMIOUART0TX (UART0_TX ), .EMIOUART1DTRN (UART1_DTRN), .EMIOUART1RTSN (UART1_RTSN), .EMIOUART1TX (UART1_TX ), .EMIOUSB0PORTINDCTL (USB0_PORT_INDCTL), .EMIOUSB0VBUSPWRSELECT (USB0_VBUS_PWRSELECT), .EMIOUSB1PORTINDCTL (USB1_PORT_INDCTL), .EMIOUSB1VBUSPWRSELECT (USB1_VBUS_PWRSELECT), .EMIOWDTRSTO (WDT_RST_OUT), .EVENTEVENTO (EVENT_EVENTO), .EVENTSTANDBYWFE (EVENT_STANDBYWFE), .EVENTSTANDBYWFI (EVENT_STANDBYWFI), .FCLKCLK (FCLK_CLK_unbuffered), .FCLKRESETN ({FCLK_RESET3_N,FCLK_RESET2_N,FCLK_RESET1_N,FCLK_RESET0_N}), .EMIOSDIO0BUSVOLT (SDIO0_BUSVOLT), .EMIOSDIO1BUSVOLT (SDIO1_BUSVOLT), .FTMTF2PTRIGACK ({FTMT_F2P_TRIGACK_3,FTMT_F2P_TRIGACK_2,FTMT_F2P_TRIGACK_1,FTMT_F2P_TRIGACK_0}), .FTMTP2FDEBUG (FTMT_P2F_DEBUG ), .FTMTP2FTRIG ({FTMT_P2F_TRIG_3,FTMT_P2F_TRIG_2,FTMT_P2F_TRIG_1,FTMT_P2F_TRIG_0}), .IRQP2F ({IRQ_P2F_DMAC_ABORT, IRQ_P2F_DMAC7, IRQ_P2F_DMAC6, IRQ_P2F_DMAC5, IRQ_P2F_DMAC4, IRQ_P2F_DMAC3, IRQ_P2F_DMAC2, IRQ_P2F_DMAC1, IRQ_P2F_DMAC0, IRQ_P2F_SMC, IRQ_P2F_QSPI, IRQ_P2F_CTI, IRQ_P2F_GPIO, IRQ_P2F_USB0, IRQ_P2F_ENET0, IRQ_P2F_ENET_WAKE0, IRQ_P2F_SDIO0, IRQ_P2F_I2C0, IRQ_P2F_SPI0, IRQ_P2F_UART0, IRQ_P2F_CAN0, IRQ_P2F_USB1, IRQ_P2F_ENET1, IRQ_P2F_ENET_WAKE1, IRQ_P2F_SDIO1, IRQ_P2F_I2C1, IRQ_P2F_SPI1, IRQ_P2F_UART1, IRQ_P2F_CAN1}), .MAXIGP0ARADDR (M_AXI_GP0_ARADDR), .MAXIGP0ARBURST (M_AXI_GP0_ARBURST), .MAXIGP0ARCACHE (M_AXI_GP0_ARCACHE), .MAXIGP0ARESETN (M_AXI_GP0_ARESETN), .MAXIGP0ARID (M_AXI_GP0_ARID_FULL ), .MAXIGP0ARLEN (M_AXI_GP0_ARLEN ), .MAXIGP0ARLOCK (M_AXI_GP0_ARLOCK ), .MAXIGP0ARPROT (M_AXI_GP0_ARPROT ), .MAXIGP0ARQOS (M_AXI_GP0_ARQOS ), .MAXIGP0ARSIZE (M_AXI_GP0_ARSIZE_i ), .MAXIGP0ARVALID (M_AXI_GP0_ARVALID), .MAXIGP0AWADDR (M_AXI_GP0_AWADDR ), .MAXIGP0AWBURST (M_AXI_GP0_AWBURST), .MAXIGP0AWCACHE (M_AXI_GP0_AWCACHE), .MAXIGP0AWID (M_AXI_GP0_AWID_FULL ), .MAXIGP0AWLEN (M_AXI_GP0_AWLEN ), .MAXIGP0AWLOCK (M_AXI_GP0_AWLOCK ), .MAXIGP0AWPROT (M_AXI_GP0_AWPROT ), .MAXIGP0AWQOS (M_AXI_GP0_AWQOS ), .MAXIGP0AWSIZE (M_AXI_GP0_AWSIZE_i ), .MAXIGP0AWVALID (M_AXI_GP0_AWVALID), .MAXIGP0BREADY (M_AXI_GP0_BREADY ), .MAXIGP0RREADY (M_AXI_GP0_RREADY ), .MAXIGP0WDATA (M_AXI_GP0_WDATA ), .MAXIGP0WID (M_AXI_GP0_WID_FULL ), .MAXIGP0WLAST (M_AXI_GP0_WLAST ), .MAXIGP0WSTRB (M_AXI_GP0_WSTRB ), .MAXIGP0WVALID (M_AXI_GP0_WVALID ), .MAXIGP1ARADDR (M_AXI_GP1_ARADDR ), .MAXIGP1ARBURST (M_AXI_GP1_ARBURST), .MAXIGP1ARCACHE (M_AXI_GP1_ARCACHE), .MAXIGP1ARESETN (M_AXI_GP1_ARESETN), .MAXIGP1ARID (M_AXI_GP1_ARID_FULL ), .MAXIGP1ARLEN (M_AXI_GP1_ARLEN ), .MAXIGP1ARLOCK (M_AXI_GP1_ARLOCK ), .MAXIGP1ARPROT (M_AXI_GP1_ARPROT ), .MAXIGP1ARQOS (M_AXI_GP1_ARQOS ), .MAXIGP1ARSIZE (M_AXI_GP1_ARSIZE_i ), .MAXIGP1ARVALID (M_AXI_GP1_ARVALID), .MAXIGP1AWADDR (M_AXI_GP1_AWADDR ), .MAXIGP1AWBURST (M_AXI_GP1_AWBURST), .MAXIGP1AWCACHE (M_AXI_GP1_AWCACHE), .MAXIGP1AWID (M_AXI_GP1_AWID_FULL ), .MAXIGP1AWLEN (M_AXI_GP1_AWLEN ), .MAXIGP1AWLOCK (M_AXI_GP1_AWLOCK ), .MAXIGP1AWPROT (M_AXI_GP1_AWPROT ), .MAXIGP1AWQOS (M_AXI_GP1_AWQOS ), .MAXIGP1AWSIZE (M_AXI_GP1_AWSIZE_i ), .MAXIGP1AWVALID (M_AXI_GP1_AWVALID), .MAXIGP1BREADY (M_AXI_GP1_BREADY ), .MAXIGP1RREADY (M_AXI_GP1_RREADY ), .MAXIGP1WDATA (M_AXI_GP1_WDATA ), .MAXIGP1WID (M_AXI_GP1_WID_FULL ), .MAXIGP1WLAST (M_AXI_GP1_WLAST ), .MAXIGP1WSTRB (M_AXI_GP1_WSTRB ), .MAXIGP1WVALID (M_AXI_GP1_WVALID ), .SAXIACPARESETN (S_AXI_ACP_ARESETN), .SAXIACPARREADY (SAXIACPARREADY_W), .SAXIACPAWREADY (SAXIACPAWREADY_W), .SAXIACPBID (S_AXI_ACP_BID_out ), .SAXIACPBRESP (SAXIACPBRESP_W ), .SAXIACPBVALID (SAXIACPBVALID_W ), .SAXIACPRDATA (SAXIACPRDATA_W ), .SAXIACPRID (S_AXI_ACP_RID_out), .SAXIACPRLAST (SAXIACPRLAST_W ), .SAXIACPRRESP (SAXIACPRRESP_W ), .SAXIACPRVALID (SAXIACPRVALID_W ), .SAXIACPWREADY (SAXIACPWREADY_W ), .SAXIGP0ARESETN (S_AXI_GP0_ARESETN), .SAXIGP0ARREADY (S_AXI_GP0_ARREADY), .SAXIGP0AWREADY (S_AXI_GP0_AWREADY), .SAXIGP0BID (S_AXI_GP0_BID_out), .SAXIGP0BRESP (S_AXI_GP0_BRESP ), .SAXIGP0BVALID (S_AXI_GP0_BVALID ), .SAXIGP0RDATA (S_AXI_GP0_RDATA ), .SAXIGP0RID (S_AXI_GP0_RID_out ), .SAXIGP0RLAST (S_AXI_GP0_RLAST ), .SAXIGP0RRESP (S_AXI_GP0_RRESP ), .SAXIGP0RVALID (S_AXI_GP0_RVALID ), .SAXIGP0WREADY (S_AXI_GP0_WREADY ), .SAXIGP1ARESETN (S_AXI_GP1_ARESETN), .SAXIGP1ARREADY (S_AXI_GP1_ARREADY), .SAXIGP1AWREADY (S_AXI_GP1_AWREADY), .SAXIGP1BID (S_AXI_GP1_BID_out ), .SAXIGP1BRESP (S_AXI_GP1_BRESP ), .SAXIGP1BVALID (S_AXI_GP1_BVALID ), .SAXIGP1RDATA (S_AXI_GP1_RDATA ), .SAXIGP1RID (S_AXI_GP1_RID_out ), .SAXIGP1RLAST (S_AXI_GP1_RLAST ), .SAXIGP1RRESP (S_AXI_GP1_RRESP ), .SAXIGP1RVALID (S_AXI_GP1_RVALID ), .SAXIGP1WREADY (S_AXI_GP1_WREADY ), .SAXIHP0ARESETN (S_AXI_HP0_ARESETN), .SAXIHP0ARREADY (S_AXI_HP0_ARREADY), .SAXIHP0AWREADY (S_AXI_HP0_AWREADY), .SAXIHP0BID (S_AXI_HP0_BID_out ), .SAXIHP0BRESP (S_AXI_HP0_BRESP ), .SAXIHP0BVALID (S_AXI_HP0_BVALID ), .SAXIHP0RACOUNT (S_AXI_HP0_RACOUNT), .SAXIHP0RCOUNT (S_AXI_HP0_RCOUNT), .SAXIHP0RDATA (S_AXI_HP0_RDATA_out), .SAXIHP0RID (S_AXI_HP0_RID_out ), .SAXIHP0RLAST (S_AXI_HP0_RLAST), .SAXIHP0RRESP (S_AXI_HP0_RRESP), .SAXIHP0RVALID (S_AXI_HP0_RVALID), .SAXIHP0WCOUNT (S_AXI_HP0_WCOUNT), .SAXIHP0WACOUNT (S_AXI_HP0_WACOUNT), .SAXIHP0WREADY (S_AXI_HP0_WREADY), .SAXIHP1ARESETN (S_AXI_HP1_ARESETN), .SAXIHP1ARREADY (S_AXI_HP1_ARREADY), .SAXIHP1AWREADY (S_AXI_HP1_AWREADY), .SAXIHP1BID (S_AXI_HP1_BID_out ), .SAXIHP1BRESP (S_AXI_HP1_BRESP ), .SAXIHP1BVALID (S_AXI_HP1_BVALID ), .SAXIHP1RACOUNT (S_AXI_HP1_RACOUNT ), .SAXIHP1RCOUNT (S_AXI_HP1_RCOUNT ), .SAXIHP1RDATA (S_AXI_HP1_RDATA_out), .SAXIHP1RID (S_AXI_HP1_RID_out ), .SAXIHP1RLAST (S_AXI_HP1_RLAST ), .SAXIHP1RRESP (S_AXI_HP1_RRESP ), .SAXIHP1RVALID (S_AXI_HP1_RVALID), .SAXIHP1WACOUNT (S_AXI_HP1_WACOUNT), .SAXIHP1WCOUNT (S_AXI_HP1_WCOUNT), .SAXIHP1WREADY (S_AXI_HP1_WREADY), .SAXIHP2ARESETN (S_AXI_HP2_ARESETN), .SAXIHP2ARREADY (S_AXI_HP2_ARREADY), .SAXIHP2AWREADY (S_AXI_HP2_AWREADY), .SAXIHP2BID (S_AXI_HP2_BID_out ), .SAXIHP2BRESP (S_AXI_HP2_BRESP), .SAXIHP2BVALID (S_AXI_HP2_BVALID), .SAXIHP2RACOUNT (S_AXI_HP2_RACOUNT), .SAXIHP2RCOUNT (S_AXI_HP2_RCOUNT), .SAXIHP2RDATA (S_AXI_HP2_RDATA_out), .SAXIHP2RID (S_AXI_HP2_RID_out ), .SAXIHP2RLAST (S_AXI_HP2_RLAST), .SAXIHP2RRESP (S_AXI_HP2_RRESP), .SAXIHP2RVALID (S_AXI_HP2_RVALID), .SAXIHP2WACOUNT (S_AXI_HP2_WACOUNT), .SAXIHP2WCOUNT (S_AXI_HP2_WCOUNT), .SAXIHP2WREADY (S_AXI_HP2_WREADY), .SAXIHP3ARESETN (S_AXI_HP3_ARESETN), .SAXIHP3ARREADY (S_AXI_HP3_ARREADY), .SAXIHP3AWREADY (S_AXI_HP3_AWREADY), .SAXIHP3BID (S_AXI_HP3_BID_out), .SAXIHP3BRESP (S_AXI_HP3_BRESP), .SAXIHP3BVALID (S_AXI_HP3_BVALID), .SAXIHP3RACOUNT (S_AXI_HP3_RACOUNT), .SAXIHP3RCOUNT (S_AXI_HP3_RCOUNT), .SAXIHP3RDATA (S_AXI_HP3_RDATA_out), .SAXIHP3RID (S_AXI_HP3_RID_out), .SAXIHP3RLAST (S_AXI_HP3_RLAST), .SAXIHP3RRESP (S_AXI_HP3_RRESP), .SAXIHP3RVALID (S_AXI_HP3_RVALID), .SAXIHP3WCOUNT (S_AXI_HP3_WCOUNT), .SAXIHP3WACOUNT (S_AXI_HP3_WACOUNT), .SAXIHP3WREADY (S_AXI_HP3_WREADY), .DDRARB (DDR_ARB), .DMA0ACLK (DMA0_ACLK ), .DMA0DAREADY (DMA0_DAREADY), .DMA0DRLAST (DMA0_DRLAST ), .DMA0DRTYPE (DMA0_DRTYPE), .DMA0DRVALID (DMA0_DRVALID), .DMA1ACLK (DMA1_ACLK ), .DMA1DAREADY (DMA1_DAREADY), .DMA1DRLAST (DMA1_DRLAST ), .DMA1DRTYPE (DMA1_DRTYPE), .DMA1DRVALID (DMA1_DRVALID), .DMA2ACLK (DMA2_ACLK ), .DMA2DAREADY (DMA2_DAREADY), .DMA2DRLAST (DMA2_DRLAST ), .DMA2DRTYPE (DMA2_DRTYPE), .DMA2DRVALID (DMA2_DRVALID), .DMA3ACLK (DMA3_ACLK ), .DMA3DAREADY (DMA3_DAREADY), .DMA3DRLAST (DMA3_DRLAST ), .DMA3DRTYPE (DMA3_DRTYPE), .DMA3DRVALID (DMA3_DRVALID), .EMIOCAN0PHYRX (CAN0_PHY_RX), .EMIOCAN1PHYRX (CAN1_PHY_RX), .EMIOENET0EXTINTIN (ENET0_EXT_INTIN), .EMIOENET0GMIICOL (ENET0_GMII_COL_i), .EMIOENET0GMIICRS (ENET0_GMII_CRS_i), .EMIOENET0GMIIRXCLK (ENET0_GMII_RX_CLK), .EMIOENET0GMIIRXD (ENET0_GMII_RXD_i), .EMIOENET0GMIIRXDV (ENET0_GMII_RX_DV_i), .EMIOENET0GMIIRXER (ENET0_GMII_RX_ER_i), .EMIOENET0GMIITXCLK (ENET0_GMII_TX_CLK), .EMIOENET0MDIOI (ENET0_MDIO_I), .EMIOENET1EXTINTIN (ENET1_EXT_INTIN), .EMIOENET1GMIICOL (ENET1_GMII_COL_i), .EMIOENET1GMIICRS (ENET1_GMII_CRS_i), .EMIOENET1GMIIRXCLK (ENET1_GMII_RX_CLK), .EMIOENET1GMIIRXD (ENET1_GMII_RXD_i), .EMIOENET1GMIIRXDV (ENET1_GMII_RX_DV_i), .EMIOENET1GMIIRXER (ENET1_GMII_RX_ER_i), .EMIOENET1GMIITXCLK (ENET1_GMII_TX_CLK), .EMIOENET1MDIOI (ENET1_MDIO_I), .EMIOGPIOI (gpio_in63_0 ), .EMIOI2C0SCLI (I2C0_SCL_I), .EMIOI2C0SDAI (I2C0_SDA_I), .EMIOI2C1SCLI (I2C1_SCL_I), .EMIOI2C1SDAI (I2C1_SDA_I), .EMIOPJTAGTCK (PJTAG_TCK), .EMIOPJTAGTDI (PJTAG_TDI), .EMIOPJTAGTMS (PJTAG_TMS), .EMIOSDIO0CDN (SDIO0_CDN), .EMIOSDIO0CLKFB (SDIO0_CLK_FB ), .EMIOSDIO0CMDI (SDIO0_CMD_I ), .EMIOSDIO0DATAI (SDIO0_DATA_I ), .EMIOSDIO0WP (SDIO0_WP), .EMIOSDIO1CDN (SDIO1_CDN), .EMIOSDIO1CLKFB (SDIO1_CLK_FB ), .EMIOSDIO1CMDI (SDIO1_CMD_I ), .EMIOSDIO1DATAI (SDIO1_DATA_I ), .EMIOSDIO1WP (SDIO1_WP), .EMIOSPI0MI (SPI0_MISO_I), .EMIOSPI0SCLKI (SPI0_SCLK_I), .EMIOSPI0SI (SPI0_MOSI_I), .EMIOSPI0SSIN (SPI0_SS_I), .EMIOSPI1MI (SPI1_MISO_I), .EMIOSPI1SCLKI (SPI1_SCLK_I), .EMIOSPI1SI (SPI1_MOSI_I), .EMIOSPI1SSIN (SPI1_SS_I), .EMIOSRAMINTIN (SRAM_INTIN), .EMIOTRACECLK (TRACE_CLK), .EMIOTTC0CLKI ({TTC0_CLK2_IN, TTC0_CLK1_IN, TTC0_CLK0_IN}), .EMIOTTC1CLKI ({TTC1_CLK2_IN, TTC1_CLK1_IN, TTC1_CLK0_IN}), .EMIOUART0CTSN (UART0_CTSN), .EMIOUART0DCDN (UART0_DCDN), .EMIOUART0DSRN (UART0_DSRN), .EMIOUART0RIN (UART0_RIN ), .EMIOUART0RX (UART0_RX ), .EMIOUART1CTSN (UART1_CTSN), .EMIOUART1DCDN (UART1_DCDN), .EMIOUART1DSRN (UART1_DSRN), .EMIOUART1RIN (UART1_RIN ), .EMIOUART1RX (UART1_RX ), .EMIOUSB0VBUSPWRFAULT (USB0_VBUS_PWRFAULT), .EMIOUSB1VBUSPWRFAULT (USB1_VBUS_PWRFAULT), .EMIOWDTCLKI (WDT_CLK_IN), .EVENTEVENTI (EVENT_EVENTI), .FCLKCLKTRIGN (fclk_clktrig_gnd), .FPGAIDLEN (FPGA_IDLE_N), .FTMDTRACEINATID (FTMD_TRACEIN_ATID_i), .FTMDTRACEINCLOCK (FTMD_TRACEIN_CLK), .FTMDTRACEINDATA (FTMD_TRACEIN_DATA_i), .FTMDTRACEINVALID (FTMD_TRACEIN_VALID_i), .FTMTF2PDEBUG (FTMT_F2P_DEBUG ), .FTMTF2PTRIG ({FTMT_F2P_TRIG_3,FTMT_F2P_TRIG_2,FTMT_F2P_TRIG_1,FTMT_F2P_TRIG_0}), .FTMTP2FTRIGACK ({FTMT_P2F_TRIGACK_3,FTMT_P2F_TRIGACK_2,FTMT_P2F_TRIGACK_1,FTMT_P2F_TRIGACK_0}), .IRQF2P (irq_f2p_i), .MAXIGP0ACLK (M_AXI_GP0_ACLK), .MAXIGP0ARREADY (M_AXI_GP0_ARREADY), .MAXIGP0AWREADY (M_AXI_GP0_AWREADY), .MAXIGP0BID (M_AXI_GP0_BID_FULL ), .MAXIGP0BRESP (M_AXI_GP0_BRESP ), .MAXIGP0BVALID (M_AXI_GP0_BVALID ), .MAXIGP0RDATA (M_AXI_GP0_RDATA ), .MAXIGP0RID (M_AXI_GP0_RID_FULL ), .MAXIGP0RLAST (M_AXI_GP0_RLAST ), .MAXIGP0RRESP (M_AXI_GP0_RRESP ), .MAXIGP0RVALID (M_AXI_GP0_RVALID ), .MAXIGP0WREADY (M_AXI_GP0_WREADY ), .MAXIGP1ACLK (M_AXI_GP1_ACLK ), .MAXIGP1ARREADY (M_AXI_GP1_ARREADY), .MAXIGP1AWREADY (M_AXI_GP1_AWREADY), .MAXIGP1BID (M_AXI_GP1_BID_FULL ), .MAXIGP1BRESP (M_AXI_GP1_BRESP ), .MAXIGP1BVALID (M_AXI_GP1_BVALID ), .MAXIGP1RDATA (M_AXI_GP1_RDATA ), .MAXIGP1RID (M_AXI_GP1_RID_FULL ), .MAXIGP1RLAST (M_AXI_GP1_RLAST ), .MAXIGP1RRESP (M_AXI_GP1_RRESP ), .MAXIGP1RVALID (M_AXI_GP1_RVALID ), .MAXIGP1WREADY (M_AXI_GP1_WREADY ), .SAXIACPACLK (S_AXI_ACP_ACLK ), .SAXIACPARADDR (SAXIACPARADDR_W ), .SAXIACPARBURST (SAXIACPARBURST_W), .SAXIACPARCACHE (SAXIACPARCACHE_W), .SAXIACPARID (S_AXI_ACP_ARID_in ), .SAXIACPARLEN (SAXIACPARLEN_W ), .SAXIACPARLOCK (SAXIACPARLOCK_W ), .SAXIACPARPROT (SAXIACPARPROT_W ), .SAXIACPARQOS (S_AXI_ACP_ARQOS ), .SAXIACPARSIZE (SAXIACPARSIZE_W[1:0] ), .SAXIACPARUSER (SAXIACPARUSER_W ), .SAXIACPARVALID (SAXIACPARVALID_W), .SAXIACPAWADDR (SAXIACPAWADDR_W ), .SAXIACPAWBURST (SAXIACPAWBURST_W), .SAXIACPAWCACHE (SAXIACPAWCACHE_W), .SAXIACPAWID (S_AXI_ACP_AWID_in ), .SAXIACPAWLEN (SAXIACPAWLEN_W ), .SAXIACPAWLOCK (SAXIACPAWLOCK_W ), .SAXIACPAWPROT (SAXIACPAWPROT_W ), .SAXIACPAWQOS (S_AXI_ACP_AWQOS ), .SAXIACPAWSIZE (SAXIACPAWSIZE_W[1:0] ), .SAXIACPAWUSER (SAXIACPAWUSER_W ), .SAXIACPAWVALID (SAXIACPAWVALID_W), .SAXIACPBREADY (SAXIACPBREADY_W ), .SAXIACPRREADY (SAXIACPRREADY_W ), .SAXIACPWDATA (SAXIACPWDATA_W ), .SAXIACPWID (S_AXI_ACP_WID_in ), .SAXIACPWLAST (SAXIACPWLAST_W ), .SAXIACPWSTRB (SAXIACPWSTRB_W ), .SAXIACPWVALID (SAXIACPWVALID_W ), .SAXIGP0ACLK (S_AXI_GP0_ACLK ), .SAXIGP0ARADDR (S_AXI_GP0_ARADDR ), .SAXIGP0ARBURST (S_AXI_GP0_ARBURST), .SAXIGP0ARCACHE (S_AXI_GP0_ARCACHE), .SAXIGP0ARID (S_AXI_GP0_ARID_in ), .SAXIGP0ARLEN (S_AXI_GP0_ARLEN ), .SAXIGP0ARLOCK (S_AXI_GP0_ARLOCK ), .SAXIGP0ARPROT (S_AXI_GP0_ARPROT ), .SAXIGP0ARQOS (S_AXI_GP0_ARQOS ), .SAXIGP0ARSIZE (S_AXI_GP0_ARSIZE[1:0] ), .SAXIGP0ARVALID (S_AXI_GP0_ARVALID), .SAXIGP0AWADDR (S_AXI_GP0_AWADDR ), .SAXIGP0AWBURST (S_AXI_GP0_AWBURST), .SAXIGP0AWCACHE (S_AXI_GP0_AWCACHE), .SAXIGP0AWID (S_AXI_GP0_AWID_in ), .SAXIGP0AWLEN (S_AXI_GP0_AWLEN ), .SAXIGP0AWLOCK (S_AXI_GP0_AWLOCK ), .SAXIGP0AWPROT (S_AXI_GP0_AWPROT ), .SAXIGP0AWQOS (S_AXI_GP0_AWQOS ), .SAXIGP0AWSIZE (S_AXI_GP0_AWSIZE[1:0] ), .SAXIGP0AWVALID (S_AXI_GP0_AWVALID), .SAXIGP0BREADY (S_AXI_GP0_BREADY ), .SAXIGP0RREADY (S_AXI_GP0_RREADY ), .SAXIGP0WDATA (S_AXI_GP0_WDATA ), .SAXIGP0WID (S_AXI_GP0_WID_in ), .SAXIGP0WLAST (S_AXI_GP0_WLAST ), .SAXIGP0WSTRB (S_AXI_GP0_WSTRB ), .SAXIGP0WVALID (S_AXI_GP0_WVALID ), .SAXIGP1ACLK (S_AXI_GP1_ACLK ), .SAXIGP1ARADDR (S_AXI_GP1_ARADDR ), .SAXIGP1ARBURST (S_AXI_GP1_ARBURST), .SAXIGP1ARCACHE (S_AXI_GP1_ARCACHE), .SAXIGP1ARID (S_AXI_GP1_ARID_in ), .SAXIGP1ARLEN (S_AXI_GP1_ARLEN ), .SAXIGP1ARLOCK (S_AXI_GP1_ARLOCK ), .SAXIGP1ARPROT (S_AXI_GP1_ARPROT ), .SAXIGP1ARQOS (S_AXI_GP1_ARQOS ), .SAXIGP1ARSIZE (S_AXI_GP1_ARSIZE[1:0] ), .SAXIGP1ARVALID (S_AXI_GP1_ARVALID), .SAXIGP1AWADDR (S_AXI_GP1_AWADDR ), .SAXIGP1AWBURST (S_AXI_GP1_AWBURST), .SAXIGP1AWCACHE (S_AXI_GP1_AWCACHE), .SAXIGP1AWID (S_AXI_GP1_AWID_in ), .SAXIGP1AWLEN (S_AXI_GP1_AWLEN ), .SAXIGP1AWLOCK (S_AXI_GP1_AWLOCK ), .SAXIGP1AWPROT (S_AXI_GP1_AWPROT ), .SAXIGP1AWQOS (S_AXI_GP1_AWQOS ), .SAXIGP1AWSIZE (S_AXI_GP1_AWSIZE[1:0] ), .SAXIGP1AWVALID (S_AXI_GP1_AWVALID), .SAXIGP1BREADY (S_AXI_GP1_BREADY ), .SAXIGP1RREADY (S_AXI_GP1_RREADY ), .SAXIGP1WDATA (S_AXI_GP1_WDATA ), .SAXIGP1WID (S_AXI_GP1_WID_in ), .SAXIGP1WLAST (S_AXI_GP1_WLAST ), .SAXIGP1WSTRB (S_AXI_GP1_WSTRB ), .SAXIGP1WVALID (S_AXI_GP1_WVALID ), .SAXIHP0ACLK (S_AXI_HP0_ACLK ), .SAXIHP0ARADDR (S_AXI_HP0_ARADDR), .SAXIHP0ARBURST (S_AXI_HP0_ARBURST), .SAXIHP0ARCACHE (S_AXI_HP0_ARCACHE), .SAXIHP0ARID (S_AXI_HP0_ARID_in), .SAXIHP0ARLEN (S_AXI_HP0_ARLEN), .SAXIHP0ARLOCK (S_AXI_HP0_ARLOCK), .SAXIHP0ARPROT (S_AXI_HP0_ARPROT), .SAXIHP0ARQOS (S_AXI_HP0_ARQOS), .SAXIHP0ARSIZE (S_AXI_HP0_ARSIZE[1:0]), .SAXIHP0ARVALID (S_AXI_HP0_ARVALID), .SAXIHP0AWADDR (S_AXI_HP0_AWADDR), .SAXIHP0AWBURST (S_AXI_HP0_AWBURST), .SAXIHP0AWCACHE (S_AXI_HP0_AWCACHE), .SAXIHP0AWID (S_AXI_HP0_AWID_in), .SAXIHP0AWLEN (S_AXI_HP0_AWLEN), .SAXIHP0AWLOCK (S_AXI_HP0_AWLOCK), .SAXIHP0AWPROT (S_AXI_HP0_AWPROT), .SAXIHP0AWQOS (S_AXI_HP0_AWQOS), .SAXIHP0AWSIZE (S_AXI_HP0_AWSIZE[1:0]), .SAXIHP0AWVALID (S_AXI_HP0_AWVALID), .SAXIHP0BREADY (S_AXI_HP0_BREADY), .SAXIHP0RDISSUECAP1EN (S_AXI_HP0_RDISSUECAP1_EN), .SAXIHP0RREADY (S_AXI_HP0_RREADY), .SAXIHP0WDATA (S_AXI_HP0_WDATA_in), .SAXIHP0WID (S_AXI_HP0_WID_in), .SAXIHP0WLAST (S_AXI_HP0_WLAST), .SAXIHP0WRISSUECAP1EN (S_AXI_HP0_WRISSUECAP1_EN), .SAXIHP0WSTRB (S_AXI_HP0_WSTRB_in), .SAXIHP0WVALID (S_AXI_HP0_WVALID), .SAXIHP1ACLK (S_AXI_HP1_ACLK), .SAXIHP1ARADDR (S_AXI_HP1_ARADDR), .SAXIHP1ARBURST (S_AXI_HP1_ARBURST), .SAXIHP1ARCACHE (S_AXI_HP1_ARCACHE), .SAXIHP1ARID (S_AXI_HP1_ARID_in), .SAXIHP1ARLEN (S_AXI_HP1_ARLEN), .SAXIHP1ARLOCK (S_AXI_HP1_ARLOCK), .SAXIHP1ARPROT (S_AXI_HP1_ARPROT), .SAXIHP1ARQOS (S_AXI_HP1_ARQOS), .SAXIHP1ARSIZE (S_AXI_HP1_ARSIZE[1:0]), .SAXIHP1ARVALID (S_AXI_HP1_ARVALID), .SAXIHP1AWADDR (S_AXI_HP1_AWADDR), .SAXIHP1AWBURST (S_AXI_HP1_AWBURST), .SAXIHP1AWCACHE (S_AXI_HP1_AWCACHE), .SAXIHP1AWID (S_AXI_HP1_AWID_in), .SAXIHP1AWLEN (S_AXI_HP1_AWLEN), .SAXIHP1AWLOCK (S_AXI_HP1_AWLOCK), .SAXIHP1AWPROT (S_AXI_HP1_AWPROT), .SAXIHP1AWQOS (S_AXI_HP1_AWQOS), .SAXIHP1AWSIZE (S_AXI_HP1_AWSIZE[1:0]), .SAXIHP1AWVALID (S_AXI_HP1_AWVALID), .SAXIHP1BREADY (S_AXI_HP1_BREADY), .SAXIHP1RDISSUECAP1EN (S_AXI_HP1_RDISSUECAP1_EN), .SAXIHP1RREADY (S_AXI_HP1_RREADY), .SAXIHP1WDATA (S_AXI_HP1_WDATA_in), .SAXIHP1WID (S_AXI_HP1_WID_in), .SAXIHP1WLAST (S_AXI_HP1_WLAST), .SAXIHP1WRISSUECAP1EN (S_AXI_HP1_WRISSUECAP1_EN), .SAXIHP1WSTRB (S_AXI_HP1_WSTRB_in), .SAXIHP1WVALID (S_AXI_HP1_WVALID), .SAXIHP2ACLK (S_AXI_HP2_ACLK), .SAXIHP2ARADDR (S_AXI_HP2_ARADDR), .SAXIHP2ARBURST (S_AXI_HP2_ARBURST), .SAXIHP2ARCACHE (S_AXI_HP2_ARCACHE), .SAXIHP2ARID (S_AXI_HP2_ARID_in), .SAXIHP2ARLEN (S_AXI_HP2_ARLEN), .SAXIHP2ARLOCK (S_AXI_HP2_ARLOCK), .SAXIHP2ARPROT (S_AXI_HP2_ARPROT), .SAXIHP2ARQOS (S_AXI_HP2_ARQOS), .SAXIHP2ARSIZE (S_AXI_HP2_ARSIZE[1:0]), .SAXIHP2ARVALID (S_AXI_HP2_ARVALID), .SAXIHP2AWADDR (S_AXI_HP2_AWADDR), .SAXIHP2AWBURST (S_AXI_HP2_AWBURST), .SAXIHP2AWCACHE (S_AXI_HP2_AWCACHE), .SAXIHP2AWID (S_AXI_HP2_AWID_in), .SAXIHP2AWLEN (S_AXI_HP2_AWLEN), .SAXIHP2AWLOCK (S_AXI_HP2_AWLOCK), .SAXIHP2AWPROT (S_AXI_HP2_AWPROT), .SAXIHP2AWQOS (S_AXI_HP2_AWQOS), .SAXIHP2AWSIZE (S_AXI_HP2_AWSIZE[1:0]), .SAXIHP2AWVALID (S_AXI_HP2_AWVALID), .SAXIHP2BREADY (S_AXI_HP2_BREADY), .SAXIHP2RDISSUECAP1EN (S_AXI_HP2_RDISSUECAP1_EN), .SAXIHP2RREADY (S_AXI_HP2_RREADY), .SAXIHP2WDATA (S_AXI_HP2_WDATA_in), .SAXIHP2WID (S_AXI_HP2_WID_in), .SAXIHP2WLAST (S_AXI_HP2_WLAST), .SAXIHP2WRISSUECAP1EN (S_AXI_HP2_WRISSUECAP1_EN), .SAXIHP2WSTRB (S_AXI_HP2_WSTRB_in), .SAXIHP2WVALID (S_AXI_HP2_WVALID), .SAXIHP3ACLK (S_AXI_HP3_ACLK), .SAXIHP3ARADDR (S_AXI_HP3_ARADDR ), .SAXIHP3ARBURST (S_AXI_HP3_ARBURST), .SAXIHP3ARCACHE (S_AXI_HP3_ARCACHE), .SAXIHP3ARID (S_AXI_HP3_ARID_in ), .SAXIHP3ARLEN (S_AXI_HP3_ARLEN), .SAXIHP3ARLOCK (S_AXI_HP3_ARLOCK), .SAXIHP3ARPROT (S_AXI_HP3_ARPROT), .SAXIHP3ARQOS (S_AXI_HP3_ARQOS), .SAXIHP3ARSIZE (S_AXI_HP3_ARSIZE[1:0]), .SAXIHP3ARVALID (S_AXI_HP3_ARVALID), .SAXIHP3AWADDR (S_AXI_HP3_AWADDR), .SAXIHP3AWBURST (S_AXI_HP3_AWBURST), .SAXIHP3AWCACHE (S_AXI_HP3_AWCACHE), .SAXIHP3AWID (S_AXI_HP3_AWID_in), .SAXIHP3AWLEN (S_AXI_HP3_AWLEN), .SAXIHP3AWLOCK (S_AXI_HP3_AWLOCK), .SAXIHP3AWPROT (S_AXI_HP3_AWPROT), .SAXIHP3AWQOS (S_AXI_HP3_AWQOS), .SAXIHP3AWSIZE (S_AXI_HP3_AWSIZE[1:0]), .SAXIHP3AWVALID (S_AXI_HP3_AWVALID), .SAXIHP3BREADY (S_AXI_HP3_BREADY), .SAXIHP3RDISSUECAP1EN (S_AXI_HP3_RDISSUECAP1_EN), .SAXIHP3RREADY (S_AXI_HP3_RREADY), .SAXIHP3WDATA (S_AXI_HP3_WDATA_in), .SAXIHP3WID (S_AXI_HP3_WID_in), .SAXIHP3WLAST (S_AXI_HP3_WLAST), .SAXIHP3WRISSUECAP1EN (S_AXI_HP3_WRISSUECAP1_EN), .SAXIHP3WSTRB (S_AXI_HP3_WSTRB_in), .SAXIHP3WVALID (S_AXI_HP3_WVALID), .DDRA (buffered_DDR_Addr), .DDRBA (buffered_DDR_BankAddr), .DDRCASB (buffered_DDR_CAS_n), .DDRCKE (buffered_DDR_CKE), .DDRCKN (buffered_DDR_Clk_n), .DDRCKP (buffered_DDR_Clk), .DDRCSB (buffered_DDR_CS_n), .DDRDM (buffered_DDR_DM), .DDRDQ (buffered_DDR_DQ), .DDRDQSN (buffered_DDR_DQS_n), .DDRDQSP (buffered_DDR_DQS), .DDRDRSTB (buffered_DDR_DRSTB), .DDRODT (buffered_DDR_ODT), .DDRRASB (buffered_DDR_RAS_n), .DDRVRN (buffered_DDR_VRN), .DDRVRP (buffered_DDR_VRP), .DDRWEB (buffered_DDR_WEB), .MIO (buffered_MIO), .PSCLK (buffered_PS_CLK), .PSPORB (buffered_PS_PORB), .PSSRSTB (buffered_PS_SRSTB) ); end endgenerate // Generating the AxUSER Values locally when the C_USE_DEFAULT_ACP_USER_VAL is enabled. // Otherwise a master connected to the ACP port will drive the AxUSER Ports assign param_aruser = C_USE_DEFAULT_ACP_USER_VAL? C_S_AXI_ACP_ARUSER_VAL : S_AXI_ACP_ARUSER; assign param_awuser = C_USE_DEFAULT_ACP_USER_VAL? C_S_AXI_ACP_AWUSER_VAL : S_AXI_ACP_AWUSER; assign SAXIACPARADDR_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARADDR : S_AXI_ACP_ARADDR; assign SAXIACPARBURST_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARBURST : S_AXI_ACP_ARBURST; assign SAXIACPARCACHE_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARCACHE : S_AXI_ACP_ARCACHE; assign SAXIACPARLEN_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARLEN : S_AXI_ACP_ARLEN; assign SAXIACPARLOCK_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARLOCK : S_AXI_ACP_ARLOCK; assign SAXIACPARPROT_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARPROT : S_AXI_ACP_ARPROT; assign SAXIACPARSIZE_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARSIZE : S_AXI_ACP_ARSIZE; //assign SAXIACPARUSER_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARUSER : S_AXI_ACP_ARUSER; assign SAXIACPARUSER_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARUSER : param_aruser; assign SAXIACPARVALID_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARVALID : S_AXI_ACP_ARVALID ; assign SAXIACPAWADDR_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWADDR : S_AXI_ACP_AWADDR; assign SAXIACPAWBURST_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWBURST : S_AXI_ACP_AWBURST; assign SAXIACPAWCACHE_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWCACHE : S_AXI_ACP_AWCACHE; assign SAXIACPAWLEN_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWLEN : S_AXI_ACP_AWLEN; assign SAXIACPAWLOCK_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWLOCK : S_AXI_ACP_AWLOCK; assign SAXIACPAWPROT_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWPROT : S_AXI_ACP_AWPROT; assign SAXIACPAWSIZE_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWSIZE : S_AXI_ACP_AWSIZE; //assign SAXIACPAWUSER_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWUSER : S_AXI_ACP_AWUSER; assign SAXIACPAWUSER_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWUSER : param_awuser; assign SAXIACPAWVALID_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWVALID : S_AXI_ACP_AWVALID; assign SAXIACPBREADY_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_BREADY : S_AXI_ACP_BREADY; assign SAXIACPRREADY_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_RREADY : S_AXI_ACP_RREADY; assign SAXIACPWDATA_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_WDATA : S_AXI_ACP_WDATA; assign SAXIACPWLAST_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_WLAST : S_AXI_ACP_WLAST; assign SAXIACPWSTRB_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_WSTRB : S_AXI_ACP_WSTRB; assign SAXIACPWVALID_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_WVALID : S_AXI_ACP_WVALID; assign SAXIACPARID_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_ARID : S_AXI_ACP_ARID; assign SAXIACPAWID_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_AWID : S_AXI_ACP_AWID; assign SAXIACPWID_W = (C_INCLUDE_ACP_TRANS_CHECK == 1) ? S_AXI_ATC_WID : S_AXI_ACP_WID; generate if (C_INCLUDE_ACP_TRANS_CHECK == 0) begin : gen_no_atc assign S_AXI_ACP_AWREADY = SAXIACPAWREADY_W; assign S_AXI_ACP_WREADY = SAXIACPWREADY_W; assign S_AXI_ACP_BID = SAXIACPBID_W; assign S_AXI_ACP_BRESP = SAXIACPBRESP_W; assign S_AXI_ACP_BVALID = SAXIACPBVALID_W; assign S_AXI_ACP_RDATA = SAXIACPRDATA_W; assign S_AXI_ACP_RID = SAXIACPRID_W; assign S_AXI_ACP_RLAST = SAXIACPRLAST_W; assign S_AXI_ACP_RRESP = SAXIACPRRESP_W; assign S_AXI_ACP_RVALID = SAXIACPRVALID_W; assign S_AXI_ACP_ARREADY = SAXIACPARREADY_W; end else begin : gen_atc processing_system7_v5_5_atc #( .C_AXI_ID_WIDTH (C_S_AXI_ACP_ID_WIDTH), .C_AXI_AWUSER_WIDTH (5), .C_AXI_ARUSER_WIDTH (5) ) atc_i ( // Global Signals .ACLK (S_AXI_ACP_ACLK), .ARESETN (S_AXI_ACP_ARESETN), // Slave Interface Write Address Ports .S_AXI_AWID (S_AXI_ACP_AWID), .S_AXI_AWADDR (S_AXI_ACP_AWADDR), .S_AXI_AWLEN (S_AXI_ACP_AWLEN), .S_AXI_AWSIZE (S_AXI_ACP_AWSIZE), .S_AXI_AWBURST (S_AXI_ACP_AWBURST), .S_AXI_AWLOCK (S_AXI_ACP_AWLOCK), .S_AXI_AWCACHE (S_AXI_ACP_AWCACHE), .S_AXI_AWPROT (S_AXI_ACP_AWPROT), //.S_AXI_AWUSER (S_AXI_ACP_AWUSER), .S_AXI_AWUSER (param_awuser), .S_AXI_AWVALID (S_AXI_ACP_AWVALID), .S_AXI_AWREADY (S_AXI_ACP_AWREADY), // Slave Interface Write Data Ports .S_AXI_WID (S_AXI_ACP_WID), .S_AXI_WDATA (S_AXI_ACP_WDATA), .S_AXI_WSTRB (S_AXI_ACP_WSTRB), .S_AXI_WLAST (S_AXI_ACP_WLAST), .S_AXI_WUSER (), .S_AXI_WVALID (S_AXI_ACP_WVALID), .S_AXI_WREADY (S_AXI_ACP_WREADY), // Slave Interface Write Response Ports .S_AXI_BID (S_AXI_ACP_BID), .S_AXI_BRESP (S_AXI_ACP_BRESP), .S_AXI_BUSER (), .S_AXI_BVALID (S_AXI_ACP_BVALID), .S_AXI_BREADY (S_AXI_ACP_BREADY), // Slave Interface Read Address Ports .S_AXI_ARID (S_AXI_ACP_ARID), .S_AXI_ARADDR (S_AXI_ACP_ARADDR), .S_AXI_ARLEN (S_AXI_ACP_ARLEN), .S_AXI_ARSIZE (S_AXI_ACP_ARSIZE), .S_AXI_ARBURST (S_AXI_ACP_ARBURST), .S_AXI_ARLOCK (S_AXI_ACP_ARLOCK), .S_AXI_ARCACHE (S_AXI_ACP_ARCACHE), .S_AXI_ARPROT (S_AXI_ACP_ARPROT), //.S_AXI_ARUSER (S_AXI_ACP_ARUSER), .S_AXI_ARUSER (param_aruser), .S_AXI_ARVALID (S_AXI_ACP_ARVALID), .S_AXI_ARREADY (S_AXI_ACP_ARREADY), // Slave Interface Read Data Ports .S_AXI_RID (S_AXI_ACP_RID), .S_AXI_RDATA (S_AXI_ACP_RDATA), .S_AXI_RRESP (S_AXI_ACP_RRESP), .S_AXI_RLAST (S_AXI_ACP_RLAST), .S_AXI_RUSER (), .S_AXI_RVALID (S_AXI_ACP_RVALID), .S_AXI_RREADY (S_AXI_ACP_RREADY), // Slave Interface Write Address Ports .M_AXI_AWID (S_AXI_ATC_AWID), .M_AXI_AWADDR (S_AXI_ATC_AWADDR), .M_AXI_AWLEN (S_AXI_ATC_AWLEN), .M_AXI_AWSIZE (S_AXI_ATC_AWSIZE), .M_AXI_AWBURST (S_AXI_ATC_AWBURST), .M_AXI_AWLOCK (S_AXI_ATC_AWLOCK), .M_AXI_AWCACHE (S_AXI_ATC_AWCACHE), .M_AXI_AWPROT (S_AXI_ATC_AWPROT), .M_AXI_AWUSER (S_AXI_ATC_AWUSER), .M_AXI_AWVALID (S_AXI_ATC_AWVALID), .M_AXI_AWREADY (SAXIACPAWREADY_W), // Slave Interface Write Data Ports .M_AXI_WID (S_AXI_ATC_WID), .M_AXI_WDATA (S_AXI_ATC_WDATA), .M_AXI_WSTRB (S_AXI_ATC_WSTRB), .M_AXI_WLAST (S_AXI_ATC_WLAST), .M_AXI_WUSER (), .M_AXI_WVALID (S_AXI_ATC_WVALID), .M_AXI_WREADY (SAXIACPWREADY_W), // Slave Interface Write Response Ports .M_AXI_BID (SAXIACPBID_W), .M_AXI_BRESP (SAXIACPBRESP_W), .M_AXI_BUSER (), .M_AXI_BVALID (SAXIACPBVALID_W), .M_AXI_BREADY (S_AXI_ATC_BREADY), // Slave Interface Read Address Ports .M_AXI_ARID (S_AXI_ATC_ARID), .M_AXI_ARADDR (S_AXI_ATC_ARADDR), .M_AXI_ARLEN (S_AXI_ATC_ARLEN), .M_AXI_ARSIZE (S_AXI_ATC_ARSIZE), .M_AXI_ARBURST (S_AXI_ATC_ARBURST), .M_AXI_ARLOCK (S_AXI_ATC_ARLOCK), .M_AXI_ARCACHE (S_AXI_ATC_ARCACHE), .M_AXI_ARPROT (S_AXI_ATC_ARPROT), .M_AXI_ARUSER (S_AXI_ATC_ARUSER), .M_AXI_ARVALID (S_AXI_ATC_ARVALID), .M_AXI_ARREADY (SAXIACPARREADY_W), // Slave Interface Read Data Ports .M_AXI_RID (SAXIACPRID_W), .M_AXI_RDATA (SAXIACPRDATA_W), .M_AXI_RRESP (SAXIACPRRESP_W), .M_AXI_RLAST (SAXIACPRLAST_W), .M_AXI_RUSER (), .M_AXI_RVALID (SAXIACPRVALID_W), .M_AXI_RREADY (S_AXI_ATC_RREADY), .ERROR_TRIGGER(), .ERROR_TRANSACTION_ID() ); end endgenerate endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__AND3_TB_V `define SKY130_FD_SC_HS__AND3_TB_V /** * and3: 3-input AND. * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hs__and3.v" module top(); // Inputs are registered reg A; reg B; reg C; reg VPWR; reg VGND; // Outputs are wires wire X; initial begin // Initial state is x for all inputs. A = 1'bX; B = 1'bX; C = 1'bX; VGND = 1'bX; VPWR = 1'bX; #20 A = 1'b0; #40 B = 1'b0; #60 C = 1'b0; #80 VGND = 1'b0; #100 VPWR = 1'b0; #120 A = 1'b1; #140 B = 1'b1; #160 C = 1'b1; #180 VGND = 1'b1; #200 VPWR = 1'b1; #220 A = 1'b0; #240 B = 1'b0; #260 C = 1'b0; #280 VGND = 1'b0; #300 VPWR = 1'b0; #320 VPWR = 1'b1; #340 VGND = 1'b1; #360 C = 1'b1; #380 B = 1'b1; #400 A = 1'b1; #420 VPWR = 1'bx; #440 VGND = 1'bx; #460 C = 1'bx; #480 B = 1'bx; #500 A = 1'bx; end sky130_fd_sc_hs__and3 dut (.A(A), .B(B), .C(C), .VPWR(VPWR), .VGND(VGND), .X(X)); endmodule `default_nettype wire `endif // SKY130_FD_SC_HS__AND3_TB_V
////////////////////////////////////////////////////////////////////////////// // Copyright (c) 2011, Andrew "bunnie" Huang // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // // * Redistributions of source code must retain the above copyright notice, // this list of conditions and the following disclaimer. // * Redistributions in binary form must reproduce the above copyright notice, // this list of conditions and the following disclaimer in the documentation and/or // other materials provided with the distribution. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY // EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES // OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT // SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT // LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR // PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, // WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) // ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE // POSSIBILITY OF SUCH DAMAGE. // ////////////////////////////////////////////////////////////////////////////// // A simple slave implementation. Oversampled for robustness. // The slave is extended into the snoop & surpress version for the DDC bus; // this is just a starting point for basic testing and also simple comms // with the CPU. // // i2c slave module requires the top level module to implement the IOBs // This is just to keep the tri-state easy to implemen across the hierarchy // // The code required on the top level is: // IOBUF #(.DRIVE(12), .SLEW("SLOW")) IOBUF_sda (.IO(SDA), .I(1'b0), .T(!SDA_pd)); // /////////// `timescale 1 ns / 1 ps module i2c_slave ( // external host interface input wire SCL, // the SCL pin state input wire SDA, output reg SDA_pd, input wire clk, // internal FPGA clock input wire glbl_reset, // internal FPGA reset // i2c configuration input wire [7:0] i2c_device_addr, // internal slave interface output wire [7:0] reg_0, // 00-3F are write-only (from the host perspective) output wire [7:0] reg_2, input wire [7:0] reg_40, // 40-7F are read-only (from the host perspective) input wire [7:0] reg_41, input wire [7:0] reg_42, input wire [7:0] reg_fc, // this is for version coding input wire [7:0] reg_fd, input wire [7:0] reg_fe, input wire [7:0] reg_ff ); // internal reset wire reset; sync_reset i2c_slave_reset( .clk(clk), .glbl_reset(glbl_reset), .reset(reset) ); /////// I2C physical layer components /// SDA is stable when SCL is high. /// If SDA moves while SCL is high, this is considered a start or stop condition. /// /// Otherwise, SDA can move around when SCL is low (this is where we suppress bits or /// overdrive as needed). SDA is a wired-AND bus, so you only "drive" zero. /// /// In an oversampled implementation, a rising and falling edge de-glitcher is needed /// for SCL and SDA. /// // rise fall time cycles computation: // At 400kHz operation, 2.5us is a cycle. "chatter" from transition should be about // 5% of total cycle time max (just rule of thumb), so 0.125us should be the equiv // number of cycles. // For the demo board, a 25 MHz clock is provided, and 0.125us ~ 4 cycles // At 100kHz operation, 10us is a cycle, so 0.5us ~ 12 cycles parameter TRF_CYCLES = 5'd4; // number of cycles for rise/fall time //////////////// ///// protocol-level state machine //////////////// parameter I2C_START = 14'b1 << 0; // should only pass through this state for one cycle parameter I2C_RESTART = 14'b1 << 1; parameter I2C_DADDR = 14'b1 << 2; parameter I2C_ACK_DADDR = 14'b1 << 3; parameter I2C_ADDR = 14'b1 << 4; parameter I2C_ACK_ADDR = 14'b1 << 5; parameter I2C_WR_DATA = 14'b1 << 6; parameter I2C_ACK_WR = 14'b1 << 7; parameter I2C_END_WR = 14'b1 << 8; parameter I2C_RD_DATA = 14'b1 << 9; parameter I2C_ACK_RD = 14'b1 << 10; parameter I2C_END_RD = 14'b1 << 11; parameter I2C_END_RD2 = 14'b1 << 12; parameter I2C_WAITSTOP = 14'b1 << 13; parameter I2C_nSTATES = 14; reg [(I2C_nSTATES-1):0] I2C_cstate = {{(I2C_nSTATES-1){1'b0}}, 1'b1}; //current and next states reg [(I2C_nSTATES-1):0] I2C_nstate; //`define SIMULATION `ifdef SIMULATION // synthesis translate_off reg [8*20:1] I2C_state_ascii = "I2C_START "; always @(I2C_cstate) begin if (I2C_cstate == I2C_START) I2C_state_ascii <= "I2C_START "; else if (I2C_cstate == I2C_RESTART) I2C_state_ascii <= "I2C_RESTART "; else if (I2C_cstate == I2C_DADDR) I2C_state_ascii <= "I2C_DADDR "; else if (I2C_cstate == I2C_ACK_DADDR) I2C_state_ascii <= "I2C_ACK_DADDR "; else if (I2C_cstate == I2C_ADDR) I2C_state_ascii <= "I2C_ADDR "; else if (I2C_cstate == I2C_ACK_ADDR) I2C_state_ascii <= "I2C_ACK_ADDR "; else if (I2C_cstate == I2C_WR_DATA) I2C_state_ascii <= "I2C_WR_DATA "; else if (I2C_cstate == I2C_ACK_WR) I2C_state_ascii <= "I2C_ACK_WR "; else if (I2C_cstate == I2C_END_WR) I2C_state_ascii <= "I2C_END_WR "; else if (I2C_cstate == I2C_RD_DATA) I2C_state_ascii <= "I2C_RD_DATA "; else if (I2C_cstate == I2C_ACK_RD) I2C_state_ascii <= "I2C_ACK_RD "; else if (I2C_cstate == I2C_END_RD) I2C_state_ascii <= "I2C_END_RD "; else if (I2C_cstate == I2C_END_RD2) I2C_state_ascii <= "I2C_END_RD2 "; else if (I2C_cstate == I2C_WAITSTOP) I2C_state_ascii <= "I2C_WAITSTOP "; else I2C_state_ascii <= "WTF "; end // synthesis translate_on `endif reg [3:0] I2C_bitcnt; reg [7:0] I2C_addr; reg [7:0] I2C_daddr; reg [7:0] I2C_wdata; reg [7:0] I2C_rdata; reg I2C_reg_update; ///// register block definitions parameter RAM_WIDTH = 8; parameter RAM_ADDR_BITS = 6; // note parameter width exception in reg_a* assign block below reg [RAM_WIDTH-1:0] I2C_regblock [(2**RAM_ADDR_BITS)-1:0]; reg [RAM_WIDTH-1:0] I2C_regread_async; wire [RAM_ADDR_BITS-1:0] I2C_ramaddr; ////////// code begins here always @ (posedge clk) begin if (reset || ((SCL_cstate == SCL_HIGH) && (SDA_cstate == SDA_RISE))) // stop condition always resets I2C_cstate <= I2C_START; else I2C_cstate <= I2C_nstate; end always @ (*) begin case (I2C_cstate) //synthesis parallel_case full_case I2C_START: begin // wait for the start condition I2C_nstate = ((SDA_cstate == SDA_FALL) && (SCL_cstate == SCL_HIGH)) ? I2C_DADDR : I2C_START; end I2C_RESTART: begin // repeated start moves immediately to DADDR I2C_nstate = I2C_DADDR; end I2C_DADDR: begin // 8 bits to get the address I2C_nstate = ((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_DADDR : I2C_DADDR; end I2C_ACK_DADDR: begin // depending upon W/R bit state, go to one of two branches I2C_nstate = (SCL_cstate == SCL_FALL) ? (I2C_daddr[7:1] == i2c_device_addr[7:1]) ? (I2C_daddr[0] == 1'b0 ? I2C_ADDR : I2C_RD_DATA) : I2C_WAITSTOP : // !I2C_daddr match I2C_ACK_DADDR; // !SCL_FALL end // device address branch I2C_ADDR: begin I2C_nstate = ((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_ADDR : I2C_ADDR; end I2C_ACK_ADDR: begin I2C_nstate = (SCL_cstate == SCL_FALL) ? I2C_WR_DATA : I2C_ACK_ADDR; end // write branch I2C_WR_DATA: begin // 8 bits to get the write data I2C_nstate = ((SDA_cstate == SDA_FALL) && (SCL_cstate == SCL_HIGH)) ? I2C_RESTART : // repeated start ((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_WR : I2C_WR_DATA; end I2C_ACK_WR: begin // trigger the ack response (pull SDA low until next falling edge) // and stay in this state until the next falling edge of SCL I2C_nstate = (SCL_cstate == SCL_FALL) ? I2C_END_WR : I2C_ACK_WR; end I2C_END_WR: begin // one-cycle state to update address+1, reset SDA pulldown I2C_nstate = I2C_WR_DATA; // SCL is now low end // read branch I2C_RD_DATA: begin // 8 bits to get the read data I2C_nstate = ((SDA_cstate == SDA_FALL) && (SCL_cstate == SCL_HIGH)) ? I2C_RESTART : // repeated start ((I2C_bitcnt > 4'h7) && (SCL_cstate == SCL_FALL)) ? I2C_ACK_RD : I2C_RD_DATA; end I2C_ACK_RD: begin // wait for an (n)ack response // need to sample (n)ack on a rising edge I2C_nstate = (SCL_cstate == SCL_RISE) ? I2C_END_RD : I2C_ACK_RD; end I2C_END_RD: begin // if nack, just go to start state (don't explicitly check stop event) // single cycle state for adr+1 update I2C_nstate = (SDA_cstate == SDA_LOW) ? I2C_END_RD2 : I2C_START; end I2C_END_RD2: begin // before entering I2C_RD_DATA, we need to have seen a falling edge. I2C_nstate = (SCL_cstate == SCL_FALL) ? I2C_RD_DATA : I2C_END_RD2; end // we're not the addressed device, so we just idle until we see a stop I2C_WAITSTOP: begin I2C_nstate = (((SCL_cstate == SCL_HIGH) && (SDA_cstate == SDA_RISE))) ? // stop I2C_START : (((SCL_cstate == SCL_HIGH) && (SDA_cstate == SDA_FALL))) ? // or start I2C_RESTART : I2C_WAITSTOP; end endcase // case (cstate) end always @ (posedge clk) begin if( reset ) begin I2C_bitcnt <= 4'b0; I2C_daddr <= 8'b0; I2C_wdata <= 8'b0; SDA_pd <= 1'b0; I2C_reg_update <= 1'b0; I2C_rdata <= 8'b0; I2C_addr <= 8'b0; // this persists across transactions end else begin case (I2C_cstate) // synthesis parallel_case full_case I2C_START: begin // everything in reset I2C_bitcnt <= 4'b0; I2C_daddr <= 8'b0; I2C_wdata <= 8'b0; I2C_rdata <= 8'b0; SDA_pd <= 1'b0; I2C_reg_update <= 1'b0; I2C_addr <= I2C_addr; end I2C_RESTART: begin I2C_bitcnt <= 4'b0; I2C_daddr <= 8'b0; I2C_wdata <= 8'b0; I2C_rdata <= 8'b0; SDA_pd <= 1'b0; I2C_reg_update <= 1'b0; I2C_addr <= I2C_addr; end // get my i2c device address (am I being talked to?) I2C_DADDR: begin // shift in the address on rising edges of clock if( SCL_cstate == SCL_RISE ) begin I2C_bitcnt <= I2C_bitcnt + 4'b1; I2C_daddr[7] <= I2C_daddr[6]; I2C_daddr[6] <= I2C_daddr[5]; I2C_daddr[5] <= I2C_daddr[4]; I2C_daddr[4] <= I2C_daddr[3]; I2C_daddr[3] <= I2C_daddr[2]; I2C_daddr[2] <= I2C_daddr[1]; I2C_daddr[1] <= I2C_daddr[0]; I2C_daddr[0] <= (SDA_cstate == SDA_HIGH) ? 1'b1 : 1'b0; end else begin // we're oversampled so we need a hold-state gutter I2C_bitcnt <= I2C_bitcnt; I2C_daddr <= I2C_daddr; end // else: !if( SCL_cstate == SCL_RISE ) SDA_pd <= 1'b0; I2C_wdata <= 8'b0; I2C_rdata <= 8'b0; I2C_reg_update <= 1'b0; I2C_addr <= I2C_addr; end // case: I2C_DADDR I2C_ACK_DADDR: begin SDA_pd <= 1'b1; // active pull down ACK I2C_daddr <= I2C_daddr; I2C_bitcnt <= 4'b0; I2C_wdata <= 8'b0; I2C_rdata <= I2C_regread_async; I2C_reg_update <= 1'b0; I2C_addr <= I2C_addr; end // get my i2c "write" address (what we want to access inside me) I2C_ADDR: begin if( SCL_cstate == SCL_RISE ) begin I2C_bitcnt <= I2C_bitcnt + 4'b1; I2C_addr[7] <= I2C_addr[6]; I2C_addr[6] <= I2C_addr[5]; I2C_addr[5] <= I2C_addr[4]; I2C_addr[4] <= I2C_addr[3]; I2C_addr[3] <= I2C_addr[2]; I2C_addr[2] <= I2C_addr[1]; I2C_addr[1] <= I2C_addr[0]; I2C_addr[0] <= (SDA_cstate == SDA_HIGH) ? 1'b1 : 1'b0; end else begin // we're oversampled so we need a hold-state gutter I2C_bitcnt <= I2C_bitcnt; I2C_addr <= I2C_addr; end // else: !if( SCL_cstate == SCL_RISE ) SDA_pd <= 1'b0; I2C_wdata <= 8'b0; I2C_rdata <= 8'b0; I2C_reg_update <= 1'b0; I2C_daddr <= I2C_daddr; end // case: I2C_ADDR I2C_ACK_ADDR: begin SDA_pd <= 1'b1; // active pull down ACK I2C_daddr <= I2C_daddr; I2C_bitcnt <= 4'b0; I2C_wdata <= 8'b0; I2C_rdata <= I2C_regread_async; // update my read data here I2C_reg_update <= 1'b0; I2C_addr <= I2C_addr; end // write branch I2C_WR_DATA: begin // shift in data on rising edges of clock if( SCL_cstate == SCL_RISE ) begin I2C_bitcnt <= I2C_bitcnt + 4'b1; I2C_wdata[7] <= I2C_wdata[6]; I2C_wdata[6] <= I2C_wdata[5]; I2C_wdata[5] <= I2C_wdata[4]; I2C_wdata[4] <= I2C_wdata[3]; I2C_wdata[3] <= I2C_wdata[2]; I2C_wdata[2] <= I2C_wdata[1]; I2C_wdata[1] <= I2C_wdata[0]; I2C_wdata[0] <= (SDA_cstate == SDA_HIGH) ? 1'b1 : 1'b0; end else begin I2C_bitcnt <= I2C_bitcnt; // hold state gutter I2C_wdata <= I2C_wdata; end // else: !if( SCL_cstate == SCL_RISE ) SDA_pd <= 1'b0; I2C_daddr <= I2C_daddr; I2C_reg_update <= 1'b0; I2C_rdata <= I2C_rdata; I2C_addr <= I2C_addr; end // case: I2C_WR_DATA I2C_ACK_WR: begin SDA_pd <= 1'b1; // active pull down ACK I2C_daddr <= I2C_daddr; I2C_bitcnt <= 4'b0; I2C_wdata <= I2C_wdata; I2C_reg_update <= 1'b1; // write the data now (over and over again while in state) I2C_rdata <= I2C_rdata; I2C_addr <= I2C_addr; end I2C_END_WR: begin SDA_pd <= 1'b0; // let SDA rise (host may look for this to know ack is done I2C_addr <= I2C_addr + 8'b1; // this is a one-cycle state so this is safe I2C_bitcnt <= 4'b0; I2C_wdata <= 8'b0; I2C_rdata <= I2C_rdata; I2C_reg_update <= 1'b0; I2C_daddr <= I2C_daddr; end // read branch I2C_RD_DATA: begin // shift out data on falling edges of clock SDA_pd <= I2C_rdata[7] ? 1'b0 : 1'b1; if( SCL_cstate == SCL_RISE ) begin I2C_bitcnt <= I2C_bitcnt + 4'b1; end else begin I2C_bitcnt <= I2C_bitcnt; // hold state gutter end if( SCL_cstate == SCL_FALL ) begin I2C_rdata[7] <= I2C_rdata[6]; I2C_rdata[6] <= I2C_rdata[5]; I2C_rdata[5] <= I2C_rdata[4]; I2C_rdata[4] <= I2C_rdata[3]; I2C_rdata[3] <= I2C_rdata[2]; I2C_rdata[2] <= I2C_rdata[1]; I2C_rdata[1] <= I2C_rdata[0]; I2C_rdata[0] <= 1'b0; end else begin I2C_rdata <= I2C_rdata; end // else: !if( SCL_cstate == SCL_RISE ) I2C_daddr <= I2C_daddr; I2C_reg_update <= 1'b0; I2C_wdata <= I2C_wdata; I2C_addr <= I2C_addr; end // case: I2C_RD_DATA I2C_ACK_RD: begin SDA_pd <= 1'b0; // in ack state don't pull down, we are listening to host I2C_daddr <= I2C_daddr; I2C_bitcnt <= 4'b0; I2C_rdata <= I2C_rdata; I2C_reg_update <= 1'b0; I2C_wdata <= I2C_wdata; I2C_addr <= I2C_addr; end I2C_END_RD: begin SDA_pd <= 1'b0; // let SDA rise (host may look for this to know ack is done I2C_addr <= I2C_addr + 8'b1; // this is a one-cycle state so this is safe I2C_bitcnt <= 4'b0; I2C_rdata <= I2C_rdata; I2C_reg_update <= 1'b0; I2C_wdata <= I2C_wdata; I2C_daddr <= I2C_daddr; end I2C_END_RD2: begin SDA_pd <= 1'b0; I2C_daddr <= 8'b0; I2C_bitcnt <= 4'b0; I2C_rdata <= I2C_regread_async; // update my read data here I2C_reg_update <= 1'b0; I2C_wdata <= I2C_wdata; I2C_addr <= I2C_addr; end I2C_WAITSTOP: begin SDA_pd <= 1'b0; I2C_daddr <= 8'b0; I2C_bitcnt <= 4'b0; I2C_rdata <= I2C_rdata; I2C_reg_update <= 1'b0; I2C_wdata <= I2C_wdata; I2C_addr <= I2C_addr; end endcase // case (cstate) end // else: !if( reset ) end // always @ (posedge clk or posedge reset) //////////////////////////////////// ///// register bank management ///// //////////////////////////////////// // main block update (write from I2C) always @(posedge clk) begin if (I2C_reg_update && ((I2C_addr[6] == 1'b0) && (I2C_addr[7] == 1'b0))) begin // I2C_addr < 8'h40 I2C_regblock[I2C_ramaddr] <= I2C_wdata; end end assign I2C_ramaddr = I2C_addr[RAM_ADDR_BITS-1:0]; ///////// ick, had to hard-code the width against RAM_ADDR_BITS which is parameterized assign reg_0 = I2C_regblock[6'h0]; assign reg_2 = I2C_regblock[6'h2]; always @(*) begin case (I2C_addr[7:0]) 8'h40: begin I2C_regread_async = reg_40; end 8'h41: begin I2C_regread_async = reg_41; end 8'h42: begin I2C_regread_async = reg_42; end 8'hfc: begin I2C_regread_async = reg_fc; end 8'hfd: begin I2C_regread_async = reg_fd; end 8'hfe: begin I2C_regread_async = reg_fe; end 8'hff: begin I2C_regread_async = reg_ff; end default: begin I2C_regread_async = I2C_regblock[I2C_ramaddr]; end endcase // case I2C_ramaddr end // always @ (*) /////////////////////////////////////////////////////////////// /////////// low level state machines ////////////////////////// /////////////////////////////////////////////////////////////// //////////////// ///// SCL low-level sampling state machine //////////////// parameter SCL_HIGH = 4'b1 << 0; // should only pass through this state for one cycle parameter SCL_FALL = 4'b1 << 1; parameter SCL_LOW = 4'b1 << 2; parameter SCL_RISE = 4'b1 << 3; parameter SCL_nSTATES = 4; reg [(SCL_nSTATES-1):0] SCL_cstate = {{(SCL_nSTATES-1){1'b0}}, 1'b1}; //current and next states reg [(SCL_nSTATES-1):0] SCL_nstate; //`define SIMULATION `ifdef SIMULATION // synthesis translate_off reg [8*20:1] SCL_state_ascii = "SCL_HIGH "; always @(SCL_cstate) begin if (SCL_cstate == SCL_HIGH) SCL_state_ascii <= "SCL_HIGH "; else if (SCL_cstate == SCL_FALL) SCL_state_ascii <= "SCL_FALL "; else if (SCL_cstate == SCL_LOW ) SCL_state_ascii <= "SCL_LOW "; else if (SCL_cstate == SCL_RISE) SCL_state_ascii <= "SCL_RISE "; else SCL_state_ascii <= "WTF "; end // synthesis translate_on `endif reg [4:0] SCL_rfcnt; reg SCL_s, SCL_sync; reg SDA_s, SDA_sync; always @ (posedge clk) begin if (reset) SCL_cstate <= SCL_HIGH; // always start here even if it's wrong -- easier to test else SCL_cstate <= SCL_nstate; end always @ (*) begin case (SCL_cstate) //synthesis parallel_case full_case SCL_HIGH: begin SCL_nstate = ((SCL_rfcnt > TRF_CYCLES) && (SCL_sync == 1'b0)) ? SCL_FALL : SCL_HIGH; end SCL_FALL: begin SCL_nstate = SCL_LOW; end SCL_LOW: begin SCL_nstate = ((SCL_rfcnt > TRF_CYCLES) && (SCL_sync == 1'b1)) ? SCL_RISE : SCL_LOW; end SCL_RISE: begin SCL_nstate = SCL_HIGH; end endcase // case (cstate) end // always @ (*) always @ (posedge clk) begin if( reset ) begin SCL_rfcnt <= 5'b0; end else begin case (SCL_cstate) // synthesis parallel_case full_case SCL_HIGH: begin if( SCL_sync == 1'b1 ) begin SCL_rfcnt <= 5'b0; end else begin SCL_rfcnt <= SCL_rfcnt + 5'b1; end end SCL_FALL: begin SCL_rfcnt <= 5'b0; end SCL_LOW: begin if( SCL_sync == 1'b0 ) begin SCL_rfcnt <= 5'b0; end else begin SCL_rfcnt <= SCL_rfcnt + 5'b1; end end SCL_RISE: begin SCL_rfcnt <= 5'b0; end endcase // case (cstate) end // else: !if( reset ) end // always @ (posedge clk or posedge reset) //////////////// ///// SDA low-level sampling state machine //////////////// parameter SDA_HIGH = 4'b1 << 0; // should only pass through this state for one cycle parameter SDA_FALL = 4'b1 << 1; parameter SDA_LOW = 4'b1 << 2; parameter SDA_RISE = 4'b1 << 3; parameter SDA_nSTATES = 4; reg [(SDA_nSTATES-1):0] SDA_cstate = {{(SDA_nSTATES-1){1'b0}}, 1'b1}; //current and next states reg [(SDA_nSTATES-1):0] SDA_nstate; //`define SIMULATION `ifdef SIMULATION // synthesis translate_off reg [8*20:1] SDA_state_ascii = "SDA_HIGH "; always @(SDA_cstate) begin if (SDA_cstate == SDA_HIGH) SDA_state_ascii <= "SDA_HIGH "; else if (SDA_cstate == SDA_FALL) SDA_state_ascii <= "SDA_FALL "; else if (SDA_cstate == SDA_LOW ) SDA_state_ascii <= "SDA_LOW "; else if (SDA_cstate == SDA_RISE) SDA_state_ascii <= "SDA_RISE "; else SDA_state_ascii <= "WTF "; end // synthesis translate_on `endif reg [4:0] SDA_rfcnt; always @ (posedge clk) begin if (reset) SDA_cstate <= SDA_HIGH; // always start here even if it's wrong -- easier to test else SDA_cstate <= SDA_nstate; end always @ (*) begin case (SDA_cstate) //synthesis parallel_case full_case SDA_HIGH: begin SDA_nstate = ((SDA_rfcnt > TRF_CYCLES) && (SDA_sync == 1'b0)) ? SDA_FALL : SDA_HIGH; end SDA_FALL: begin SDA_nstate = SDA_LOW; end SDA_LOW: begin SDA_nstate = ((SDA_rfcnt > TRF_CYCLES) && (SDA_sync == 1'b1)) ? SDA_RISE : SDA_LOW; end SDA_RISE: begin SDA_nstate = SDA_HIGH; end endcase // case (cstate) end // always @ (*) always @ (posedge clk) begin if( reset ) begin SDA_rfcnt <= 5'b0; end else begin case (SDA_cstate) // synthesis parallel_case full_case SDA_HIGH: begin if( SDA_sync == 1'b1 ) begin SDA_rfcnt <= 5'b0; end else begin SDA_rfcnt <= SDA_rfcnt + 5'b1; end end SDA_FALL: begin SDA_rfcnt <= 5'b0; end SDA_LOW: begin if( SDA_sync == 1'b0 ) begin SDA_rfcnt <= 5'b0; end else begin SDA_rfcnt <= SDA_rfcnt + 5'b1; end end SDA_RISE: begin SDA_rfcnt <= 5'b0; end endcase // case (cstate) end // else: !if( reset ) end // always @ (posedge clk or posedge reset) ///////////////////// /////// synchronizers ///////////////////// always @ (posedge clk) begin SCL_s <= SCL; SCL_sync <= SCL_s; SDA_s <= SDA; SDA_sync <= SDA_s; end // always @ (posedge clk or posedge reset) endmodule // i2c_slave
/* Copyright (c) 2017 Alex Forencich Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. */ // Language: Verilog 2001 `timescale 1ns / 1ps /* * Testbench for xfcp_arb */ module test_xfcp_arb_2; // Parameters parameter PORTS = 2; // Inputs reg clk = 0; reg rst = 0; reg [7:0] current_test = 0; reg [PORTS*8-1:0] up_xfcp_in_tdata = 0; reg [PORTS-1:0] up_xfcp_in_tvalid = 0; reg [PORTS-1:0] up_xfcp_in_tlast = 0; reg [PORTS-1:0] up_xfcp_in_tuser = 0; reg [PORTS-1:0] up_xfcp_out_tready = 0; reg [7:0] down_xfcp_in_tdata = 0; reg down_xfcp_in_tvalid = 0; reg down_xfcp_in_tlast = 0; reg down_xfcp_in_tuser = 0; reg down_xfcp_out_tready = 0; // Outputs wire [PORTS-1:0] up_xfcp_in_tready; wire [PORTS*8-1:0] up_xfcp_out_tdata; wire [PORTS-1:0] up_xfcp_out_tvalid; wire [PORTS-1:0] up_xfcp_out_tlast; wire [PORTS-1:0] up_xfcp_out_tuser; wire down_xfcp_in_tready; wire [7:0] down_xfcp_out_tdata; wire down_xfcp_out_tvalid; wire down_xfcp_out_tlast; wire down_xfcp_out_tuser; initial begin // myhdl integration $from_myhdl( clk, rst, current_test, up_xfcp_in_tdata, up_xfcp_in_tvalid, up_xfcp_in_tlast, up_xfcp_in_tuser, up_xfcp_out_tready, down_xfcp_in_tdata, down_xfcp_in_tvalid, down_xfcp_in_tlast, down_xfcp_in_tuser, down_xfcp_out_tready ); $to_myhdl( up_xfcp_in_tready, up_xfcp_out_tdata, up_xfcp_out_tvalid, up_xfcp_out_tlast, up_xfcp_out_tuser, down_xfcp_in_tready, down_xfcp_out_tdata, down_xfcp_out_tvalid, down_xfcp_out_tlast, down_xfcp_out_tuser ); // dump file $dumpfile("test_xfcp_arb_2.lxt"); $dumpvars(0, test_xfcp_arb_2); end xfcp_arb #( .PORTS(PORTS) ) UUT ( .clk(clk), .rst(rst), .up_xfcp_in_tdata(up_xfcp_in_tdata), .up_xfcp_in_tvalid(up_xfcp_in_tvalid), .up_xfcp_in_tready(up_xfcp_in_tready), .up_xfcp_in_tlast(up_xfcp_in_tlast), .up_xfcp_in_tuser(up_xfcp_in_tuser), .up_xfcp_out_tdata(up_xfcp_out_tdata), .up_xfcp_out_tvalid(up_xfcp_out_tvalid), .up_xfcp_out_tready(up_xfcp_out_tready), .up_xfcp_out_tlast(up_xfcp_out_tlast), .up_xfcp_out_tuser(up_xfcp_out_tuser), .down_xfcp_in_tdata(down_xfcp_in_tdata), .down_xfcp_in_tvalid(down_xfcp_in_tvalid), .down_xfcp_in_tready(down_xfcp_in_tready), .down_xfcp_in_tlast(down_xfcp_in_tlast), .down_xfcp_in_tuser(down_xfcp_in_tuser), .down_xfcp_out_tdata(down_xfcp_out_tdata), .down_xfcp_out_tvalid(down_xfcp_out_tvalid), .down_xfcp_out_tready(down_xfcp_out_tready), .down_xfcp_out_tlast(down_xfcp_out_tlast), .down_xfcp_out_tuser(down_xfcp_out_tuser) ); endmodule
// ====================================================================== // BYURover2015MainBoardSM.v generated from TopDesign.cysch // 04/02/2015 at 21:05 // This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! // ====================================================================== /* -- WARNING: The following section of defines are deprecated and will be removed in a future release -- */ `define CYDEV_CHIP_DIE_LEOPARD 1 `define CYDEV_CHIP_REV_LEOPARD_PRODUCTION 3 `define CYDEV_CHIP_REV_LEOPARD_ES3 3 `define CYDEV_CHIP_REV_LEOPARD_ES2 1 `define CYDEV_CHIP_REV_LEOPARD_ES1 0 `define CYDEV_CHIP_DIE_PSOC4A 2 `define CYDEV_CHIP_REV_PSOC4A_PRODUCTION 17 `define CYDEV_CHIP_REV_PSOC4A_ES0 17 `define CYDEV_CHIP_DIE_PANTHER 3 `define CYDEV_CHIP_REV_PANTHER_PRODUCTION 1 `define CYDEV_CHIP_REV_PANTHER_ES1 1 `define CYDEV_CHIP_REV_PANTHER_ES0 0 `define CYDEV_CHIP_DIE_PSOC5LP 4 `define CYDEV_CHIP_REV_PSOC5LP_PRODUCTION 0 `define CYDEV_CHIP_REV_PSOC5LP_ES0 0 `define CYDEV_CHIP_DIE_EXPECT 2 `define CYDEV_CHIP_REV_EXPECT 17 `define CYDEV_CHIP_DIE_ACTUAL 2 /* -- WARNING: The previous section of defines are deprecated and will be removed in a future release -- */ `define CYDEV_CHIP_FAMILY_UNKNOWN 0 `define CYDEV_CHIP_MEMBER_UNKNOWN 0 `define CYDEV_CHIP_FAMILY_PSOC3 1 `define CYDEV_CHIP_MEMBER_3A 1 `define CYDEV_CHIP_REVISION_3A_PRODUCTION 3 `define CYDEV_CHIP_REVISION_3A_ES3 3 `define CYDEV_CHIP_REVISION_3A_ES2 1 `define CYDEV_CHIP_REVISION_3A_ES1 0 `define CYDEV_CHIP_FAMILY_PSOC4 2 `define CYDEV_CHIP_MEMBER_4A 2 `define CYDEV_CHIP_REVISION_4A_PRODUCTION 17 `define CYDEV_CHIP_REVISION_4A_ES0 17 `define CYDEV_CHIP_MEMBER_4D 3 `define CYDEV_CHIP_REVISION_4D_PRODUCTION 0 `define CYDEV_CHIP_REVISION_4D_ES0 0 `define CYDEV_CHIP_FAMILY_PSOC5 3 `define CYDEV_CHIP_MEMBER_5A 4 `define CYDEV_CHIP_REVISION_5A_PRODUCTION 1 `define CYDEV_CHIP_REVISION_5A_ES1 1 `define CYDEV_CHIP_REVISION_5A_ES0 0 `define CYDEV_CHIP_MEMBER_5B 5 `define CYDEV_CHIP_REVISION_5B_PRODUCTION 0 `define CYDEV_CHIP_REVISION_5B_ES0 0 `define CYDEV_CHIP_FAMILY_USED 2 `define CYDEV_CHIP_MEMBER_USED 2 `define CYDEV_CHIP_REVISION_USED 17 // Component: ZeroTerminal `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal" `include "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\ZeroTerminal\ZeroTerminal.v" `endif // Component: cy_virtualmux_v1_0 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0" `include "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v" `endif // Component: or_v1_0 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0" `include "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0\or_v1_0.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\or_v1_0\or_v1_0.v" `endif // SCB_P4_v1_20(BitWidthReplacementStringRx=uint8, BitWidthReplacementStringTx=uint8, BufNum=1, Cond=#, DBGW_SCB_IP_V0=true, DBGW_SCB_IP_V1=false, EndCond=#endif, EzI2cBitWidthReplacementString=uint16, EzI2cClkFreqDes=1600, EzI2cClockFromTerm=false, EzI2cClockStretching=true, EzI2cDataRate=100, EzI2cIsPrimarySlaveAddressHex=true, EzI2cIsSecondarySlaveAddressHex=true, EzI2cMedianFilterEnable=true, EzI2cNumberOfAddresses=0, EzI2cOvsFactor=16, EzI2cPrimarySlaveAddress=8, EzI2cSecondarySlaveAddress=9, EzI2cSlaveAddressMask=254, EzI2cSubAddressSize=0, EzI2cWakeEnable=false, I2cAcceptAddress=false, I2cClkFreqDes=1600, I2cClockFromTerm=false, I2cDataRate=100, I2cExternIntrHandler=false, I2cIsSlaveAddressHex=true, I2cIsSlaveAddressMaskHex=true, I2cMedianFilterEnable=true, I2cMode=1, I2cOvsFactor=16, I2cOvsFactorHigh=8, I2cOvsFactorLow=8, I2cSlaveAddress=8, I2cSlaveAddressMask=254, I2cWakeEnable=false, PinName0Unconfig=spi_mosi_i2c_scl_uart_rx, PinName1Unconfig=spi_miso_i2c_sda_uart_tx, RemoveI2cPins=true, RemoveMisoSdaTx=true, RemoveMosiSclRx=true, RemoveMosiSclRxWake=true, RemoveScbClk=false, RemoveScbIrq=true, RemoveSpiMasterPins=true, RemoveSpiMasterSs0Pin=true, RemoveSpiMasterSs1Pin=true, RemoveSpiMasterSs2Pin=true, RemoveSpiMasterSs3Pin=true, RemoveSpiSclk=true, RemoveSpiSlavePins=true, RemoveSpiSs0=true, RemoveSpiSs1=true, RemoveSpiSs2=true, RemoveSpiSs3=true, RemoveUartRxPin=false, RemoveUartRxTxPin=true, RemoveUartRxWake=true, RemoveUartRxWakeupIrq=true, RemoveUartTxPin=false, ScbClkFreqDes=1382.4, ScbClockSelect=1, ScbClockTermEnable=false, ScbCustomIntrHandlerEnable=true, ScbInterruptTermEnable=true, ScbMisoSdaTxEnable=true, ScbMode=4, ScbModeHw=2, ScbMosiSclRxEnable=true, ScbRxWakeIrqEnable=false, ScbSclkEnable=false, ScbSs0Enable=false, ScbSs1Enable=false, ScbSs2Enable=false, ScbSs3Enable=false, SpiBitRate=1000, SpiBitsOrder=1, SpiClkFreqDes=16000, SpiClockFromTerm=false, SpiInterruptMode=0, SpiIntrMasterSpiDone=false, SpiIntrRxFull=false, SpiIntrRxNotEmpty=false, SpiIntrRxOverflow=false, SpiIntrRxTrigger=false, SpiIntrRxUnderflow=false, SpiIntrSlaveBusError=false, SpiIntrTxEmpty=false, SpiIntrTxNotFull=false, SpiIntrTxOverflow=false, SpiIntrTxTrigger=false, SpiIntrTxUnderflow=false, SpiLateMisoSampleEnable=false, SpiMedianFilterEnable=false, SpiMode=0, SpiNumberOfRxDataBits=8, SpiNumberOfSelectLines=1, SpiNumberOfTxDataBits=8, SpiOvsFactor=16, SpiRxBufferSize=8, SpiRxIntrMask=0, SpiRxTriggerLevel=7, SpiSclkMode=0, SpiSubMode=0, SpiTransferSeparation=1, SpiTxBufferSize=8, SpiTxIntrMask=0, SpiTxTriggerLevel=0, SpiWakeEnable=false, UartClkFreqDes=1382.4, UartClockFromTerm=false, UartDataRate=115200, UartDirection=3, UartDropOnFrameErr=false, UartDropOnParityErr=false, UartInterruptMode=2, UartIntrRxFrameErr=false, UartIntrRxFull=false, UartIntrRxNotEmpty=true, UartIntrRxOverflow=false, UartIntrRxParityErr=false, UartIntrRxTrigger=false, UartIntrRxUnderflow=false, UartIntrTxEmpty=false, UartIntrTxNotFull=false, UartIntrTxOverflow=false, UartIntrTxTrigger=false, UartIntrTxUartDone=false, UartIntrTxUartLostArb=false, UartIntrTxUartNack=false, UartIntrTxUnderflow=false, UartIrdaLowPower=false, UartIrdaPolarity=0, UartMedianFilterEnable=false, UartMpEnable=false, UartMpRxAcceptAddress=false, UartMpRxAddress=2, UartMpRxAddressMask=255, UartNumberOfDataBits=8, UartNumberOfStopBits=2, UartOvsFactor=12, UartParityType=2, UartRxBufferSize=8, UartRxEnable=true, UartRxIntrMask=4, UartRxTriggerLevel=7, UartSmCardRetryOnNack=false, UartSubMode=0, UartTxBufferSize=8, UartTxEnable=true, UartTxIntrMask=0, UartTxTriggerLevel=0, UartWakeEnable=false, CY_COMPONENT_NAME=SCB_P4_v1_20, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=UART_1, CY_INSTANCE_SHORT_NAME=UART_1, CY_MAJOR_VERSION=1, CY_MINOR_VERSION=20, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=UART_1, ) module SCB_P4_v1_20_0 ( sclk, interrupt, clock); output sclk; output interrupt; input clock; wire Net_427; wire Net_416; wire Net_245; wire Net_676; wire Net_452; wire Net_459; wire Net_496; wire Net_660; wire Net_656; wire Net_687; wire Net_703; wire Net_682; wire Net_422; wire Net_379; wire Net_555; wire Net_387; wire uncfg_rx_irq; wire Net_458; wire Net_596; wire Net_252; wire Net_547; wire rx_irq; wire [3:0] ss; wire Net_467; wire Net_655; wire Net_663; wire Net_581; wire Net_474; wire Net_651; wire Net_580; wire Net_654; wire Net_653; wire Net_652; wire Net_284; cy_clock_v1_0 #(.id("43ec2fa1-bf22-4b71-9477-b6ca7b97f0b0/81fcee8a-3b8b-4be1-9a5f-a5e2e619a938"), .source_clock_id(""), .divisor(0), .period("723379629.62963"), .is_direct(0), .is_digital(0)) SCBCLK (.clock_out(Net_284)); ZeroTerminal ZeroTerminal_5 ( .z(Net_459)); // select_s_VM (cy_virtualmux_v1_0) assign Net_652 = Net_459; ZeroTerminal ZeroTerminal_4 ( .z(Net_452)); ZeroTerminal ZeroTerminal_3 ( .z(Net_676)); ZeroTerminal ZeroTerminal_2 ( .z(Net_245)); ZeroTerminal ZeroTerminal_1 ( .z(Net_416)); // rx_VM (cy_virtualmux_v1_0) assign Net_654 = Net_379; // rx_wake_VM (cy_virtualmux_v1_0) assign Net_682 = uncfg_rx_irq; // clock_VM (cy_virtualmux_v1_0) assign Net_655 = Net_284; // sclk_s_VM (cy_virtualmux_v1_0) assign Net_653 = Net_416; // mosi_s_VM (cy_virtualmux_v1_0) assign Net_651 = Net_676; // miso_m_VM (cy_virtualmux_v1_0) assign Net_663 = Net_245; wire [0:0] tmpOE__tx_net; wire [0:0] tmpFB_0__tx_net; wire [0:0] tmpIO_0__tx_net; wire [0:0] tmpINTERRUPT_0__tx_net; electrical [0:0] tmpSIOVREF__tx_net; cy_psoc3_pins_v1_10 #(.id("43ec2fa1-bf22-4b71-9477-b6ca7b97f0b0/23b8206d-1c77-4e61-be4a-b4037d5de5fc"), .drive_mode(3'b110), .ibuf_enabled(1'b0), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b0), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("B"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1)) tx (.oe(tmpOE__tx_net), .y({Net_656}), .fb({tmpFB_0__tx_net[0:0]}), .io({tmpIO_0__tx_net[0:0]}), .siovref(tmpSIOVREF__tx_net), .interrupt({tmpINTERRUPT_0__tx_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__tx_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; ZeroTerminal ZeroTerminal_7 ( .z(Net_427)); assign sclk = Net_284 | Net_427; wire [0:0] tmpOE__rx_net; wire [0:0] tmpIO_0__rx_net; wire [0:0] tmpINTERRUPT_0__rx_net; electrical [0:0] tmpSIOVREF__rx_net; cy_psoc3_pins_v1_10 #(.id("43ec2fa1-bf22-4b71-9477-b6ca7b97f0b0/78e33e5d-45ea-4b75-88d5-73274e8a7ce4"), .drive_mode(3'b001), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b0), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("I"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1)) rx (.oe(tmpOE__rx_net), .y({1'b0}), .fb({Net_379}), .io({tmpIO_0__rx_net[0:0]}), .siovref(tmpSIOVREF__rx_net), .interrupt({tmpINTERRUPT_0__rx_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__rx_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; cy_m0s8_scb_v1_0 SCB ( .rx(Net_654), .miso_m(Net_663), .clock(Net_655), .select_m(ss[3:0]), .sclk_m(Net_687), .mosi_s(Net_651), .select_s(Net_652), .sclk_s(Net_653), .mosi_m(Net_660), .scl(Net_580), .sda(Net_581), .tx(Net_656), .miso_s(Net_703), .interrupt(interrupt)); defparam SCB.scb_mode = 2; endmodule // TCPWM_P4_v1_10(PWMCompare=65535, PWMCompareBuf=65535, PWMCompareSwap=0, PWMCountMode=3, PWMCountPresent=false, PWMDeadTimeCycle=0, PWMInterruptMask=1, PWMKillEvent=0, PWMLinenSignal=0, PWMLineSignal=0, PWMMode=4, PWMPeriod=65535, PWMPeriodBuf=65535, PWMPeriodSwap=0, PWMPrescaler=0, PWMReloadMode=0, PWMReloadPresent=false, PWMRunMode=0, PWMSetAlign=0, PWMStartMode=0, PWMStartPresent=false, PWMStopEvent=0, PWMStopMode=0, PWMStopPresent=false, PWMSwitchMode=0, PWMSwitchPresent=false, QuadEncodingModes=0, QuadIndexMode=0, QuadIndexPresent=false, QuadInterruptMask=1, QuadPhiAMode=3, QuadPhiBMode=3, QuadStopMode=0, QuadStopPresent=false, TCCaptureMode=0, TCCapturePresent=false, TCCompare=50, TCCompareBuf=65535, TCCompareSwap=0, TCCompCapMode=0, TCCountingModes=0, TCCountMode=3, TCCountPresent=false, TCInterruptMask=1, TCPeriod=1000, TCPrescaler=0, TCPWMCapturePresent=false, TCPWMConfig=1, TCPWMCountPresent=false, TCPWMReloadPresent=false, TCPWMStartPresent=false, TCPWMStopPresent=false, TCReloadMode=0, TCReloadPresent=false, TCRunMode=0, TCStartMode=0, TCStartPresent=false, TCStopMode=0, TCStopPresent=false, CY_COMPONENT_NAME=TCPWM_P4_v1_10, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=Timer, CY_INSTANCE_SHORT_NAME=Timer, CY_MAJOR_VERSION=1, CY_MINOR_VERSION=10, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=Timer, ) module TCPWM_P4_v1_10_1 ( stop, count, reload, start, capture, interrupt, ov, un, cc, clock, line, line_n); input stop; input count; input reload; input start; input capture; output interrupt; output ov; output un; output cc; input clock; output line; output line_n; parameter PWMCountMode = 3; parameter PWMReloadMode = 0; parameter PWMReloadPresent = 0; parameter PWMStartMode = 0; parameter PWMStopMode = 0; parameter PWMSwitchMode = 0; parameter QuadIndexMode = 0; parameter QuadPhiAMode = 3; parameter QuadPhiBMode = 3; parameter QuadStopMode = 0; parameter TCCaptureMode = 0; parameter TCCountMode = 3; parameter TCReloadMode = 0; parameter TCStartMode = 0; parameter TCStopMode = 0; cy_m0s8_tcpwm_v1_0 cy_m0s8_tcpwm_1 ( .capture(capture), .underflow(un), .overflow(ov), .line_out_compl(line_n), .line_out(line), .compare_match(cc), .interrupt(interrupt), .count(count), .reload(reload), .stop(stop), .start(start), .clock(clock)); endmodule // Component: B_PWM_v3_0 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_PWM_v3_0" `include "$CYPRESS_DIR\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_PWM_v3_0\B_PWM_v3_0.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_PWM_v3_0" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_PWM_v3_0\B_PWM_v3_0.v" `endif // PWM_v3_0(CaptureMode=0, Compare1_16=false, Compare1_8=false, Compare2_16=true, Compare2_8=false, CompareStatusEdgeSense=true, CompareType1=1, CompareType1Software=0, CompareType2=1, CompareType2Software=0, CompareValue1=1500, CompareValue2=1500, CONTROL3=0, ControlReg=true, CtlModeReplacementString=SyncCtl, CyGetRegReplacementString=CY_GET_REG16, CySetRegReplacementString=CY_SET_REG16, DeadBand=0, DeadBand2_4=0, DeadBand256=0, DeadBandUsed=0, DeadTime=1, DitherOffset=0, EnableMode=0, FF16=false, FF8=false, FixedFunction=false, FixedFunctionUsed=0, InterruptOnCMP1=false, InterruptOnCMP2=false, InterruptOnKill=false, InterruptOnTC=false, IntOnCMP1=0, IntOnCMP2=0, IntOnKill=0, IntOnTC=0, KillMode=0, KillModeMinTime=0, MinimumKillTime=1, OneCompare=false, Period=20000, PWMMode=1, PWMModeCenterAligned=0, RegDefReplacementString=reg16, RegSizeReplacementString=uint16, Resolution=16, RstStatusReplacementString=sSTSReg_rstSts, RunMode=0, Status=true, TriggerMode=0, UDB16=true, UDB8=false, UseControl=true, UseInterrupt=true, UseStatus=true, VerilogSectionReplacementString=sP16, CY_COMPONENT_NAME=PWM_v3_0, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=Gimbal, CY_INSTANCE_SHORT_NAME=Gimbal, CY_MAJOR_VERSION=3, CY_MINOR_VERSION=0, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=Gimbal, ) module PWM_v3_0_2 ( pwm2, tc, clock, reset, pwm1, interrupt, capture, kill, enable, trigger, cmp_sel, pwm, ph1, ph2); output pwm2; output tc; input clock; input reset; output pwm1; output interrupt; input capture; input kill; input enable; input trigger; input cmp_sel; output pwm; output ph1; output ph2; parameter Resolution = 16; B_PWM_v3_0 PWMUDB ( .reset(reset), .clock(clock), .tc(tc), .pwm1(pwm1), .pwm2(pwm2), .interrupt(interrupt), .kill(kill), .capture(capture), .enable(enable), .cmp_sel(cmp_sel), .trigger(trigger), .pwm(pwm), .ph1(ph1), .ph2(ph2)); defparam PWMUDB.CaptureMode = 0; defparam PWMUDB.CompareStatusEdgeSense = 1; defparam PWMUDB.CompareType1 = 1; defparam PWMUDB.CompareType2 = 1; defparam PWMUDB.DeadBand = 0; defparam PWMUDB.DitherOffset = 0; defparam PWMUDB.EnableMode = 0; defparam PWMUDB.KillMode = 0; defparam PWMUDB.PWMMode = 1; defparam PWMUDB.Resolution = 16; defparam PWMUDB.RunMode = 0; defparam PWMUDB.TriggerMode = 0; defparam PWMUDB.UseStatus = 1; endmodule // TCPWM_P4_v1_10(PWMCompare=1500, PWMCompareBuf=65535, PWMCompareSwap=0, PWMCountMode=3, PWMCountPresent=false, PWMDeadTimeCycle=0, PWMInterruptMask=1, PWMKillEvent=0, PWMLinenSignal=0, PWMLineSignal=0, PWMMode=4, PWMPeriod=20000, PWMPeriodBuf=65535, PWMPeriodSwap=0, PWMPrescaler=0, PWMReloadMode=0, PWMReloadPresent=false, PWMRunMode=0, PWMSetAlign=0, PWMStartMode=0, PWMStartPresent=false, PWMStopEvent=0, PWMStopMode=0, PWMStopPresent=false, PWMSwitchMode=0, PWMSwitchPresent=false, QuadEncodingModes=0, QuadIndexMode=0, QuadIndexPresent=false, QuadInterruptMask=1, QuadPhiAMode=3, QuadPhiBMode=3, QuadStopMode=0, QuadStopPresent=false, TCCaptureMode=0, TCCapturePresent=false, TCCompare=65535, TCCompareBuf=65535, TCCompareSwap=0, TCCompCapMode=2, TCCountingModes=0, TCCountMode=3, TCCountPresent=false, TCInterruptMask=1, TCPeriod=65535, TCPrescaler=0, TCPWMCapturePresent=false, TCPWMConfig=7, TCPWMCountPresent=false, TCPWMReloadPresent=false, TCPWMStartPresent=false, TCPWMStopPresent=false, TCReloadMode=0, TCReloadPresent=false, TCRunMode=0, TCStartMode=0, TCStartPresent=false, TCStopMode=0, TCStopPresent=false, CY_COMPONENT_NAME=TCPWM_P4_v1_10, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=Wheels_1, CY_INSTANCE_SHORT_NAME=Wheels_1, CY_MAJOR_VERSION=1, CY_MINOR_VERSION=10, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=Wheels_1, ) module TCPWM_P4_v1_10_3 ( stop, count, reload, start, capture, interrupt, ov, un, cc, clock, line, line_n); input stop; input count; input reload; input start; input capture; output interrupt; output ov; output un; output cc; input clock; output line; output line_n; parameter PWMCountMode = 3; parameter PWMReloadMode = 0; parameter PWMReloadPresent = 0; parameter PWMStartMode = 0; parameter PWMStopMode = 0; parameter PWMSwitchMode = 0; parameter QuadIndexMode = 0; parameter QuadPhiAMode = 3; parameter QuadPhiBMode = 3; parameter QuadStopMode = 0; parameter TCCaptureMode = 0; parameter TCCountMode = 3; parameter TCReloadMode = 0; parameter TCStartMode = 0; parameter TCStopMode = 0; cy_m0s8_tcpwm_v1_0 cy_m0s8_tcpwm_1 ( .capture(capture), .underflow(un), .overflow(ov), .line_out_compl(line_n), .line_out(line), .compare_match(cc), .interrupt(interrupt), .count(count), .reload(reload), .stop(stop), .start(start), .clock(clock)); endmodule // Component: B_SPI_Master_v2_40 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_40" `include "$CYPRESS_DIR\..\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_40\B_SPI_Master_v2_40.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_40" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_40\B_SPI_Master_v2_40.v" `endif // SPI_Master_v2_40(BidirectMode=false, ClockInternal=true, CtlModeReplacementString=SyncCtl, CyGetRegReplacementString=CY_GET_REG8, CySetRegReplacementString=CY_SET_REG8, DesiredBitRate=1000000, HighSpeedMode=false, InternalClockUsed=1, InternalInterruptEnabled=0, InternalRxInterruptEnabled=0, InternalTxInterruptEnabled=0, InterruptOnByteComplete=false, InterruptOnRXFull=false, InterruptOnRXNotEmpty=false, InterruptOnRXOverrun=false, InterruptOnSPIDone=false, InterruptOnSPIIdle=false, InterruptOnTXEmpty=false, InterruptOnTXNotFull=false, IntOnByteComp=0, IntOnRXFull=0, IntOnRXNotEmpty=0, IntOnRXOver=0, IntOnSPIDone=0, IntOnSPIIdle=0, IntOnTXEmpty=0, IntOnTXNotFull=0, Mode=1, ModeUseZero=1, NumberOfDataBits=8, RegDefReplacementString=reg8, RegSizeReplacementString=uint8, RxBufferSize=4, ShiftDir=0, TxBufferSize=4, UseInternalInterrupt=false, UseRxInternalInterrupt=false, UseTxInternalInterrupt=false, VerilogSectionReplacementString=sR8, CY_COMPONENT_NAME=SPI_Master_v2_40, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=SPIM, CY_INSTANCE_SHORT_NAME=SPIM, CY_MAJOR_VERSION=2, CY_MINOR_VERSION=40, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=SPIM, ) module SPI_Master_v2_40_4 ( clock, reset, miso, sclk, mosi, ss, rx_interrupt, sdat, tx_interrupt); input clock; input reset; input miso; output sclk; output mosi; output ss; output rx_interrupt; inout sdat; output tx_interrupt; parameter BidirectMode = 0; parameter HighSpeedMode = 0; parameter NumberOfDataBits = 8; parameter ShiftDir = 0; wire Net_257; wire Net_273; wire Net_274; wire Net_244; wire Net_239; wire Net_253; wire Net_161; wire Net_276; // VirtualMux_1 (cy_virtualmux_v1_0) assign Net_276 = Net_239; cy_clock_v1_0 #(.id("e4da4994-29f1-40ba-bb7d-e88b51dcb21f/426fcbe0-714d-4404-8fa8-581ff40c30f1"), .source_clock_id(""), .divisor(0), .period("500000000"), .is_direct(0), .is_digital(1)) IntClock (.clock_out(Net_239)); B_SPI_Master_v2_40 BSPIM ( .sclk(sclk), .ss(ss), .miso(Net_244), .clock(Net_276), .reset(Net_273), .rx_interpt(rx_interrupt), .tx_enable(Net_253), .mosi(mosi), .tx_interpt(tx_interrupt)); defparam BSPIM.BidirectMode = 0; defparam BSPIM.HighSpeedMode = 0; defparam BSPIM.ModeCPHA = 0; defparam BSPIM.ModePOL = 0; defparam BSPIM.NumberOfDataBits = 8; defparam BSPIM.ShiftDir = 0; // VirtualMux_2 (cy_virtualmux_v1_0) assign Net_244 = miso; // VirtualMux_3 (cy_virtualmux_v1_0) assign Net_273 = Net_274; ZeroTerminal ZeroTerminal_1 ( .z(Net_274)); endmodule // SCB_P4_v1_20(BitWidthReplacementStringRx=uint8, BitWidthReplacementStringTx=uint8, BufNum=1, Cond=#, DBGW_SCB_IP_V0=true, DBGW_SCB_IP_V1=false, EndCond=#endif, EzI2cBitWidthReplacementString=uint16, EzI2cClkFreqDes=1600, EzI2cClockFromTerm=false, EzI2cClockStretching=true, EzI2cDataRate=100, EzI2cIsPrimarySlaveAddressHex=true, EzI2cIsSecondarySlaveAddressHex=true, EzI2cMedianFilterEnable=true, EzI2cNumberOfAddresses=0, EzI2cOvsFactor=16, EzI2cPrimarySlaveAddress=8, EzI2cSecondarySlaveAddress=9, EzI2cSlaveAddressMask=254, EzI2cSubAddressSize=0, EzI2cWakeEnable=false, I2cAcceptAddress=false, I2cClkFreqDes=1600, I2cClockFromTerm=false, I2cDataRate=100, I2cExternIntrHandler=false, I2cIsSlaveAddressHex=true, I2cIsSlaveAddressMaskHex=true, I2cMedianFilterEnable=true, I2cMode=1, I2cOvsFactor=16, I2cOvsFactorHigh=8, I2cOvsFactorLow=8, I2cSlaveAddress=8, I2cSlaveAddressMask=254, I2cWakeEnable=false, PinName0Unconfig=spi_mosi_i2c_scl_uart_rx, PinName1Unconfig=spi_miso_i2c_sda_uart_tx, RemoveI2cPins=true, RemoveMisoSdaTx=true, RemoveMosiSclRx=true, RemoveMosiSclRxWake=true, RemoveScbClk=false, RemoveScbIrq=true, RemoveSpiMasterPins=true, RemoveSpiMasterSs0Pin=true, RemoveSpiMasterSs1Pin=true, RemoveSpiMasterSs2Pin=true, RemoveSpiMasterSs3Pin=true, RemoveSpiSclk=true, RemoveSpiSlavePins=true, RemoveSpiSs0=true, RemoveSpiSs1=true, RemoveSpiSs2=true, RemoveSpiSs3=true, RemoveUartRxPin=false, RemoveUartRxTxPin=true, RemoveUartRxWake=true, RemoveUartRxWakeupIrq=true, RemoveUartTxPin=true, ScbClkFreqDes=57.6, ScbClockSelect=1, ScbClockTermEnable=false, ScbCustomIntrHandlerEnable=true, ScbInterruptTermEnable=true, ScbMisoSdaTxEnable=true, ScbMode=4, ScbModeHw=2, ScbMosiSclRxEnable=true, ScbRxWakeIrqEnable=false, ScbSclkEnable=false, ScbSs0Enable=false, ScbSs1Enable=false, ScbSs2Enable=false, ScbSs3Enable=false, SpiBitRate=1000, SpiBitsOrder=1, SpiClkFreqDes=16000, SpiClockFromTerm=false, SpiInterruptMode=0, SpiIntrMasterSpiDone=false, SpiIntrRxFull=false, SpiIntrRxNotEmpty=false, SpiIntrRxOverflow=false, SpiIntrRxTrigger=false, SpiIntrRxUnderflow=false, SpiIntrSlaveBusError=false, SpiIntrTxEmpty=false, SpiIntrTxNotFull=false, SpiIntrTxOverflow=false, SpiIntrTxTrigger=false, SpiIntrTxUnderflow=false, SpiLateMisoSampleEnable=false, SpiMedianFilterEnable=false, SpiMode=0, SpiNumberOfRxDataBits=8, SpiNumberOfSelectLines=1, SpiNumberOfTxDataBits=8, SpiOvsFactor=16, SpiRxBufferSize=8, SpiRxIntrMask=0, SpiRxTriggerLevel=7, SpiSclkMode=0, SpiSubMode=0, SpiTransferSeparation=1, SpiTxBufferSize=8, SpiTxIntrMask=0, SpiTxTriggerLevel=0, SpiWakeEnable=false, UartClkFreqDes=57.6, UartClockFromTerm=false, UartDataRate=4800, UartDirection=1, UartDropOnFrameErr=false, UartDropOnParityErr=false, UartInterruptMode=2, UartIntrRxFrameErr=false, UartIntrRxFull=false, UartIntrRxNotEmpty=true, UartIntrRxOverflow=false, UartIntrRxParityErr=false, UartIntrRxTrigger=false, UartIntrRxUnderflow=false, UartIntrTxEmpty=false, UartIntrTxNotFull=false, UartIntrTxOverflow=false, UartIntrTxTrigger=false, UartIntrTxUartDone=false, UartIntrTxUartLostArb=false, UartIntrTxUartNack=false, UartIntrTxUnderflow=false, UartIrdaLowPower=false, UartIrdaPolarity=0, UartMedianFilterEnable=false, UartMpEnable=false, UartMpRxAcceptAddress=false, UartMpRxAddress=2, UartMpRxAddressMask=255, UartNumberOfDataBits=8, UartNumberOfStopBits=2, UartOvsFactor=12, UartParityType=2, UartRxBufferSize=8, UartRxEnable=true, UartRxIntrMask=4, UartRxTriggerLevel=7, UartSmCardRetryOnNack=false, UartSubMode=0, UartTxBufferSize=8, UartTxEnable=false, UartTxIntrMask=0, UartTxTriggerLevel=0, UartWakeEnable=false, CY_COMPONENT_NAME=SCB_P4_v1_20, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=GPS_UART, CY_INSTANCE_SHORT_NAME=GPS_UART, CY_MAJOR_VERSION=1, CY_MINOR_VERSION=20, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=GPS_UART, ) module SCB_P4_v1_20_5 ( sclk, interrupt, clock); output sclk; output interrupt; input clock; wire Net_427; wire Net_416; wire Net_245; wire Net_676; wire Net_452; wire Net_459; wire Net_496; wire Net_660; wire Net_656; wire Net_687; wire Net_703; wire Net_682; wire Net_422; wire Net_379; wire Net_555; wire Net_387; wire uncfg_rx_irq; wire Net_458; wire Net_596; wire Net_252; wire Net_547; wire rx_irq; wire [3:0] ss; wire Net_467; wire Net_655; wire Net_663; wire Net_581; wire Net_474; wire Net_651; wire Net_580; wire Net_654; wire Net_653; wire Net_652; wire Net_284; cy_clock_v1_0 #(.id("b3725c4c-8efb-44d3-a7b2-1035b9d0204d/81fcee8a-3b8b-4be1-9a5f-a5e2e619a938"), .source_clock_id(""), .divisor(0), .period("17361111111.1111"), .is_direct(0), .is_digital(0)) SCBCLK (.clock_out(Net_284)); ZeroTerminal ZeroTerminal_5 ( .z(Net_459)); // select_s_VM (cy_virtualmux_v1_0) assign Net_652 = Net_459; ZeroTerminal ZeroTerminal_4 ( .z(Net_452)); ZeroTerminal ZeroTerminal_3 ( .z(Net_676)); ZeroTerminal ZeroTerminal_2 ( .z(Net_245)); ZeroTerminal ZeroTerminal_1 ( .z(Net_416)); // rx_VM (cy_virtualmux_v1_0) assign Net_654 = Net_379; // rx_wake_VM (cy_virtualmux_v1_0) assign Net_682 = uncfg_rx_irq; // clock_VM (cy_virtualmux_v1_0) assign Net_655 = Net_284; // sclk_s_VM (cy_virtualmux_v1_0) assign Net_653 = Net_416; // mosi_s_VM (cy_virtualmux_v1_0) assign Net_651 = Net_676; // miso_m_VM (cy_virtualmux_v1_0) assign Net_663 = Net_245; ZeroTerminal ZeroTerminal_7 ( .z(Net_427)); assign sclk = Net_284 | Net_427; wire [0:0] tmpOE__rx_net; wire [0:0] tmpIO_0__rx_net; wire [0:0] tmpINTERRUPT_0__rx_net; electrical [0:0] tmpSIOVREF__rx_net; cy_psoc3_pins_v1_10 #(.id("b3725c4c-8efb-44d3-a7b2-1035b9d0204d/78e33e5d-45ea-4b75-88d5-73274e8a7ce4"), .drive_mode(3'b001), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b0), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("I"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1)) rx (.oe(tmpOE__rx_net), .y({1'b0}), .fb({Net_379}), .io({tmpIO_0__rx_net[0:0]}), .siovref(tmpSIOVREF__rx_net), .interrupt({tmpINTERRUPT_0__rx_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__rx_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; cy_m0s8_scb_v1_0 SCB ( .rx(Net_654), .miso_m(Net_663), .clock(Net_655), .select_m(ss[3:0]), .sclk_m(Net_687), .mosi_s(Net_651), .select_s(Net_652), .sclk_s(Net_653), .mosi_m(Net_660), .scl(Net_580), .sda(Net_581), .tx(Net_656), .miso_s(Net_703), .interrupt(interrupt)); defparam SCB.scb_mode = 2; endmodule // TCPWM_P4_v1_10(PWMCompare=1500, PWMCompareBuf=65535, PWMCompareSwap=0, PWMCountMode=3, PWMCountPresent=false, PWMDeadTimeCycle=0, PWMInterruptMask=1, PWMKillEvent=0, PWMLinenSignal=0, PWMLineSignal=0, PWMMode=4, PWMPeriod=20000, PWMPeriodBuf=65535, PWMPeriodSwap=0, PWMPrescaler=0, PWMReloadMode=0, PWMReloadPresent=false, PWMRunMode=0, PWMSetAlign=0, PWMStartMode=0, PWMStartPresent=false, PWMStopEvent=0, PWMStopMode=0, PWMStopPresent=false, PWMSwitchMode=0, PWMSwitchPresent=false, QuadEncodingModes=0, QuadIndexMode=0, QuadIndexPresent=false, QuadInterruptMask=1, QuadPhiAMode=3, QuadPhiBMode=3, QuadStopMode=0, QuadStopPresent=false, TCCaptureMode=0, TCCapturePresent=false, TCCompare=65535, TCCompareBuf=65535, TCCompareSwap=0, TCCompCapMode=2, TCCountingModes=0, TCCountMode=3, TCCountPresent=false, TCInterruptMask=1, TCPeriod=65535, TCPrescaler=0, TCPWMCapturePresent=false, TCPWMConfig=7, TCPWMCountPresent=false, TCPWMReloadPresent=false, TCPWMStartPresent=false, TCPWMStopPresent=false, TCReloadMode=0, TCReloadPresent=false, TCRunMode=0, TCStartMode=0, TCStartPresent=false, TCStopMode=0, TCStopPresent=false, CY_COMPONENT_NAME=TCPWM_P4_v1_10, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=Wheels_2, CY_INSTANCE_SHORT_NAME=Wheels_2, CY_MAJOR_VERSION=1, CY_MINOR_VERSION=10, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=Wheels_2, ) module TCPWM_P4_v1_10_6 ( stop, count, reload, start, capture, interrupt, ov, un, cc, clock, line, line_n); input stop; input count; input reload; input start; input capture; output interrupt; output ov; output un; output cc; input clock; output line; output line_n; parameter PWMCountMode = 3; parameter PWMReloadMode = 0; parameter PWMReloadPresent = 0; parameter PWMStartMode = 0; parameter PWMStopMode = 0; parameter PWMSwitchMode = 0; parameter QuadIndexMode = 0; parameter QuadPhiAMode = 3; parameter QuadPhiBMode = 3; parameter QuadStopMode = 0; parameter TCCaptureMode = 0; parameter TCCountMode = 3; parameter TCReloadMode = 0; parameter TCStartMode = 0; parameter TCStopMode = 0; cy_m0s8_tcpwm_v1_0 cy_m0s8_tcpwm_1 ( .capture(capture), .underflow(un), .overflow(ov), .line_out_compl(line_n), .line_out(line), .compare_match(cc), .interrupt(interrupt), .count(count), .reload(reload), .stop(stop), .start(start), .clock(clock)); endmodule // Component: CyControlReg_v1_70 `ifdef CY_BLK_DIR `undef CY_BLK_DIR `endif `ifdef WARP `define CY_BLK_DIR "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\CyControlReg_v1_70" `include "$CYPRESS_DIR\..\psoc\content\cyprimitives\CyPrimitives.cylib\CyControlReg_v1_70\CyControlReg_v1_70.v" `else `define CY_BLK_DIR "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\CyControlReg_v1_70" `include "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\CyControlReg_v1_70\CyControlReg_v1_70.v" `endif // top module top ; wire Net_829; wire Net_828; wire Net_827; wire Net_826; wire Net_825; wire Net_824; wire Net_823; wire Net_822; wire Net_821; wire Net_820; wire Net_778; wire Net_777; wire Net_776; wire Net_775; wire Net_774; wire Net_773; wire Net_772; wire Net_771; wire Net_770; wire Net_769; wire Net_757; wire Net_756; wire Net_872; wire Net_864; wire Net_863; wire Net_681; wire Net_862; wire Net_861; wire Net_678; wire Net_676; wire Net_675; wire Net_768; wire Net_767; wire Net_766; wire Net_765; wire Net_764; wire Net_763; wire Net_762; wire Net_761; wire Net_760; wire Net_759; wire Net_505; wire Net_504; wire Net_132; wire Net_609; wire Net_608; wire Net_607; wire Net_606; wire Net_605; wire Net_604; wire Net_603; wire Net_602; wire Net_601; wire Net_519; wire Net_518; wire Net_600; wire Net_599; wire Net_80; wire Net_79; wire Net_78; wire Net_77; wire Net_76; wire Net_75; wire Net_74; wire Net_73; wire Net_72; wire Net_71; wire Net_6; wire Net_4; wire Net_747; wire Net_701; wire Net_496; wire Net_49; wire Net_5; SCB_P4_v1_20_0 UART_1 ( .sclk(Net_4), .interrupt(Net_5), .clock(1'b0)); cy_isr_v1_0 #(.int_type(2'b10)) isr (.int_signal(Net_49)); TCPWM_P4_v1_10_1 Timer ( .stop(1'b0), .reload(1'b0), .start(1'b0), .count(1'b1), .capture(1'b0), .interrupt(Net_49), .ov(Net_76), .un(Net_77), .cc(Net_78), .line(Net_79), .line_n(Net_80), .clock(Net_496)); defparam Timer.PWMCountMode = 3; defparam Timer.PWMReloadMode = 0; defparam Timer.PWMReloadPresent = 0; defparam Timer.PWMStartMode = 0; defparam Timer.PWMStopMode = 0; defparam Timer.PWMSwitchMode = 0; defparam Timer.QuadIndexMode = 0; defparam Timer.QuadPhiAMode = 3; defparam Timer.QuadPhiBMode = 3; defparam Timer.QuadStopMode = 0; defparam Timer.TCCaptureMode = 0; defparam Timer.TCCountMode = 3; defparam Timer.TCReloadMode = 0; defparam Timer.TCStartMode = 0; defparam Timer.TCStopMode = 0; cy_isr_v1_0 #(.int_type(2'b10)) ISRUART (.int_signal(Net_5)); PWM_v3_0_2 Gimbal ( .reset(1'b0), .clock(Net_496), .tc(Net_600), .pwm1(Net_518), .pwm2(Net_519), .interrupt(Net_601), .capture(1'b0), .kill(1'b1), .enable(1'b1), .trigger(1'b0), .cmp_sel(1'b0), .pwm(Net_607), .ph1(Net_608), .ph2(Net_609)); defparam Gimbal.Resolution = 16; cy_clock_v1_0 #(.id("77085c73-d3db-49de-a1ad-2dc98b341901"), .source_clock_id(""), .divisor(0), .period("1000000000"), .is_direct(0), .is_digital(1)) Clock_2 (.clock_out(Net_496)); wire [0:0] tmpOE__L_Servo_net; wire [0:0] tmpFB_0__L_Servo_net; wire [0:0] tmpIO_0__L_Servo_net; wire [0:0] tmpINTERRUPT_0__L_Servo_net; electrical [0:0] tmpSIOVREF__L_Servo_net; cy_psoc3_pins_v1_10 #(.id("52f31aa9-2f0a-497d-9a1f-1424095e13e6"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) L_Servo (.oe(tmpOE__L_Servo_net), .y({Net_504}), .fb({tmpFB_0__L_Servo_net[0:0]}), .io({tmpIO_0__L_Servo_net[0:0]}), .siovref(tmpSIOVREF__L_Servo_net), .interrupt({tmpINTERRUPT_0__L_Servo_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__L_Servo_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__R_Servo_net; wire [0:0] tmpFB_0__R_Servo_net; wire [0:0] tmpIO_0__R_Servo_net; wire [0:0] tmpINTERRUPT_0__R_Servo_net; electrical [0:0] tmpSIOVREF__R_Servo_net; cy_psoc3_pins_v1_10 #(.id("b2308480-dcd7-4ee5-bbdc-18916ee367be"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) R_Servo (.oe(tmpOE__R_Servo_net), .y({Net_505}), .fb({tmpFB_0__R_Servo_net[0:0]}), .io({tmpIO_0__R_Servo_net[0:0]}), .siovref(tmpSIOVREF__R_Servo_net), .interrupt({tmpINTERRUPT_0__R_Servo_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__R_Servo_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; TCPWM_P4_v1_10_3 Wheels_1 ( .stop(1'b0), .reload(1'b0), .start(1'b0), .count(1'b1), .capture(1'b0), .interrupt(Net_764), .ov(Net_765), .un(Net_766), .cc(Net_767), .line(Net_504), .line_n(Net_768), .clock(Net_496)); defparam Wheels_1.PWMCountMode = 3; defparam Wheels_1.PWMReloadMode = 0; defparam Wheels_1.PWMReloadPresent = 0; defparam Wheels_1.PWMStartMode = 0; defparam Wheels_1.PWMStopMode = 0; defparam Wheels_1.PWMSwitchMode = 0; defparam Wheels_1.QuadIndexMode = 0; defparam Wheels_1.QuadPhiAMode = 3; defparam Wheels_1.QuadPhiBMode = 3; defparam Wheels_1.QuadStopMode = 0; defparam Wheels_1.TCCaptureMode = 0; defparam Wheels_1.TCCountMode = 3; defparam Wheels_1.TCReloadMode = 0; defparam Wheels_1.TCStartMode = 0; defparam Wheels_1.TCStopMode = 0; wire [0:0] tmpOE__GimbalP_net; wire [0:0] tmpFB_0__GimbalP_net; wire [0:0] tmpIO_0__GimbalP_net; wire [0:0] tmpINTERRUPT_0__GimbalP_net; electrical [0:0] tmpSIOVREF__GimbalP_net; cy_psoc3_pins_v1_10 #(.id("8c1b8be6-e5e7-4bce-905a-4cfa28d02e70"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) GimbalP (.oe(tmpOE__GimbalP_net), .y({Net_518}), .fb({tmpFB_0__GimbalP_net[0:0]}), .io({tmpIO_0__GimbalP_net[0:0]}), .siovref(tmpSIOVREF__GimbalP_net), .interrupt({tmpINTERRUPT_0__GimbalP_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__GimbalP_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__GimbalT_net; wire [0:0] tmpFB_0__GimbalT_net; wire [0:0] tmpIO_0__GimbalT_net; wire [0:0] tmpINTERRUPT_0__GimbalT_net; electrical [0:0] tmpSIOVREF__GimbalT_net; cy_psoc3_pins_v1_10 #(.id("c2106cdb-687e-44ec-9e29-5bc0f817d259"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) GimbalT (.oe(tmpOE__GimbalT_net), .y({Net_519}), .fb({tmpFB_0__GimbalT_net[0:0]}), .io({tmpIO_0__GimbalT_net[0:0]}), .siovref(tmpSIOVREF__GimbalT_net), .interrupt({tmpINTERRUPT_0__GimbalT_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__GimbalT_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; SPI_Master_v2_40_4 SPIM ( .mosi(Net_675), .sclk(Net_676), .ss(Net_747), .miso(Net_678), .clock(1'b0), .reset(1'b0), .rx_interrupt(Net_681), .sdat(Net_863), .tx_interrupt(Net_864)); defparam SPIM.BidirectMode = 0; defparam SPIM.HighSpeedMode = 0; defparam SPIM.NumberOfDataBits = 8; defparam SPIM.ShiftDir = 0; cy_isr_v1_0 #(.int_type(2'b10)) ISRSPI (.int_signal(Net_872)); SCB_P4_v1_20_5 GPS_UART ( .sclk(Net_756), .interrupt(Net_701), .clock(1'b0)); cy_isr_v1_0 #(.int_type(2'b10)) ISR_UART_2 (.int_signal(Net_701)); wire [0:0] tmpOE__MISO_net; wire [0:0] tmpIO_0__MISO_net; wire [0:0] tmpINTERRUPT_0__MISO_net; electrical [0:0] tmpSIOVREF__MISO_net; cy_psoc3_pins_v1_10 #(.id("552faf00-97dc-47bf-ad14-15574b2c6e9b"), .drive_mode(3'b001), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("I"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1)) MISO (.oe(tmpOE__MISO_net), .y({1'b0}), .fb({Net_678}), .io({tmpIO_0__MISO_net[0:0]}), .siovref(tmpSIOVREF__MISO_net), .interrupt({tmpINTERRUPT_0__MISO_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__MISO_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__MOSI_net; wire [0:0] tmpFB_0__MOSI_net; wire [0:0] tmpIO_0__MOSI_net; wire [0:0] tmpINTERRUPT_0__MOSI_net; electrical [0:0] tmpSIOVREF__MOSI_net; cy_psoc3_pins_v1_10 #(.id("0e0c9380-6965-4440-8709-ce08a91e474c"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) MOSI (.oe(tmpOE__MOSI_net), .y({Net_675}), .fb({tmpFB_0__MOSI_net[0:0]}), .io({tmpIO_0__MOSI_net[0:0]}), .siovref(tmpSIOVREF__MOSI_net), .interrupt({tmpINTERRUPT_0__MOSI_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__MOSI_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__SCLK_net; wire [0:0] tmpFB_0__SCLK_net; wire [0:0] tmpIO_0__SCLK_net; wire [0:0] tmpINTERRUPT_0__SCLK_net; electrical [0:0] tmpSIOVREF__SCLK_net; cy_psoc3_pins_v1_10 #(.id("7f71d314-4523-45d3-b07e-62700396d125"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b1), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) SCLK (.oe(tmpOE__SCLK_net), .y({Net_676}), .fb({tmpFB_0__SCLK_net[0:0]}), .io({tmpIO_0__SCLK_net[0:0]}), .siovref(tmpSIOVREF__SCLK_net), .interrupt({tmpINTERRUPT_0__SCLK_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__SCLK_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; TCPWM_P4_v1_10_6 Wheels_2 ( .stop(1'b0), .reload(1'b0), .start(1'b0), .count(1'b1), .capture(1'b0), .interrupt(Net_774), .ov(Net_775), .un(Net_776), .cc(Net_777), .line(Net_505), .line_n(Net_778), .clock(Net_496)); defparam Wheels_2.PWMCountMode = 3; defparam Wheels_2.PWMReloadMode = 0; defparam Wheels_2.PWMReloadPresent = 0; defparam Wheels_2.PWMStartMode = 0; defparam Wheels_2.PWMStopMode = 0; defparam Wheels_2.PWMSwitchMode = 0; defparam Wheels_2.QuadIndexMode = 0; defparam Wheels_2.QuadPhiAMode = 3; defparam Wheels_2.QuadPhiBMode = 3; defparam Wheels_2.QuadStopMode = 0; defparam Wheels_2.TCCaptureMode = 0; defparam Wheels_2.TCCountMode = 3; defparam Wheels_2.TCReloadMode = 0; defparam Wheels_2.TCStartMode = 0; defparam Wheels_2.TCStopMode = 0; CyControlReg_v1_70 VidMux ( .control_1(Net_820), .control_2(Net_821), .control_3(Net_822), .control_0(Net_823), .control_4(Net_824), .control_5(Net_825), .control_6(Net_826), .control_7(Net_827), .clock(1'b0), .reset(1'b0)); defparam VidMux.Bit0Mode = 0; defparam VidMux.Bit1Mode = 0; defparam VidMux.Bit2Mode = 0; defparam VidMux.Bit3Mode = 0; defparam VidMux.Bit4Mode = 0; defparam VidMux.Bit5Mode = 0; defparam VidMux.Bit6Mode = 0; defparam VidMux.Bit7Mode = 0; defparam VidMux.BitValue = 0; defparam VidMux.BusDisplay = 0; defparam VidMux.ExtrReset = 0; defparam VidMux.NumOutputs = 3; wire [0:0] tmpOE__WIZ_RST_net; wire [0:0] tmpFB_0__WIZ_RST_net; wire [0:0] tmpIO_0__WIZ_RST_net; wire [0:0] tmpINTERRUPT_0__WIZ_RST_net; electrical [0:0] tmpSIOVREF__WIZ_RST_net; cy_psoc3_pins_v1_10 #(.id("0685bd1c-6e36-4e2b-bb80-086b6cfb9431"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) WIZ_RST (.oe(tmpOE__WIZ_RST_net), .y({1'b0}), .fb({tmpFB_0__WIZ_RST_net[0:0]}), .io({tmpIO_0__WIZ_RST_net[0:0]}), .siovref(tmpSIOVREF__WIZ_RST_net), .interrupt({tmpINTERRUPT_0__WIZ_RST_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__WIZ_RST_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__WIZ_RDY_net; wire [0:0] tmpFB_0__WIZ_RDY_net; wire [0:0] tmpIO_0__WIZ_RDY_net; wire [0:0] tmpINTERRUPT_0__WIZ_RDY_net; electrical [0:0] tmpSIOVREF__WIZ_RDY_net; cy_psoc3_pins_v1_10 #(.id("7f151c7d-1f6c-461e-9c68-16dcffc8e4d8"), .drive_mode(3'b001), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("I"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1)) WIZ_RDY (.oe(tmpOE__WIZ_RDY_net), .y({1'b0}), .fb({tmpFB_0__WIZ_RDY_net[0:0]}), .io({tmpIO_0__WIZ_RDY_net[0:0]}), .siovref(tmpSIOVREF__WIZ_RDY_net), .interrupt({tmpINTERRUPT_0__WIZ_RDY_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__WIZ_RDY_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__WIZ_INT_net; wire [0:0] tmpIO_0__WIZ_INT_net; wire [0:0] tmpINTERRUPT_0__WIZ_INT_net; electrical [0:0] tmpSIOVREF__WIZ_INT_net; cy_psoc3_pins_v1_10 #(.id("f7e52380-b69e-4bcf-b0e7-b82bec578576"), .drive_mode(3'b001), .ibuf_enabled(1'b1), .init_dr_st(1'b0), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("I"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b00), .width(1)) WIZ_INT (.oe(tmpOE__WIZ_INT_net), .y({1'b0}), .fb({Net_872}), .io({tmpIO_0__WIZ_INT_net[0:0]}), .siovref(tmpSIOVREF__WIZ_INT_net), .interrupt({tmpINTERRUPT_0__WIZ_INT_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__WIZ_INT_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; wire [0:0] tmpOE__WIZ_SS_net; wire [0:0] tmpFB_0__WIZ_SS_net; wire [0:0] tmpIO_0__WIZ_SS_net; wire [0:0] tmpINTERRUPT_0__WIZ_SS_net; electrical [0:0] tmpSIOVREF__WIZ_SS_net; cy_psoc3_pins_v1_10 #(.id("c5824ab3-47bc-41f7-b4a6-1015f51c5578"), .drive_mode(3'b110), .ibuf_enabled(1'b1), .init_dr_st(1'b1), .input_clk_en(0), .input_sync(1'b1), .input_sync_mode(1'b0), .intr_mode(2'b00), .invert_in_clock(0), .invert_in_clock_en(0), .invert_in_reset(0), .invert_out_clock(0), .invert_out_clock_en(0), .invert_out_reset(0), .io_voltage(""), .layout_mode("CONTIGUOUS"), .oe_conn(1'b0), .oe_reset(0), .oe_sync(1'b0), .output_clk_en(0), .output_clock_mode(1'b0), .output_conn(1'b0), .output_mode(1'b0), .output_reset(0), .output_sync(1'b0), .pa_in_clock(-1), .pa_in_clock_en(-1), .pa_in_reset(-1), .pa_out_clock(-1), .pa_out_clock_en(-1), .pa_out_reset(-1), .pin_aliases(""), .pin_mode("O"), .por_state(4), .sio_group_cnt(0), .sio_hyst(1'b0), .sio_ibuf(""), .sio_info(2'b00), .sio_obuf(""), .sio_refsel(""), .sio_vtrip(""), .slew_rate(1'b0), .spanning(0), .use_annotation(1'b0), .vtrip(2'b10), .width(1)) WIZ_SS (.oe(tmpOE__WIZ_SS_net), .y({1'b0}), .fb({tmpFB_0__WIZ_SS_net[0:0]}), .io({tmpIO_0__WIZ_SS_net[0:0]}), .siovref(tmpSIOVREF__WIZ_SS_net), .interrupt({tmpINTERRUPT_0__WIZ_SS_net[0:0]}), .in_clock({1'b0}), .in_clock_en({1'b1}), .in_reset({1'b0}), .out_clock({1'b0}), .out_clock_en({1'b1}), .out_reset({1'b0})); assign tmpOE__WIZ_SS_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; endmodule
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 22:30:52 01/30/2011 // Design Name: MiniAlu // Module Name: D:/Proyecto/RTL/Dev/MiniALU/TestBench.v // Project Name: MiniALU // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: MiniAlu // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module TestBench; // Inputs reg Clock; reg Reset; // Outputs wire [7:0] oLed; // Instantiate the Unit Under Test (UUT) MiniAlu uut ( .Clock(Clock), .Reset(Reset), .oLed(oLed) ); always begin #5 Clock = ! Clock; end initial begin // Initialize Inputs Clock = 0; Reset = 0; // Wait 100 ns for global reset to finish #100; Reset = 1; #50 Reset = 0; // Add stimulus here end endmodule
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or //simulation files), and any associated documentation or information are //expressly subject to the terms and conditions of the Altera Program //License Subscription Agreement or other applicable license agreement, //including, without limitation, that your use is for the sole purpose //of programming logic devices manufactured by Altera and sold by Altera //or its authorized distributors. Please refer to the applicable //agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on // turn off superfluous verilog processor warnings // altera message_level Level1 // altera message_off 10034 10035 10036 10037 10230 10240 10030 module lights_LEDs ( // inputs: address, chipselect, clk, reset_n, write_n, writedata, // outputs: out_port, readdata ) ; output [ 7: 0] out_port; output [ 31: 0] readdata; input [ 1: 0] address; input chipselect; input clk; input reset_n; input write_n; input [ 31: 0] writedata; wire clk_en; reg [ 7: 0] data_out; wire [ 7: 0] out_port; wire [ 7: 0] read_mux_out; wire [ 31: 0] readdata; assign clk_en = 1; //s1, which is an e_avalon_slave assign read_mux_out = {8 {(address == 0)}} & data_out; always @(posedge clk or negedge reset_n) begin if (reset_n == 0) data_out <= 0; else if (chipselect && ~write_n && (address == 0)) data_out <= writedata[7 : 0]; end assign readdata = {32'b0 | read_mux_out}; assign out_port = data_out; endmodule
module testbench_CLA_Array(); localparam bits = 64; reg[bits-1:0] a; reg[bits-1:0] b; reg cin; wire[bits-1:0] result; wire cout; wire[bits:0] expectOutput; reg errorFlag = 0; integer i; assign expectOutput = a + b + cin; initial begin a = 64'h1111111111111111; b = 64'hffffffffffffffff; cin = 1; #10; if ({cout,result} !== expectOutput) begin errorFlag = 1; $display("test fail: expect %x + %x = %x, actual %x", a, b, {cout,result}, expectOutput); end a = 64'h0000000000000000; b = 64'hffffffffffffffff; cin = 1; #10; if ({cout,result} !== expectOutput) begin errorFlag = 1; $display("test fail: expect %x + %x = %x, actual %x", a, b, {cout,result}, expectOutput); end a = 64'h1111111111111111; b = 64'heeeeeeeeeeeeeeee; cin = 1; #10; if ({cout,result} !== expectOutput) begin errorFlag = 1; $display("test fail: expect %x + %x = %x, actual %x", a, b, {cout,result}, expectOutput); end for(i = 0;i < 32'hffff; i = i+1) begin a = {$random(), $random()}; b = {$random(), $random()}; cin = ($random()&1); #10; if ({cout,result} !== expectOutput) begin errorFlag = 1; $display("test fail: expect %x + %x = %x, actual %x", a, b, {cout,result}, expectOutput); end end if (errorFlag === 0) begin $display("Test passed"); end else begin $display("Test failed"); end end CLA_Array #16 cla(.a(a), .b(b), .cin(cin), .result(result), .cout(cout)); endmodule
/* Copyright (c) 2014-2018 Alex Forencich Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. */ // Language: Verilog 2001 `timescale 1ns / 1ps /* * FPGA top-level module */ module fpga ( /* * Clock: 200MHz * Reset: Push button, active high */ input wire sys_clk_p, input wire sys_clk_n, input wire reset, /* * GPIO */ input wire btnu, input wire btnl, input wire btnd, input wire btnr, input wire btnc, input wire [7:0] sw, output wire ledu, output wire ledl, output wire ledd, output wire ledr, output wire ledc, output wire [7:0] led, /* * Ethernet: 1000BASE-T GMII */ input wire phy_rx_clk, input wire [7:0] phy_rxd, input wire phy_rx_dv, input wire phy_rx_er, output wire phy_gtx_clk, input wire phy_tx_clk, output wire [7:0] phy_txd, output wire phy_tx_en, output wire phy_tx_er, output wire phy_reset_n, /* * Silicon Labs CP2103 USB UART */ output wire uart_rxd, input wire uart_txd, input wire uart_rts, output wire uart_cts ); // Clock and reset wire sys_clk_ibufg; // Internal 125 MHz clock wire clk_125mhz_mmcm_out; wire clk_125mhz_int; wire rst_125mhz_int; wire mmcm_rst = reset; wire mmcm_locked; wire mmcm_clkfb; IBUFGDS clk_ibufgds_inst( .I(sys_clk_p), .IB(sys_clk_n), .O(sys_clk_ibufg) ); // MMCM instance // 200 MHz in, 125 MHz out // PFD range: 10 MHz to 450 MHz // VCO range: 600 MHz to 1200 MHz // M = 5, D = 1 sets Fvco = 1000 MHz (in range) // Divide by 8 to get output frequency of 125 MHz MMCM_BASE #( .BANDWIDTH("OPTIMIZED"), .CLKOUT0_DIVIDE_F(8), .CLKOUT0_DUTY_CYCLE(0.5), .CLKOUT0_PHASE(0), .CLKOUT1_DIVIDE(1), .CLKOUT1_DUTY_CYCLE(0.5), .CLKOUT1_PHASE(0), .CLKOUT2_DIVIDE(1), .CLKOUT2_DUTY_CYCLE(0.5), .CLKOUT2_PHASE(0), .CLKOUT3_DIVIDE(1), .CLKOUT3_DUTY_CYCLE(0.5), .CLKOUT3_PHASE(0), .CLKOUT4_DIVIDE(1), .CLKOUT4_DUTY_CYCLE(0.5), .CLKOUT4_PHASE(0), .CLKOUT5_DIVIDE(1), .CLKOUT5_DUTY_CYCLE(0.5), .CLKOUT5_PHASE(0), .CLKOUT6_DIVIDE(1), .CLKOUT6_DUTY_CYCLE(0.5), .CLKOUT6_PHASE(0), .CLKFBOUT_MULT_F(5), .CLKFBOUT_PHASE(0), .DIVCLK_DIVIDE(1), .REF_JITTER1(0.100), .CLKIN1_PERIOD(5.0), .STARTUP_WAIT("FALSE"), .CLKOUT4_CASCADE("FALSE") ) clk_mmcm_inst ( .CLKIN1(sys_clk_ibufg), .CLKFBIN(mmcm_clkfb), .RST(mmcm_rst), .PWRDWN(1'b0), .CLKOUT0(clk_125mhz_mmcm_out), .CLKOUT0B(), .CLKOUT1(), .CLKOUT1B(), .CLKOUT2(), .CLKOUT2B(), .CLKOUT3(), .CLKOUT3B(), .CLKOUT4(), .CLKOUT5(), .CLKOUT6(), .CLKFBOUT(mmcm_clkfb), .CLKFBOUTB(), .LOCKED(mmcm_locked) ); BUFG clk_125mhz_bufg_inst ( .I(clk_125mhz_mmcm_out), .O(clk_125mhz_int) ); sync_reset #( .N(4) ) sync_reset_125mhz_inst ( .clk(clk_125mhz_int), .rst(~mmcm_locked), .out(rst_125mhz_int) ); // GPIO wire btnu_int; wire btnl_int; wire btnd_int; wire btnr_int; wire btnc_int; wire [7:0] sw_int; wire ledu_int; wire ledl_int; wire ledd_int; wire ledr_int; wire ledc_int; wire [7:0] led_int; wire uart_rxd_int; wire uart_txd_int; wire uart_rts_int; wire uart_cts_int; debounce_switch #( .WIDTH(13), .N(4), .RATE(125000) ) debounce_switch_inst ( .clk(clk_125mhz_int), .rst(rst_125mhz_int), .in({btnu, btnl, btnd, btnr, btnc, sw}), .out({btnu_int, btnl_int, btnd_int, btnr_int, btnc_int, sw_int}) ); sync_signal #( .WIDTH(2), .N(2) ) sync_signal_inst ( .clk(clk_125mhz_int), .in({uart_txd, uart_rts}), .out({uart_txd_int, uart_rts_int}) ); assign ledu = ledu_int; assign ledl = ledl_int; assign ledd = ledd_int; assign ledr = ledr_int; assign ledc = ledc_int; assign led = led_int; assign uart_rxd = uart_rxd_int; assign uart_cts = uart_cts_int; fpga_core #( .TARGET("XILINX") ) core_inst ( /* * Clock: 125MHz * Synchronous reset */ .clk_125mhz(clk_125mhz_int), .rst_125mhz(rst_125mhz_int), /* * GPIO */ .btnu(btnu_int), .btnl(btnl_int), .btnd(btnd_int), .btnr(btnr_int), .btnc(btnc_int), .sw(sw_int), .ledu(ledu_int), .ledl(ledl_int), .ledd(ledd_int), .ledr(ledr_int), .ledc(ledc_int), .led(led_int), /* * Ethernet: 1000BASE-T GMII */ .phy_rx_clk(phy_rx_clk), .phy_rxd(phy_rxd), .phy_rx_dv(phy_rx_dv), .phy_rx_er(phy_rx_er), .phy_gtx_clk(phy_gtx_clk), .phy_tx_clk(phy_tx_clk), .phy_txd(phy_txd), .phy_tx_en(phy_tx_en), .phy_tx_er(phy_tx_er), .phy_reset_n(phy_reset_n), /* * UART: 115200 bps, 8N1 */ .uart_rxd(uart_rxd_int), .uart_txd(uart_txd_int), .uart_rts(uart_rts_int), .uart_cts(uart_cts_int) ); endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__TAPVPWRVGND_SYMBOL_V `define SKY130_FD_SC_HS__TAPVPWRVGND_SYMBOL_V /** * tapvpwrvgnd: Substrate and well tap cell. * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hs__tapvpwrvgnd (); // Voltage supply signals supply1 VPWR; supply0 VGND; endmodule `default_nettype wire `endif // SKY130_FD_SC_HS__TAPVPWRVGND_SYMBOL_V
// *************************************************************************** // *************************************************************************** // Copyright 2011(c) Analog Devices, Inc. // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** // *************************************************************************** // *************************************************************************** `timescale 1ns/100ps module system_top ( sys_rst, sys_clk_p, sys_clk_n, uart_sin, uart_sout, ddr3_addr, ddr3_ba, ddr3_cas_n, ddr3_ck_n, ddr3_ck_p, ddr3_cke, ddr3_cs_n, ddr3_dm, ddr3_dq, ddr3_dqs_n, ddr3_dqs_p, ddr3_odt, ddr3_ras_n, ddr3_reset_n, ddr3_we_n, phy_reset_n, phy_mdc, phy_mdio, phy_tx_clk, phy_tx_ctrl, phy_tx_data, phy_rx_clk, phy_rx_ctrl, phy_rx_data, fan_pwm, gpio_lcd, gpio_bd, iic_rstn, iic_scl, iic_sda, dac_clk_in_p, dac_clk_in_n, dac_clk_out_p, dac_clk_out_n, dac_frame_out_p, dac_frame_out_n, dac_data_out_p, dac_data_out_n, adc_clk_in_p, adc_clk_in_n, adc_or_in_p, adc_or_in_n, adc_data_in_p, adc_data_in_n, ref_clk_out_p, ref_clk_out_n); input sys_rst; input sys_clk_p; input sys_clk_n; input uart_sin; output uart_sout; output [13:0] ddr3_addr; output [ 2:0] ddr3_ba; output ddr3_cas_n; output [ 0:0] ddr3_ck_n; output [ 0:0] ddr3_ck_p; output [ 0:0] ddr3_cke; output [ 0:0] ddr3_cs_n; output [ 7:0] ddr3_dm; inout [63:0] ddr3_dq; inout [ 7:0] ddr3_dqs_n; inout [ 7:0] ddr3_dqs_p; output [ 0:0] ddr3_odt; output ddr3_ras_n; output ddr3_reset_n; output ddr3_we_n; output phy_reset_n; output phy_mdc; inout phy_mdio; output phy_tx_clk; output phy_tx_ctrl; output [ 3:0] phy_tx_data; input phy_rx_clk; input phy_rx_ctrl; input [ 3:0] phy_rx_data; output fan_pwm; inout [ 6:0] gpio_lcd; inout [12:0] gpio_bd; output iic_rstn; inout iic_scl; inout iic_sda; input dac_clk_in_p; input dac_clk_in_n; output dac_clk_out_p; output dac_clk_out_n; output dac_frame_out_p; output dac_frame_out_n; output [15:0] dac_data_out_p; output [15:0] dac_data_out_n; input adc_clk_in_p; input adc_clk_in_n; input adc_or_in_p; input adc_or_in_n; input [13:0] adc_data_in_p; input [13:0] adc_data_in_n; output ref_clk_out_p; output ref_clk_out_n; // internal registers reg [63:0] dac_ddata_0 = 'd0; reg [63:0] dac_ddata_1 = 'd0; reg dac_dma_rd = 'd0; reg adc_data_cnt = 'd0; reg adc_dma_wr = 'd0; reg [31:0] adc_dma_wdata = 'd0; // internal signals wire [63:0] gpio_i; wire [63:0] gpio_o; wire [63:0] gpio_t; wire dac_clk; wire dac_valid_0; wire dac_enable_0; wire dac_valid_1; wire dac_enable_1; wire [63:0] dac_dma_rdata; wire adc_clk; wire adc_valid_0; wire adc_enable_0; wire [15:0] adc_data_0; wire adc_valid_1; wire adc_enable_1; wire [15:0] adc_data_1; wire ref_clk; wire oddr_ref_clk; // assignments assign mgt_clk_sel = 2'd0; assign fan_pwm = 1'b1; assign iic_rstn = 1'b1; // instantiations ad_iobuf #(.DATA_WIDTH(13)) i_iobuf_sw_led ( .dio_t (gpio_t[12:0]), .dio_i (gpio_o[12:0]), .dio_o (gpio_i[12:0]), .dio_p (gpio_bd)); ODDR #( .DDR_CLK_EDGE ("SAME_EDGE"), .INIT (1'b0), .SRTYPE ("ASYNC")) i_oddr_ref_clk ( .S (1'b0), .CE (1'b1), .R (1'b0), .C (ref_clk), .D1 (1'b1), .D2 (1'b0), .Q (oddr_ref_clk)); OBUFDS i_obufds_ref_clk ( .I (oddr_ref_clk), .O (ref_clk_out_p), .OB (ref_clk_out_n)); always @(posedge dac_clk) begin dac_dma_rd <= dac_valid_0 & dac_enable_0; dac_ddata_1[63:48] <= dac_dma_rdata[63:48]; dac_ddata_1[47:32] <= dac_dma_rdata[63:48]; dac_ddata_1[31:16] <= dac_dma_rdata[31:16]; dac_ddata_1[15: 0] <= dac_dma_rdata[31:16]; dac_ddata_0[63:48] <= dac_dma_rdata[47:32]; dac_ddata_0[47:32] <= dac_dma_rdata[47:32]; dac_ddata_0[31:16] <= dac_dma_rdata[15: 0]; dac_ddata_0[15: 0] <= dac_dma_rdata[15: 0]; end always @(posedge adc_clk) begin adc_data_cnt <= ~adc_data_cnt; case ({adc_enable_1, adc_enable_0}) 2'b10: begin adc_dma_wr <= adc_data_cnt; adc_dma_wdata <= {adc_data_1, adc_dma_wdata[31:16]}; end 2'b01: begin adc_dma_wr <= adc_data_cnt; adc_dma_wdata <= {adc_data_0, adc_dma_wdata[31:16]}; end default: begin adc_dma_wr <= 1'b1; adc_dma_wdata <= {adc_data_1, adc_data_0}; end endcase end system_wrapper i_system_wrapper ( .ddr3_addr (ddr3_addr), .ddr3_ba (ddr3_ba), .ddr3_cas_n (ddr3_cas_n), .ddr3_ck_n (ddr3_ck_n), .ddr3_ck_p (ddr3_ck_p), .ddr3_cke (ddr3_cke), .ddr3_cs_n (ddr3_cs_n), .ddr3_dm (ddr3_dm), .ddr3_dq (ddr3_dq), .ddr3_dqs_n (ddr3_dqs_n), .ddr3_dqs_p (ddr3_dqs_p), .ddr3_odt (ddr3_odt), .ddr3_ras_n (ddr3_ras_n), .ddr3_reset_n (ddr3_reset_n), .ddr3_we_n (ddr3_we_n), .gpio_lcd_tri_io (gpio_lcd), .gpio0_o (gpio_o[31:0]), .gpio0_t (gpio_t[31:0]), .gpio0_i (gpio_i[31:0]), .gpio1_o (gpio_o[63:32]), .gpio1_t (gpio_t[63:32]), .gpio1_i (gpio_i[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .mb_intr_06 (1'b0), .mb_intr_07 (1'b0), .mb_intr_08 (1'b0), .mb_intr_14 (1'b0), .mb_intr_15 (1'b0), .adc_clk (adc_clk), .adc_clk_in_n (adc_clk_in_n), .adc_clk_in_p (adc_clk_in_p), .adc_data_0 (adc_data_0), .adc_data_1 (adc_data_1), .adc_data_in_n (adc_data_in_n), .adc_data_in_p (adc_data_in_p), .adc_dma_wdata (adc_dma_wdata), .adc_dma_wr (adc_dma_wr), .adc_enable_0 (adc_enable_0), .adc_enable_1 (adc_enable_1), .adc_or_in_n (adc_or_in_n), .adc_or_in_p (adc_or_in_p), .adc_valid_0 (adc_valid_0), .adc_valid_1 (adc_valid_1), .dac_clk (dac_clk), .dac_clk_in_n (dac_clk_in_n), .dac_clk_in_p (dac_clk_in_p), .dac_clk_out_n (dac_clk_out_n), .dac_clk_out_p (dac_clk_out_p), .dac_data_out_n (dac_data_out_n), .dac_data_out_p (dac_data_out_p), .dac_ddata_0 (dac_ddata_0), .dac_ddata_1 (dac_ddata_1), .dac_dma_rd (dac_dma_rd), .dac_dma_rdata (dac_dma_rdata), .dac_enable_0 (dac_enable_0), .dac_enable_1 (dac_enable_1), .dac_frame_out_n (dac_frame_out_n), .dac_frame_out_p (dac_frame_out_p), .dac_valid_0 (dac_valid_0), .dac_valid_1 (dac_valid_1), .ref_clk (ref_clk), .mdio_io (phy_mdio), .mdio_mdc (phy_mdc), .phy_rst_n (phy_reset_n), .rgmii_rd (phy_rx_data), .rgmii_rx_ctl (phy_rx_ctrl), .rgmii_rxc (phy_rx_clk), .rgmii_td (phy_tx_data), .rgmii_tx_ctl (phy_tx_ctrl), .rgmii_txc (phy_tx_clk), .sys_clk_n (sys_clk_n), .sys_clk_p (sys_clk_p), .sys_rst (sys_rst), .uart_sin (uart_sin), .uart_sout (uart_sout)); endmodule // *************************************************************************** // ***************************************************************************
//-------------------------------------------------------------------------------- // // async_fifo.v // Copyright (C) 2011 Ian Davis // // This program is free software; you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation; either version 2 of the License, or (at // your option) any later version. // // This program is distributed in the hope that it will be useful, but // WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public License along // with this program; if not, write to the Free Software Foundation, Inc., // 51 Franklin St, Fifth Floor, Boston, MA 02110, USA // //-------------------------------------------------------------------------------- // // Details: // http://www.dangerousprototypes.com/ols // http://www.gadgetfactory.net/gf/project/butterflylogic // http://www.mygizmos.org/ols // // An asynchronous FIFO. Defaults to (16 words)x(32 bit) // // Accepts data written in one clock domain, writes the data to a FIFO ram, // safely transfers the fifo write/read pointers using gray encoded counters, // and reads the data (in the second clock domain). // // No timing hazards, or chance of skewing input data words because individual // bits "arrived" out of phase. // // In detail, the steps are: // 1) Write data to a dual-port RAM in the source clock domain. // 2) Update fifo write pointer. // 3) Synchronize a gray-encoded version of the write pointer in the // destination clock domain. // 4) Read data from the dual-port RAM in the destiantion clock. // 5) Update the read pointer. // 6) Synchronize a gray-encoded version of the read pointer back // to the source clock domain. // 7) The loop is now closed // // Note the write clock always has a laggy version of the read pointer. // Guarantees it can't overflow (assuming the writer cares). // // The read clock always has a laggy version of the write pointer. // Guarantees data present before reading occurs. // //-------------------------------------------------------------------------------- // // Notes on Synchronizers... // // Synchronizing simply means using two or more back-to-back flops to // move a signal from one clock domain to another. // // Why at least two? Because theoretically a flop can become metastable // (not in 0 or 1 state) if its data input twitches at just the wrong moment // too close to the clock. A worst-case setup//hold timing violation. // // A flop eventually recovers, but the speed at which it does so depends // in large part on its output capacitive load. A second flop minmizes the // load & gives a near 100% chance (99.99999%) of capturing stable data. // For very sensitive applications, you increase the number of flops, or use // more conservative synchronization techniques. // //-------------------------------------------------------------------------------- // module async_fifo ( wrclk, wrreset, rdclk, rdreset, // write path space_avail, wrenb, wrdata, // read path read_req, data_avail, data_valid, data_out); parameter ASYNC_FIFO_MAXINDEX = 3; parameter ASYNC_FIFO_MAXDATA = 31; parameter ASYNC_FIFO_FULLTHRESHOLD = 4; // full when only 4 words remain input wrclk, wrreset; input rdclk, rdreset; // Write path... output space_avail; input wrenb; input [ASYNC_FIFO_MAXDATA:0] wrdata; // Read path... input read_req; output data_avail, data_valid; output [ASYNC_FIFO_MAXDATA:0] data_out; wire [ASYNC_FIFO_MAXINDEX+1:0] stable_wrptr, stable_rdptr; wire [ASYNC_FIFO_MAXINDEX:0] ram_wraddr, ram_rdaddr; wire [ASYNC_FIFO_MAXDATA:0] ram_wrdata, ram_rddata; // // Instantiate RAM... // async_fifo_ram ram ( wrclk, rdclk, ram_wrenb, ram_wraddr, ram_wrdata, ram_rdenb, ram_rdaddr, ram_rddata); defparam ram.ASYNC_FIFO_MAXINDEX = ASYNC_FIFO_MAXINDEX; defparam ram.ASYNC_FIFO_MAXDATA = ASYNC_FIFO_MAXDATA; // // Instantiate write path... // async_fifo_wrpath wrpath ( wrclk, wrreset, space_avail, wrenb, wrdata, ram_wrenb, ram_wraddr, ram_wrdata, stable_wrptr, stable_rdptr); defparam wrpath.ASYNC_FIFO_MAXINDEX = ASYNC_FIFO_MAXINDEX; defparam wrpath.ASYNC_FIFO_MAXDATA = ASYNC_FIFO_MAXDATA; defparam wrpath.ASYNC_FIFO_FULLTHRESHOLD = ASYNC_FIFO_FULLTHRESHOLD; // // Instantiate read path... // async_fifo_rdpath rdpath ( rdclk, rdreset, read_req, data_avail, data_valid, data_out, ram_rdenb, ram_rdaddr, ram_rddata, stable_wrptr, stable_rdptr); defparam rdpath.ASYNC_FIFO_MAXINDEX = ASYNC_FIFO_MAXINDEX; defparam rdpath.ASYNC_FIFO_MAXDATA = ASYNC_FIFO_MAXDATA; endmodule /////////////////////////////////////////////////////////// // // Generic ASYNC fifo. Write path... // module async_fifo_wrpath ( clk, reset, space_avail, data_valid, wrdata, ram_wrenb, ram_wraddr, ram_wrdata, stable_wrptr, stable_rdptr); parameter ASYNC_FIFO_MAXINDEX = 3; parameter ASYNC_FIFO_MAXDATA = 31; parameter ASYNC_FIFO_FULLTHRESHOLD = 4; input clk, reset; // FIFO interface... output space_avail; input data_valid; input [ASYNC_FIFO_MAXDATA:0] wrdata; // RAM interface... output ram_wrenb; output [ASYNC_FIFO_MAXINDEX:0] ram_wraddr; output [ASYNC_FIFO_MAXDATA:0] ram_wrdata; // Sync interface... output [ASYNC_FIFO_MAXINDEX+1:0] stable_wrptr; input [ASYNC_FIFO_MAXINDEX+1:0] stable_rdptr; localparam WIDTH = ASYNC_FIFO_MAXINDEX+2; `include "gray.v" // // Registers... // reg [ASYNC_FIFO_MAXINDEX+1:0] stable_wrptr, next_stable_wrptr; reg [ASYNC_FIFO_MAXINDEX+1:0] wrptr, next_wrptr; reg [ASYNC_FIFO_MAXINDEX+1:0] rdptr, next_rdptr; reg space_avail, next_space_avail; wire [ASYNC_FIFO_MAXINDEX+1:0] wrptr_plus1 = wrptr+1'b1; wire [ASYNC_FIFO_MAXINDEX+1:0] fifo_depth = wrptr-rdptr; wire [ASYNC_FIFO_MAXINDEX+1:0] gray_rdptr; full_synchronizer #(WIDTH) sync_gray_rdptr (clk, reset, stable_rdptr, gray_rdptr); // // RAM interface... // wire ram_wrenb = data_valid; wire [ASYNC_FIFO_MAXINDEX:0] ram_wraddr = wrptr[ASYNC_FIFO_MAXINDEX:0]; wire [ASYNC_FIFO_MAXDATA:0] ram_wrdata = wrdata; // // Sample stable singals... // initial begin stable_wrptr = 0; rdptr = 0; end always @ (posedge clk or posedge reset) begin if (reset) begin stable_wrptr = 0; rdptr = 0; end else begin stable_wrptr = next_stable_wrptr; rdptr = next_rdptr; end end always @(*) begin #1; next_stable_wrptr = bin2gray(next_wrptr); next_rdptr = gray2bin(gray_rdptr); end // // Control logic... // initial begin space_avail = 0; wrptr = 0; end always @ (posedge clk or posedge reset) begin if (reset) begin space_avail = 1'b1; wrptr = 0; end else begin space_avail = next_space_avail; wrptr = next_wrptr; // synthesis translate_off if (data_valid) begin #1; if (fifo_depth >= (1<<(ASYNC_FIFO_MAXINDEX+1))) begin $display ("%t: FIFO OVERFLOW!",$realtime); $finish; end end // synthesis translate_on end end always @(*) begin #1; next_space_avail = fifo_depth<((1<<(ASYNC_FIFO_MAXINDEX+1))-ASYNC_FIFO_FULLTHRESHOLD); next_wrptr = (data_valid && space_avail) ? wrptr_plus1 : wrptr; end endmodule /////////////////////////////////////////////////////////// // // Read path... // module async_fifo_rdpath ( clk, reset, read_req, data_avail, data_valid, data_out, ram_rdenb, ram_rdaddr, ram_rddata, stable_wrptr, stable_rdptr); parameter ASYNC_FIFO_MAXINDEX = 3; parameter ASYNC_FIFO_MAXDATA = 31; input clk, reset; // FIFO interface... input read_req; output data_avail, data_valid; output [ASYNC_FIFO_MAXDATA:0] data_out; // RAM interface... output ram_rdenb; output [ASYNC_FIFO_MAXINDEX:0] ram_rdaddr; input [ASYNC_FIFO_MAXDATA:0] ram_rddata; // Sync interface... input [ASYNC_FIFO_MAXINDEX+1:0] stable_wrptr; output [ASYNC_FIFO_MAXINDEX+1:0] stable_rdptr; localparam WIDTH = ASYNC_FIFO_MAXINDEX+2; `include "gray.v" // // Registers... // reg [ASYNC_FIFO_MAXINDEX+1:0] stable_rdptr, next_stable_rdptr; reg [ASYNC_FIFO_MAXINDEX+1:0] wrptr, next_wrptr; reg data_avail, next_data_avail; reg data_valid, next_data_valid; reg [ASYNC_FIFO_MAXINDEX+1:0] rdptr, next_rdptr; reg [ASYNC_FIFO_MAXDATA:0] data_out, next_data_out; wire ram_rdenb = data_avail; reg [ASYNC_FIFO_MAXINDEX:0] ram_rdaddr; wire [ASYNC_FIFO_MAXINDEX+1:0] rdptr_plus1 = rdptr+1'b1; wire [ASYNC_FIFO_MAXINDEX+1:0] gray_wrptr; full_synchronizer #(WIDTH) sync_gray_wrptr (clk, reset, stable_wrptr, gray_wrptr); // // Sample stable singals... // initial begin stable_rdptr = 0; wrptr = 0; end always @ (posedge clk or posedge reset) begin if (reset) begin stable_rdptr = 0; wrptr = 0; end else begin stable_rdptr = next_stable_rdptr; wrptr = next_wrptr; end end always @(*) begin #1; next_stable_rdptr = bin2gray(rdptr); next_wrptr = gray2bin(gray_wrptr); end // // Control logic... // initial begin rdptr = 0; data_avail = 1'b0; data_valid = 1'b0; end always @ (posedge clk or posedge reset) begin if (reset) begin rdptr = 0; data_avail = 1'b0; data_valid = 1'b0; end else begin rdptr = next_rdptr; data_avail = next_data_avail; data_valid = next_data_valid; end end always @ (posedge clk) data_out = next_data_out; always @(*) begin #1; next_rdptr = rdptr; next_data_out = {(ASYNC_FIFO_MAXDATA+1){data_avail}} & ram_rddata; next_data_valid = 1'b0; if (read_req && data_avail) begin next_data_valid = 1'b1; next_rdptr = rdptr_plus1; end next_data_avail = (next_wrptr != next_rdptr); ram_rdaddr = next_rdptr[ASYNC_FIFO_MAXINDEX:0]; end endmodule /////////////////////////////////////////////////////////// // // Async FIFO RAM... // module async_fifo_ram (wrclk, rdclk, wrenb, wrptr, wrdata, rdenb, rdptr, rddata); parameter ASYNC_FIFO_MAXINDEX = 4; parameter ASYNC_FIFO_MAXDATA = 31; input wrclk, rdclk; input wrenb, rdenb; input [ASYNC_FIFO_MAXINDEX:0] wrptr, rdptr; input [ASYNC_FIFO_MAXDATA:0] wrdata; output [ASYNC_FIFO_MAXDATA:0] rddata; wire #1 dly_wrenb = wrenb; wire [ASYNC_FIFO_MAXINDEX:0] #1 dly_wrptr = wrptr; wire [ASYNC_FIFO_MAXDATA:0] #1 dly_wrdata = wrdata; wire #1 dly_rdenb = rdenb; wire [ASYNC_FIFO_MAXINDEX:0] #1 dly_rdptr = rdptr; reg [ASYNC_FIFO_MAXDATA:0] mem[0:(1<<(ASYNC_FIFO_MAXINDEX+1))-1]; reg [ASYNC_FIFO_MAXINDEX:0] rdptr_reg; assign rddata = mem[rdptr_reg]; always @ (posedge wrclk) begin if (dly_wrenb) mem[dly_wrptr] = dly_wrdata; end always @ (posedge rdclk) begin rdptr_reg = dly_rdptr; end endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HDLL__O21A_2_V `define SKY130_FD_SC_HDLL__O21A_2_V /** * o21a: 2-input OR into first input of 2-input AND. * * X = ((A1 | A2) & B1) * * Verilog wrapper for o21a with size of 2 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hdll__o21a.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hdll__o21a_2 ( X , A1 , A2 , B1 , VPWR, VGND, VPB , VNB ); output X ; input A1 ; input A2 ; input B1 ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_hdll__o21a base ( .X(X), .A1(A1), .A2(A2), .B1(B1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hdll__o21a_2 ( X , A1, A2, B1 ); output X ; input A1; input A2; input B1; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hdll__o21a base ( .X(X), .A1(A1), .A2(A2), .B1(B1) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_HDLL__O21A_2_V
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__O2111A_BEHAVIORAL_V `define SKY130_FD_SC_LS__O2111A_BEHAVIORAL_V /** * o2111a: 2-input OR into first input of 4-input AND. * * X = ((A1 | A2) & B1 & C1 & D1) * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none `celldefine module sky130_fd_sc_ls__o2111a ( X , A1, A2, B1, C1, D1 ); // Module ports output X ; input A1; input A2; input B1; input C1; input D1; // Module supplies supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; // Local signals wire or0_out ; wire and0_out_X; // Name Output Other arguments or or0 (or0_out , A2, A1 ); and and0 (and0_out_X, B1, C1, or0_out, D1); buf buf0 (X , and0_out_X ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_LS__O2111A_BEHAVIORAL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__A22O_2_V `define SKY130_FD_SC_HS__A22O_2_V /** * a22o: 2-input AND into both inputs of 2-input OR. * * X = ((A1 & A2) | (B1 & B2)) * * Verilog wrapper for a22o with size of 2 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hs__a22o.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hs__a22o_2 ( X , A1 , A2 , B1 , B2 , VPWR, VGND ); output X ; input A1 ; input A2 ; input B1 ; input B2 ; input VPWR; input VGND; sky130_fd_sc_hs__a22o base ( .X(X), .A1(A1), .A2(A2), .B1(B1), .B2(B2), .VPWR(VPWR), .VGND(VGND) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hs__a22o_2 ( X , A1, A2, B1, B2 ); output X ; input A1; input A2; input B1; input B2; // Voltage supply signals supply1 VPWR; supply0 VGND; sky130_fd_sc_hs__a22o base ( .X(X), .A1(A1), .A2(A2), .B1(B1), .B2(B2) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_HS__A22O_2_V
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__TAPVGND_BEHAVIORAL_PP_V `define SKY130_FD_SC_LS__TAPVGND_BEHAVIORAL_PP_V /** * tapvgnd: Tap cell with tap to ground, isolated power connection 1 * row down. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none `celldefine module sky130_fd_sc_ls__tapvgnd ( VPWR, VGND, VPB , VNB ); // Module ports input VPWR; input VGND; input VPB ; input VNB ; // No contents. endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_LS__TAPVGND_BEHAVIORAL_PP_V
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 2016/05/24 20:47:42 // Design Name: // Module Name: bcdto7segment_dataflow // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module bcdto7segment_dataflow( input [3:0] x, output [3:0] an, output [6:0] seg ); assign #2 an = x; assign #1 seg[6] = (x[2]&(~x[1])&(~x[0]))|((~x[3])&(~x[2])&(~x[1])&x[0]); assign #1 seg[5] = (x[2]&(~x[1])&x[0])|(x[2]&x[1]&(~x[0])); assign #1 seg[4] = (~x[3])&(~x[2])&x[1]&(~x[0]); assign #1 seg[3] = (x[2]&(~x[1])&(~x[0]))|(x[2]&x[1]&x[0])|((~x[3])&(~x[2])&(~x[1])&x[0]); assign #1 seg[2] = (x[2]&(~x[1]))|x[0]; assign #1 seg[1] = (x[1]&x[0])|((~x[3])&(~x[2])&x[0])|((~x[3])&(~x[2])&x[1]); assign #1 seg[0] = ((~x[3])&(~x[2])&(~x[1]))|(x[2]&x[1]&x[0]); endmodule
// ============================================================== // File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC // Version: 2018.2 // Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved. // // ============================================================== `timescale 1 ns / 1 ps module video_scaler_mul_kbM_MulnS_1(clk, ce, a, b, p); input clk; input ce; input[28 - 1 : 0] a; input[20 - 1 : 0] b; output[48 - 1 : 0] p; reg signed [28 - 1 : 0] a_reg0; reg signed [20 - 1 : 0] b_reg0; wire signed [48 - 1 : 0] tmp_product; reg signed [48 - 1 : 0] buff0; reg signed [48 - 1 : 0] buff1; reg signed [48 - 1 : 0] buff2; assign p = buff2; assign tmp_product = a_reg0 * b_reg0; always @ (posedge clk) begin if (ce) begin a_reg0 <= a; b_reg0 <= b; buff0 <= tmp_product; buff1 <= buff0; buff2 <= buff1; end end endmodule `timescale 1 ns / 1 ps module video_scaler_mul_kbM( clk, reset, ce, din0, din1, dout); parameter ID = 32'd1; parameter NUM_STAGE = 32'd1; parameter din0_WIDTH = 32'd1; parameter din1_WIDTH = 32'd1; parameter dout_WIDTH = 32'd1; input clk; input reset; input ce; input[din0_WIDTH - 1:0] din0; input[din1_WIDTH - 1:0] din1; output[dout_WIDTH - 1:0] dout; video_scaler_mul_kbM_MulnS_1 video_scaler_mul_kbM_MulnS_1_U( .clk( clk ), .ce( ce ), .a( din0 ), .b( din1 ), .p( dout )); endmodule
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__O31AI_BEHAVIORAL_V `define SKY130_FD_SC_LP__O31AI_BEHAVIORAL_V /** * o31ai: 3-input OR into 2-input NAND. * * Y = !((A1 | A2 | A3) & B1) * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none `celldefine module sky130_fd_sc_lp__o31ai ( Y , A1, A2, A3, B1 ); // Module ports output Y ; input A1; input A2; input A3; input B1; // Module supplies supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; // Local signals wire or0_out ; wire nand0_out_Y; // Name Output Other arguments or or0 (or0_out , A2, A1, A3 ); nand nand0 (nand0_out_Y, B1, or0_out ); buf buf0 (Y , nand0_out_Y ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_LP__O31AI_BEHAVIORAL_V
/** * This is written by Zhiyang Ong * and Andrew Mattheisen * for EE577b Troy WideWord Processor Project */ /** * Note that all instructions are 32-bits, and that Big-Endian * byte and bit labeling is used. Hence, a[0] is the most * significant bit, and a[31] is the least significant bit. * * Use of casex and casez may affect functionality, and produce * larger and slower designs that omit the full_case directive * * Reference: * Don Mills and Clifford E. Cummings, "RTL Coding Styles That * Yield Simulation and Synthesis Mismatches", SNUG 1999 */ `include "control.h" // Behavioral model for the ALU module arrmul (reg_A,reg_B,ctrl_ww,alu_op,result); // Output signals... // Result from copmputing an arithmetic or logical operation output [0:127] result; /** * Overflow fromn arithmetic operations are ignored; use * saturating mode for arithmetic operations - cap the value * at the maximum value. * * Also, an output signal to indicate that an overflow has * occurred will not be provided */ // =============================================================== // Input signals // Input register A input [0:127] reg_A; // Input register B input [0:127] reg_B; // Control signal bits - ww input [0:1] ctrl_ww; /** * Control signal bits - determine which arithmetic or logic * operation to perform */ input [0:4] alu_op; /** * May also include: branch_offset[n:0], is_branch * Size of branch offset is specified in the Instruction Set * Architecture * * The reset signal for the ALU is ignored */ // Defining constants: parameter [name_of_constant] = value; // Defining integers: integer [name_of_integer] = value; integer sgn; // =============================================================== // Declare "wire" signals: //wire FSM_OUTPUT; // =============================================================== // Declare "reg" signals: reg [0:127] result; // Output signals /** * Temporary reg(s) to contain the partial products during * multiplication */ reg [0:127] p_pdt; // Temporary reg variables for WW=8, for 8-bit multiplication reg [0:15] p_pdt8a; reg [0:15] p_pdt8a2; reg [0:15] p_pdt8b; reg [0:15] p_pdt8b2; reg [0:15] p_pdt8c; reg [0:15] p_pdt8c2; reg [0:15] p_pdt8d; reg [0:15] p_pdt8d2; reg [0:15] p_pdt8e; reg [0:15] p_pdt8e2; reg [0:15] p_pdt8f; reg [0:15] p_pdt8f2; reg [0:15] p_pdt8g; reg [0:15] p_pdt8g2; reg [0:15] p_pdt8h; reg [0:15] p_pdt8h2; // Temporary reg variables for WW=16, for 16-bit multiplication reg [0:31] p_pdt16a; reg [0:31] p_pdt16a2; reg [0:31] p_pdt16a3; reg [0:31] p_pdt16b; reg [0:31] p_pdt16b2; reg [0:31] p_pdt16c; reg [0:31] p_pdt16c2; reg [0:31] p_pdt16d; reg [0:31] p_pdt16d2; // =============================================================== always @(reg_A or reg_B or ctrl_ww or alu_op) begin $display("reg_A",reg_A); $display("reg_B",reg_B); p_pdt=128'd0; p_pdt8a=16'd0; p_pdt8a2=16'd0; p_pdt8b=16'd0; p_pdt8b2=16'd0; p_pdt8c=16'd0; p_pdt8c2=16'd0; p_pdt8d=16'd0; p_pdt8d2=16'd0; p_pdt8e=16'd0; p_pdt8e2=16'd0; p_pdt8f=16'd0; p_pdt8f2=16'd0; p_pdt8g=16'd0; p_pdt8g2=16'd0; p_pdt8h=16'd0; p_pdt8h2=16'd0; p_pdt16a=32'd0; p_pdt16a2=32'd0; p_pdt16a3=32'd0; p_pdt16b=32'd0; p_pdt16b2=32'd0; p_pdt16c=32'd0; p_pdt16c2=32'd0; p_pdt16d=32'd0; p_pdt16d2=32'd0; /** * Based on the assigned arithmetic or logic instruction, * carry out the appropriate function on the operands */ case(alu_op) // ====================================================== // Unsigned Multiplication - even subfields `aluwmuleu: begin case(ctrl_ww) `w8: // aluwmuleu AND `w8 begin p_pdt8a[8:15]=reg_A[0:7]; p_pdt8a[0:7]=8'd0; for(sgn=7; sgn>=0; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[0:15]=p_pdt[0:15]+(p_pdt8a<<(7-sgn)); end end result[0:15]=p_pdt[0:15]; p_pdt8b[8:15]=reg_A[16:23]; p_pdt8b[0:7]=8'd0; for(sgn=23; sgn>=16; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[16:31]=p_pdt[16:31]+(p_pdt8b<<(7-(sgn%8))); end end result[16:31]=p_pdt[16:31]; p_pdt8c[8:15]=reg_A[32:39]; p_pdt8c[0:7]=8'd0; for(sgn=39; sgn>=32; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[32:47]=p_pdt[32:47]+(p_pdt8c<<(7-(sgn%8))); end end result[32:47]=p_pdt[32:47]; p_pdt8d[8:15]=reg_A[48:55]; p_pdt8d[0:7]=8'd0; for(sgn=55; sgn>=48; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[48:63]=p_pdt[48:63]+(p_pdt8d<<(7-(sgn%8))); end end result[48:63]=p_pdt[48:63]; p_pdt8e[8:15]=reg_A[64:71]; p_pdt8e[0:7]=8'd0; for(sgn=71; sgn>=64; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[64:79]=p_pdt[64:79]+(p_pdt8e<<(7-(sgn%8))); end end result[64:79]=p_pdt[64:79]; p_pdt8f[8:15]=reg_A[80:87]; p_pdt8f[0:7]=8'd0; for(sgn=87; sgn>=80; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[80:95]=p_pdt[80:95]+(p_pdt8f<<(7-(sgn%8))); end end result[80:95]=p_pdt[80:95]; p_pdt8g[8:15]=reg_A[96:103]; p_pdt8g[0:7]=8'd0; for(sgn=103; sgn>=96; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[96:111]=p_pdt[96:111]+(p_pdt8g<<(7-(sgn%8))); end end result[96:111]=p_pdt[96:111]; p_pdt8h[8:15]=reg_A[112:119]; p_pdt8h[0:7]=8'd0; for(sgn=119; sgn>=112; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[112:127]=p_pdt[112:127]+(p_pdt8h<<(7-(sgn%8))); end end result[112:127]=p_pdt[112:127]; end `w16: // aluwmuleu AND `w16 begin p_pdt16a[16:31]=reg_A[0:15]; p_pdt16a[0:15]=8'd0; for(sgn=15; sgn>=0; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[0:31]=p_pdt[0:31]+(reg_A[0:15]<<(15-sgn)); end end result[0:31]=p_pdt[0:31]; p_pdt16b[16:31]=reg_A[32:47]; p_pdt16b[0:15]=8'd0; for(sgn=47; sgn>=32; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[32:63]=p_pdt[32:63]+(reg_A[32:47]<<(15-(sgn%16))); end end result[32:63]=p_pdt[32:63]; p_pdt16c[16:31]=reg_A[64:79]; p_pdt16c[0:15]=8'd0; for(sgn=79; sgn>=64; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[64:95]=p_pdt[64:95]+(reg_A[64:79]<<(15-(sgn%16))); end end result[64:95]=p_pdt[64:95]; p_pdt16d[16:31]=reg_A[96:111]; p_pdt16d[0:15]=8'd0; for(sgn=111; sgn>=96; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[96:127]=p_pdt[96:127]+(reg_A[96:111]<<(15-(sgn%16))); end end result[96:127]=p_pdt[96:127]; end default: // aluwmuleu AND Default begin result=128'd0; end endcase end /** * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== */ // ====================================================== // Unsigned Multiplication - odd subfields `aluwmulou: begin case(ctrl_ww) `w8: // aluwmulou AND `w8 begin p_pdt8a[8:15]=reg_A[8:15]; p_pdt8a[0:7]=8'd0; for(sgn=15; sgn>=8; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[0:15]=p_pdt[0:15]+(p_pdt8a<<(7-(sgn%8))); end end result[0:15]=p_pdt[0:15]; p_pdt8b[8:15]=reg_A[24:31]; p_pdt8b[0:7]=8'd0; for(sgn=31; sgn>=24; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[16:31]=p_pdt[16:31]+(p_pdt8b<<(7-(sgn%8))); end end result[16:31]=p_pdt[16:31]; p_pdt8c[8:15]=reg_A[40:47]; p_pdt8c[0:7]=8'd0; for(sgn=39; sgn>=33; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[32:47]=p_pdt[32:47]+(p_pdt8c<<(7-(sgn%8))); end end result[32:47]=p_pdt[32:47]; p_pdt8d[8:15]=reg_A[56:63]; p_pdt8d[0:7]=8'd0; for(sgn=55; sgn>=48; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[48:63]=p_pdt[48:63]+(p_pdt8d<<(7-(sgn%8))); end end result[48:63]=p_pdt[48:63]; p_pdt8e[8:15]=reg_A[72:79]; p_pdt8e[0:7]=8'd0; for(sgn=79; sgn>=72; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[64:79]=p_pdt[64:79]+(p_pdt8e<<(7-(sgn%8))); end end result[64:79]=p_pdt[64:79]; p_pdt8f[8:15]=reg_A[88:95]; p_pdt8f[0:7]=8'd0; for(sgn=95; sgn>=88; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[80:95]=p_pdt[80:95]+(p_pdt8f<<(7-(sgn%8))); end end result[80:95]=p_pdt[80:95]; p_pdt8g[8:15]=reg_A[104:111]; p_pdt8g[0:7]=8'd0; for(sgn=111; sgn>=104; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[96:111]=p_pdt[96:111]+(p_pdt8g<<(7-(sgn%8))); end end result[96:111]=p_pdt[96:111]; p_pdt8h[8:15]=reg_A[120:127]; p_pdt8h[0:7]=8'd0; for(sgn=127; sgn>=120; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[112:127]=p_pdt[112:127]+(p_pdt8h<<(7-(sgn%8))); end end result[112:127]=p_pdt[112:127]; end `w16: // aluwmulou AND `w16 begin p_pdt16a[16:31]=reg_A[16:31]; p_pdt16a[0:15]=8'd0; for(sgn=31; sgn>=16; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[0:31]=p_pdt[0:31]+(reg_A[16:31]<<(15-(sgn%16))); end end result[0:31]=p_pdt[0:31]; p_pdt16b[16:31]=reg_A[48:63]; p_pdt16b[0:15]=8'd0; for(sgn=63; sgn>=48; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[32:63]=p_pdt[32:63]+(reg_A[48:63]<<(15-(sgn%16))); end end result[32:63]=p_pdt[32:63]; p_pdt16c[16:31]=reg_A[80:95]; p_pdt16c[0:15]=8'd0; for(sgn=95; sgn>=80; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[64:95]=p_pdt[64:95]+(reg_A[80:95]<<(15-(sgn%16))); end end result[64:95]=p_pdt[64:95]; p_pdt16d[16:31]=reg_A[112:127]; p_pdt16d[0:15]=8'd0; for(sgn=127; sgn>=112; sgn=sgn-1) begin if(reg_B[sgn]==1'b1) begin p_pdt[96:127]=p_pdt[96:127]+(reg_A[112:127]<<(15-(sgn%16))); end end result[96:127]=p_pdt[96:127]; end default: // aluwmulou AND Default begin result=128'd0; end endcase end /** * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= * ============================================================================= */ // ====================================================== // Signed Multiplication - odd subfields `aluwmulos: begin case(ctrl_ww) `w8: // aluwmulos AND `w8 begin // Process the 1st byte if(reg_A[8]==0) begin p_pdt8a[8:15]=reg_A[8:15]; end else begin p_pdt8a[8:15]=1+~reg_A[8:15]; end p_pdt8b[0:7]=8'd0; if(reg_B[8]==0) begin p_pdt8a2[8:15]=reg_B[8:15]; end else begin p_pdt8a2[8:15]=1+~reg_B[8:15]; end p_pdt8b2[0:7]=8'd0; for(sgn=15; sgn>=0; sgn=sgn-1) begin if(p_pdt8a2[sgn]==1'b1) begin p_pdt[0:15]=p_pdt[0:15]+(p_pdt8a<<(7-(sgn%8))); end end if(reg_A[8]^reg_B[8]) begin result[0:15]=1+~p_pdt[0:15]; end else begin result[0:15]=p_pdt[0:15]; end // Process the 2nd byte if(reg_A[24]==0) begin p_pdt8b[8:15]=reg_A[24:31]; end else begin p_pdt8b[8:15]=1+~reg_A[24:31]; end p_pdt8b[0:7]=8'd0; if(reg_B[24]==0) begin p_pdt8b2[8:15]=reg_B[24:31]; end else begin p_pdt8b2[8:15]=1+~reg_B[24:31]; end p_pdt8b2[0:7]=8'd0; for(sgn=15; sgn>=0; sgn=sgn-1) begin if(p_pdt8b2[sgn]==1'b1) begin p_pdt[16:31]=p_pdt[16:31]+(p_pdt8b<<(7-(sgn%8))); end end if(reg_A[24]^reg_B[24]) begin result[16:31]=1+~p_pdt[16:31]; end else begin result[16:31]=p_pdt[16:31]; end // Process the 3rd byte // Convert operand A to a positive number if(reg_A[40]==0) begin p_pdt8c[8:15]=reg_A[40:47]; end else begin p_pdt8c[8:15]=1+~reg_A[40:47]; end p_pdt8c[0:7]=8'd0; // Convert operand B to a positive number if(reg_B[40]==0) begin p_pdt8c2[8:15]=reg_B[40:47]; end else begin p_pdt8c2[8:15]=1+~reg_B[40:47]; end p_pdt8c2[0:7]=8'd0; // Multiply the numbers using the shift-and-add method for(sgn=15; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt8c2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[32:47]=p_pdt[32:47]+(p_pdt8c<<(7-(sgn%8))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[40]^reg_B[40]) begin /** * The result is negative. Perform two's complement * operation */ result[32:47]=1+~p_pdt[32:47]; end else begin /** * The result is negative. Perform two's complement * operation */ result[32:47]=p_pdt[32:47]; end // Process the 4th byte // Convert operand A to a positive number if(reg_A[56]==0) begin p_pdt8d[8:15]=reg_A[56:63]; end else begin p_pdt8d[8:15]=1+~reg_A[56:63]; end p_pdt8d[0:7]=8'd0; // Convert operand B to a positive number if(reg_B[56]==0) begin p_pdt8d2[8:15]=reg_B[56:63]; end else begin p_pdt8d2[8:15]=1+~reg_B[56:63]; end p_pdt8d2[0:7]=8'd0; // Multiply the numbers using the shift-and-add method for(sgn=15; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt8d2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[48:63]=p_pdt[48:63]+(p_pdt8d<<(7-(sgn%8))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[56]^reg_B[56]) begin /** * The result is negative. Perform two's complement * operation */ result[48:63]=1+~p_pdt[48:63]; end else begin /** * The result is negative. Perform two's complement * operation */ result[48:63]=p_pdt[48:63]; end // Process the 5th byte // Convert operand A to a positive number if(reg_A[72]==0) begin p_pdt8e[8:15]=reg_A[72:79]; end else begin p_pdt8e[8:15]=1+~reg_A[72:79]; end p_pdt8e[0:7]=8'd0; // Convert operand B to a positive number if(reg_B[72]==0) begin p_pdt8e2[8:15]=reg_B[72:79]; end else begin p_pdt8e2[8:15]=1+~reg_B[72:79]; end p_pdt8e2[0:7]=8'd0; // Multiply the numbers using the shift-and-add method for(sgn=15; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt8e2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[64:79]=p_pdt[64:79]+(p_pdt8e<<(7-(sgn%8))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[72]^reg_B[72]) begin /** * The result is negative. Perform two's complement * operation */ result[64:79]=1+~p_pdt[64:79]; end else begin /** * The result is negative. Perform two's complement * operation */ result[64:79]=p_pdt[64:79]; end // Process the 6th byte // Convert operand A to a positive number if(reg_A[88]==0) begin p_pdt8f[8:15]=reg_A[88:95]; end else begin p_pdt8f[8:15]=1+~reg_A[88:95]; end p_pdt8f[0:7]=8'd0; // Convert operand B to a positive number if(reg_B[88]==0) begin p_pdt8f2[8:15]=reg_B[88:95]; end else begin p_pdt8f2[8:15]=1+~reg_B[88:95]; end p_pdt8f2[0:7]=8'd0; // Multiply the numbers using the shift-and-add method for(sgn=15; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt8f2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[80:95]=p_pdt[80:95]+(p_pdt8f<<(7-(sgn%8))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[88]^reg_B[88]) begin /** * The result is negative. Perform two's complement * operation */ result[80:95]=1+~p_pdt[80:95]; end else begin /** * The result is negative. Perform two's complement * operation */ result[80:95]=p_pdt[80:95]; end // Process the 7th byte // Convert operand A to a positive number if(reg_A[104]==0) begin p_pdt8g[8:15]=reg_A[104:111]; end else begin p_pdt8g[8:15]=1+~reg_A[104:111]; end p_pdt8g[0:7]=8'd0; // Convert operand B to a positive number if(reg_B[104]==0) begin p_pdt8g2[8:15]=reg_B[104:111]; end else begin p_pdt8g2[8:15]=1+~reg_B[104:111]; end p_pdt8g2[0:7]=8'd0; // Multiply the numbers using the shift-and-add method for(sgn=15; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt8g2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[96:111]=p_pdt[96:111]+(p_pdt8g<<(7-(sgn%8))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[104]^reg_B[104]) begin /** * The result is negative. Perform two's complement * operation */ result[96:111]=1+~p_pdt[96:111]; end else begin /** * The result is negative. Perform two's complement * operation */ result[96:111]=p_pdt[96:111]; end // Process the 8th byte // Convert operand A to a positive number if(reg_A[120]==0) begin p_pdt8h[8:15]=reg_A[120:127]; end else begin p_pdt8h[8:15]=1+~reg_A[120:127]; end p_pdt8h[0:7]=8'd0; // Convert operand B to a positive number if(reg_B[120]==0) begin p_pdt8h2[8:15]=reg_B[120:127]; end else begin p_pdt8h2[8:15]=1+~reg_B[120:127]; end p_pdt8h2[0:7]=8'd0; // Multiply the numbers using the shift-and-add method for(sgn=15; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt8h2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[112:127]=p_pdt[112:127]+(p_pdt8h<<(7-(sgn%8))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[120]^reg_B[120]) begin /** * The result is negative. Perform two's complement * operation */ result[112:127]=1+~p_pdt[112:127]; end else begin /** * The result is negative. Perform two's complement * operation */ result[112:127]=p_pdt[112:127]; end // ======================================================= // ======================================================= // ======================================================= end `w16: // aluwmulos AND `w16 begin // Process the first pair of bytes // Convert operand A to a positive number if(reg_A[16]==0) begin p_pdt16a[16:31]=reg_A[16:31]; end else begin p_pdt16a[16:31]=1+~reg_A[16:31]; end p_pdt16a[0:15]=16'd0; // Convert operand B to a positive number if(reg_B[16]==0) begin p_pdt16a2[16:31]=reg_B[16:31]; end else begin p_pdt16a2[16:31]=1+~reg_B[16:31]; end p_pdt16a2[0:15]=16'd0; // Multiply the numbers using the shift-and-add method for(sgn=31; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt16a2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[0:31]=p_pdt[0:31]+(p_pdt16a<<(15-(sgn%16))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[16]^reg_B[16]) begin /** * The result is negative. Perform two's complement * operation */ result[0:31]=1+~p_pdt[0:31]; end else begin /** * The result is negative. Perform two's complement * operation */ result[0:31]=p_pdt[0:31]; end // Process the second pair of bytes // Convert operand A to a positive number if(reg_A[48]==0) begin p_pdt16b[16:31]=reg_A[48:63]; end else begin p_pdt16b[16:31]=1+~reg_A[48:63]; end p_pdt16b[0:15]=16'd0; // Convert operand B to a positive number if(reg_B[48]==0) begin p_pdt16b2[16:31]=reg_B[48:63]; end else begin p_pdt16b2[16:31]=1+~reg_B[48:63]; end p_pdt16b2[0:15]=16'd0; // Multiply the numbers using the shift-and-add method for(sgn=31; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt16b2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[32:63]=p_pdt[32:63]+(p_pdt16b<<(15-(sgn%16))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[48]^reg_B[48]) begin /** * The result is negative. Perform two's complement * operation */ result[32:63]=1+~p_pdt[32:63]; end else begin /** * The result is negative. Perform two's complement * operation */ result[32:63]=p_pdt[32:63]; end // Process the third pair of bytes // Convert operand A to a positive number if(reg_A[80]==0) begin p_pdt16c[16:31]=reg_A[80:95]; end else begin p_pdt16c[16:31]=1+~reg_A[80:95]; end p_pdt16c[0:15]=16'd0; // Convert operand B to a positive number if(reg_B[80]==0) begin p_pdt16c2[16:31]=reg_B[80:95]; end else begin p_pdt16c2[16:31]=1+~reg_B[80:95]; end p_pdt16c2[0:15]=16'd0; // Multiply the numbers using the shift-and-add method for(sgn=31; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt16c2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[64:95]=p_pdt[64:95]+(p_pdt16c<<(15-(sgn%16))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[80]^reg_B[80]) begin /** * The result is negative. Perform two's complement * operation */ result[64:95]=1+~p_pdt[64:95]; end else begin /** * The result is negative. Perform two's complement * operation */ result[64:95]=p_pdt[64:95]; end // Process the fourth pair of bytes // Convert operand A to a positive number if(reg_A[112]==0) begin p_pdt16d[16:31]=reg_A[112:127]; end else begin p_pdt16d[16:31]=1+~reg_A[112:127]; end p_pdt16d[0:15]=16'd0; // Convert operand B to a positive number if(reg_B[112]==0) begin p_pdt16d2[16:31]=reg_B[112:127]; end else begin p_pdt16d2[16:31]=1+~reg_B[112:127]; end p_pdt16d2[0:15]=16'd0; // Multiply the numbers using the shift-and-add method for(sgn=31; sgn>=0; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if(p_pdt16d2[sgn]==1'b1) begin // Compute the partial products and sum them up p_pdt[96:127]=p_pdt[96:127]+(p_pdt16d<<(15-(sgn%16))); end end /** * Perform two's complement operation on the result * if the product is a result of multiplying a positive * number to a negative number */ if(reg_A[112]^reg_B[112]) begin /** * The result is negative. Perform two's complement * operation */ result[96:127]=1+~p_pdt[96:127]; end else begin /** * The result is negative. Perform two's complement * operation */ result[96:127]=p_pdt[96:127]; end end default: // aluwmulos AND Default begin result=128'd0; end endcase end // ====================================================== // Signed Multiplication - even subfields `aluwmules: begin case(ctrl_ww) `w8: // aluwmules AND `w8 begin // Process the 1st byte // Process operand B p_pdt8a2[8:15]=reg_B[0:7]; p_pdt8a2[0:7]=8'd0; // Process operand A if(reg_A[0]==1'd1) begin p_pdt8a[8:15]=1+~reg_A[0:7]; if(reg_B[0]==1'd1) begin p_pdt8a2[8:15]=1+~reg_B[0:7]; end else begin p_pdt8a2[8:15]=reg_B[0:7]; end end else begin p_pdt8a[8:15]=reg_A[0:7]; end p_pdt8a[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8a2[15]==1'd1) begin p_pdt[0:15]=p_pdt[0:15] - p_pdt8a[0:15]; end else begin p_pdt[0:15]=p_pdt[0:15]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8a2[sgn]==1'b1) && (p_pdt8a2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[0:15]=p_pdt[0:15]-(p_pdt8a<<(7-(sgn%8))); end else if((p_pdt8a2[sgn]==1'b0) && (p_pdt8a2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[0:15]=p_pdt[0:15]+(p_pdt8a<<(7-(sgn%8))); end else begin p_pdt[0:15]=p_pdt[0:15]+0; end end if(p_pdt8a[8]==1'd1) begin result[0:15]=1+~p_pdt[0:15]; end else begin result[0:15]=p_pdt[0:15]; end // Process the 2nd byte // Process operand B p_pdt8b2[8:15]=reg_B[16:23]; p_pdt8b2[0:7]=8'd0; // Process operand A if(reg_A[16]==1'd1) begin p_pdt8b[8:15]=1+~reg_A[16:23]; if(reg_B[16]==1'd1) begin p_pdt8b2[8:15]=1+~reg_B[16:23]; end else begin p_pdt8b2[8:15]=reg_B[16:23]; end end else begin p_pdt8b[8:15]=reg_A[16:23]; end p_pdt8b[0:7]=8'd0; $display("p_pdt8b[0:15]",p_pdt8b[0:15]); $display("p_pdt8b2[0:15]",p_pdt8b2[0:15]); // Determine the 1st recoded bit and compute the result if(p_pdt8b2[15]==1'd1) begin p_pdt[16:31]=p_pdt[16:31] - p_pdt8b[0:15]; end else begin p_pdt[16:31]=p_pdt[16:31]+0; end $display("p_pdt[16:31]",p_pdt[16:31]); // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8b2[sgn]==1'b1) && (p_pdt8b2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[16:31]=p_pdt[16:31]-(p_pdt8b<<(7-(sgn%8))); $display("MINUSp_pdt[16:31]",p_pdt[16:31]); end else if((p_pdt8b2[sgn]==1'b0) && (p_pdt8b2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[16:31]=p_pdt[16:31]+(p_pdt8b<<(7-(sgn%8))); $display("ADDp_pdt[16:31]",p_pdt[16:31]); end else begin p_pdt[16:31]=p_pdt[16:31]+0; $display("ZEROp_pdt[16:31]",p_pdt[16:31]); end end if(p_pdt8b[8]==1'd1) begin result[16:31]=1+~p_pdt[16:31]; $display("INVp_pdt[16:31]",p_pdt[16:31]); end else begin result[16:31]=p_pdt[16:31]; $display("RESp_pdt[16:31]",p_pdt[16:31]); end // Process the 3rd byte // Process operand B p_pdt8c2[8:15]=reg_B[32:39]; p_pdt8c2[0:7]=8'd0; // Process operand A if(reg_A[32]==1'd1) begin p_pdt8c[8:15]=1+~reg_A[32:39]; if(reg_B[32]==1'd1) begin p_pdt8c2[8:15]=1+~reg_B[32:39]; end else begin p_pdt8c2[8:15]=reg_B[32:39]; end end else begin p_pdt8c[8:15]=reg_A[32:39]; end p_pdt8c[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8c2[15]==1'd1) begin p_pdt[32:47]=p_pdt[32:47] - p_pdt8c[0:15]; end else begin p_pdt[32:47]=p_pdt[32:47]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8c2[sgn]==1'b1) && (p_pdt8c2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[32:47]=p_pdt[32:47]-(p_pdt8c<<(7-(sgn%8))); end else if((p_pdt8c2[sgn]==1'b0) && (p_pdt8c2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[32:47]=p_pdt[32:47]+(p_pdt8c<<(7-(sgn%8))); end else begin p_pdt[32:47]=p_pdt[32:47]+0; end end if(p_pdt8c[8]==1'd1) begin result[32:47]=1+~p_pdt[32:47]; end else begin result[32:47]=p_pdt[32:47]; end // Process the 4th byte // Process operand B p_pdt8d2[8:15]=reg_B[48:55]; p_pdt8d2[0:7]=8'd0; // Process operand A if(reg_A[48]==1'd1) begin p_pdt8d[8:15]=1+~reg_A[48:55]; if(reg_B[48]==1'd1) begin p_pdt8d2[8:15]=1+~reg_B[48:55]; end else begin p_pdt8d2[8:15]=reg_B[48:55]; end end else begin p_pdt8d[8:15]=reg_A[48:55]; end p_pdt8d[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8d2[15]==1'd1) begin p_pdt[48:63]=p_pdt[48:63] - p_pdt8d[0:15]; end else begin p_pdt[48:63]=p_pdt[48:63]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8d2[sgn]==1'b1) && (p_pdt8d2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[48:63]=p_pdt[48:63]-(p_pdt8d<<(7-(sgn%8))); end else if((p_pdt8d2[sgn]==1'b0) && (p_pdt8d2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[48:63]=p_pdt[48:63]+(p_pdt8d<<(7-(sgn%8))); end else begin p_pdt[48:63]=p_pdt[48:63]+0; end end if(p_pdt8d[8]==1'd1) begin result[48:63]=1+~p_pdt[48:63]; end else begin result[48:63]=p_pdt[48:63]; end // Process the 5th byte // Process operand B p_pdt8e2[8:15]=reg_B[64:71]; p_pdt8e2[0:7]=8'd0; // Process operand A if(reg_A[64]==1'd1) begin p_pdt8e[8:15]=1+~reg_A[64:71]; if(reg_B[64]==1'd1) begin p_pdt8e2[8:15]=1+~reg_B[64:71]; end else begin p_pdt8e2[8:15]=reg_B[64:71]; end end else begin p_pdt8e[8:15]=reg_A[64:71]; end p_pdt8e[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8e2[15]==1'd1) begin p_pdt[64:79]=p_pdt[64:79] - p_pdt8e[0:15]; end else begin p_pdt[64:79]=p_pdt[64:79]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8e2[sgn]==1'b1) && (p_pdt8e2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[64:79]=p_pdt[64:79]-(p_pdt8e<<(7-(sgn%8))); end else if((p_pdt8e2[sgn]==1'b0) && (p_pdt8e2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[64:79]=p_pdt[64:79]+(p_pdt8e<<(7-(sgn%8))); end else begin p_pdt[64:79]=p_pdt[64:79]+0; end end if(p_pdt8e[8]==1'd1) begin result[64:79]=1+~p_pdt[64:79]; end else begin result[64:79]=p_pdt[64:79]; end // Process the 6th byte // Process operand B p_pdt8f2[8:15]=reg_B[80:87]; p_pdt8f2[0:7]=8'd0; // Process operand A if(reg_A[80]==1'd1) begin p_pdt8f[8:15]=1+~reg_A[80:87]; if(reg_B[80]==1'd1) begin p_pdt8f2[8:15]=1+~reg_B[80:87]; end else begin p_pdt8f2[8:15]=reg_B[80:87]; end end else begin p_pdt8f[8:15]=reg_A[80:87]; end p_pdt8f[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8f2[15]==1'd1) begin p_pdt[80:95]=p_pdt[80:95] - p_pdt8f[0:15]; end else begin p_pdt[80:95]=p_pdt[80:95]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8f2[sgn]==1'b1) && (p_pdt8f2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[80:95]=p_pdt[80:95]-(p_pdt8f<<(7-(sgn%8))); end else if((p_pdt8f2[sgn]==1'b0) && (p_pdt8f2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[80:95]=p_pdt[80:95]+(p_pdt8f<<(7-(sgn%8))); end else begin p_pdt[80:95]=p_pdt[80:95]+0; end end if(p_pdt8f[8]==1'd1) begin result[80:95]=1+~p_pdt[80:95]; end else begin result[80:95]=p_pdt[80:95]; end // Process the 7th byte // Process operand B p_pdt8g2[8:15]=reg_B[96:103]; p_pdt8g2[0:7]=8'd0; // Process operand A if(reg_A[96]==1'd1) begin p_pdt8g[8:15]=1+~reg_A[96:103]; if(reg_B[96]==1'd1) begin p_pdt8g2[8:15]=1+~reg_B[96:103]; end else begin p_pdt8g2[8:15]=reg_B[96:103]; end end else begin p_pdt8g[8:15]=reg_A[96:103]; end p_pdt8g[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8g2[15]==1'd1) begin p_pdt[96:111]=p_pdt[96:111] - p_pdt8g[0:15]; end else begin p_pdt[96:111]=p_pdt[96:111]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8g2[sgn]==1'b1) && (p_pdt8g2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[96:111]=p_pdt[96:111]-(p_pdt8g<<(7-(sgn%8))); end else if((p_pdt8g2[sgn]==1'b0) && (p_pdt8g2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[96:111]=p_pdt[96:111]+(p_pdt8g<<(7-(sgn%8))); end else begin p_pdt[96:111]=p_pdt[96:111]+0; end end if(p_pdt8g[8]==1'd1) begin result[96:111]=1+~p_pdt[96:111]; end else begin result[96:111]=p_pdt[96:111]; end // Process the 8th byte // Process operand B p_pdt8h2[8:15]=reg_B[112:119]; p_pdt8h2[0:7]=8'd0; // Process operand A if(reg_A[112]==1'd1) begin p_pdt8h[8:15]=1+~reg_A[112:119]; if(reg_B[112]==1'd1) begin p_pdt8h2[8:15]=1+~reg_B[112:119]; end else begin p_pdt8h2[8:15]=reg_B[112:119]; end end else begin p_pdt8h[8:15]=reg_A[112:119]; end p_pdt8h[0:7]=8'd0; // Determine the 1st recoded bit and compute the result if(p_pdt8h2[15]==1'd1) begin p_pdt[112:127]=p_pdt[112:127] - p_pdt8h[0:15]; end else begin p_pdt[112:127]=p_pdt[112:127]+0; end // Multiply the numbers using the shift-and-add method for(sgn=14; sgn>=8; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt8h2[sgn]==1'b1) && (p_pdt8h2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[112:127]=p_pdt[112:127]-(p_pdt8h<<(7-(sgn%8))); end else if((p_pdt8h2[sgn]==1'b0) && (p_pdt8h2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[112:127]=p_pdt[112:127]+(p_pdt8h<<(7-(sgn%8))); end else begin p_pdt[112:127]=p_pdt[112:127]+0; end end if(p_pdt8h[8]==1'd1) begin result[112:127]=1+~p_pdt[112:127]; end else begin result[112:127]=p_pdt[112:127]; end // ======================================================= // ======================================================= // ======================================================= /* * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== * ==================================================================== */ end `w16: // aluwmules AND `w16 begin // Process the first pair of bytes // Process operand B p_pdt16a2[16:31]=reg_B[0:15]; p_pdt16a2[0:15]=16'd0; // Process operand A if(reg_A[0]==1'd1) begin p_pdt16a[16:31]=1+~reg_A[0:15]; if(reg_B[0]==1'd1) begin p_pdt16a2[16:31]=1+~reg_B[0:15]; end else begin p_pdt16a2[16:31]=reg_B[0:15]; end end else begin p_pdt16a[16:31]=reg_A[0:15]; end p_pdt16a[0:15]=16'd0; // Determine the 1st recoded bit and compute the result if(p_pdt16a2[31]==1'd1) begin p_pdt[0:31]=p_pdt[0:31] - p_pdt16a[0:31]; end else begin p_pdt[0:31]=p_pdt[0:31]+0; end // Multiply the numbers using the shift-and-add method for(sgn=30; sgn>=16; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt16a2[sgn]==1'b1) && (p_pdt16a2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[0:31]=p_pdt[0:31]-(p_pdt16a<<(15-(sgn%16))); end else if((p_pdt16a2[sgn]==1'b0) && (p_pdt16a2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[0:31]=p_pdt[0:31]+(p_pdt16a<<(15-(sgn%16))); end else begin p_pdt[0:31]=p_pdt[0:31]+0; end end if(p_pdt16a[16]==1'd1) begin result[0:31]=1+~p_pdt[0:31]; end else begin result[0:31]=p_pdt[0:31]; end // Process the second pair of bytes // Process operand B p_pdt16b2[16:31]=reg_B[32:47]; p_pdt16b2[0:15]=16'd0; // Process operand A if(reg_A[32]==1'd1) begin p_pdt16b[16:31]=1+~reg_A[32:47]; if(reg_B[32]==1'd1) begin p_pdt16b2[16:31]=1+~reg_B[32:47]; end else begin p_pdt16b2[16:31]=reg_B[32:47]; end end else begin p_pdt16b[16:31]=reg_A[0:15]; end p_pdt16b[0:15]=16'd0; // Determine the 1st recoded bit and compute the result if(p_pdt16b2[31]==1'd1) begin p_pdt[32:63]=p_pdt[32:63] - p_pdt16b[0:31]; end else begin p_pdt[32:63]=p_pdt[32:63]+0; end // Multiply the numbers using the shift-and-add method for(sgn=30; sgn>=16; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt16b2[sgn]==1'b1) && (p_pdt16b2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[32:63]=p_pdt[32:63]-(p_pdt16b<<(15-(sgn%16))); end else if((p_pdt16b2[sgn]==1'b0) && (p_pdt16b2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[32:63]=p_pdt[32:63]+(p_pdt16b<<(15-(sgn%16))); end else begin p_pdt[32:63]=p_pdt[32:63]+0; end end if(p_pdt16b[16]==1'd1) begin result[32:63]=1+~p_pdt[32:63]; end else begin result[32:63]=p_pdt[32:63]; end // Process the third pair of bytes // Process operand B p_pdt16c2[16:31]=reg_B[64:79]; p_pdt16c2[0:15]=16'd0; // Process operand A if(reg_A[64]==1'd1) begin p_pdt16c[16:31]=1+~reg_A[64:79]; if(reg_B[64]==1'd1) begin p_pdt16c2[16:31]=1+~reg_B[64:79]; end else begin p_pdt16c2[16:31]=reg_B[64:79]; end end else begin p_pdt16c[16:31]=reg_A[64:79]; end p_pdt16c[0:15]=16'd0; // Determine the 1st recoded bit and compute the result if(p_pdt16c2[31]==1'd1) begin p_pdt[64:95]=p_pdt[64:95] - p_pdt16c[0:31]; end else begin p_pdt[64:95]=p_pdt[64:95]+0; end // Multiply the numbers using the shift-and-add method for(sgn=30; sgn>=16; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt16c2[sgn]==1'b1) && (p_pdt16c2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[64:95]=p_pdt[64:95]-(p_pdt16c<<(15-(sgn%16))); end else if((p_pdt16c2[sgn]==1'b0) && (p_pdt16c2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[64:95]=p_pdt[64:95]+(p_pdt16c<<(15-(sgn%16))); end else begin p_pdt[64:95]=p_pdt[64:95]+0; end end if(p_pdt16c[16]==1'd1) begin result[64:95]=1+~p_pdt[64:95]; end else begin result[64:95]=p_pdt[64:95]; end // Process the fourth pair of bytes // Process operand B p_pdt16d2[16:31]=reg_B[96:111]; p_pdt16d2[0:15]=16'd0; // Process operand A if(reg_A[96]==1'd1) begin p_pdt16d[16:31]=1+~reg_A[96:111]; if(reg_B[96]==1'd1) begin p_pdt16d2[16:31]=1+~reg_B[96:111]; end else begin p_pdt16d2[16:31]=reg_B[96:111]; end end else begin p_pdt16d[16:31]=reg_A[96:111]; end p_pdt16d[0:15]=16'd0; // Determine the 1st recoded bit and compute the result if(p_pdt16d2[31]==1'd1) begin p_pdt[96:127]=p_pdt[96:127] - p_pdt16d[0:31]; end else begin p_pdt[96:127]=p_pdt[96:127]+0; end // Multiply the numbers using the shift-and-add method for(sgn=30; sgn>=16; sgn=sgn-1) begin /** * Shift the multiplier to determine the partial * product for this current shift */ if((p_pdt16d2[sgn]==1'b1) && (p_pdt16d2[sgn+1]==1'b0)) begin // Compute the partial products and sum them up p_pdt[96:127]=p_pdt[96:127]-(p_pdt16d<<(15-(sgn%16))); end else if((p_pdt16d2[sgn]==1'b0) && (p_pdt16d2[sgn+1]==1'b1)) begin // Compute the partial products and sum them up p_pdt[96:127]=p_pdt[96:127]+(p_pdt16d<<(15-(sgn%16))); end else begin p_pdt[96:127]=p_pdt[96:127]+0; end end if(p_pdt16d[16]==1'd1) begin result[96:127]=1+~p_pdt[96:127]; end else begin result[96:127]=p_pdt[96:127]; end end default: // aluwmules AND Default begin result=128'd0; end endcase end default: begin // Default arithmetic/logic operation result=128'd0; end endcase end endmodule
/////////////////////////////////////////////////////////////////////////////// // // Copyright (C) 2014 Francis Bruno, All Rights Reserved // // This program is free software; you can redistribute it and/or modify it // under the terms of the GNU General Public License as published by the Free // Software Foundation; either version 3 of the License, or (at your option) // any later version. // // This program is distributed in the hope that it will be useful, but // WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY // or FITNESS FOR A PARTICULAR PURPOSE. // See the GNU General Public License for more details. // // You should have received a copy of the GNU General Public License along with // this program; if not, see <http://www.gnu.org/licenses>. // // This code is available under licenses for commercial use. Please contact // Francis Bruno for more information. // // http://www.gplgpu.com // http://www.asicsolutions.com // // Title : Memory Controller CRT Interface // File : mc_crt.v // Author : Frank Bruno // Created : 30-Dec-2005 // RCS File : $Source:$ // Status : $Id:$ // // /////////////////////////////////////////////////////////////////////////////// // // Description : // The CRT controller makes read-only requests to the memory system in order // to keep the CRT refreshed. These requests may be from 1 to 32 memory pages // (16 byte chunks) and cannot be counted on to have any particular alignment // in memory, primarily because of the use of sliding "virtural desktops". We // take these requests, turn them into aligned 4 page requests and send them // off to the arbiter. Then, when we get the push signal back from the rc // state machine, we gate off the extra pages read due to the alignment // process and forward the push to the crt controller so that it may be used // to grab data from our capture registers. Also, currently the crt // controller expects the push to be an asynchronous set of pulses instead of // an enable in mclock domain, so we may have to delay the enable and gate it // with mclock. // ////////////////////////////////////////////////////////////////////////////// // // Modules Instantiated: // /////////////////////////////////////////////////////////////////////////////// // // Modification History: // // $Log:$ // /////////////////////////////////////////////////////////////////////////////// /////////////////////////////////////////////////////////////////////////////// /////////////////////////////////////////////////////////////////////////////// `timescale 1 ns / 10 ps module mc_crt ( input mclock, input reset_n, input pixclk, input crt_clock, input crt_gnt, input crt_req, input [20:0] crt_org, input [4:0] crt_page, input [11:0] crt_ptch, input [9:0] crt_x, input [11:0] crt_y, output reg crt_ready, output reg crt_arb_req, output reg [4:0] crt_arb_page, output reg [20:0] crt_arb_addr ); reg capt_req; reg [20:0] capt_org; reg [11:0] capt_ptch; reg [9:0] capt_x; reg [11:0] capt_y; reg [4:0] capt_page; reg req_sync_m1, req_sync_1, req_sync_2, req_sync_3; reg gnt_sync_1, gnt_sync_2, gnt_sync_3; reg [20:0] pmult, int_add; reg final_grant; // patch signal, need to do real fix later reg [1:0] requests; reg hold_req; wire req_toggle; // Request capture logic and grant sync logic runs in crt_clock domain // Might we be able to get rid of that first set of capture registers? We // could if we went to more of a request/grant interface where he keeps the // data around until we grant him. His requests are so long that having a // bunch of little requests in a row is not a problem. always @ (posedge pixclk or negedge reset_n) begin if(!reset_n) begin crt_ready <= 1'b1; capt_req <= 1'b0; capt_org <= 21'h0; capt_ptch <= 12'b0; capt_x <= 10'b0; capt_y <= 12'b0; capt_page <= 5'b0; gnt_sync_1<= 1'b0; gnt_sync_2<= 1'b0; gnt_sync_3<= 1'b0; end else if (crt_clock) begin if (crt_req) begin capt_req <= ~capt_req; capt_org <= crt_org; capt_ptch <= crt_ptch; capt_x <= crt_x; capt_y <= crt_y; // crt_page==0 is 32 pages, else just # pages capt_page <= crt_page - 5'b1; crt_ready <= 1'b0; end // if (crt_req==1'b1) gnt_sync_1 <= final_grant; gnt_sync_2 <= gnt_sync_1; gnt_sync_3 <= gnt_sync_2; if(gnt_sync_2 ^ gnt_sync_3) crt_ready <= 1'b1; end // else: !if(!reset_n) end // always @ (posedge crt_clock or negedge reset_n) assign req_toggle = req_sync_2 ^ req_sync_3; // Request synchronizers, translation logic and registers, and request FSM // runs in mclock domain. always @ (posedge mclock or negedge reset_n) begin if(!reset_n) begin // reset_n stuff crt_arb_req <= 1'b0; final_grant <= 1'b0; // this is now a toggle signal, I should rename it requests <= 2'b0; hold_req <= 1'b0; pmult <= 21'b0; int_add <= 21'b0; req_sync_m1 <= 1'b0; req_sync_1 <= 1'b0; req_sync_2 <= 1'b0; req_sync_3 <= 1'b0; crt_arb_req <= 1'b0; crt_arb_page <= 5'b0; crt_arb_addr <= 21'b0; end else begin // Keep track of # of requests case ({crt_gnt, req_toggle}) 2'b01: requests <= requests + 2'b1; 2'b10: requests <= requests - 2'b1; endcase // Cycle 1, calculate 1st half of pitch pmult <= (capt_y * {{4{capt_ptch[11]}}, capt_ptch}); // pmult <= (capt_y << 8); int_add <= (capt_org + {{11{capt_x[9]}}, capt_x}); // Synchronize crt requests req_sync_m1 <= capt_req; // this is a toggle signal, I should rename it req_sync_1 <= req_sync_m1; req_sync_2 <= req_sync_1; req_sync_3 <= req_sync_2; // When we get a request, we translate address, etc // we better not get another request until this one ends, thus the sync // logic, ready signal if (hold_req && ~&requests[1]) begin hold_req <= 0; crt_arb_req <= 1'b1; crt_arb_page <= capt_page; crt_arb_addr <= pmult + int_add; end else if(req_toggle && ~&requests[1]) begin crt_arb_req <= 1'b1; crt_arb_page <= capt_page; crt_arb_addr <= pmult + int_add; end else if(req_toggle && (&requests[1])) begin hold_req <= 1; end // if (req_sync_2==1'b1 && req_sync_3==1'b0) if(crt_gnt) begin crt_arb_req <= 1'b0; final_grant <= ~final_grant; end // if (crt_gnt==1'b1) end // else: !if(!reset_n) end // always @ (posedge mclock or negedge reset_n) endmodule
// THIS FILE IS AUTOGENERATED BY wb_intercon_gen // ANY MANUAL CHANGES WILL BE LOST module wb_intercon (input wb_clk_i, input wb_rst_i, input [31:0] wb_iwmb_adr_i, input [31:0] wb_iwmb_dat_i, input [3:0] wb_iwmb_sel_i, input wb_iwmb_we_i, input wb_iwmb_cyc_i, input wb_iwmb_stb_i, input [2:0] wb_iwmb_cti_i, input [1:0] wb_iwmb_bte_i, output [31:0] wb_iwmb_dat_o, output wb_iwmb_ack_o, output wb_iwmb_err_o, output wb_iwmb_rty_o, input [31:0] wb_dwmb_adr_i, input [31:0] wb_dwmb_dat_i, input [3:0] wb_dwmb_sel_i, input wb_dwmb_we_i, input wb_dwmb_cyc_i, input wb_dwmb_stb_i, input [2:0] wb_dwmb_cti_i, input [1:0] wb_dwmb_bte_i, output [31:0] wb_dwmb_dat_o, output wb_dwmb_ack_o, output wb_dwmb_err_o, output wb_dwmb_rty_o, output [31:0] wb_uart_adr_o, output [31:0] wb_uart_dat_o, output [3:0] wb_uart_sel_o, output wb_uart_we_o, output wb_uart_cyc_o, output wb_uart_stb_o, output [2:0] wb_uart_cti_o, output [1:0] wb_uart_bte_o, input [31:0] wb_uart_dat_i, input wb_uart_ack_i, input wb_uart_err_i, input wb_uart_rty_i, output [31:0] wb_ram_adr_o, output [31:0] wb_ram_dat_o, output [3:0] wb_ram_sel_o, output wb_ram_we_o, output wb_ram_cyc_o, output wb_ram_stb_o, output [2:0] wb_ram_cti_o, output [1:0] wb_ram_bte_o, input [31:0] wb_ram_dat_i, input wb_ram_ack_i, input wb_ram_err_i, input wb_ram_rty_i, output [31:0] wb_rom_adr_o, output [31:0] wb_rom_dat_o, output [3:0] wb_rom_sel_o, output wb_rom_we_o, output wb_rom_cyc_o, output wb_rom_stb_o, output [2:0] wb_rom_cti_o, output [1:0] wb_rom_bte_o, input [31:0] wb_rom_dat_i, input wb_rom_ack_i, input wb_rom_err_i, input wb_rom_rty_i); wire [31:0] wb_m2s_iwmb_uart_adr; wire [31:0] wb_m2s_iwmb_uart_dat; wire [3:0] wb_m2s_iwmb_uart_sel; wire wb_m2s_iwmb_uart_we; wire wb_m2s_iwmb_uart_cyc; wire wb_m2s_iwmb_uart_stb; wire [2:0] wb_m2s_iwmb_uart_cti; wire [1:0] wb_m2s_iwmb_uart_bte; wire [31:0] wb_s2m_iwmb_uart_dat; wire wb_s2m_iwmb_uart_ack; wire wb_s2m_iwmb_uart_err; wire wb_s2m_iwmb_uart_rty; wire [31:0] wb_m2s_iwmb_ram_adr; wire [31:0] wb_m2s_iwmb_ram_dat; wire [3:0] wb_m2s_iwmb_ram_sel; wire wb_m2s_iwmb_ram_we; wire wb_m2s_iwmb_ram_cyc; wire wb_m2s_iwmb_ram_stb; wire [2:0] wb_m2s_iwmb_ram_cti; wire [1:0] wb_m2s_iwmb_ram_bte; wire [31:0] wb_s2m_iwmb_ram_dat; wire wb_s2m_iwmb_ram_ack; wire wb_s2m_iwmb_ram_err; wire wb_s2m_iwmb_ram_rty; wire [31:0] wb_m2s_iwmb_rom_adr; wire [31:0] wb_m2s_iwmb_rom_dat; wire [3:0] wb_m2s_iwmb_rom_sel; wire wb_m2s_iwmb_rom_we; wire wb_m2s_iwmb_rom_cyc; wire wb_m2s_iwmb_rom_stb; wire [2:0] wb_m2s_iwmb_rom_cti; wire [1:0] wb_m2s_iwmb_rom_bte; wire [31:0] wb_s2m_iwmb_rom_dat; wire wb_s2m_iwmb_rom_ack; wire wb_s2m_iwmb_rom_err; wire wb_s2m_iwmb_rom_rty; wire [31:0] wb_m2s_dwmb_uart_adr; wire [31:0] wb_m2s_dwmb_uart_dat; wire [3:0] wb_m2s_dwmb_uart_sel; wire wb_m2s_dwmb_uart_we; wire wb_m2s_dwmb_uart_cyc; wire wb_m2s_dwmb_uart_stb; wire [2:0] wb_m2s_dwmb_uart_cti; wire [1:0] wb_m2s_dwmb_uart_bte; wire [31:0] wb_s2m_dwmb_uart_dat; wire wb_s2m_dwmb_uart_ack; wire wb_s2m_dwmb_uart_err; wire wb_s2m_dwmb_uart_rty; wire [31:0] wb_m2s_dwmb_ram_adr; wire [31:0] wb_m2s_dwmb_ram_dat; wire [3:0] wb_m2s_dwmb_ram_sel; wire wb_m2s_dwmb_ram_we; wire wb_m2s_dwmb_ram_cyc; wire wb_m2s_dwmb_ram_stb; wire [2:0] wb_m2s_dwmb_ram_cti; wire [1:0] wb_m2s_dwmb_ram_bte; wire [31:0] wb_s2m_dwmb_ram_dat; wire wb_s2m_dwmb_ram_ack; wire wb_s2m_dwmb_ram_err; wire wb_s2m_dwmb_ram_rty; wire [31:0] wb_m2s_dwmb_rom_adr; wire [31:0] wb_m2s_dwmb_rom_dat; wire [3:0] wb_m2s_dwmb_rom_sel; wire wb_m2s_dwmb_rom_we; wire wb_m2s_dwmb_rom_cyc; wire wb_m2s_dwmb_rom_stb; wire [2:0] wb_m2s_dwmb_rom_cti; wire [1:0] wb_m2s_dwmb_rom_bte; wire [31:0] wb_s2m_dwmb_rom_dat; wire wb_s2m_dwmb_rom_ack; wire wb_s2m_dwmb_rom_err; wire wb_s2m_dwmb_rom_rty; wb_mux #(.num_slaves (3), .MATCH_ADDR ({32'h40000000, 32'h20000000, 32'h00000000}), .MATCH_MASK ({32'hffffffe0, 32'hffff8000, 32'hffff8000})) wb_mux_iwmb (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i (wb_iwmb_adr_i), .wbm_dat_i (wb_iwmb_dat_i), .wbm_sel_i (wb_iwmb_sel_i), .wbm_we_i (wb_iwmb_we_i), .wbm_cyc_i (wb_iwmb_cyc_i), .wbm_stb_i (wb_iwmb_stb_i), .wbm_cti_i (wb_iwmb_cti_i), .wbm_bte_i (wb_iwmb_bte_i), .wbm_dat_o (wb_iwmb_dat_o), .wbm_ack_o (wb_iwmb_ack_o), .wbm_err_o (wb_iwmb_err_o), .wbm_rty_o (wb_iwmb_rty_o), .wbs_adr_o ({wb_m2s_iwmb_uart_adr, wb_m2s_iwmb_ram_adr, wb_m2s_iwmb_rom_adr}), .wbs_dat_o ({wb_m2s_iwmb_uart_dat, wb_m2s_iwmb_ram_dat, wb_m2s_iwmb_rom_dat}), .wbs_sel_o ({wb_m2s_iwmb_uart_sel, wb_m2s_iwmb_ram_sel, wb_m2s_iwmb_rom_sel}), .wbs_we_o ({wb_m2s_iwmb_uart_we, wb_m2s_iwmb_ram_we, wb_m2s_iwmb_rom_we}), .wbs_cyc_o ({wb_m2s_iwmb_uart_cyc, wb_m2s_iwmb_ram_cyc, wb_m2s_iwmb_rom_cyc}), .wbs_stb_o ({wb_m2s_iwmb_uart_stb, wb_m2s_iwmb_ram_stb, wb_m2s_iwmb_rom_stb}), .wbs_cti_o ({wb_m2s_iwmb_uart_cti, wb_m2s_iwmb_ram_cti, wb_m2s_iwmb_rom_cti}), .wbs_bte_o ({wb_m2s_iwmb_uart_bte, wb_m2s_iwmb_ram_bte, wb_m2s_iwmb_rom_bte}), .wbs_dat_i ({wb_s2m_iwmb_uart_dat, wb_s2m_iwmb_ram_dat, wb_s2m_iwmb_rom_dat}), .wbs_ack_i ({wb_s2m_iwmb_uart_ack, wb_s2m_iwmb_ram_ack, wb_s2m_iwmb_rom_ack}), .wbs_err_i ({wb_s2m_iwmb_uart_err, wb_s2m_iwmb_ram_err, wb_s2m_iwmb_rom_err}), .wbs_rty_i ({wb_s2m_iwmb_uart_rty, wb_s2m_iwmb_ram_rty, wb_s2m_iwmb_rom_rty})); wb_mux #(.num_slaves (3), .MATCH_ADDR ({32'h40000000, 32'h20000000, 32'h00000000}), .MATCH_MASK ({32'hffffffe0, 32'hffff8000, 32'hffff8000})) wb_mux_dwmb (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i (wb_dwmb_adr_i), .wbm_dat_i (wb_dwmb_dat_i), .wbm_sel_i (wb_dwmb_sel_i), .wbm_we_i (wb_dwmb_we_i), .wbm_cyc_i (wb_dwmb_cyc_i), .wbm_stb_i (wb_dwmb_stb_i), .wbm_cti_i (wb_dwmb_cti_i), .wbm_bte_i (wb_dwmb_bte_i), .wbm_dat_o (wb_dwmb_dat_o), .wbm_ack_o (wb_dwmb_ack_o), .wbm_err_o (wb_dwmb_err_o), .wbm_rty_o (wb_dwmb_rty_o), .wbs_adr_o ({wb_m2s_dwmb_uart_adr, wb_m2s_dwmb_ram_adr, wb_m2s_dwmb_rom_adr}), .wbs_dat_o ({wb_m2s_dwmb_uart_dat, wb_m2s_dwmb_ram_dat, wb_m2s_dwmb_rom_dat}), .wbs_sel_o ({wb_m2s_dwmb_uart_sel, wb_m2s_dwmb_ram_sel, wb_m2s_dwmb_rom_sel}), .wbs_we_o ({wb_m2s_dwmb_uart_we, wb_m2s_dwmb_ram_we, wb_m2s_dwmb_rom_we}), .wbs_cyc_o ({wb_m2s_dwmb_uart_cyc, wb_m2s_dwmb_ram_cyc, wb_m2s_dwmb_rom_cyc}), .wbs_stb_o ({wb_m2s_dwmb_uart_stb, wb_m2s_dwmb_ram_stb, wb_m2s_dwmb_rom_stb}), .wbs_cti_o ({wb_m2s_dwmb_uart_cti, wb_m2s_dwmb_ram_cti, wb_m2s_dwmb_rom_cti}), .wbs_bte_o ({wb_m2s_dwmb_uart_bte, wb_m2s_dwmb_ram_bte, wb_m2s_dwmb_rom_bte}), .wbs_dat_i ({wb_s2m_dwmb_uart_dat, wb_s2m_dwmb_ram_dat, wb_s2m_dwmb_rom_dat}), .wbs_ack_i ({wb_s2m_dwmb_uart_ack, wb_s2m_dwmb_ram_ack, wb_s2m_dwmb_rom_ack}), .wbs_err_i ({wb_s2m_dwmb_uart_err, wb_s2m_dwmb_ram_err, wb_s2m_dwmb_rom_err}), .wbs_rty_i ({wb_s2m_dwmb_uart_rty, wb_s2m_dwmb_ram_rty, wb_s2m_dwmb_rom_rty})); wb_arbiter #(.num_masters (2)) wb_arbiter_uart (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i ({wb_m2s_iwmb_uart_adr, wb_m2s_dwmb_uart_adr}), .wbm_dat_i ({wb_m2s_iwmb_uart_dat, wb_m2s_dwmb_uart_dat}), .wbm_sel_i ({wb_m2s_iwmb_uart_sel, wb_m2s_dwmb_uart_sel}), .wbm_we_i ({wb_m2s_iwmb_uart_we, wb_m2s_dwmb_uart_we}), .wbm_cyc_i ({wb_m2s_iwmb_uart_cyc, wb_m2s_dwmb_uart_cyc}), .wbm_stb_i ({wb_m2s_iwmb_uart_stb, wb_m2s_dwmb_uart_stb}), .wbm_cti_i ({wb_m2s_iwmb_uart_cti, wb_m2s_dwmb_uart_cti}), .wbm_bte_i ({wb_m2s_iwmb_uart_bte, wb_m2s_dwmb_uart_bte}), .wbm_dat_o ({wb_s2m_iwmb_uart_dat, wb_s2m_dwmb_uart_dat}), .wbm_ack_o ({wb_s2m_iwmb_uart_ack, wb_s2m_dwmb_uart_ack}), .wbm_err_o ({wb_s2m_iwmb_uart_err, wb_s2m_dwmb_uart_err}), .wbm_rty_o ({wb_s2m_iwmb_uart_rty, wb_s2m_dwmb_uart_rty}), .wbs_adr_o (wb_uart_adr_o), .wbs_dat_o (wb_uart_dat_o), .wbs_sel_o (wb_uart_sel_o), .wbs_we_o (wb_uart_we_o), .wbs_cyc_o (wb_uart_cyc_o), .wbs_stb_o (wb_uart_stb_o), .wbs_cti_o (wb_uart_cti_o), .wbs_bte_o (wb_uart_bte_o), .wbs_dat_i (wb_uart_dat_i), .wbs_ack_i (wb_uart_ack_i), .wbs_err_i (wb_uart_err_i), .wbs_rty_i (wb_uart_rty_i)); wb_arbiter #(.num_masters (2)) wb_arbiter_ram (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i ({wb_m2s_iwmb_ram_adr, wb_m2s_dwmb_ram_adr}), .wbm_dat_i ({wb_m2s_iwmb_ram_dat, wb_m2s_dwmb_ram_dat}), .wbm_sel_i ({wb_m2s_iwmb_ram_sel, wb_m2s_dwmb_ram_sel}), .wbm_we_i ({wb_m2s_iwmb_ram_we, wb_m2s_dwmb_ram_we}), .wbm_cyc_i ({wb_m2s_iwmb_ram_cyc, wb_m2s_dwmb_ram_cyc}), .wbm_stb_i ({wb_m2s_iwmb_ram_stb, wb_m2s_dwmb_ram_stb}), .wbm_cti_i ({wb_m2s_iwmb_ram_cti, wb_m2s_dwmb_ram_cti}), .wbm_bte_i ({wb_m2s_iwmb_ram_bte, wb_m2s_dwmb_ram_bte}), .wbm_dat_o ({wb_s2m_iwmb_ram_dat, wb_s2m_dwmb_ram_dat}), .wbm_ack_o ({wb_s2m_iwmb_ram_ack, wb_s2m_dwmb_ram_ack}), .wbm_err_o ({wb_s2m_iwmb_ram_err, wb_s2m_dwmb_ram_err}), .wbm_rty_o ({wb_s2m_iwmb_ram_rty, wb_s2m_dwmb_ram_rty}), .wbs_adr_o (wb_ram_adr_o), .wbs_dat_o (wb_ram_dat_o), .wbs_sel_o (wb_ram_sel_o), .wbs_we_o (wb_ram_we_o), .wbs_cyc_o (wb_ram_cyc_o), .wbs_stb_o (wb_ram_stb_o), .wbs_cti_o (wb_ram_cti_o), .wbs_bte_o (wb_ram_bte_o), .wbs_dat_i (wb_ram_dat_i), .wbs_ack_i (wb_ram_ack_i), .wbs_err_i (wb_ram_err_i), .wbs_rty_i (wb_ram_rty_i)); wb_arbiter #(.num_masters (2)) wb_arbiter_rom (.wb_clk_i (wb_clk_i), .wb_rst_i (wb_rst_i), .wbm_adr_i ({wb_m2s_iwmb_rom_adr, wb_m2s_dwmb_rom_adr}), .wbm_dat_i ({wb_m2s_iwmb_rom_dat, wb_m2s_dwmb_rom_dat}), .wbm_sel_i ({wb_m2s_iwmb_rom_sel, wb_m2s_dwmb_rom_sel}), .wbm_we_i ({wb_m2s_iwmb_rom_we, wb_m2s_dwmb_rom_we}), .wbm_cyc_i ({wb_m2s_iwmb_rom_cyc, wb_m2s_dwmb_rom_cyc}), .wbm_stb_i ({wb_m2s_iwmb_rom_stb, wb_m2s_dwmb_rom_stb}), .wbm_cti_i ({wb_m2s_iwmb_rom_cti, wb_m2s_dwmb_rom_cti}), .wbm_bte_i ({wb_m2s_iwmb_rom_bte, wb_m2s_dwmb_rom_bte}), .wbm_dat_o ({wb_s2m_iwmb_rom_dat, wb_s2m_dwmb_rom_dat}), .wbm_ack_o ({wb_s2m_iwmb_rom_ack, wb_s2m_dwmb_rom_ack}), .wbm_err_o ({wb_s2m_iwmb_rom_err, wb_s2m_dwmb_rom_err}), .wbm_rty_o ({wb_s2m_iwmb_rom_rty, wb_s2m_dwmb_rom_rty}), .wbs_adr_o (wb_rom_adr_o), .wbs_dat_o (wb_rom_dat_o), .wbs_sel_o (wb_rom_sel_o), .wbs_we_o (wb_rom_we_o), .wbs_cyc_o (wb_rom_cyc_o), .wbs_stb_o (wb_rom_stb_o), .wbs_cti_o (wb_rom_cti_o), .wbs_bte_o (wb_rom_bte_o), .wbs_dat_i (wb_rom_dat_i), .wbs_ack_i (wb_rom_ack_i), .wbs_err_i (wb_rom_err_i), .wbs_rty_i (wb_rom_rty_i)); endmodule
// ------------------------------------------------------------- // // Generated Architecture Declaration for rtl of ent_bb // // Generated // by: wig // on: Thu Apr 26 09:40:09 2007 // cmd: /home/wig/work/MIX/mix_0.pl -nodelta ../../verilog.xls // // !!! Do not edit this file! Autogenerated by MIX !!! // $Author: wig $ // $Id: ent_bb.v,v 1.2 2007/04/26 15:45:52 wig Exp $ // $Date: 2007/04/26 15:45:52 $ // $Log: ent_bb.v,v $ // Revision 1.2 2007/04/26 15:45:52 wig // Updated testcase files // // // Based on Mix Verilog Architecture Template built into RCSfile: MixWriter.pm,v // Id: MixWriter.pm,v 1.108 2007/04/26 06:35:17 wig Exp // // Generator: mix_0.pl Revision: 1.47 , [email protected] // (C) 2003,2005 Micronas GmbH // // -------------------------------------------------------------- `timescale 1ns/10ps // // // Start of Generated Module rtl of ent_bb // // No user `defines in this module module ent_bb // // Generated Module inst_bb // ( ); // End of generated module header // Internal signals // // Generated Signal List // // // End of Generated Signal List // // %COMPILER_OPTS% // // Generated Signal Assignments // // // Generated Instances and Port Mappings // endmodule // // End of Generated Module rtl of ent_bb // // //!End of Module/s // --------------------------------------------------------------
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 10:51:10 08/26/2014 // Design Name: // Module Name: Mem_I_D // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module Mem_I_D ( //cpu_read_write //wb_input dat_i, adr_i, we_i, stb_i, sel_i, //wb_output dat_o, ack_o, clk, Signext //W_En, //Addr, //D_In, //D_Out ); //cpu_read_write input wire [31: 0] dat_i; input wire [31: 0] adr_i; input wire we_i; input wire stb_i; output reg [31: 0] dat_o; output ack_o; input clk; input wire Signext; input wire [3: 0] sel_i; wire [1:0] byte_offset; wire [12:0] ram_addr; wire W_En; wire [ 3: 0] W_sel; wire [ 3: 0] wea; assign ram_addr = adr_i[14:2]; assign byte_offset = adr_i[1:0]; // (* bram_map="yes" *) // reg [31: 0] RAM[8191: 0]; wire [31: 0] tmp; reg [31: 0] dina = 0; reg first_rd = 0; // initial begin // $readmemb("../Coe/test_lh.coe",RAM); // end assign ack_o = stb_i; assign W_En = stb_i & ack_o & we_i; assign R_En = stb_i & ack_o & ~we_i ; assign W_sel = sel_i << byte_offset; //assign wea = {W_sel[0], W_sel[1], W_sel[2], W_sel[3]}&{4{W_En}}; assign wea = W_sel&{4{W_En}}; //wire mem_wr; //assign mem_wr = stb_i && ack_o; always @(*) begin case({byte_offset,sel_i}) 6'b001111:begin dina <= dat_i; dat_o <= tmp; end 6'b000011:begin //dina <= {dat_i[15:0], 16'h0}; //dat_o <= Signext?{16'h0, tmp[31:16]}:{{16{tmp[31]}}, tmp[31:16]}; dina <= {16'h0, dat_i[15:0]}; dat_o <= Signext?{16'h0, tmp[15:0]}:{{16{tmp[15]}}, tmp[15:0]}; end 6'b100011:begin //dina <= {16'h0, dat_i[15:0]}; //dat_o <= Signext?{16'h0, tmp[15:0]}:{{16{tmp[15]}}, tmp[15:0]}; dina <= {dat_i[15:0], 16'h0}; dat_o <= Signext?{16'h0, tmp[31:16]}:{{16{tmp[31]}}, tmp[31:16]}; end default:begin dina <= dat_i; dat_o <= tmp; end endcase end // always @(posedge clk) begin // //if(W_En) begin //write // //case({byte_offset,sel_i}) // //6'b001111: dina <= dat_i;//tmpReg2 <= dat_i; //sw // //6'b000011: dina = {16'h0,dat_i};//tmpReg2 <= {dat_i[15:0], tmpReg[15:0]}; //sh byte_offset=0 // //6'b100011: dina = {dat_i,16'h0};//tmpReg2 <= {tmpReg[31:16], dat_i[15:0]}; //sh byte_offset=2 // //6'b000011: dina <= {dat_i[15:0],16'h0};//tmpReg2 <= {dat_i[15:0], tmpReg[15:0]}; //sh byte_offset=0 // //6'b000011: dina <= {dat_i[15:0], tmp[15:0]}; // //6'b100011: dina <= {16'h0,dat_i[15:0]};//tmpReg2 <= {tmpReg[31:16], dat_i[15:0]}; //sh byte_offset=2 // //6'b100011: dina <= {tmp[31:16], dat_i[15:0]}; // //endcase // //RAM[ram_addr] <= tmpReg2; // //end // if(R_En) begin //read // //dat_o = tmpReg; //// case({byte_offset,sel_i}) //// 6'b001111: dat_o <= tmp; //lw //// 6'b000011: dat_o <= Signext?{16'h0, tmp[31:16]}:{{16{tmp[31]}}, tmp[31:16]}; //lh & lhu byte_offset=0 //// 6'b100011: dat_o <= Signext?{16'h0, tmp[15:0]}:{{16{tmp[15]}}, tmp[15:0]}; //lh & lhu byte_offset=2 // //6'b000011: dat_o <= Signext?{16'h0, tmp[15:0]}:{{16{tmp[15]}}, tmp[15:0]}; //lh & lhu byte_offset=0 // //6'b100011: dat_o <= Signext?{16'h0, tmp[31:16]}:{{16{tmp[31]}}, tmp[31:16]}; //lh & lhu byte_offset=2 // //default: dat_o <= 32'hffff_ffff; // //endcase // first_rd = 1; // end // else first_rd = 0; // end Mem mem ( .clka(clk), // input clka .wea(wea), // input [3 : 0] wea .addra(adr_i), // input [31 : 0] addra .dina(dina), // input [31 : 0] dina .douta(tmp) // output [31 : 0] douta ); /* always @(posedge clk ) begin if ( W_En ) begin RAM[Addr] <= D_In; end else D_Out <= RAM[Addr]; end*/ endmodule
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__EINVN_FUNCTIONAL_V `define SKY130_FD_SC_HD__EINVN_FUNCTIONAL_V /** * einvn: Tri-state inverter, negative enable. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none `celldefine module sky130_fd_sc_hd__einvn ( Z , A , TE_B ); // Module ports output Z ; input A ; input TE_B; // Name Output Other arguments notif0 notif00 (Z , A, TE_B ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HD__EINVN_FUNCTIONAL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__NAND4BB_TB_V `define SKY130_FD_SC_HS__NAND4BB_TB_V /** * nand4bb: 4-input NAND, first two inputs inverted. * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hs__nand4bb.v" module top(); // Inputs are registered reg A_N; reg B_N; reg C; reg D; reg VPWR; reg VGND; // Outputs are wires wire Y; initial begin // Initial state is x for all inputs. A_N = 1'bX; B_N = 1'bX; C = 1'bX; D = 1'bX; VGND = 1'bX; VPWR = 1'bX; #20 A_N = 1'b0; #40 B_N = 1'b0; #60 C = 1'b0; #80 D = 1'b0; #100 VGND = 1'b0; #120 VPWR = 1'b0; #140 A_N = 1'b1; #160 B_N = 1'b1; #180 C = 1'b1; #200 D = 1'b1; #220 VGND = 1'b1; #240 VPWR = 1'b1; #260 A_N = 1'b0; #280 B_N = 1'b0; #300 C = 1'b0; #320 D = 1'b0; #340 VGND = 1'b0; #360 VPWR = 1'b0; #380 VPWR = 1'b1; #400 VGND = 1'b1; #420 D = 1'b1; #440 C = 1'b1; #460 B_N = 1'b1; #480 A_N = 1'b1; #500 VPWR = 1'bx; #520 VGND = 1'bx; #540 D = 1'bx; #560 C = 1'bx; #580 B_N = 1'bx; #600 A_N = 1'bx; end sky130_fd_sc_hs__nand4bb dut (.A_N(A_N), .B_N(B_N), .C(C), .D(D), .VPWR(VPWR), .VGND(VGND), .Y(Y)); endmodule `default_nettype wire `endif // SKY130_FD_SC_HS__NAND4BB_TB_V
//====================================================================== // // aes_encipher_block.v // -------------------- // The AES encipher round. A pure combinational module that implements // the initial round, main round and final round logic for // enciper operations. // // // Author: Joachim Strombergson // Copyright (c) 2013, 2014, Secworks Sweden AB // All rights reserved. // // Redistribution and use in source and binary forms, with or // without modification, are permitted provided that the following // conditions are met: // // 1. Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // 2. Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS // FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE // COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; // LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER // CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) // ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF // ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // //====================================================================== `default_nettype none module aes_encipher_block( input wire clk, input wire reset_n, input wire next, input wire keylen, output wire [3 : 0] round, input wire [127 : 0] round_key, output wire [31 : 0] sboxw, input wire [31 : 0] new_sboxw, input wire [127 : 0] block, output wire [127 : 0] new_block, output wire ready ); //---------------------------------------------------------------- // Internal constant and parameter definitions. //---------------------------------------------------------------- localparam AES_128_BIT_KEY = 1'h0; localparam AES_256_BIT_KEY = 1'h1; localparam AES128_ROUNDS = 4'ha; localparam AES256_ROUNDS = 4'he; localparam NO_UPDATE = 3'h0; localparam INIT_UPDATE = 3'h1; localparam SBOX_UPDATE = 3'h2; localparam MAIN_UPDATE = 3'h3; localparam FINAL_UPDATE = 3'h4; localparam CTRL_IDLE = 2'h0; localparam CTRL_INIT = 2'h1; localparam CTRL_SBOX = 2'h2; localparam CTRL_MAIN = 2'h3; //---------------------------------------------------------------- // Round functions with sub functions. //---------------------------------------------------------------- function [7 : 0] gm2(input [7 : 0] op); begin gm2 = {op[6 : 0], 1'b0} ^ (8'h1b & {8{op[7]}}); end endfunction // gm2 function [7 : 0] gm3(input [7 : 0] op); begin gm3 = gm2(op) ^ op; end endfunction // gm3 function [31 : 0] mixw(input [31 : 0] w); reg [7 : 0] b0, b1, b2, b3; reg [7 : 0] mb0, mb1, mb2, mb3; begin b0 = w[31 : 24]; b1 = w[23 : 16]; b2 = w[15 : 08]; b3 = w[07 : 00]; mb0 = gm2(b0) ^ gm3(b1) ^ b2 ^ b3; mb1 = b0 ^ gm2(b1) ^ gm3(b2) ^ b3; mb2 = b0 ^ b1 ^ gm2(b2) ^ gm3(b3); mb3 = gm3(b0) ^ b1 ^ b2 ^ gm2(b3); mixw = {mb0, mb1, mb2, mb3}; end endfunction // mixw function [127 : 0] mixcolumns(input [127 : 0] data); reg [31 : 0] w0, w1, w2, w3; reg [31 : 0] ws0, ws1, ws2, ws3; begin w0 = data[127 : 096]; w1 = data[095 : 064]; w2 = data[063 : 032]; w3 = data[031 : 000]; ws0 = mixw(w0); ws1 = mixw(w1); ws2 = mixw(w2); ws3 = mixw(w3); mixcolumns = {ws0, ws1, ws2, ws3}; end endfunction // mixcolumns function [127 : 0] shiftrows(input [127 : 0] data); reg [31 : 0] w0, w1, w2, w3; reg [31 : 0] ws0, ws1, ws2, ws3; begin w0 = data[127 : 096]; w1 = data[095 : 064]; w2 = data[063 : 032]; w3 = data[031 : 000]; ws0 = {w0[31 : 24], w1[23 : 16], w2[15 : 08], w3[07 : 00]}; ws1 = {w1[31 : 24], w2[23 : 16], w3[15 : 08], w0[07 : 00]}; ws2 = {w2[31 : 24], w3[23 : 16], w0[15 : 08], w1[07 : 00]}; ws3 = {w3[31 : 24], w0[23 : 16], w1[15 : 08], w2[07 : 00]}; shiftrows = {ws0, ws1, ws2, ws3}; end endfunction // shiftrows function [127 : 0] addroundkey(input [127 : 0] data, input [127 : 0] rkey); begin addroundkey = data ^ rkey; end endfunction // addroundkey //---------------------------------------------------------------- // Registers including update variables and write enable. //---------------------------------------------------------------- reg [1 : 0] sword_ctr_reg; reg [1 : 0] sword_ctr_new; reg sword_ctr_we; reg sword_ctr_inc; reg sword_ctr_rst; reg [3 : 0] round_ctr_reg; reg [3 : 0] round_ctr_new; reg round_ctr_we; reg round_ctr_rst; reg round_ctr_inc; reg [127 : 0] block_new; reg [31 : 0] block_w0_reg; reg [31 : 0] block_w1_reg; reg [31 : 0] block_w2_reg; reg [31 : 0] block_w3_reg; reg block_w0_we; reg block_w1_we; reg block_w2_we; reg block_w3_we; reg ready_reg; reg ready_new; reg ready_we; reg [1 : 0] enc_ctrl_reg; reg [1 : 0] enc_ctrl_new; reg enc_ctrl_we; //---------------------------------------------------------------- // Wires. //---------------------------------------------------------------- reg [2 : 0] update_type; reg [31 : 0] muxed_sboxw; //---------------------------------------------------------------- // Concurrent connectivity for ports etc. //---------------------------------------------------------------- assign round = round_ctr_reg; assign sboxw = muxed_sboxw; assign new_block = {block_w0_reg, block_w1_reg, block_w2_reg, block_w3_reg}; assign ready = ready_reg; //---------------------------------------------------------------- // reg_update // // Update functionality for all registers in the core. // All registers are positive edge triggered with asynchronous // active low reset. All registers have write enable. //---------------------------------------------------------------- always @ (posedge clk or negedge reset_n) begin: reg_update if (!reset_n) begin block_w0_reg <= 32'h0; block_w1_reg <= 32'h0; block_w2_reg <= 32'h0; block_w3_reg <= 32'h0; sword_ctr_reg <= 2'h0; round_ctr_reg <= 4'h0; ready_reg <= 1'b1; enc_ctrl_reg <= CTRL_IDLE; end else begin if (block_w0_we) block_w0_reg <= block_new[127 : 096]; if (block_w1_we) block_w1_reg <= block_new[095 : 064]; if (block_w2_we) block_w2_reg <= block_new[063 : 032]; if (block_w3_we) block_w3_reg <= block_new[031 : 000]; if (sword_ctr_we) sword_ctr_reg <= sword_ctr_new; if (round_ctr_we) round_ctr_reg <= round_ctr_new; if (ready_we) ready_reg <= ready_new; if (enc_ctrl_we) enc_ctrl_reg <= enc_ctrl_new; end end // reg_update //---------------------------------------------------------------- // round_logic // // The logic needed to implement init, main and final rounds. //---------------------------------------------------------------- always @* begin : round_logic reg [127 : 0] old_block, shiftrows_block, mixcolumns_block; reg [127 : 0] addkey_init_block, addkey_main_block, addkey_final_block; block_new = 128'h0; muxed_sboxw = 32'h0; block_w0_we = 1'b0; block_w1_we = 1'b0; block_w2_we = 1'b0; block_w3_we = 1'b0; old_block = {block_w0_reg, block_w1_reg, block_w2_reg, block_w3_reg}; shiftrows_block = shiftrows(old_block); mixcolumns_block = mixcolumns(shiftrows_block); addkey_init_block = addroundkey(block, round_key); addkey_main_block = addroundkey(mixcolumns_block, round_key); addkey_final_block = addroundkey(shiftrows_block, round_key); case (update_type) INIT_UPDATE: begin block_new = addkey_init_block; block_w0_we = 1'b1; block_w1_we = 1'b1; block_w2_we = 1'b1; block_w3_we = 1'b1; end SBOX_UPDATE: begin block_new = {new_sboxw, new_sboxw, new_sboxw, new_sboxw}; case (sword_ctr_reg) 2'h0: begin muxed_sboxw = block_w0_reg; block_w0_we = 1'b1; end 2'h1: begin muxed_sboxw = block_w1_reg; block_w1_we = 1'b1; end 2'h2: begin muxed_sboxw = block_w2_reg; block_w2_we = 1'b1; end 2'h3: begin muxed_sboxw = block_w3_reg; block_w3_we = 1'b1; end endcase // case (sbox_mux_ctrl_reg) end MAIN_UPDATE: begin block_new = addkey_main_block; block_w0_we = 1'b1; block_w1_we = 1'b1; block_w2_we = 1'b1; block_w3_we = 1'b1; end FINAL_UPDATE: begin block_new = addkey_final_block; block_w0_we = 1'b1; block_w1_we = 1'b1; block_w2_we = 1'b1; block_w3_we = 1'b1; end default: begin end endcase // case (update_type) end // round_logic //---------------------------------------------------------------- // sword_ctr // // The subbytes word counter with reset and increase logic. //---------------------------------------------------------------- always @* begin : sword_ctr sword_ctr_new = 2'h0; sword_ctr_we = 1'b0; if (sword_ctr_rst) begin sword_ctr_new = 2'h0; sword_ctr_we = 1'b1; end else if (sword_ctr_inc) begin sword_ctr_new = sword_ctr_reg + 1'b1; sword_ctr_we = 1'b1; end end // sword_ctr //---------------------------------------------------------------- // round_ctr // // The round counter with reset and increase logic. //---------------------------------------------------------------- always @* begin : round_ctr round_ctr_new = 4'h0; round_ctr_we = 1'b0; if (round_ctr_rst) begin round_ctr_new = 4'h0; round_ctr_we = 1'b1; end else if (round_ctr_inc) begin round_ctr_new = round_ctr_reg + 1'b1; round_ctr_we = 1'b1; end end // round_ctr //---------------------------------------------------------------- // encipher_ctrl // // The FSM that controls the encipher operations. //---------------------------------------------------------------- always @* begin: encipher_ctrl reg [3 : 0] num_rounds; // Default assignments. sword_ctr_inc = 1'b0; sword_ctr_rst = 1'b0; round_ctr_inc = 1'b0; round_ctr_rst = 1'b0; ready_new = 1'b0; ready_we = 1'b0; update_type = NO_UPDATE; enc_ctrl_new = CTRL_IDLE; enc_ctrl_we = 1'b0; if (keylen == AES_256_BIT_KEY) begin num_rounds = AES256_ROUNDS; end else begin num_rounds = AES128_ROUNDS; end case(enc_ctrl_reg) CTRL_IDLE: begin if (next) begin round_ctr_rst = 1'b1; ready_new = 1'b0; ready_we = 1'b1; enc_ctrl_new = CTRL_INIT; enc_ctrl_we = 1'b1; end end CTRL_INIT: begin round_ctr_inc = 1'b1; sword_ctr_rst = 1'b1; update_type = INIT_UPDATE; enc_ctrl_new = CTRL_SBOX; enc_ctrl_we = 1'b1; end CTRL_SBOX: begin sword_ctr_inc = 1'b1; update_type = SBOX_UPDATE; if (sword_ctr_reg == 2'h3) begin enc_ctrl_new = CTRL_MAIN; enc_ctrl_we = 1'b1; end end CTRL_MAIN: begin sword_ctr_rst = 1'b1; round_ctr_inc = 1'b1; if (round_ctr_reg < num_rounds) begin update_type = MAIN_UPDATE; enc_ctrl_new = CTRL_SBOX; enc_ctrl_we = 1'b1; end else begin update_type = FINAL_UPDATE; ready_new = 1'b1; ready_we = 1'b1; enc_ctrl_new = CTRL_IDLE; enc_ctrl_we = 1'b1; end end default: begin // Empty. Just here to make the synthesis tool happy. end endcase // case (enc_ctrl_reg) end // encipher_ctrl endmodule // aes_encipher_block //====================================================================== // EOF aes_encipher_block.v //======================================================================
module Delay(clk, sigIn, waitCnt, sigOut); /*** This module samples an input bit, sigIn. It copies this output to sigOut, but delays state changes by a variable input, waitCnt, number of clock cycles. Only the most recent state change is stored. This scheme fails for cases where the wait time is longer than half the signal period. Ted Golfinopoulos, 9 August 2012 */ parameter WAIT_CNT_SIZE=11; input clk, sigIn; input [WAIT_CNT_SIZE-1:0] waitCnt; //Delay time - number of clk cycles to delay state changes in output output wire sigOut; reg sigOutReg, sigInLast; reg [WAIT_CNT_SIZE-1:0] timer; //Counter for timing delays for state changes to output. initial begin #0 sigInLast=1'b0; sigOutReg=1'b0; timer=1'b0; end //Check for state changes. Wait a specified delay time, and then impose the corresponding change in the output signal. Fails for the case where the delay time is longer than half the signal period. always @(posedge clk) begin //Check input. If there is a state change, reset timer and record new state. if(sigInLast != sigIn) begin //Begin timer. Really want to begin timer on rising edge of sigIn. timer=1'b0; //Reset timer sigInLast=sigIn; //Update sigInLast register. end //Delay timer. //If timer has been reset, start counting until wait limit is reached. Then, stop and update output. //Output gets continually updated to registered input state in steady state. if(timer<waitCnt) begin timer=timer+1'b1; end else begin //Leave timer locked at waitCnt sigOutReg=sigInLast; //Update output signal. end end assign sigOut=sigOutReg; //Tie output to corresponding register. endmodule /* reg sigInLast; //Single bit register to store previous state of signal to check for state changes. reg sigOutReg; //Register output for modification in clocked blocks. //Registers recording value of sigInPosEdge and sigInNegEdge at last clock cycle reg sigInPosEdgeLast; reg sigInNegEdgeLast; //reg [WAIT_CNT_SIZE-1:0] waitCntLast; //Register waitCnt, since it may change during */ /* PosEdgeDelay posEdgeDelay(clk, sigIn, waitCnt, invSigOut, sigOut); defparam posEdgeDelay.WAIT_CNT_SIZE=WAIT_CNT_SIZE; PosEdgeDelay negEdgeDelay(clk, ~sigIn, waitCnt, sigOut, invSigOut); defparam negEdgeDelay.WAIT_CNT_SIZE=WAIT_CNT_SIZE; */ //defparam negEdgeDelay.INVERT_FLAG=1'b1; //Set INVERT_FLAG true. //Maintain sigOut and negSigOut to be inverted versions of one another. //Rising edge of sigOut is falling edge of negSigOut, and vice versa. /* always @(posedge negSigOut) begin sigOut=1'b0; //Zero sigOut on edges of negSigOut. end always @(posedge sigOut) begin negSigOut=1'b0; //Zero end */
// (C) 2001-2015 Altera Corporation. All rights reserved. // Your use of Altera Corporation's design tools, logic functions and other // software and tools, and its AMPP partner logic functions, and any output // files any of the foregoing (including device programming or simulation // files), and any associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License Subscription // Agreement, Altera MegaCore Function License Agreement, or other applicable // license agreement, including, without limitation, that your use is for the // sole purpose of programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the applicable // agreement for further details. // synthesis translate_off `timescale 1ns / 1ps // synthesis translate_on //////////////////////////////////////////////////////////////////////////////// //////////////////////////////////////////////////////////////////////////////// // Logic Core: PCI/Avalon Bridge Megacore Function // Company: Altera Corporation. // www.altera.com // Author: IPBU SIO Group // // Description: Avalon to PCI Address Translation Module // // Copyright (c) 2004 Altera Corporation. All rights reserved. This source code // is highly confidential and proprietary information of Altera and is being // provided in accordance with and subject to the protections of a // Non-Disclosure Agreement which governs its use and disclosure. Altera // products and services are protected under numerous U.S. and foreign patents, // maskwork rights, copyrights and other intellectual property laws. Altera // assumes no responsibility or liability arising out of the application or use // of this source code. // // For Best Viewing Set tab stops to 4 spaces. // // $Id: //acds/main/ip/pci_express/src/rtl/lib/avalon/altpciexpav_a2p_addrtrans.v#5 $ // //////////////////////////////////////////////////////////////////////////////// //////////////////////////////////////////////////////////////////////////////// module altpciexpav_stif_a2p_addrtrans #( parameter CB_A2P_ADDR_MAP_IS_FIXED = 1 , parameter CB_A2P_ADDR_MAP_NUM_ENTRIES = 1 , parameter CB_A2P_ADDR_MAP_PASS_THRU_BITS = 24 , parameter CG_AVALON_S_ADDR_WIDTH = 24 , parameter CG_PCI_ADDR_WIDTH = 64 , parameter CG_PCI_DATA_WIDTH = 64 , parameter [1023:0] CB_A2P_ADDR_MAP_FIXED_TABLE = 0, parameter INTENDED_DEVICE_FAMILY = "Stratix" , parameter A2P_ADDR_TRANS_TR_OUTREG = 0, parameter A2P_ADDR_TRANS_RA_OUTREG = 0 ) ( input PbaClk_i, // Clock For Avalon to PCI Trans input PbaRstn_i, // Reset signal input [CG_AVALON_S_ADDR_WIDTH-1:0] PbaAddress_i, // Must be a byte specific address input [(CG_PCI_DATA_WIDTH/8)-1:0] PbaByteEnable_i, // ByteEnables input PbaAddrVld_i, // Valid indication in output reg [CG_PCI_ADDR_WIDTH-1:0] PciAddr_o, // Is a byte specific address output reg [1:0] PciAddrSpace_o, // DAC Needed output reg PciAddrVld_o, // Valid indication out (piped) input CraClk_i, // Clock for register access port input CraRstn_i, // Reset signal input [11:2] AdTrAddress_i, // Register (DWORD) specific address input [3:0] AdTrByteEnable_i,// Register Byte Enables input AdTrWriteVld_i, // Valid Write Cycle in input [31:0] AdTrWriteData_i, // Write Data in input AdTrReadVld_i, // Read Valid in output [31:0] AdTrReadData_o, // Read Data out output AdTrReadVld_o // Read Valid out (piped) ) ; wire [CG_PCI_ADDR_WIDTH-1:0] pci_address; // Is a byte specific address reg [1:0] pci_address_space; // DAC Needed reg pci_address_valid; // Valid indication out (piped) wire [1:0] pci_address_space_d; // DAC Needed wire pci_address_valid_d; // Valid indication out (piped) reg [CG_PCI_ADDR_WIDTH-1:0] RawAddr ; wire [CG_PCI_ADDR_WIDTH-1:0] RawAddr_d ; // register input from var_trans always @(posedge CraClk_i or negedge CraRstn_i) begin if(~CraRstn_i) begin RawAddr <= 0; pci_address_space <= 0; pci_address_valid <= 0; end else begin RawAddr <= RawAddr_d; pci_address_space <= pci_address_space_d; pci_address_valid <= pci_address_valid_d; end end /* // synthesis translate_off // Validate the parameters to make sure they are valid initial begin case (CB_A2P_ADDR_MAP_NUM_ENTRIES) 1 : if (CG_AVALON_S_ADDR_WIDTH != CB_A2P_ADDR_MAP_PASS_THRU_BITS) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end else if (CB_A2P_ADDR_MAP_IS_FIXED == 0) begin // Note: If this case is actually parameterized, simulation (and synthesis) crashes with a fatal error before // you can even get this far. $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be 2 or greater when CB_A2P_ADDR_MAP_IS_FIXED is 0.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end 2 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 1)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end 4 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 2)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end 8 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 3)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end 16 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 4)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end 32 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 5)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end else if (CB_A2P_ADDR_MAP_IS_FIXED != 0) begin $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be 16 or less when CB_A2P_ADDR_MAP_IS_FIXED is 1.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end 64 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 6)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end else if (CB_A2P_ADDR_MAP_IS_FIXED != 0) begin $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be 16 or less when CB_A2P_ADDR_MAP_IS_FIXED is 1.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end 128 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 7)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end else if (CB_A2P_ADDR_MAP_IS_FIXED != 0) begin $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be 16 or less when CB_A2P_ADDR_MAP_IS_FIXED is 1.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end 256 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 8)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end else if (CB_A2P_ADDR_MAP_IS_FIXED != 0) begin $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be 16 or less when CB_A2P_ADDR_MAP_IS_FIXED is 1.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end 512 : if (CG_AVALON_S_ADDR_WIDTH != (CB_A2P_ADDR_MAP_PASS_THRU_BITS + 9)) begin $display("ERROR: CG_AVALON_S_ADDR_WIDTH (%d) != log2(CB_A2P_ADDR_MAP_NUM_ENTRIES (%d)) + CB_A2P_ADDR_MAP_PASS_THRU_BITS (%d)", CG_AVALON_S_ADDR_WIDTH, CB_A2P_ADDR_MAP_NUM_ENTRIES, CB_A2P_ADDR_MAP_PASS_THRU_BITS) ; $stop ; end else if (CB_A2P_ADDR_MAP_IS_FIXED != 0) begin $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be 16 or less when CB_A2P_ADDR_MAP_IS_FIXED is 1.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end default : begin $display("ERROR: CB_A2P_ADDR_MAP_NUM_ENTRIES (%d) must be a power of 2 in the range from 1 to 512.", CB_A2P_ADDR_MAP_NUM_ENTRIES) ; $stop ; end endcase // case(CB_A2P_ADDR_MAP_NUM_ENTRIES) end // synthesis translate_on */ // Address space definitions localparam [1:0] ADSP_CONFIG = 2'b11 ; localparam [1:0] ADSP_IO = 2'b10 ; localparam [1:0] ADSP_MEM64 = 2'b01 ; localparam [1:0] ADSP_MEM32 = 2'b00 ; // Address that has been specifically indexed down to first enabled byte wire [CG_AVALON_S_ADDR_WIDTH-1:0] ByteAddr ; // Address directly from the translation tables before being manipulated for // I/O and Config space specifics // Function to create the byte specific address function [CG_AVALON_S_ADDR_WIDTH-1:0] ModifyByteAddr ; input [CG_AVALON_S_ADDR_WIDTH-1:0] PbaAddress ; input [(CG_PCI_DATA_WIDTH/8)-1:0] PbaByteEnable ; reg [7:0] FullBE ; begin ModifyByteAddr[CG_AVALON_S_ADDR_WIDTH-1:3] = PbaAddress[CG_AVALON_S_ADDR_WIDTH-1:3] ; if (CG_PCI_DATA_WIDTH == 64) FullBE = PbaByteEnable ; else FullBE = {4'b0000,PbaByteEnable} ; casez (FullBE) 8'b???????1 : ModifyByteAddr[2:0] = {PbaAddress[2],2'b00} ; 8'b??????10 : ModifyByteAddr[2:0] = {PbaAddress[2],2'b01} ; 8'b?????100 : ModifyByteAddr[2:0] = {PbaAddress[2],2'b10} ; 8'b????1000 : ModifyByteAddr[2:0] = {PbaAddress[2],2'b11} ; 8'b???10000 : ModifyByteAddr[2:0] = 3'b100 ; 8'b??100000 : ModifyByteAddr[2:0] = 3'b101 ; 8'b?1000000 : ModifyByteAddr[2:0] = 3'b110 ; 8'b10000000 : ModifyByteAddr[2:0] = 3'b111 ; default : ModifyByteAddr[2:0] = PbaAddress[2:0] ; endcase // casez(FullBE) end endfunction // ModifyByteAddr // Function to modify the address as needed for Config and I/O Space function [CG_PCI_ADDR_WIDTH-1:0] ModifyCfgIO ; input [CG_PCI_ADDR_WIDTH-1:0] RawAddr ; input [1:0] AddrSpace ; begin ModifyCfgIO = {CG_PCI_ADDR_WIDTH{1'b0}} ; case (AddrSpace) ADSP_CONFIG : begin // For Config Space we need to determine if it is type 0 or type 1 // If the bus number is 0, assume type 0, else type 1 if (RawAddr[23:16] == 8'h00) begin // Type 0 - Pass through Function Number and Register Number // Downstream logic only wants a QWORD address in 64-bit mode // otherwise DWORD address in 32-bit mode if (CG_PCI_DATA_WIDTH == 64) ModifyCfgIO[10:3] = RawAddr[10:3] ; else ModifyCfgIO[10:2] = RawAddr[10:2] ; // Type 0 - One Hot Encode Device Number if (RawAddr[15:11] < 21) begin ModifyCfgIO[RawAddr[15:11]+11] = 1'b1 ; end else begin ModifyCfgIO[10:3] = 8'h0; // synthesis translate_off $display("ERROR: Attempt to issue a Type 0 Cfg transaction to a device number that can't be One-Hot encoded in bits 31:11") ; $stop ; // synthesis translate_on end // else: !if(RawAddr[15:11] < 20) end // if (RawAddr[23:16] == 8'h00) else begin // Type 1 - Set Type 1 bit ModifyCfgIO[0] = 1'b1 ; // Type 1 - Pass Through Bus Num, Device Num, Func Num, and Reg Num // Downstream logic only wants a QWORD address in 64-bit mode // otherwise DWORD address in 32-bit mode if (CG_PCI_DATA_WIDTH == 64) ModifyCfgIO[23:3] = RawAddr[23:3] ; else ModifyCfgIO[23:2] = RawAddr[23:2] ; end // else: !if(RawAddr[23:16] == 8'h00) end // case: ADSP_CONFIG ADSP_IO : begin // The Byte enables have already been encoded pass them through ModifyCfgIO = RawAddr ; end default : begin // Memory Space, Pass the address through, but clear the byte specific // In 64-bit mode the memory space address should only be a QWORD // address, in 32-bit mode it should be a DWORD address if (CG_PCI_DATA_WIDTH == 64) ModifyCfgIO[CG_PCI_ADDR_WIDTH-1:3] = RawAddr[CG_PCI_ADDR_WIDTH-1:3] ; else ModifyCfgIO[CG_PCI_ADDR_WIDTH-1:2] = RawAddr[CG_PCI_ADDR_WIDTH-1:2] ; end endcase // case(AddrSpace) end endfunction assign ByteAddr = ModifyByteAddr(PbaAddress_i,PbaByteEnable_i) ; generate if (CB_A2P_ADDR_MAP_IS_FIXED == 0) begin altpciexpav_stif_a2p_vartrans #(.CB_A2P_ADDR_MAP_NUM_ENTRIES(CB_A2P_ADDR_MAP_NUM_ENTRIES), .CB_A2P_ADDR_MAP_PASS_THRU_BITS(CB_A2P_ADDR_MAP_PASS_THRU_BITS), .CG_AVALON_S_ADDR_WIDTH(CG_AVALON_S_ADDR_WIDTH), .CG_PCI_ADDR_WIDTH(CG_PCI_ADDR_WIDTH), .INTENDED_DEVICE_FAMILY(INTENDED_DEVICE_FAMILY), .A2P_ADDR_TRANS_TR_OUTREG(A2P_ADDR_TRANS_TR_OUTREG), .A2P_ADDR_TRANS_RA_OUTREG(A2P_ADDR_TRANS_RA_OUTREG) ) vartrans ( .PbaClk_i(PbaClk_i), .PbaRstn_i(PbaRstn_i), .PbaAddress_i(ByteAddr), .PbaAddrVld_i(PbaAddrVld_i), .PciAddr_o(RawAddr_d), .PciAddrSpace_o(pci_address_space_d), .PciAddrVld_o(pci_address_valid_d), .CraClk_i(CraClk_i), .CraRstn_i(CraRstn_i), .AdTrAddress_i(AdTrAddress_i), .AdTrByteEnable_i(AdTrByteEnable_i), .AdTrWriteVld_i(AdTrWriteVld_i), .AdTrWriteData_i(AdTrWriteData_i), .AdTrReadVld_i(AdTrReadVld_i), .AdTrReadData_o(AdTrReadData_o), .AdTrReadVld_o(AdTrReadVld_o) ) ; end // if (CB_A2P_ADDR_MAP_IS_FIXED == 0) else begin altpciexpav_stif_a2p_fixtrans #(.CB_A2P_ADDR_MAP_NUM_ENTRIES(CB_A2P_ADDR_MAP_NUM_ENTRIES), .CB_A2P_ADDR_MAP_PASS_THRU_BITS(CB_A2P_ADDR_MAP_PASS_THRU_BITS), .CG_AVALON_S_ADDR_WIDTH(CG_AVALON_S_ADDR_WIDTH), .CG_PCI_ADDR_WIDTH(CG_PCI_ADDR_WIDTH), .CB_A2P_ADDR_MAP_FIXED_TABLE(CB_A2P_ADDR_MAP_FIXED_TABLE) ) fixtrans ( .PbaAddress_i(ByteAddr), .PbaAddrVld_i(PbaAddrVld_i), .PciAddr_o(RawAddr_d), .PciAddrSpace_o(pci_address_space_d), .PciAddrVld_o(pci_address_valid_d), .AdTrAddress_i(AdTrAddress_i), .AdTrReadVld_i(AdTrReadVld_i), .AdTrReadData_o(AdTrReadData_o), .AdTrReadVld_o(AdTrReadVld_o) ) ; end // else: !if(CB_A2P_ADDR_MAP_IS_FIXED == 0) endgenerate assign pci_address = ModifyCfgIO(RawAddr,pci_address_space) ; always @(posedge CraClk_i or negedge CraRstn_i) begin if(~CraRstn_i) begin PciAddr_o <= 0; PciAddrSpace_o <= 0; PciAddrVld_o <= 0; end else begin PciAddr_o <= pci_address; PciAddrSpace_o <= pci_address_space; PciAddrVld_o <= pci_address_valid; end end endmodule // altpciav_a2p_addrtrans
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__DFRTP_BEHAVIORAL_PP_V `define SKY130_FD_SC_HS__DFRTP_BEHAVIORAL_PP_V /** * dfrtp: Delay flop, inverted reset, single output. * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import sub cells. `include "../u_df_p_r_no_pg/sky130_fd_sc_hs__u_df_p_r_no_pg.v" `celldefine module sky130_fd_sc_hs__dfrtp ( VPWR , VGND , Q , CLK , D , RESET_B ); // Module ports input VPWR ; input VGND ; output Q ; input CLK ; input D ; input RESET_B; // Local signals wire buf_Q ; wire RESET ; reg notifier ; wire D_delayed ; wire RESET_B_delayed; wire CLK_delayed ; wire awake ; wire cond0 ; wire cond1 ; // Name Output Other arguments not not0 (RESET , RESET_B_delayed ); sky130_fd_sc_hs__u_df_p_r_no_pg u_df_p_r_no_pg0 (buf_Q , D_delayed, CLK_delayed, RESET, notifier, VPWR, VGND); assign awake = ( VPWR === 1'b1 ); assign cond0 = ( awake && ( RESET_B_delayed === 1'b1 ) ); assign cond1 = ( awake && ( RESET_B === 1'b1 ) ); buf buf0 (Q , buf_Q ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HS__DFRTP_BEHAVIORAL_PP_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__SDFBBN_BLACKBOX_V `define SKY130_FD_SC_HD__SDFBBN_BLACKBOX_V /** * sdfbbn: Scan delay flop, inverted set, inverted reset, inverted * clock, complementary outputs. * * Verilog stub definition (black box without power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hd__sdfbbn ( Q , Q_N , D , SCD , SCE , CLK_N , SET_B , RESET_B ); output Q ; output Q_N ; input D ; input SCD ; input SCE ; input CLK_N ; input SET_B ; input RESET_B; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_HD__SDFBBN_BLACKBOX_V
// ========== Copyright Header Begin ========================================== // // OpenSPARC T1 Processor File: bw_clk_cl_iobdg_jbus.v // Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved. // DO NOT ALTER OR REMOVE COPYRIGHT NOTICES. // // The above named program is free software; you can redistribute it and/or // modify it under the terms of the GNU General Public // License version 2 as published by the Free Software Foundation. // // The above named program is distributed in the hope that it will be // useful, but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public // License along with this work; if not, write to the Free Software // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA. // // ========== Copyright Header End ============================================ //////////////////////////////////////////////////////////////////////// /* // Module Name: iobdg clock macro // Description: Clock macro that encapsulates the cluster header. */ //////////////////////////////////////////////////////////////////////// // Global header file includes //////////////////////////////////////////////////////////////////////// `include "sys.h" // system level definition file which contains the // time scale definition `include "iop.h" //////////////////////////////////////////////////////////////////////// // Local header file includes / local defines //////////////////////////////////////////////////////////////////////// module bw_clk_cl_iobdg_jbus (/*AUTOARG*/ // Outputs so, rclk, dbginit_l, cluster_grst_l, // Inputs si, se, grst_l, gdbginit_l, gclk, cluster_cken, arst_l, adbginit_l ); /*AUTOINPUT*/ // Beginning of automatic inputs (from unused autoinst inputs) input adbginit_l; // To cluster_header of cluster_header.v input arst_l; // To cluster_header of cluster_header.v input cluster_cken; // To cluster_header of cluster_header.v input gclk; // To cluster_header of cluster_header.v input gdbginit_l; // To cluster_header of cluster_header.v input grst_l; // To cluster_header of cluster_header.v input se; // To cluster_header of cluster_header.v input si; // To cluster_header of cluster_header.v // End of automatics /*AUTOOUTPUT*/ // Beginning of automatic outputs (from unused autoinst outputs) output cluster_grst_l; // From cluster_header of cluster_header.v output dbginit_l; // From cluster_header of cluster_header.v output rclk; // From cluster_header of cluster_header.v output so; // From cluster_header of cluster_header.v // End of automatics /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) // End of automatics //////////////////////////////////////////////////////////////////////// // Code start here //////////////////////////////////////////////////////////////////////// cluster_header cluster_header (/*AUTOINST*/ // Outputs .dbginit_l(dbginit_l), .cluster_grst_l(cluster_grst_l), .rclk (rclk), .so (so), // Inputs .gclk (gclk), .cluster_cken(cluster_cken), .arst_l(arst_l), .grst_l(grst_l), .adbginit_l(adbginit_l), .gdbginit_l(gdbginit_l), .si (si), .se (se)); endmodule // bw_clk_cl_iobdg_jbus // Local Variables: // verilog-library-directories:("." "../../common/rtl") // End:
`timescale 1ns / 1ps module data_path( //---------------channel data input----------------------------------------- input [15:0] din16, //---------------channel data output----------------------------------------- output [31:0] dout32, //---------------Register----------------------------------------------------- input [31:0] control_reg, output [63:0] freq_reg, output [63:0] total_size, //--------------Globe signal---------------------------------------------------- input data_path_rst, input clk_50m, input clk_pcie, input adc_clk, //---------------Control----------------------------------------------------- input ddr3_fifo_full, output fifo_empty, output fifo_full, output total_size_mannul_reset, output fifo_rd_en ); localparam BUFR_DIVIDE = 2; BUFR #( .BUFR_DIVIDE(BUFR_DIVIDE), // "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8" .SIM_DEVICE("7SERIES") // Specify target device, "VIRTEX4" or "VIRTEX5" ) BUFR_clkdiv ( .O(clk_bufr), // Clock buffer output .CE(1'b1), // Clock enable input .CLR(1'b0), // Clock buffer reset input .I(clk_pcie) // Clock buffer input ); assign fifo_rd_en = control_reg[4] ? counter_fifo_rd_en : fifo16to32_rd_en; assign fifo_empty = control_reg[4] ? counter_fifo_empty : fifo16to32_empty; assign fifo_full = control_reg[4] ? counter_fifo_full : fifo16to32_full; //--------------ÄÚ²¿¼Ä´æÆ÷----------------------------------------------------------------------- wire [63:0] freq_det_q_d,freq_det_q_c; reg [63:0] total_size_reg1; reg [63:0] total_size_reg2; wire [31:0] fifo16to32_dout;//16to32´®²¢×ª»»Êý¾ÝÊä³ö wire [31:0] counter_data;//¼ÆÊýÆ÷Êý¾Ý wire [31:0] counter_fifo_dout;//¼ÆÊýÆ÷Êý¾Ý»º³åÊä³ö //--------------½»Ö¯½»»»--------------------------------------------- assign dout32 = control_reg[4] ? counter_fifo_dout : (control_reg[5] ? fifo16to32_dout : {fifo16to32_dout[7:0],fifo16to32_dout[15:8], fifo16to32_dout[23:16],fifo16to32_dout[31:24]}); //--------------8 to 32--------------------------------------------------- wire rec_en = control_reg[1] ? 1'b0 : control_reg[0]; assign fifo16to32_rd_en = !fifo16to32_empty & !ddr3_fifo_full; fifo8to32 fifo16to32_ch ( .rst(data_path_rst), .wr_clk(adc_clk), .rd_clk(clk_pcie), .din(din16), .wr_en(rec_en), .rd_en(fifo16to32_rd_en), .dout(fifo16to32_dout), .full(fifo16to32_full), .empty(fifo16to32_empty) ); //------------------------µÝÔö²âÊÔ¼ÆÊýÆ÷---------------------------------------------- wire counter_ce = control_reg[7] & control_reg[4] & rec_en; assign counter_fifo_rd_en = !counter_fifo_empty & !ddr3_fifo_full; COUNTER_LOAD_MACRO #( .COUNT_BY(48'h000000000001), .DEVICE("7SERIES"), .WIDTH_DATA(32) ) counter32_ch ( .Q(counter_data), .CLK(clk_bufr), .CE(counter_ce & (~data_path_rst)), .DIRECTION(1'b1), .LOAD(control_reg[18]), .LOAD_DATA(counter_load_data), .RST(data_path_rst) ); counter_fifo counter_fifo_ch ( .rst(data_path_rst), .wr_clk(clk_bufr), .rd_clk(clk_pcie), .din(counter_data), .wr_en(counter_ce & (~data_path_rst)), .rd_en(counter_fifo_rd_en), .dout(counter_fifo_dout), .full(counter_fifo_full), .empty(counter_fifo_empty) ); //------------------------Êý¾Ýͳ¼Æ---------------------------------------------- assign total_size_mannul_reset = control_reg[17]; assign total_size = control_reg[4] ? total_size_reg2 : total_size_reg1; always @(posedge c_div or posedge data_path_rst)begin if(data_path_rst)begin total_size_reg1 <= 64'b0; end else if(!rec_en | total_size_mannul_reset)begin total_size_reg1 <= 64'b0; end else begin total_size_reg1 <= total_size_reg1 + 64'd8; end end always @(posedge clk_bufr or posedge data_path_rst)begin if(data_path_rst)begin total_size_reg2 <= 64'b0; end else if(!counter_ce | total_size_mannul_reset)begin total_size_reg2 <= 64'b0; end else begin total_size_reg2 <= total_size_reg2 + 64'd32; end end //------------------------ƵÂʼì²â---------------------------------------------- assign freq_reg = control_reg[4] ? (freq_det_q_c<<3) : (freq_det_q_d<<3); Freq_Count_Top freq_det_d ( .sys_clk_50m(clk_50m), .ch_c(c_div), .freq_reg(freq_det_q_d), .sys_rst_n(~data_path_rst) ); Freq_Count_Top freq_det_c ( .sys_clk_50m(clk_50m), .ch_c(clk_bufr), .freq_reg(freq_det_q_c), .sys_rst_n(~data_path_rst) ); endmodule
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 // Date : Tue May 30 22:27:54 2017 // Host : GILAMONSTER running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // c:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_clock_splitter_1_0/system_clock_splitter_1_0_sim_netlist.v // Design : system_clock_splitter_1_0 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. // Device : xc7z020clg484-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps (* CHECK_LICENSE_TYPE = "system_clock_splitter_1_0,clock_splitter,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "clock_splitter,Vivado 2016.4" *) (* NotValidForBitStream *) module system_clock_splitter_1_0 (clk_in, latch_edge, clk_out); input clk_in; input latch_edge; output clk_out; wire clk_in; wire clk_out; wire latch_edge; system_clock_splitter_1_0_clock_splitter U0 (.clk_in(clk_in), .clk_out(clk_out), .latch_edge(latch_edge)); endmodule (* ORIG_REF_NAME = "clock_splitter" *) module system_clock_splitter_1_0_clock_splitter (clk_out, latch_edge, clk_in); output clk_out; input latch_edge; input clk_in; wire clk_i_1_n_0; wire clk_in; wire clk_out; wire last_edge; wire latch_edge; LUT3 #( .INIT(8'h6F)) clk_i_1 (.I0(latch_edge), .I1(last_edge), .I2(clk_out), .O(clk_i_1_n_0)); FDRE #( .INIT(1'b0)) clk_reg (.C(clk_in), .CE(1'b1), .D(clk_i_1_n_0), .Q(clk_out), .R(1'b0)); FDRE #( .INIT(1'b0)) last_edge_reg (.C(clk_in), .CE(1'b1), .D(latch_edge), .Q(last_edge), .R(1'b0)); endmodule `ifndef GLBL `define GLBL `timescale 1 ps / 1 ps module glbl (); parameter ROC_WIDTH = 100000; parameter TOC_WIDTH = 0; //-------- STARTUP Globals -------------- wire GSR; wire GTS; wire GWE; wire PRLD; tri1 p_up_tmp; tri (weak1, strong0) PLL_LOCKG = p_up_tmp; wire PROGB_GLBL; wire CCLKO_GLBL; wire FCSBO_GLBL; wire [3:0] DO_GLBL; wire [3:0] DI_GLBL; reg GSR_int; reg GTS_int; reg PRLD_int; //-------- JTAG Globals -------------- wire JTAG_TDO_GLBL; wire JTAG_TCK_GLBL; wire JTAG_TDI_GLBL; wire JTAG_TMS_GLBL; wire JTAG_TRST_GLBL; reg JTAG_CAPTURE_GLBL; reg JTAG_RESET_GLBL; reg JTAG_SHIFT_GLBL; reg JTAG_UPDATE_GLBL; reg JTAG_RUNTEST_GLBL; reg JTAG_SEL1_GLBL = 0; reg JTAG_SEL2_GLBL = 0 ; reg JTAG_SEL3_GLBL = 0; reg JTAG_SEL4_GLBL = 0; reg JTAG_USER_TDO1_GLBL = 1'bz; reg JTAG_USER_TDO2_GLBL = 1'bz; reg JTAG_USER_TDO3_GLBL = 1'bz; reg JTAG_USER_TDO4_GLBL = 1'bz; assign (weak1, weak0) GSR = GSR_int; assign (weak1, weak0) GTS = GTS_int; assign (weak1, weak0) PRLD = PRLD_int; initial begin GSR_int = 1'b1; PRLD_int = 1'b1; #(ROC_WIDTH) GSR_int = 1'b0; PRLD_int = 1'b0; end initial begin GTS_int = 1'b1; #(TOC_WIDTH) GTS_int = 1'b0; end endmodule `endif
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__NAND2_TB_V `define SKY130_FD_SC_MS__NAND2_TB_V /** * nand2: 2-input NAND. * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_ms__nand2.v" module top(); // Inputs are registered reg A; reg B; reg VPWR; reg VGND; reg VPB; reg VNB; // Outputs are wires wire Y; initial begin // Initial state is x for all inputs. A = 1'bX; B = 1'bX; VGND = 1'bX; VNB = 1'bX; VPB = 1'bX; VPWR = 1'bX; #20 A = 1'b0; #40 B = 1'b0; #60 VGND = 1'b0; #80 VNB = 1'b0; #100 VPB = 1'b0; #120 VPWR = 1'b0; #140 A = 1'b1; #160 B = 1'b1; #180 VGND = 1'b1; #200 VNB = 1'b1; #220 VPB = 1'b1; #240 VPWR = 1'b1; #260 A = 1'b0; #280 B = 1'b0; #300 VGND = 1'b0; #320 VNB = 1'b0; #340 VPB = 1'b0; #360 VPWR = 1'b0; #380 VPWR = 1'b1; #400 VPB = 1'b1; #420 VNB = 1'b1; #440 VGND = 1'b1; #460 B = 1'b1; #480 A = 1'b1; #500 VPWR = 1'bx; #520 VPB = 1'bx; #540 VNB = 1'bx; #560 VGND = 1'bx; #580 B = 1'bx; #600 A = 1'bx; end sky130_fd_sc_ms__nand2 dut (.A(A), .B(B), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .Y(Y)); endmodule `default_nettype wire `endif // SKY130_FD_SC_MS__NAND2_TB_V
// ========== Copyright Header Begin ========================================== // // OpenSPARC T1 Processor File: bw_clk_cclk_scanlasr_2x.v // Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved. // DO NOT ALTER OR REMOVE COPYRIGHT NOTICES. // // The above named program is free software; you can redistribute it and/or // modify it under the terms of the GNU General Public // License version 2 as published by the Free Software Foundation. // // The above named program is distributed in the hope that it will be // useful, but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public // License along with this work; if not, write to the Free Software // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA. // // ========== Copyright Header End ============================================ //Used in TO_2_0 module bw_clk_cclk_scanlasr_2x (so, sd, ck, r_l); output so; input sd, ck, r_l; reg so_l; assign so = ~so_l; always @ ( ck or sd or r_l ) if (~(ck & r_l)) so_l <= ~sd ; endmodule
(** * Extraction: Extracting ML from Coq *) (* ################################################################# *) (** * Basic Extraction *) (** In its simplest form, extracting an efficient program from one written in Coq is completely straightforward. First we say what language we want to extract into. Options are OCaml (the most mature), Haskell (which mostly works), and Scheme (a bit out of date). *) Extraction Language Ocaml. (** Now we load up the Coq environment with some definitions, either directly or by importing them from other modules. *) Require Import Coq.Arith.Arith. Require Import Coq.Arith.EqNat. Require Import SfLib. Require Import ImpCEvalFun. (** Finally, we tell Coq the name of a definition to extract and the name of a file to put the extracted code into. *) Extraction "imp1.ml" ceval_step. (** When Coq processes this command, it generates a file [imp1.ml] containing an extracted version of [ceval_step], together with everything that it recursively depends on. Compile the present [.v] file and have a look at [imp1.ml] now. *) (* ################################################################# *) (** * Controlling Extraction of Specific Types *) (** We can tell Coq to extract certain [Inductive] definitions to specific OCaml types. For each one, we must say - how the Coq type itself should be represented in OCaml, and - how each constructor should be translated. *) Extract Inductive bool => "bool" [ "true" "false" ]. (** Also, for non-enumeration types (where the constructors take arguments), we give an OCaml expression that can be used as a "recursor" over elements of the type. (Think Church numerals.) *) Extract Inductive nat => "int" [ "0" "(fun x -> x + 1)" ] "(fun zero succ n -> if n=0 then zero () else succ (n-1))". (** We can also extract defined constants to specific OCaml terms or operators. *) Extract Constant plus => "( + )". Extract Constant mult => "( * )". Extract Constant beq_nat => "( = )". (** Important: It is entirely _your responsibility_ to make sure that the translations you're proving make sense. For example, it might be tempting to include this one Extract Constant minus => "( - )". but doing so could lead to serious confusion! (Why?) *) Extraction "imp2.ml" ceval_step. (** Have a look at the file [imp2.ml]. Notice how the fundamental definitions have changed from [imp1.ml]. *) (* ################################################################# *) (** * A Complete Example *) (** To use our extracted evaluator to run Imp programs, all we need to add is a tiny driver program that calls the evaluator and prints out the result. For simplicity, we'll print results by dumping out the first four memory locations in the final state. Also, to make it easier to type in examples, let's extract a parser from the [ImpParser] Coq module. To do this, we need a few magic declarations to set up the right correspondence between Coq strings and lists of OCaml characters. *) Require Import Ascii String. Extract Inductive ascii => char [ "(* If this appears, you're using Ascii internals. Please don't *) (fun (b0,b1,b2,b3,b4,b5,b6,b7) -> let f b i = if b then 1 lsl i else 0 in Char.chr (f b0 0 + f b1 1 + f b2 2 + f b3 3 + f b4 4 + f b5 5 + f b6 6 + f b7 7))" ] "(* If this appears, you're using Ascii internals. Please don't *) (fun f c -> let n = Char.code c in let h i = (n land (1 lsl i)) <> 0 in f (h 0) (h 1) (h 2) (h 3) (h 4) (h 5) (h 6) (h 7))". Extract Constant zero => "'\000'". Extract Constant one => "'\001'". Extract Constant shift => "fun b c -> Char.chr (((Char.code c) lsl 1) land 255 + if b then 1 else 0)". Extract Inlined Constant ascii_dec => "(=)". (** We also need one more variant of booleans. *) Extract Inductive sumbool => "bool" ["true" "false"]. (** The extraction is the same as always. *) Require Import Imp. Require Import ImpParser. Extraction "imp.ml" empty_state ceval_step parse. (** Now let's run our generated Imp evaluator. First, have a look at [impdriver.ml]. (This was written by hand, not extracted.) Next, compile the driver together with the extracted code and execute it, as follows. ocamlc -w -20 -w -26 -o impdriver imp.mli imp.ml impdriver.ml ./impdriver (The [-w] flags to [ocamlc] are just there to suppress a few spurious warnings.) *) (* ################################################################# *) (** * Discussion *) (** Since we've proved that the [ceval_step] function behaves the same as the [ceval] relation in an appropriate sense, the extracted program can be viewed as a _certified_ Imp interpreter. Of course, the parser we're using is not certified, since we didn't prove anything about it! *) (** $Date: 2016-05-26 12:03:56 -0400 (Thu, 26 May 2016) $ *)
(** * Poly: Polymorphism and Higher-Order Functions *) (** In this chapter we continue our development of basic concepts of functional programming. The critical new ideas are _polymorphism_ (abstracting functions over the types of the data they manipulate) and _higher-order functions_ (treating functions as data). *) Require Export Lists. (* ###################################################### *) (** * Polymorphism *) (* ###################################################### *) (** ** Polymorphic Lists *) (** For the last couple of chapters, we've been working just with lists of numbers. Obviously, interesting programs also need to be able to manipulate lists with elements from other types -- lists of strings, lists of booleans, lists of lists, etc. We _could_ just define a new inductive datatype for each of these, for example... *) Inductive boollist : Type := | bool_nil : boollist | bool_cons : bool -> boollist -> boollist. (** ... but this would quickly become tedious, partly because we have to make up different constructor names for each datatype, but mostly because we would also need to define new versions of all our list manipulating functions ([length], [rev], etc.) for each new datatype definition. *) (** *** *) (** To avoid all this repetition, Coq supports _polymorphic_ inductive type definitions. For example, here is a _polymorphic list_ datatype. *) Inductive list (X:Type) : Type := | nil : list X | cons : X -> list X -> list X. (** This is exactly like the definition of [natlist] from the previous chapter, except that the [nat] argument to the [cons] constructor has been replaced by an arbitrary type [X], a binding for [X] has been added to the header, and the occurrences of [natlist] in the types of the constructors have been replaced by [list X]. (We can re-use the constructor names [nil] and [cons] because the earlier definition of [natlist] was inside of a [Module] definition that is now out of scope.) *) (** What sort of thing is [list] itself? One good way to think about it is that [list] is a _function_ from [Type]s to [Inductive] definitions; or, to put it another way, [list] is a function from [Type]s to [Type]s. For any particular type [X], the type [list X] is an [Inductive]ly defined set of lists whose elements are things of type [X]. *) (** With this definition, when we use the constructors [nil] and [cons] to build lists, we need to tell Coq the type of the elements in the lists we are building -- that is, [nil] and [cons] are now _polymorphic constructors_. Observe the types of these constructors: *) Check nil. (* ===> nil : forall X : Type, list X *) Check cons. (* ===> cons : forall X : Type, X -> list X -> list X *) (** The "[forall X]" in these types can be read as an additional argument to the constructors that determines the expected types of the arguments that follow. When [nil] and [cons] are used, these arguments are supplied in the same way as the others. For example, the list containing [2] and [1] is written like this: *) Check (cons nat 2 (cons nat 1 (nil nat))). (** (We've gone back to writing [nil] and [cons] explicitly here because we haven't yet defined the [ [] ] and [::] notations for the new version of lists. We'll do that in a bit.) *) (** We can now go back and make polymorphic (or "generic") versions of all the list-processing functions that we wrote before. Here is [length], for example: *) (** *** *) Fixpoint length (X:Type) (l:list X) : nat := match l with | nil => 0 | cons h t => S (length X t) end. (** Note that the uses of [nil] and [cons] in [match] patterns do not require any type annotations: Coq already knows that the list [l] contains elements of type [X], so there's no reason to include [X] in the pattern. (More precisely, the type [X] is a parameter of the whole definition of [list], not of the individual constructors. We'll come back to this point later.) As with [nil] and [cons], we can use [length] by applying it first to a type and then to its list argument: *) Example test_length1 : length nat (cons nat 1 (cons nat 2 (nil nat))) = 2. Proof. reflexivity. Qed. (** To use our length with other kinds of lists, we simply instantiate it with an appropriate type parameter: *) Example test_length2 : length bool (cons bool true (nil bool)) = 1. Proof. reflexivity. Qed. (** *** *) (** Let's close this subsection by re-implementing a few other standard list functions on our new polymorphic lists: *) Fixpoint app (X : Type) (l1 l2 : list X) : (list X) := match l1 with | nil => l2 | cons h t => cons X h (app X t l2) end. Fixpoint snoc (X:Type) (l:list X) (v:X) : (list X) := match l with | nil => cons X v (nil X) | cons h t => cons X h (snoc X t v) end. Fixpoint rev (X:Type) (l:list X) : list X := match l with | nil => nil X | cons h t => snoc X (rev X t) h end. Example test_rev1 : rev nat (cons nat 1 (cons nat 2 (nil nat))) = (cons nat 2 (cons nat 1 (nil nat))). Proof. reflexivity. Qed. Example test_rev2: rev bool (nil bool) = nil bool. Proof. reflexivity. Qed. Module MumbleBaz. (** **** Exercise: 2 stars (mumble_grumble) *) (** Consider the following two inductively defined types. *) Inductive mumble : Type := | a : mumble | b : mumble -> nat -> mumble | c : mumble. Inductive grumble (X:Type) : Type := | d : mumble -> grumble X | e : X -> grumble X. (** Which of the following are well-typed elements of [grumble X] for some type [X]? - [d (b a 5)] - [d mumble (b a 5)] - [d bool (b a 5)] - [e bool true] - [e mumble (b c 0)] - [e bool (b c 0)] - [c] *) Check d mumble (b a 5). Check d bool (b a 5). Check e bool true. Check e mumble (b c 0). (** [] *) (** **** Exercise: 2 stars (baz_num_elts) *) (** Consider the following inductive definition: *) Inductive baz : Type := | x : baz -> baz | y : baz -> bool -> baz. (** How _many_ elements does the type [baz] have? None because it can't be constructed. [] *) End MumbleBaz. (* ###################################################### *) (** *** Type Annotation Inference *) (** Let's write the definition of [app] again, but this time we won't specify the types of any of the arguments. Will Coq still accept it? *) Fixpoint app' X l1 l2 : list X := match l1 with | nil => l2 | cons h t => cons X h (app' X t l2) end. (** Indeed it will. Let's see what type Coq has assigned to [app']: *) Check app'. (* ===> forall X : Type, list X -> list X -> list X *) Check app. (* ===> forall X : Type, list X -> list X -> list X *) (** It has exactly the same type type as [app]. Coq was able to use a process called _type inference_ to deduce what the types of [X], [l1], and [l2] must be, based on how they are used. For example, since [X] is used as an argument to [cons], it must be a [Type], since [cons] expects a [Type] as its first argument; matching [l1] with [nil] and [cons] means it must be a [list]; and so on. This powerful facility means we don't always have to write explicit type annotations everywhere, although explicit type annotations are still quite useful as documentation and sanity checks. You should try to find a balance in your own code between too many type annotations (so many that they clutter and distract) and too few (which forces readers to perform type inference in their heads in order to understand your code). *) (* ###################################################### *) (** *** Type Argument Synthesis *) (** Whenever we use a polymorphic function, we need to pass it one or more types in addition to its other arguments. For example, the recursive call in the body of the [length] function above must pass along the type [X]. But just like providing explicit type annotations everywhere, this is heavy and verbose. Since the second argument to [length] is a list of [X]s, it seems entirely obvious that the first argument can only be [X] -- why should we have to write it explicitly? Fortunately, Coq permits us to avoid this kind of redundancy. In place of any type argument we can write the "implicit argument" [_], which can be read as "Please figure out for yourself what type belongs here." More precisely, when Coq encounters a [_], it will attempt to _unify_ all locally available information -- the type of the function being applied, the types of the other arguments, and the type expected by the context in which the application appears -- to determine what concrete type should replace the [_]. This may sound similar to type annotation inference -- and, indeed, the two procedures rely on the same underlying mechanisms. Instead of simply omitting the types of some arguments to a function, like app' X l1 l2 : list X := we can also replace the types with [_], like app' (X : _) (l1 l2 : _) : list X := which tells Coq to attempt to infer the missing information, just as with argument synthesis. Using implicit arguments, the [length] function can be written like this: *) Fixpoint length' (X:Type) (l:list X) : nat := match l with | nil => 0 | cons h t => S (length' _ t) end. (** In this instance, we don't save much by writing [_] instead of [X]. But in many cases the difference can be significant. For example, suppose we want to write down a list containing the numbers [1], [2], and [3]. Instead of writing this... *) Definition list123 := cons nat 1 (cons nat 2 (cons nat 3 (nil nat))). (** ...we can use argument synthesis to write this: *) Definition list123' := cons _ 1 (cons _ 2 (cons _ 3 (nil _))). (* ###################################################### *) (** *** Implicit Arguments *) (** If fact, we can go further. To avoid having to sprinkle [_]'s throughout our programs, we can tell Coq _always_ to infer the type argument(s) of a given function. The [Arguments] directive specifies the name of the function or constructor, and then lists its argument names, with curly braces around any arguments to be treated as implicit. *) Arguments nil {X}. Arguments cons {X} _ _. (* use underscore for argument position that has no name *) Arguments length {X} l. Arguments app {X} l1 l2. Arguments rev {X} l. Arguments snoc {X} l v. (* note: no _ arguments required... *) Definition list123'' := cons 1 (cons 2 (cons 3 nil)). Check (length list123''). (** *** *) (** Alternatively, we can declare an argument to be implicit while defining the function itself, by surrounding the argument in curly braces. For example: *) Fixpoint length'' {X:Type} (l:list X) : nat := match l with | nil => 0 | cons h t => S (length'' t) end. (** (Note that we didn't even have to provide a type argument to the recursive call to [length'']; indeed, it is invalid to provide one.) We will use this style whenever possible, although we will continue to use use explicit [Argument] declarations for [Inductive] constructors. *) (** *** *) (** One small problem with declaring arguments [Implicit] is that, occasionally, Coq does not have enough local information to determine a type argument; in such cases, we need to tell Coq that we want to give the argument explicitly this time, even though we've globally declared it to be [Implicit]. For example, suppose we write this: *) (* Definition mynil := nil. *) (** If we uncomment this definition, Coq will give us an error, because it doesn't know what type argument to supply to [nil]. We can help it by providing an explicit type declaration (so that Coq has more information available when it gets to the "application" of [nil]): *) Definition mynil : list nat := nil. (** Alternatively, we can force the implicit arguments to be explicit by prefixing the function name with [@]. *) Check @nil. Definition mynil' := @nil nat. (** *** *) (** Using argument synthesis and implicit arguments, we can define convenient notation for lists, as before. Since we have made the constructor type arguments implicit, Coq will know to automatically infer these when we use the notations. *) Notation "x :: y" := (cons x y) (at level 60, right associativity). Notation "[ ]" := nil. Notation "[ x ; .. ; y ]" := (cons x .. (cons y []) ..). Notation "x ++ y" := (app x y) (at level 60, right associativity). (** Now lists can be written just the way we'd hope: *) Definition list123''' := [1; 2; 3]. Check ([3 + 4] ++ nil). (* ###################################################### *) (** *** Exercises: Polymorphic Lists *) (** **** Exercise: 2 stars, optional (poly_exercises) *) (** Here are a few simple exercises, just like ones in the [Lists] chapter, for practice with polymorphism. Fill in the definitions and complete the proofs below. *) Fixpoint repeat {X : Type} (n : X) (count : nat) : list X := match count with | O => [] | S count' => n :: (repeat n count') end. Example test_repeat1: repeat true 2 = cons true (cons true nil). Proof. reflexivity. Qed. Theorem nil_app : forall X:Type, forall l:list X, app [] l = l. Proof. Proof. reflexivity. Qed. Theorem rev_snoc : forall X : Type, forall v : X, forall s : list X, rev (snoc s v) = v :: (rev s). Proof. intros. induction s as [|x xt]. Case "s = []". reflexivity. Case "s = x::xt". simpl. rewrite IHxt. reflexivity. Qed. Theorem rev_involutive : forall X : Type, forall l : list X, rev (rev l) = l. Proof. intros. induction l as [|x xt]. Case "l = []". reflexivity. Case "l = x::xt". simpl. rewrite rev_snoc. rewrite IHxt. reflexivity. Qed. Theorem snoc_with_append : forall X : Type, forall l1 l2 : list X, forall v : X, snoc (l1 ++ l2) v = l1 ++ (snoc l2 v). Proof. intros. induction l1 as [|x xt]. Case "l1 = []". reflexivity. Case "l1 = x::xt". simpl. rewrite IHxt. reflexivity. Qed. (** [] *) (* ###################################################### *) (** ** Polymorphic Pairs *) (** Following the same pattern, the type definition we gave in the last chapter for pairs of numbers can be generalized to _polymorphic pairs_ (or _products_): *) Inductive prod (X Y : Type) : Type := pair : X -> Y -> prod X Y. Arguments pair {X} {Y} _ _. (** As with lists, we make the type arguments implicit and define the familiar concrete notation. *) Notation "( x , y )" := (pair x y). (** We can also use the [Notation] mechanism to define the standard notation for pair _types_: *) Notation "X * Y" := (prod X Y) : type_scope. (** (The annotation [: type_scope] tells Coq that this abbreviation should be used when parsing types. This avoids a clash with the multiplication symbol.) *) (** *** *) (** A note of caution: it is easy at first to get [(x,y)] and [X*Y] confused. Remember that [(x,y)] is a _value_ built from two other values; [X*Y] is a _type_ built from two other types. If [x] has type [X] and [y] has type [Y], then [(x,y)] has type [X*Y]. *) (** The first and second projection functions now look pretty much as they would in any functional programming language. *) Definition fst {X Y : Type} (p : X * Y) : X := match p with (x,y) => x end. Definition snd {X Y : Type} (p : X * Y) : Y := match p with (x,y) => y end. (** The following function takes two lists and combines them into a list of pairs. In many functional programming languages, it is called [zip]. We call it [combine] for consistency with Coq's standard library. *) (** Note that the pair notation can be used both in expressions and in patterns... *) Fixpoint combine {X Y : Type} (lx : list X) (ly : list Y) : list (X*Y) := match (lx,ly) with | ([],_) => [] | (_,[]) => [] | (x::tx, y::ty) => (x,y) :: (combine tx ty) end. (** **** Exercise: 1 star, optional (combine_checks) *) (** Try answering the following questions on paper and checking your answers in coq: - What is the type of [combine] (i.e., what does [Check @combine] print?) - What does Eval compute in (combine [1;2] [false;false;true;true]). print? [] *) (** **** Exercise: 2 stars (split) *) (** The function [split] is the right inverse of combine: it takes a list of pairs and returns a pair of lists. In many functional programing languages, this function is called [unzip]. Uncomment the material below and fill in the definition of [split]. Make sure it passes the given unit tests. *) Fixpoint split {X Y : Type} (l : list (X*Y)) : (list X) * (list Y) := match l with | [] => ([],[]) | (x,y)::rest => (x::(fst (split rest)), y::(snd (split rest))) end. Example test_split: split [(1,false);(2,false)] = ([1;2],[false;false]). Proof. reflexivity. Qed. (** [] *) (* ###################################################### *) (** ** Polymorphic Options *) (** One last polymorphic type for now: _polymorphic options_. The type declaration generalizes the one for [natoption] in the previous chapter: *) Inductive option (X:Type) : Type := | Some : X -> option X | None : option X. Arguments Some {X} _. Arguments None {X}. (** *** *) (** We can now rewrite the [index] function so that it works with any type of lists. *) Fixpoint index {X : Type} (n : nat) (l : list X) : option X := match l with | [] => None | a :: l' => if beq_nat n O then Some a else index (pred n) l' end. Example test_index1 : index 0 [4;5;6;7] = Some 4. Proof. reflexivity. Qed. Example test_index2 : index 1 [[1];[2]] = Some [2]. Proof. reflexivity. Qed. Example test_index3 : index 2 [true] = None. Proof. reflexivity. Qed. (** **** Exercise: 1 star, optional (hd_opt_poly) *) (** Complete the definition of a polymorphic version of the [hd_opt] function from the last chapter. Be sure that it passes the unit tests below. *) Definition hd_opt {X : Type} (l : list X) : option X := match l with | [] => None | x::_ => Some x end. (** Once again, to force the implicit arguments to be explicit, we can use [@] before the name of the function. *) Check @hd_opt. Example test_hd_opt1 : hd_opt [1;2] = Some 1. Proof. reflexivity. Qed. Example test_hd_opt2 : hd_opt [[1];[2]] = Some [1]. Proof. reflexivity. Qed. (** [] *) (* ###################################################### *) (** * Functions as Data *) (* ###################################################### *) (** ** Higher-Order Functions *) (** Like many other modern programming languages -- including all _functional languages_ (ML, Haskell, Scheme, etc.) -- Coq treats functions as first-class citizens, allowing functions to be passed as arguments to other functions, returned as results, stored in data structures, etc. Functions that manipulate other functions are often called _higher-order_ functions. Here's a simple one: *) Definition doit3times {X:Type} (f:X->X) (n:X) : X := f (f (f n)). (** The argument [f] here is itself a function (from [X] to [X]); the body of [doit3times] applies [f] three times to some value [n]. *) Check @doit3times. (* ===> doit3times : forall X : Type, (X -> X) -> X -> X *) Example test_doit3times: doit3times minustwo 9 = 3. Proof. reflexivity. Qed. Example test_doit3times': doit3times negb true = false. Proof. reflexivity. Qed. (* ###################################################### *) (** ** Partial Application *) (** In fact, the multiple-argument functions we have already seen are also examples of passing functions as data. To see why, recall the type of [plus]. *) Check plus. (* ==> nat -> nat -> nat *) (** Each [->] in this expression is actually a _binary_ operator on types. (This is the same as saying that Coq primitively supports only one-argument functions -- do you see why?) This operator is _right-associative_, so the type of [plus] is really a shorthand for [nat -> (nat -> nat)] -- i.e., it can be read as saying that "[plus] is a one-argument function that takes a [nat] and returns a one-argument function that takes another [nat] and returns a [nat]." In the examples above, we have always applied [plus] to both of its arguments at once, but if we like we can supply just the first. This is called _partial application_. *) Definition plus3 := plus 3. Check plus3. Example test_plus3 : plus3 4 = 7. Proof. reflexivity. Qed. Example test_plus3' : doit3times plus3 0 = 9. Proof. reflexivity. Qed. Example test_plus3'' : doit3times (plus 3) 0 = 9. Proof. reflexivity. Qed. (* ###################################################### *) (** ** Digression: Currying *) (** **** Exercise: 2 stars, advanced (currying) *) (** In Coq, a function [f : A -> B -> C] really has the type [A -> (B -> C)]. That is, if you give [f] a value of type [A], it will give you function [f' : B -> C]. If you then give [f'] a value of type [B], it will return a value of type [C]. This allows for partial application, as in [plus3]. Processing a list of arguments with functions that return functions is called _currying_, in honor of the logician Haskell Curry. Conversely, we can reinterpret the type [A -> B -> C] as [(A * B) -> C]. This is called _uncurrying_. With an uncurried binary function, both arguments must be given at once as a pair; there is no partial application. *) (** We can define currying as follows: *) Definition prod_curry {X Y Z : Type} (f : X * Y -> Z) (x : X) (y : Y) : Z := f (x, y). (** As an exercise, define its inverse, [prod_uncurry]. Then prove the theorems below to show that the two are inverses. *) Definition prod_uncurry {X Y Z : Type} (f : X -> Y -> Z) (p : X * Y) : Z := f (fst p) (snd p). (** (Thought exercise: before running these commands, can you calculate the types of [prod_curry] and [prod_uncurry]?) *) Check @prod_curry. (* forall X Y Z : Type, ((prod X Y) -> Z) -> X -> Y -> Z *) Check @prod_uncurry. (* forall X Y Z : Type, (X -> Y -> Z) -> (prod X Y) -> Z *) Theorem uncurry_curry : forall (X Y Z : Type) (f : X -> Y -> Z) x y, prod_curry (prod_uncurry f) x y = f x y. Proof. reflexivity. Qed. Theorem curry_uncurry : forall (X Y Z : Type) (f : (X * Y) -> Z) (p : X * Y), prod_uncurry (prod_curry f) p = f p. Proof. intros. destruct p as [x y]. reflexivity. Qed. (** [] *) (* ###################################################### *) (** ** Filter *) (** Here is a useful higher-order function, which takes a list of [X]s and a _predicate_ on [X] (a function from [X] to [bool]) and "filters" the list, returning a new list containing just those elements for which the predicate returns [true]. *) Fixpoint filter {X:Type} (test: X->bool) (l:list X) : (list X) := match l with | [] => [] | h :: t => if test h then h :: (filter test t) else filter test t end. (** For example, if we apply [filter] to the predicate [evenb] and a list of numbers [l], it returns a list containing just the even members of [l]. *) Example test_filter1: filter evenb [1;2;3;4] = [2;4]. Proof. reflexivity. Qed. (** *** *) Definition length_is_1 {X : Type} (l : list X) : bool := beq_nat (length l) 1. Example test_filter2: filter length_is_1 [ [1; 2]; [3]; [4]; [5;6;7]; []; [8] ] = [ [3]; [4]; [8] ]. Proof. reflexivity. Qed. (** *** *) (** We can use [filter] to give a concise version of the [countoddmembers] function from the [Lists] chapter. *) Definition countoddmembers' (l:list nat) : nat := length (filter oddb l). Example test_countoddmembers'1: countoddmembers' [1;0;3;1;4;5] = 4. Proof. reflexivity. Qed. Example test_countoddmembers'2: countoddmembers' [0;2;4] = 0. Proof. reflexivity. Qed. Example test_countoddmembers'3: countoddmembers' nil = 0. Proof. reflexivity. Qed. (* ###################################################### *) (** ** Anonymous Functions *) (** It is a little annoying to be forced to define the function [length_is_1] and give it a name just to be able to pass it as an argument to [filter], since we will probably never use it again. Moreover, this is not an isolated example. When using higher-order functions, we often want to pass as arguments "one-off" functions that we will never use again; having to give each of these functions a name would be tedious. Fortunately, there is a better way. It is also possible to construct a function "on the fly" without declaring it at the top level or giving it a name; this is analogous to the notation we've been using for writing down constant lists, natural numbers, and so on. *) Example test_anon_fun': doit3times (fun n => n * n) 2 = 256. Proof. reflexivity. Qed. (** Here is the motivating example from before, rewritten to use an anonymous function. *) Example test_filter2': filter (fun l => beq_nat (length l) 1) [ [1; 2]; [3]; [4]; [5;6;7]; []; [8] ] = [ [3]; [4]; [8] ]. Proof. reflexivity. Qed. (** **** Exercise: 2 stars (filter_even_gt7) *) (** Use [filter] (instead of [Fixpoint]) to write a Coq function [filter_even_gt7] that takes a list of natural numbers as input and returns a list of just those that are even and greater than 7. *) Definition filter_even_gt7 (l : list nat) : list nat := filter (fun x => andb (evenb x) (blt_nat 7 x)) l. Example test_filter_even_gt7_1 : filter_even_gt7 [1;2;6;9;10;3;12;8] = [10;12;8]. Proof. reflexivity. Qed. Example test_filter_even_gt7_2 : filter_even_gt7 [5;2;6;19;129] = []. Proof. reflexivity. Qed. (** [] *) (** **** Exercise: 3 stars (partition) *) (** Use [filter] to write a Coq function [partition]: partition : forall X : Type, (X -> bool) -> list X -> list X * list X Given a set [X], a test function of type [X -> bool] and a [list X], [partition] should return a pair of lists. The first member of the pair is the sublist of the original list containing the elements that satisfy the test, and the second is the sublist containing those that fail the test. The order of elements in the two sublists should be the same as their order in the original list. *) Definition partition {X : Type} (test : X -> bool) (l : list X) : list X * list X := (filter test l, filter (fun x => negb (test x)) l). Example test_partition1: partition oddb [1;2;3;4;5] = ([1;3;5], [2;4]). Proof. reflexivity. Qed. Example test_partition2: partition (fun x => false) [5;9;0] = ([], [5;9;0]). Proof. reflexivity. Qed. (** [] *) (* ###################################################### *) (** ** Map *) (** Another handy higher-order function is called [map]. *) Fixpoint map {X Y:Type} (f:X->Y) (l:list X) : (list Y) := match l with | [] => [] | h :: t => (f h) :: (map f t) end. (** *** *) (** It takes a function [f] and a list [ l = [n1, n2, n3, ...] ] and returns the list [ [f n1, f n2, f n3,...] ], where [f] has been applied to each element of [l] in turn. For example: *) Example test_map1: map (plus 3) [2;0;2] = [5;3;5]. Proof. reflexivity. Qed. (** The element types of the input and output lists need not be the same ([map] takes _two_ type arguments, [X] and [Y]). This version of [map] can thus be applied to a list of numbers and a function from numbers to booleans to yield a list of booleans: *) Example test_map2: map oddb [2;1;2;5] = [false;true;false;true]. Proof. reflexivity. Qed. (** It can even be applied to a list of numbers and a function from numbers to _lists_ of booleans to yield a list of lists of booleans: *) Example test_map3: map (fun n => [evenb n;oddb n]) [2;1;2;5] = [[true;false];[false;true];[true;false];[false;true]]. Proof. reflexivity. Qed. (** ** Map for options *) (** **** Exercise: 3 stars (map_rev) *) (** Show that [map] and [rev] commute. You may need to define an auxiliary lemma. *) Theorem map_snoc : forall (X Y : Type) (f : X -> Y) (xs : list X) (x : X), map f (snoc xs x) = snoc (map f xs) (f x). Proof. intros. induction xs as [|y yt]. Case "xs = []". reflexivity. Case "xs = y::yt". simpl. rewrite IHyt. reflexivity. Qed. Theorem map_rev : forall (X Y : Type) (f : X -> Y) (l : list X), map f (rev l) = rev (map f l). Proof. intros. induction l as [|x xt]. Case "l = []". reflexivity. Case "l = x::xt". simpl. rewrite map_snoc. rewrite IHxt. reflexivity. Qed. (** [] *) (** **** Exercise: 2 stars (flat_map) *) (** The function [map] maps a [list X] to a [list Y] using a function of type [X -> Y]. We can define a similar function, [flat_map], which maps a [list X] to a [list Y] using a function [f] of type [X -> list Y]. Your definition should work by 'flattening' the results of [f], like so: flat_map (fun n => [n;n+1;n+2]) [1;5;10] = [1; 2; 3; 5; 6; 7; 10; 11; 12]. *) Fixpoint flat_map {X Y:Type} (f:X -> list Y) (l:list X) : (list Y) := match l with | [] => [] | x::xt => f x ++ (flat_map f xt) end. Example test_flat_map1: flat_map (fun n => [n;n;n]) [1;5;4] = [1; 1; 1; 5; 5; 5; 4; 4; 4]. Proof. reflexivity. Qed. (** [] *) (** Lists are not the only inductive type that we can write a [map] function for. Here is the definition of [map] for the [option] type: *) Definition option_map {X Y : Type} (f : X -> Y) (xo : option X) : option Y := match xo with | None => None | Some x => Some (f x) end. (** **** Exercise: 2 stars, optional (implicit_args) *) (** The definitions and uses of [filter] and [map] use implicit arguments in many places. Replace the curly braces around the implicit arguments with parentheses, and then fill in explicit type parameters where necessary and use Coq to check that you've done so correctly. (This exercise is not to be turned in; it is probably easiest to do it on a _copy_ of this file that you can throw away afterwards.) [] *) (* ###################################################### *) (** ** Fold *) (** An even more powerful higher-order function is called [fold]. This function is the inspiration for the "[reduce]" operation that lies at the heart of Google's map/reduce distributed programming framework. *) Fixpoint fold {X Y:Type} (f: X->Y->Y) (l:list X) (b:Y) : Y := match l with | nil => b | h :: t => f h (fold f t b) end. (** *** *) (** Intuitively, the behavior of the [fold] operation is to insert a given binary operator [f] between every pair of elements in a given list. For example, [ fold plus [1;2;3;4] ] intuitively means [1+2+3+4]. To make this precise, we also need a "starting element" that serves as the initial second input to [f]. So, for example, fold plus [1;2;3;4] 0 yields 1 + (2 + (3 + (4 + 0))). Here are some more examples: *) Check (fold andb). (* ===> fold andb : list bool -> bool -> bool *) Example fold_example1 : fold mult [1;2;3;4] 1 = 24. Proof. reflexivity. Qed. Example fold_example2 : fold andb [true;true;false;true] true = false. Proof. reflexivity. Qed. Example fold_example3 : fold app [[1];[];[2;3];[4]] [] = [1;2;3;4]. Proof. reflexivity. Qed. (** **** Exercise: 1 star, advanced (fold_types_different) *) (** Observe that the type of [fold] is parameterized by _two_ type variables, [X] and [Y], and the parameter [f] is a binary operator that takes an [X] and a [Y] and returns a [Y]. Can you think of a situation where it would be useful for [X] and [Y] to be different? *) (* ###################################################### *) (** ** Functions For Constructing Functions *) (** Most of the higher-order functions we have talked about so far take functions as _arguments_. Now let's look at some examples involving _returning_ functions as the results of other functions. To begin, here is a function that takes a value [x] (drawn from some type [X]) and returns a function from [nat] to [X] that yields [x] whenever it is called, ignoring its [nat] argument. *) Definition constfun {X: Type} (x: X) : nat->X := fun (k:nat) => x. Definition ftrue := constfun true. Example constfun_example1 : ftrue 0 = true. Proof. reflexivity. Qed. Example constfun_example2 : (constfun 5) 99 = 5. Proof. reflexivity. Qed. (** *** *) (** Similarly, but a bit more interestingly, here is a function that takes a function [f] from numbers to some type [X], a number [k], and a value [x], and constructs a function that behaves exactly like [f] except that, when called with the argument [k], it returns [x]. *) Definition override {X: Type} (f: nat->X) (k:nat) (x:X) : nat->X:= fun (k':nat) => if beq_nat k k' then x else f k'. (** For example, we can apply [override] twice to obtain a function from numbers to booleans that returns [false] on [1] and [3] and returns [true] on all other arguments. *) Definition fmostlytrue := override (override ftrue 1 false) 3 false. (** *** *) Example override_example1 : fmostlytrue 0 = true. Proof. reflexivity. Qed. Example override_example2 : fmostlytrue 1 = false. Proof. reflexivity. Qed. Example override_example3 : fmostlytrue 2 = true. Proof. reflexivity. Qed. Example override_example4 : fmostlytrue 3 = false. Proof. reflexivity. Qed. (** *** *) (** **** Exercise: 1 star (override_example) *) (** Before starting to work on the following proof, make sure you understand exactly what the theorem is saying and can paraphrase it in your own words. The proof itself is straightforward. *) Theorem override_example : forall (b:bool), (override (constfun b) 3 true) 2 = b. Proof. reflexivity. Qed. (** [] *) (** We'll use function overriding heavily in parts of the rest of the course, and we will end up needing to know quite a bit about its properties. To prove these properties, though, we need to know about a few more of Coq's tactics; developing these is the main topic of the next chapter. For now, though, let's introduce just one very useful tactic that will also help us with proving properties of some of the other functions we have introduced in this chapter. *) (* ###################################################### *) (* ###################################################### *) (** * The [unfold] Tactic *) (** Sometimes, a proof will get stuck because Coq doesn't automatically expand a function call into its definition. (This is a feature, not a bug: if Coq automatically expanded everything possible, our proof goals would quickly become enormous -- hard to read and slow for Coq to manipulate!) *) Theorem unfold_example_bad : forall m n, 3 + n = m -> plus3 n + 1 = m + 1. Proof. intros m n H. (* At this point, we'd like to do [rewrite -> H], since [plus3 n] is definitionally equal to [3 + n]. However, Coq doesn't automatically expand [plus3 n] to its definition. *) Abort. (** The [unfold] tactic can be used to explicitly replace a defined name by the right-hand side of its definition. *) Theorem unfold_example : forall m n, 3 + n = m -> plus3 n + 1 = m + 1. Proof. intros m n H. unfold plus3. rewrite -> H. reflexivity. Qed. (** Now we can prove a first property of [override]: If we override a function at some argument [k] and then look up [k], we get back the overridden value. *) Theorem override_eq : forall {X:Type} x k (f:nat->X), (override f k x) k = x. Proof. intros X x k f. unfold override. rewrite <- beq_nat_refl. reflexivity. Qed. (** This proof was straightforward, but note that it requires [unfold] to expand the definition of [override]. *) (** **** Exercise: 2 stars (override_neq) *) Theorem override_neq : forall (X:Type) x1 x2 k1 k2 (f : nat->X), f k1 = x1 -> beq_nat k2 k1 = false -> (override f k2 x2) k1 = x1. Proof. intros. unfold override. rewrite H0. rewrite H. reflexivity. Qed. (** [] *) (** As the inverse of [unfold], Coq also provides a tactic [fold], which can be used to "unexpand" a definition. It is used much less often. *) (* ##################################################### *) (** * Additional Exercises *) (** **** Exercise: 2 stars (fold_length) *) (** Many common functions on lists can be implemented in terms of [fold]. For example, here is an alternative definition of [length]: *) Definition fold_length {X : Type} (l : list X) : nat := fold (fun _ n => S n) l 0. Example test_fold_length1 : fold_length [4;7;0] = 3. Proof. reflexivity. Qed. (** Prove the correctness of [fold_length]. *) Theorem fold_length_correct : forall X (l : list X), fold_length l = length l. Proof. intros. induction l as [|x xt]. Case "l = []". reflexivity. Case "l = x::xt". simpl. rewrite <- IHxt. unfold fold_length. simpl. reflexivity. Qed. (** [] *) (** **** Exercise: 3 stars (fold_map) *) (** We can also define [map] in terms of [fold]. Finish [fold_map] below. *) Definition fold_map {X Y:Type} (f : X -> Y) (l : list X) : list Y := fold (fun x y => f x :: y) l []. (** Write down a theorem in Coq stating that [fold_map] is correct, and prove it. *) Theorem fold_map_correct : forall {X Y : Type} (f: X -> Y) (l : list X), fold_map f l = map f l. Proof. intros. induction l as [|x xt]. Case "l = []". reflexivity. Case "l = x::xt". simpl. rewrite <- IHxt. unfold fold_map. simpl. reflexivity. Qed. (** [] *) (* $Date: 2013-09-26 14:40:26 -0400 (Thu, 26 Sep 2013) $ *)
(** * IndProp: Inductively Defined Propositions *) Set Warnings "-notation-overridden,-parsing,-deprecated-hint-without-locality". From LF Require Export Logic. From Coq Require Import Lia. (* ################################################################# *) (** * Inductively Defined Propositions *) (** In the [Logic] chapter, we looked at several ways of writing propositions, including conjunction, disjunction, and existential quantification. In this chapter, we bring yet another new tool into the mix: _inductively defined propositions_. _Note_: For the sake of simplicity, most of this chapter uses an inductive definition of "evenness" as a running example. This is arguably a bit confusing, since we already have a perfectly good way of defining evenness as a proposition ("[n] is even if it is equal to the result of doubling some number"). Rest assured that we will see many more compelling examples of inductively defined propositions toward the end of this chapter and in future chapters. *) (** We've already seen two ways of stating a proposition that a number [n] is even: We can say (1) [even n = true], or (2) [exists k, n = double k]. A third possibility that we'll explore here is to say that [n] is even if we can _establish_ its evenness from the following rules: - Rule [ev_0]: The number [0] is even. - Rule [ev_SS]: If [n] is even, then [S (S n)] is even. *) (** To illustrate how this new definition of evenness works, let's imagine using it to show that [4] is even. By rule [ev_SS], it suffices to show that [2] is even. This, in turn, is again guaranteed by rule [ev_SS], as long as we can show that [0] is even. But this last fact follows directly from the [ev_0] rule. *) (** We will see many definitions like this one during the rest of the course. For purposes of informal discussions, it is helpful to have a lightweight notation that makes them easy to read and write. _Inference rules_ are one such notation. (We'll use [ev] for the name of this property, since [even] is already used.) ------------ (ev_0) ev 0 ev n ---------------- (ev_SS) ev (S (S n)) *) (** Each of the textual rules that we started with is reformatted here as an inference rule; the intended reading is that, if the _premises_ above the line all hold, then the _conclusion_ below the line follows. For example, the rule [ev_SS] says that, if [n] satisfies [ev], then [S (S n)] also does. If a rule has no premises above the line, then its conclusion holds unconditionally. We can represent a proof using these rules by combining rule applications into a _proof tree_. Here's how we might transcribe the above proof that [4] is even: -------- (ev_0) ev 0 -------- (ev_SS) ev 2 -------- (ev_SS) ev 4 *) (** (Why call this a "tree", rather than a "stack", for example? Because, in general, inference rules can have multiple premises. We will see examples of this shortly.) *) (* ================================================================= *) (** ** Inductive Definition of Evenness *) (** Putting all of this together, we can translate the definition of evenness into a formal Coq definition using an [Inductive] declaration, where each constructor corresponds to an inference rule: *) Inductive ev : nat -> Prop := | ev_0 : ev 0 | ev_SS (n : nat) (H : ev n) : ev (S (S n)). (** This definition is interestingly different from previous uses of [Inductive]. For one thing, we are defining not a [Type] (like [nat]) or a function yielding a [Type] (like [list]), but rather a function from [nat] to [Prop] -- that is, a property of numbers. But what is really new is that, because the [nat] argument of [ev] appears to the _right_ of the colon on the first line, it is allowed to take different values in the types of different constructors: [0] in the type of [ev_0] and [S (S n)] in the type of [ev_SS]. Accordingly, the type of each constructor must be specified explicitly (after a colon), and each constructor's type must have the form [ev n] for some natural number [n]. In contrast, recall the definition of [list]: Inductive list (X:Type) : Type := | nil | cons (x : X) (l : list X). This definition introduces the [X] parameter _globally_, to the _left_ of the colon, forcing the result of [nil] and [cons] to be the same (i.e., [list X]). Had we tried to bring [nat] to the left of the colon in defining [ev], we would have seen an error: *) Fail Inductive wrong_ev (n : nat) : Prop := | wrong_ev_0 : wrong_ev 0 | wrong_ev_SS (H: wrong_ev n) : wrong_ev (S (S n)). (* ===> Error: Last occurrence of "[wrong_ev]" must have "[n]" as 1st argument in "[wrong_ev 0]". *) (** In an [Inductive] definition, an argument to the type constructor on the left of the colon is called a "parameter", whereas an argument on the right is called an "index" or "annotation." For example, in [Inductive list (X : Type) := ...], the [X] is a parameter; in [Inductive ev : nat -> Prop := ...], the unnamed [nat] argument is an index. *) (** We can think of this as defining a Coq property [ev : nat -> Prop], together with "evidence constructors" [ev_0 : ev 0] and [ev_SS : forall n, ev n -> ev (S (S n))]. *) (** These evidence constructors can be thought of as "primitive evidence of evenness", and they can be used just like proven theorems. In particular, we can use Coq's [apply] tactic with the constructor names to obtain evidence for [ev] of particular numbers... *) Theorem ev_4 : ev 4. Proof. apply ev_SS. apply ev_SS. apply ev_0. Qed. (** ... or we can use function application syntax to combine several constructors: *) Theorem ev_4' : ev 4. Proof. apply (ev_SS 2 (ev_SS 0 ev_0)). Qed. (** In this way, we can also prove theorems that have hypotheses involving [ev]. *) Theorem ev_plus4 : forall n, ev n -> ev (4 + n). Proof. intros n. simpl. intros Hn. apply ev_SS. apply ev_SS. apply Hn. Qed. (** **** Exercise: 1 star, standard (ev_double) *) Theorem ev_double : forall n, ev (double n). Proof. (* FILL IN HERE *) Admitted. (** [] *) (* ################################################################# *) (** * Using Evidence in Proofs *) (** Besides _constructing_ evidence that numbers are even, we can also _destruct_ such evidence, which amounts to reasoning about how it could have been built. Introducing [ev] with an [Inductive] declaration tells Coq not only that the constructors [ev_0] and [ev_SS] are valid ways to build evidence that some number is [ev], but also that these two constructors are the _only_ ways to build evidence that numbers are [ev]. *) (** In other words, if someone gives us evidence [E] for the assertion [ev n], then we know that [E] must be one of two things: - [E] is [ev_0] (and [n] is [O]), or - [E] is [ev_SS n' E'] (and [n] is [S (S n')], where [E'] is evidence for [ev n']). *) (** This suggests that it should be possible to analyze a hypothesis of the form [ev n] much as we do inductively defined data structures; in particular, it should be possible to argue by _induction_ and _case analysis_ on such evidence. Let's look at a few examples to see what this means in practice. *) (* ================================================================= *) (** ** Inversion on Evidence *) (** Suppose we are proving some fact involving a number [n], and we are given [ev n] as a hypothesis. We already know how to perform case analysis on [n] using [destruct] or [induction], generating separate subgoals for the case where [n = O] and the case where [n = S n'] for some [n']. But for some proofs we may instead want to analyze the evidence for [ev n] _directly_. As a tool, we can prove our characterization of evidence for [ev n], using [destruct]. *) Theorem ev_inversion : forall (n : nat), ev n -> (n = 0) \/ (exists n', n = S (S n') /\ ev n'). Proof. intros n E. destruct E as [ | n' E'] eqn:EE. - (* E = ev_0 : ev 0 *) left. reflexivity. - (* E = ev_SS n' E' : ev (S (S n')) *) right. exists n'. split. reflexivity. apply E'. Qed. (** Facts like this are often called "inversion lemmas" because they allow us to "invert" some given information to reason about all the different ways it could have been derived. Here, there are two ways to prove that a number is [ev], and the inversion lemma makes this explicit. *) (** The following theorem can easily be proved using [destruct] on evidence. *) Theorem ev_minus2 : forall n, ev n -> ev (pred (pred n)). Proof. intros n E. destruct E as [| n' E'] eqn:EE. - (* E = ev_0 *) simpl. apply ev_0. - (* E = ev_SS n' E' *) simpl. apply E'. Qed. (** However, this variation cannot easily be handled with just [destruct]. *) Theorem evSS_ev : forall n, ev (S (S n)) -> ev n. (** Intuitively, we know that evidence for the hypothesis cannot consist just of the [ev_0] constructor, since [O] and [S] are different constructors of the type [nat]; hence, [ev_SS] is the only case that applies. Unfortunately, [destruct] is not smart enough to realize this, and it still generates two subgoals. Even worse, in doing so, it keeps the final goal unchanged, failing to provide any useful information for completing the proof. *) Proof. intros n E. destruct E as [| n' E'] eqn:EE. - (* E = ev_0. *) (* We must prove that [n] is even from no assumptions! *) Abort. (** What happened, exactly? Calling [destruct] has the effect of replacing all occurrences of the property argument by the values that correspond to each constructor. This is enough in the case of [ev_minus2] because that argument [n] is mentioned directly in the final goal. However, it doesn't help in the case of [evSS_ev] since the term that gets replaced ([S (S n)]) is not mentioned anywhere. *) (** If we [remember] that term [S (S n)], the proof goes through. (We'll discuss [remember] in more detail below.) *) Theorem evSS_ev_remember : forall n, ev (S (S n)) -> ev n. Proof. intros n E. remember (S (S n)) as k eqn:Hk. destruct E as [|n' E'] eqn:EE. - (* E = ev_0 *) (* Now we do have an assumption, in which [k = S (S n)] has been rewritten as [0 = S (S n)] by [destruct]. That assumption gives us a contradiction. *) discriminate Hk. - (* E = ev_S n' E' *) (* This time [k = S (S n)] has been rewritten as [S (S n') = S (S n)]. *) injection Hk as Heq. rewrite <- Heq. apply E'. Qed. (** Alternatively, the proof is straightforward using the inversion lemma that we proved above. *) Theorem evSS_ev : forall n, ev (S (S n)) -> ev n. Proof. intros n H. apply ev_inversion in H. destruct H as [H0|H1]. - discriminate H0. - destruct H1 as [n' [Hnm Hev]]. injection Hnm as Heq. rewrite Heq. apply Hev. Qed. (** Note how both proofs produce two subgoals, which correspond to the two ways of proving [ev]. The first subgoal is a contradiction that is discharged with [discriminate]. The second subgoal makes use of [injection] and [rewrite]. Coq provides a handy tactic called [inversion] that factors out that common pattern. The [inversion] tactic can detect (1) that the first case ([n = 0]) does not apply and (2) that the [n'] that appears in the [ev_SS] case must be the same as [n]. It has an "[as]" variant similar to [destruct], allowing us to assign names rather than have Coq choose them. *) Theorem evSS_ev' : forall n, ev (S (S n)) -> ev n. Proof. intros n E. inversion E as [| n' E' Heq]. (* We are in the [E = ev_SS n' E'] case now. *) apply E'. Qed. (** The [inversion] tactic can apply the principle of explosion to "obviously contradictory" hypotheses involving inductively defined properties, something that takes a bit more work using our inversion lemma. For example: *) Theorem one_not_even : ~ ev 1. Proof. intros H. apply ev_inversion in H. destruct H as [ | [m [Hm _]]]. - discriminate H. - discriminate Hm. Qed. Theorem one_not_even' : ~ ev 1. Proof. intros H. inversion H. Qed. (** **** Exercise: 1 star, standard (inversion_practice) Prove the following result using [inversion]. (For extra practice, you can also prove it using the inversion lemma.) *) Theorem SSSSev__even : forall n, ev (S (S (S (S n)))) -> ev n. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 1 star, standard (ev5_nonsense) Prove the following result using [inversion]. *) Theorem ev5_nonsense : ev 5 -> 2 + 2 = 9. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** The [inversion] tactic does quite a bit of work. For example, when applied to an equality assumption, it does the work of both [discriminate] and [injection]. In addition, it carries out the [intros] and [rewrite]s that are typically necessary in the case of [injection]. It can also be applied, more generally, to analyze evidence for inductively defined propositions. As examples, we'll use it to re-prove some theorems from chapter [Tactics]. (Here we are being a bit lazy by omitting the [as] clause from [inversion], thereby asking Coq to choose names for the variables and hypotheses that it introduces.) *) Theorem inversion_ex1 : forall (n m o : nat), [n; m] = [o; o] -> [n] = [m]. Proof. intros n m o H. inversion H. reflexivity. Qed. Theorem inversion_ex2 : forall (n : nat), S n = O -> 2 + 2 = 5. Proof. intros n contra. inversion contra. Qed. (** Here's how [inversion] works in general. Suppose the name [H] refers to an assumption [P] in the current context, where [P] has been defined by an [Inductive] declaration. Then, for each of the constructors of [P], [inversion H] generates a subgoal in which [H] has been replaced by the exact, specific conditions under which this constructor could have been used to prove [P]. Some of these subgoals will be self-contradictory; [inversion] throws these away. The ones that are left represent the cases that must be proved to establish the original goal. For those, [inversion] adds all equations into the proof context that must hold of the arguments given to [P] (e.g., [S (S n') = n] in the proof of [evSS_ev]). *) (** The [ev_double] exercise above shows that our new notion of evenness is implied by the two earlier ones (since, by [even_bool_prop] in chapter [Logic], we already know that those are equivalent to each other). To show that all three coincide, we just need the following lemma. *) Lemma ev_Even_firsttry : forall n, ev n -> Even n. Proof. (* WORKED IN CLASS *) unfold Even. (** We could try to proceed by case analysis or induction on [n]. But since [ev] is mentioned in a premise, this strategy would probably lead to a dead end, because (as we've noted before) the induction hypothesis will talk about n-1 (which is _not_ even!). Thus, it seems better to first try [inversion] on the evidence for [ev]. Indeed, the first case can be solved trivially. And we can seemingly make progress on the second case with a helper lemma. *) intros n E. inversion E as [EQ' | n' E' EQ']. - (* E = ev_0 *) exists 0. reflexivity. - (* E = ev_SS n' E' *) (** Unfortunately, the second case is harder. We need to show [exists n0, S (S n') = double n0], but the only available assumption is [E'], which states that [ev n'] holds. Since this isn't directly useful, it seems that we are stuck and that performing case analysis on [E] was a waste of time. If we look more closely at our second goal, however, we can see that something interesting happened: By performing case analysis on [E], we were able to reduce the original result to a similar one that involves a _different_ piece of evidence for [ev]: namely [E']. More formally, we can finish our proof by showing that exists k', n' = double k', which is the same as the original statement, but with [n'] instead of [n]. Indeed, it is not difficult to convince Coq that this intermediate result suffices. *) assert (H: (exists k', n' = double k') -> (exists n0, S (S n') = double n0)). { intros [k' EQ'']. exists (S k'). simpl. rewrite <- EQ''. reflexivity. } apply H. (** Unforunately, now we are stuck. To make that apparent, let's move [E'] back into the goal from the hypotheses. *) generalize dependent E'. (** Now it is clear we are trying to prove another instance of the same theorem we set out to prove. This instance is with [n'], instead of [n], where [n'] is a smaller natural number than [n]. *) Abort. (* ================================================================= *) (** ** Induction on Evidence *) (** If this looks familiar, it is no coincidence: We've encountered similar problems in the [Induction] chapter, when trying to use case analysis to prove results that required induction. And once again the solution is... induction! *) (** The behavior of [induction] on evidence is the same as its behavior on data: It causes Coq to generate one subgoal for each constructor that could have used to build that evidence, while providing an induction hypothesis for each recursive occurrence of the property in question. To prove a property of [n] holds for all numbers for which [ev n] holds, we can use induction on [ev n]. This requires us to prove two things, corresponding to the two ways in which [ev n] could have been constructed. If it was constructed by [ev_0], then [n=0], and the property must hold of [0]. If it was constructed by [ev_SS], then the evidence of [ev n] is of the form [ev_SS n' E'], where [n = S (S n')] and [E'] is evidence for [ev n']. In this case, the inductive hypothesis says that the property we are trying to prove holds for [n']. *) (** Let's try our current lemma again: *) Lemma ev_Even : forall n, ev n -> Even n. Proof. intros n E. induction E as [|n' E' IH]. - (* E = ev_0 *) unfold Even. exists 0. reflexivity. - (* E = ev_SS n' E' with IH : Even E' *) unfold Even in IH. destruct IH as [k Hk]. rewrite Hk. unfold Even. exists (S k). simpl. reflexivity. Qed. (** Here, we can see that Coq produced an [IH] that corresponds to [E'], the single recursive occurrence of [ev] in its own definition. Since [E'] mentions [n'], the induction hypothesis talks about [n'], as opposed to [n] or some other number. *) (** The equivalence between the second and third definitions of evenness now follows. *) Theorem ev_Even_iff : forall n, ev n <-> Even n. Proof. intros n. split. - (* -> *) apply ev_Even. - (* <- *) unfold Even. intros [k Hk]. rewrite Hk. apply ev_double. Qed. (** As we will see in later chapters, induction on evidence is a recurring technique across many areas, and in particular when formalizing the semantics of programming languages, where many properties of interest are defined inductively. *) (** The following exercises provide simple examples of this technique, to help you familiarize yourself with it. *) (** **** Exercise: 2 stars, standard (ev_sum) *) Theorem ev_sum : forall n m, ev n -> ev m -> ev (n + m). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 4 stars, advanced, optional (ev'_ev) In general, there may be multiple ways of defining a property inductively. For example, here's a (slightly contrived) alternative definition for [ev]: *) Inductive ev' : nat -> Prop := | ev'_0 : ev' 0 | ev'_2 : ev' 2 | ev'_sum n m (Hn : ev' n) (Hm : ev' m) : ev' (n + m). (** Prove that this definition is logically equivalent to the old one. To streamline the proof, use the technique (from [Logic]) of applying theorems to arguments, and note that the same technique works with constructors of inductively defined propositions. *) Theorem ev'_ev : forall n, ev' n <-> ev n. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 3 stars, advanced, especially useful (ev_ev__ev) There are two pieces of evidence you could attempt to induct upon here. If one doesn't work, try the other. *) Theorem ev_ev__ev : forall n m, ev (n+m) -> ev n -> ev m. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 3 stars, standard, optional (ev_plus_plus) This exercise can be completed without induction or case analysis. But, you will need a clever assertion and some tedious rewriting. Hint: is [(n+m) + (n+p)] even? *) Theorem ev_plus_plus : forall n m p, ev (n+m) -> ev (n+p) -> ev (m+p). Proof. (* FILL IN HERE *) Admitted. (** [] *) (* ################################################################# *) (** * Inductive Relations *) (** A proposition parameterized by a number (such as [ev]) can be thought of as a _property_ -- i.e., it defines a subset of [nat], namely those numbers for which the proposition is provable. In the same way, a two-argument proposition can be thought of as a _relation_ -- i.e., it defines a set of pairs for which the proposition is provable. *) Module Playground. (** ... And, just like properties, relations can be defined inductively. One useful example is the "less than or equal to" relation on numbers. *) (** The following definition says that there are two ways to show that one number is less than or equal to another: either observe that they are the same number, or, if the second has the form [S m], give evidence that the first is less than or equal to [m]. *) Inductive le : nat -> nat -> Prop := | le_n (n : nat) : le n n | le_S (n m : nat) (H : le n m) : le n (S m). Notation "n <= m" := (le n m). (** Proofs of facts about [<=] using the constructors [le_n] and [le_S] follow the same patterns as proofs about properties, like [ev] above. We can [apply] the constructors to prove [<=] goals (e.g., to show that [3<=3] or [3<=6]), and we can use tactics like [inversion] to extract information from [<=] hypotheses in the context (e.g., to prove that [(2 <= 1) -> 2+2=5].) *) (** Here are some sanity checks on the definition. (Notice that, although these are the same kind of simple "unit tests" as we gave for the testing functions we wrote in the first few lectures, we must construct their proofs explicitly -- [simpl] and [reflexivity] don't do the job, because the proofs aren't just a matter of simplifying computations.) *) Theorem test_le1 : 3 <= 3. Proof. (* WORKED IN CLASS *) apply le_n. Qed. Theorem test_le2 : 3 <= 6. Proof. (* WORKED IN CLASS *) apply le_S. apply le_S. apply le_S. apply le_n. Qed. Theorem test_le3 : (2 <= 1) -> 2 + 2 = 5. Proof. (* WORKED IN CLASS *) intros H. inversion H. inversion H2. Qed. (** The "strictly less than" relation [n < m] can now be defined in terms of [le]. *) Definition lt (n m:nat) := le (S n) m. Notation "m < n" := (lt m n). End Playground. (** Here are a few more simple relations on numbers: *) Inductive square_of : nat -> nat -> Prop := | sq n : square_of n (n * n). Inductive next_nat : nat -> nat -> Prop := | nn n : next_nat n (S n). Inductive next_ev : nat -> nat -> Prop := | ne_1 n (H: ev (S n)) : next_ev n (S n) | ne_2 n (H: ev (S (S n))) : next_ev n (S (S n)). (** **** Exercise: 2 stars, standard, optional (total_relation) Define an inductive binary relation [total_relation] that holds between every pair of natural numbers. *) (* FILL IN HERE [] *) (** **** Exercise: 2 stars, standard, optional (empty_relation) Define an inductive binary relation [empty_relation] (on numbers) that never holds. *) (* FILL IN HERE [] *) (** From the definition of [le], we can sketch the behaviors of [destruct], [inversion], and [induction] on a hypothesis [H] providing evidence of the form [le e1 e2]. Doing [destruct H] will generate two cases. In the first case, [e1 = e2], and it will replace instances of [e2] with [e1] in the goal and context. In the second case, [e2 = S n'] for some [n'] for which [le e1 n'] holds, and it will replace instances of [e2] with [S n']. Doing [inversion H] will remove impossible cases and add generated equalities to the context for further use. Doing [induction H] will, in the second case, add the induction hypothesis that the goal holds when [e2] is replaced with [n']. *) (** **** Exercise: 3 stars, standard, optional (le_exercises) Here are a number of facts about the [<=] and [<] relations that we are going to need later in the course. The proofs make good practice exercises. *) Lemma le_trans : forall m n o, m <= n -> n <= o -> m <= o. Proof. (* FILL IN HERE *) Admitted. Theorem O_le_n : forall n, 0 <= n. Proof. (* FILL IN HERE *) Admitted. Theorem n_le_m__Sn_le_Sm : forall n m, n <= m -> S n <= S m. Proof. (* FILL IN HERE *) Admitted. Theorem Sn_le_Sm__n_le_m : forall n m, S n <= S m -> n <= m. Proof. (* FILL IN HERE *) Admitted. Theorem lt_ge_cases : forall n m, n < m \/ n >= m. Proof. (* FILL IN HERE *) Admitted. Theorem le_plus_l : forall a b, a <= a + b. Proof. (* FILL IN HERE *) Admitted. Theorem plus_le : forall n1 n2 m, n1 + n2 <= m -> n1 <= m /\ n2 <= m. Proof. (* FILL IN HERE *) Admitted. (** Hint: the next one may be easiest to prove by induction on [n]. *) Theorem add_le_cases : forall n m p q, n + m <= p + q -> n <= p \/ m <= q. Proof. (* FILL IN HERE *) Admitted. Theorem plus_le_compat_l : forall n m p, n <= m -> p + n <= p + m. Proof. (* FILL IN HERE *) Admitted. Theorem plus_le_compat_r : forall n m p, n <= m -> n + p <= m + p. Proof. (* FILL IN HERE *) Admitted. Theorem le_plus_trans : forall n m p, n <= m -> n <= m + p. Proof. (* FILL IN HERE *) Admitted. Theorem n_lt_m__n_le_m : forall n m, n < m -> n <= m. Proof. (* FILL IN HERE *) Admitted. Theorem plus_lt : forall n1 n2 m, n1 + n2 < m -> n1 < m /\ n2 < m. Proof. (* FILL IN HERE *) Admitted. Theorem leb_complete : forall n m, n <=? m = true -> n <= m. Proof. (* FILL IN HERE *) Admitted. (** Hint: The next one may be easiest to prove by induction on [m]. *) Theorem leb_correct : forall n m, n <= m -> n <=? m = true. Proof. (* FILL IN HERE *) Admitted. (** Hint: The next one can easily be proved without using [induction]. *) Theorem leb_true_trans : forall n m o, n <=? m = true -> m <=? o = true -> n <=? o = true. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 2 stars, standard, optional (leb_iff) *) Theorem leb_iff : forall n m, n <=? m = true <-> n <= m. Proof. (* FILL IN HERE *) Admitted. (** [] *) Module R. (** **** Exercise: 3 stars, standard, especially useful (R_provability) We can define three-place relations, four-place relations, etc., in just the same way as binary relations. For example, consider the following three-place relation on numbers: *) Inductive R : nat -> nat -> nat -> Prop := | c1 : R 0 0 0 | c2 m n o (H : R m n o ) : R (S m) n (S o) | c3 m n o (H : R m n o ) : R m (S n) (S o) | c4 m n o (H : R (S m) (S n) (S (S o))) : R m n o | c5 m n o (H : R m n o ) : R n m o . (** - Which of the following propositions are provable? - [R 1 1 2] - [R 2 2 6] - If we dropped constructor [c5] from the definition of [R], would the set of provable propositions change? Briefly (1 sentence) explain your answer. - If we dropped constructor [c4] from the definition of [R], would the set of provable propositions change? Briefly (1 sentence) explain your answer. *) (* FILL IN HERE *) (* Do not modify the following line: *) Definition manual_grade_for_R_provability : option (nat*string) := None. (** [] *) (** **** Exercise: 3 stars, standard, optional (R_fact) The relation [R] above actually encodes a familiar function. Figure out which function; then state and prove this equivalence in Coq. *) Definition fR : nat -> nat -> nat (* REPLACE THIS LINE WITH ":= _your_definition_ ." *). Admitted. Theorem R_equiv_fR : forall m n o, R m n o <-> fR m n = o. Proof. (* FILL IN HERE *) Admitted. (** [] *) End R. (** **** Exercise: 2 stars, advanced (subsequence) A list is a _subsequence_ of another list if all of the elements in the first list occur in the same order in the second list, possibly with some extra elements in between. For example, [1;2;3] is a subsequence of each of the lists [1;2;3] [1;1;1;2;2;3] [1;2;7;3] [5;6;1;9;9;2;7;3;8] but it is _not_ a subsequence of any of the lists [1;2] [1;3] [5;6;2;1;7;3;8]. - Define an inductive proposition [subseq] on [list nat] that captures what it means to be a subsequence. (Hint: You'll need three cases.) - Prove [subseq_refl] that subsequence is reflexive, that is, any list is a subsequence of itself. - Prove [subseq_app] that for any lists [l1], [l2], and [l3], if [l1] is a subsequence of [l2], then [l1] is also a subsequence of [l2 ++ l3]. - (Optional, harder) Prove [subseq_trans] that subsequence is transitive -- that is, if [l1] is a subsequence of [l2] and [l2] is a subsequence of [l3], then [l1] is a subsequence of [l3]. Hint: choose your induction carefully! *) Inductive subseq : list nat -> list nat -> Prop := (* FILL IN HERE *) . Theorem subseq_refl : forall (l : list nat), subseq l l. Proof. (* FILL IN HERE *) Admitted. Theorem subseq_app : forall (l1 l2 l3 : list nat), subseq l1 l2 -> subseq l1 (l2 ++ l3). Proof. (* FILL IN HERE *) Admitted. Theorem subseq_trans : forall (l1 l2 l3 : list nat), subseq l1 l2 -> subseq l2 l3 -> subseq l1 l3. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 2 stars, standard, optional (R_provability2) Suppose we give Coq the following definition: Inductive R : nat -> list nat -> Prop := | c1 : R 0 [] | c2 n l (H: R n l) : R (S n) (n :: l) | c3 n l (H: R (S n) l) : R n l. Which of the following propositions are provable? - [R 2 [1;0]] - [R 1 [1;2;1;0]] - [R 6 [3;2;1;0]] *) (* FILL IN HERE [] *) (* ################################################################# *) (** * Case Study: Regular Expressions *) (** The [ev] property provides a simple example for illustrating inductive definitions and the basic techniques for reasoning about them, but it is not terribly exciting -- after all, it is equivalent to the two non-inductive definitions of evenness that we had already seen, and does not seem to offer any concrete benefit over them. To give a better sense of the power of inductive definitions, we now show how to use them to model a classic concept in computer science: _regular expressions_. *) (** Regular expressions are a simple language for describing sets of strings. Their syntax is defined as follows: *) Inductive reg_exp (T : Type) : Type := | EmptySet | EmptyStr | Char (t : T) | App (r1 r2 : reg_exp T) | Union (r1 r2 : reg_exp T) | Star (r : reg_exp T). Arguments EmptySet {T}. Arguments EmptyStr {T}. Arguments Char {T} _. Arguments App {T} _ _. Arguments Union {T} _ _. Arguments Star {T} _. (** Note that this definition is _polymorphic_: Regular expressions in [reg_exp T] describe strings with characters drawn from [T] -- that is, lists of elements of [T]. (We depart slightly from standard practice in that we do not require the type [T] to be finite. This results in a somewhat different theory of regular expressions, but the difference is not significant for our purposes.) *) (** We connect regular expressions and strings via the following rules, which define when a regular expression _matches_ some string: - The expression [EmptySet] does not match any string. - The expression [EmptyStr] matches the empty string [[]]. - The expression [Char x] matches the one-character string [[x]]. - If [re1] matches [s1], and [re2] matches [s2], then [App re1 re2] matches [s1 ++ s2]. - If at least one of [re1] and [re2] matches [s], then [Union re1 re2] matches [s]. - Finally, if we can write some string [s] as the concatenation of a sequence of strings [s = s_1 ++ ... ++ s_k], and the expression [re] matches each one of the strings [s_i], then [Star re] matches [s]. In particular, the sequence of strings may be empty, so [Star re] always matches the empty string [[]] no matter what [re] is. *) (** We can easily translate this informal definition into an [Inductive] one as follows. We use the notation [s =~ re] in place of [exp_match s re]. (By "reserving" the notation before defining the [Inductive], we can use it in the definition.) *) Reserved Notation "s =~ re" (at level 80). Inductive exp_match {T} : list T -> reg_exp T -> Prop := | MEmpty : [] =~ EmptyStr | MChar x : [x] =~ (Char x) | MApp s1 re1 s2 re2 (H1 : s1 =~ re1) (H2 : s2 =~ re2) : (s1 ++ s2) =~ (App re1 re2) | MUnionL s1 re1 re2 (H1 : s1 =~ re1) : s1 =~ (Union re1 re2) | MUnionR re1 s2 re2 (H2 : s2 =~ re2) : s2 =~ (Union re1 re2) | MStar0 re : [] =~ (Star re) | MStarApp s1 s2 re (H1 : s1 =~ re) (H2 : s2 =~ (Star re)) : (s1 ++ s2) =~ (Star re) where "s =~ re" := (exp_match s re). (** Again, for readability, we can also display this definition using inference-rule notation. *) (** ---------------- (MEmpty) [] =~ EmptyStr --------------- (MChar) [x] =~ Char x s1 =~ re1 s2 =~ re2 ------------------------- (MApp) s1 ++ s2 =~ App re1 re2 s1 =~ re1 --------------------- (MUnionL) s1 =~ Union re1 re2 s2 =~ re2 --------------------- (MUnionR) s2 =~ Union re1 re2 --------------- (MStar0) [] =~ Star re s1 =~ re s2 =~ Star re --------------------------- (MStarApp) s1 ++ s2 =~ Star re *) (** Notice that these rules are not _quite_ the same as the informal ones that we gave at the beginning of the section. First, we don't need to include a rule explicitly stating that no string matches [EmptySet]; we just don't happen to include any rule that would have the effect of some string matching [EmptySet]. (Indeed, the syntax of inductive definitions doesn't even _allow_ us to give such a "negative rule.") Second, the informal rules for [Union] and [Star] correspond to two constructors each: [MUnionL] / [MUnionR], and [MStar0] / [MStarApp]. The result is logically equivalent to the original rules but more convenient to use in Coq, since the recursive occurrences of [exp_match] are given as direct arguments to the constructors, making it easier to perform induction on evidence. (The [exp_match_ex1] and [exp_match_ex2] exercises below ask you to prove that the constructors given in the inductive declaration and the ones that would arise from a more literal transcription of the informal rules are indeed equivalent.) Let's illustrate these rules with a few examples. *) Example reg_exp_ex1 : [1] =~ Char 1. Proof. apply MChar. Qed. Example reg_exp_ex2 : [1; 2] =~ App (Char 1) (Char 2). Proof. apply (MApp [1]). - apply MChar. - apply MChar. Qed. (** (Notice how the last example applies [MApp] to the string [[1]] directly. Since the goal mentions [[1; 2]] instead of [[1] ++ [2]], Coq wouldn't be able to figure out how to split the string on its own.) Using [inversion], we can also show that certain strings do _not_ match a regular expression: *) Example reg_exp_ex3 : ~ ([1; 2] =~ Char 1). Proof. intros H. inversion H. Qed. (** We can define helper functions for writing down regular expressions. The [reg_exp_of_list] function constructs a regular expression that matches exactly the list that it receives as an argument: *) Fixpoint reg_exp_of_list {T} (l : list T) := match l with | [] => EmptyStr | x :: l' => App (Char x) (reg_exp_of_list l') end. Example reg_exp_ex4 : [1; 2; 3] =~ reg_exp_of_list [1; 2; 3]. Proof. simpl. apply (MApp [1]). { apply MChar. } apply (MApp [2]). { apply MChar. } apply (MApp [3]). { apply MChar. } apply MEmpty. Qed. (** We can also prove general facts about [exp_match]. For instance, the following lemma shows that every string [s] that matches [re] also matches [Star re]. *) Lemma MStar1 : forall T s (re : reg_exp T) , s =~ re -> s =~ Star re. Proof. intros T s re H. rewrite <- (app_nil_r _ s). apply MStarApp. - apply H. - apply MStar0. Qed. (** (Note the use of [app_nil_r] to change the goal of the theorem to exactly the same shape expected by [MStarApp].) *) (** **** Exercise: 3 stars, standard (exp_match_ex1) The following lemmas show that the informal matching rules given at the beginning of the chapter can be obtained from the formal inductive definition. *) Lemma empty_is_empty : forall T (s : list T), ~ (s =~ EmptySet). Proof. (* FILL IN HERE *) Admitted. Lemma MUnion' : forall T (s : list T) (re1 re2 : reg_exp T), s =~ re1 \/ s =~ re2 -> s =~ Union re1 re2. Proof. (* FILL IN HERE *) Admitted. (** The next lemma is stated in terms of the [fold] function from the [Poly] chapter: If [ss : list (list T)] represents a sequence of strings [s1, ..., sn], then [fold app ss []] is the result of concatenating them all together. *) Lemma MStar' : forall T (ss : list (list T)) (re : reg_exp T), (forall s, In s ss -> s =~ re) -> fold app ss [] =~ Star re. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 4 stars, standard, optional (reg_exp_of_list_spec) Prove that [reg_exp_of_list] satisfies the following specification: *) Lemma reg_exp_of_list_spec : forall T (s1 s2 : list T), s1 =~ reg_exp_of_list s2 <-> s1 = s2. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** Since the definition of [exp_match] has a recursive structure, we might expect that proofs involving regular expressions will often require induction on evidence. *) (** For example, suppose that we wanted to prove the following intuitive result: If a regular expression [re] matches some string [s], then all elements of [s] must occur as character literals somewhere in [re]. To state this theorem, we first define a function [re_chars] that lists all characters that occur in a regular expression: *) Fixpoint re_chars {T} (re : reg_exp T) : list T := match re with | EmptySet => [] | EmptyStr => [] | Char x => [x] | App re1 re2 => re_chars re1 ++ re_chars re2 | Union re1 re2 => re_chars re1 ++ re_chars re2 | Star re => re_chars re end. (** We can then phrase our theorem as follows: *) Theorem in_re_match : forall T (s : list T) (re : reg_exp T) (x : T), s =~ re -> In x s -> In x (re_chars re). Proof. intros T s re x Hmatch Hin. induction Hmatch as [| x' | s1 re1 s2 re2 Hmatch1 IH1 Hmatch2 IH2 | s1 re1 re2 Hmatch IH | re1 s2 re2 Hmatch IH | re | s1 s2 re Hmatch1 IH1 Hmatch2 IH2]. (* WORKED IN CLASS *) - (* MEmpty *) simpl in Hin. destruct Hin. - (* MChar *) simpl. simpl in Hin. apply Hin. - (* MApp *) simpl. (** Something interesting happens in the [MApp] case. We obtain _two_ induction hypotheses: One that applies when [x] occurs in [s1] (which matches [re1]), and a second one that applies when [x] occurs in [s2] (which matches [re2]). *) rewrite In_app_iff in *. destruct Hin as [Hin | Hin]. + (* In x s1 *) left. apply (IH1 Hin). + (* In x s2 *) right. apply (IH2 Hin). - (* MUnionL *) simpl. rewrite In_app_iff. left. apply (IH Hin). - (* MUnionR *) simpl. rewrite In_app_iff. right. apply (IH Hin). - (* MStar0 *) destruct Hin. - (* MStarApp *) simpl. (** Here again we get two induction hypotheses, and they illustrate why we need induction on evidence for [exp_match], rather than induction on the regular expression [re]: The latter would only provide an induction hypothesis for strings that match [re], which would not allow us to reason about the case [In x s2]. *) rewrite In_app_iff in Hin. destruct Hin as [Hin | Hin]. + (* In x s1 *) apply (IH1 Hin). + (* In x s2 *) apply (IH2 Hin). Qed. (** **** Exercise: 4 stars, standard (re_not_empty) Write a recursive function [re_not_empty] that tests whether a regular expression matches some string. Prove that your function is correct. *) Fixpoint re_not_empty {T : Type} (re : reg_exp T) : bool (* REPLACE THIS LINE WITH ":= _your_definition_ ." *). Admitted. Lemma re_not_empty_correct : forall T (re : reg_exp T), (exists s, s =~ re) <-> re_not_empty re = true. Proof. (* FILL IN HERE *) Admitted. (** [] *) (* ================================================================= *) (** ** The [remember] Tactic *) (** One potentially confusing feature of the [induction] tactic is that it will let you try to perform an induction over a term that isn't sufficiently general. The effect of this is to lose information (much as [destruct] without an [eqn:] clause can do), and leave you unable to complete the proof. Here's an example: *) Lemma star_app: forall T (s1 s2 : list T) (re : reg_exp T), s1 =~ Star re -> s2 =~ Star re -> s1 ++ s2 =~ Star re. Proof. intros T s1 s2 re H1. (** Now, just doing an [inversion] on [H1] won't get us very far in the recursive cases. (Try it!). So we need induction (on evidence!). Here is a naive first attempt. (We can begin by generalizing [s2], since it's pretty clear that we are going to have to walk over both [s1] and [s2] in parallel.) *) generalize dependent s2. induction H1 as [|x'|s1 re1 s2' re2 Hmatch1 IH1 Hmatch2 IH2 |s1 re1 re2 Hmatch IH|re1 s2' re2 Hmatch IH |re''|s1 s2' re'' Hmatch1 IH1 Hmatch2 IH2]. (** But now, although we get seven cases (as we would expect from the definition of [exp_match]), we have lost a very important bit of information from [H1]: the fact that [s1] matched something of the form [Star re]. This means that we have to give proofs for _all_ seven constructors of this definition, even though all but two of them ([MStar0] and [MStarApp]) are contradictory. We can still get the proof to go through for a few constructors, such as [MEmpty]... *) - (* MEmpty *) simpl. intros s2 H. apply H. (** ... but most cases get stuck. For [MChar], for instance, we must show that s2 =~ Char x' -> x' :: s2 =~ Char x', which is clearly impossible. *) - (* MChar. *) intros s2 H. simpl. (* Stuck... *) Abort. (** The problem is that [induction] over a Prop hypothesis only works properly with hypotheses that are completely general, i.e., ones in which all the arguments are variables, as opposed to more complex expressions, such as [Star re]. (In this respect, [induction] on evidence behaves more like [destruct]-without-[eqn:] than like [inversion].) An awkward way to solve this problem is "manually generalizing" over the problematic expressions by adding explicit equality hypotheses to the lemma: *) Lemma star_app: forall T (s1 s2 : list T) (re re' : reg_exp T), re' = Star re -> s1 =~ re' -> s2 =~ Star re -> s1 ++ s2 =~ Star re. (** We can now proceed by performing induction over evidence directly, because the argument to the first hypothesis is sufficiently general, which means that we can discharge most cases by inverting the [re' = Star re] equality in the context. This idiom is so common that Coq provides a tactic to automatically generate such equations for us, avoiding thus the need for changing the statements of our theorems. *) Abort. (** As we saw above, The tactic [remember e as x] causes Coq to (1) replace all occurrences of the expression [e] by the variable [x], and (2) add an equation [x = e] to the context. Here's how we can use it to show the above result: *) Lemma star_app: forall T (s1 s2 : list T) (re : reg_exp T), s1 =~ Star re -> s2 =~ Star re -> s1 ++ s2 =~ Star re. Proof. intros T s1 s2 re H1. remember (Star re) as re'. (** We now have [Heqre' : re' = Star re]. *) generalize dependent s2. induction H1 as [|x'|s1 re1 s2' re2 Hmatch1 IH1 Hmatch2 IH2 |s1 re1 re2 Hmatch IH|re1 s2' re2 Hmatch IH |re''|s1 s2' re'' Hmatch1 IH1 Hmatch2 IH2]. (** The [Heqre'] is contradictory in most cases, allowing us to conclude immediately. *) - (* MEmpty *) discriminate. - (* MChar *) discriminate. - (* MApp *) discriminate. - (* MUnionL *) discriminate. - (* MUnionR *) discriminate. (** The interesting cases are those that correspond to [Star]. Note that the induction hypothesis [IH2] on the [MStarApp] case mentions an additional premise [Star re'' = Star re], which results from the equality generated by [remember]. *) - (* MStar0 *) injection Heqre' as Heqre''. intros s H. apply H. - (* MStarApp *) injection Heqre' as Heqre''. intros s2 H1. rewrite <- app_assoc. apply MStarApp. + apply Hmatch1. + apply IH2. * rewrite Heqre''. reflexivity. * apply H1. Qed. (** **** Exercise: 4 stars, standard, optional (exp_match_ex2) *) (** The [MStar''] lemma below (combined with its converse, the [MStar'] exercise above), shows that our definition of [exp_match] for [Star] is equivalent to the informal one given previously. *) Lemma MStar'' : forall T (s : list T) (re : reg_exp T), s =~ Star re -> exists ss : list (list T), s = fold app ss [] /\ forall s', In s' ss -> s' =~ re. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 5 stars, advanced (weak_pumping) One of the first really interesting theorems in the theory of regular expressions is the so-called _pumping lemma_, which states, informally, that any sufficiently long string [s] matching a regular expression [re] can be "pumped" by repeating some middle section of [s] an arbitrary number of times to produce a new string also matching [re]. (For the sake of simplicity in this exercise, we consider a slightly weaker theorem than is usually stated in courses on automata theory.) To get started, we need to define "sufficiently long." Since we are working in a constructive logic, we actually need to be able to calculate, for each regular expression [re], the minimum length for strings [s] to guarantee "pumpability." *) Module Pumping. Fixpoint pumping_constant {T} (re : reg_exp T) : nat := match re with | EmptySet => 1 | EmptyStr => 1 | Char _ => 2 | App re1 re2 => pumping_constant re1 + pumping_constant re2 | Union re1 re2 => pumping_constant re1 + pumping_constant re2 | Star r => pumping_constant r end. (** You may find these lemmas about the pumping constant useful when proving the pumping lemma below. *) Lemma pumping_constant_ge_1 : forall T (re : reg_exp T), pumping_constant re >= 1. Proof. intros T re. induction re. - (* EmptySet *) apply le_n. - (* EmptyStr *) apply le_n. - (* Char *) apply le_S. apply le_n. - (* App *) simpl. apply le_trans with (n:=pumping_constant re1). apply IHre1. apply le_plus_l. - (* Union *) simpl. apply le_trans with (n:=pumping_constant re1). apply IHre1. apply le_plus_l. - (* Star *) simpl. apply IHre. Qed. Lemma pumping_constant_0_false : forall T (re : reg_exp T), pumping_constant re = 0 -> False. Proof. intros T re H. assert (Hp1 : pumping_constant re >= 1). { apply pumping_constant_ge_1. } inversion Hp1 as [Hp1'| p Hp1' Hp1'']. - rewrite H in Hp1'. discriminate Hp1'. - rewrite H in Hp1''. discriminate Hp1''. Qed. (** Next, it is useful to define an auxiliary function that repeats a string (appends it to itself) some number of times. *) Fixpoint napp {T} (n : nat) (l : list T) : list T := match n with | 0 => [] | S n' => l ++ napp n' l end. (** This auxiliary lemma might also be useful in your proof of the pumping lemma. *) Lemma napp_plus: forall T (n m : nat) (l : list T), napp (n + m) l = napp n l ++ napp m l. Proof. intros T n m l. induction n as [|n IHn]. - reflexivity. - simpl. rewrite IHn, app_assoc. reflexivity. Qed. Lemma napp_star : forall T m s1 s2 (re : reg_exp T), s1 =~ re -> s2 =~ Star re -> napp m s1 ++ s2 =~ Star re. Proof. intros T m s1 s2 re Hs1 Hs2. induction m. - simpl. apply Hs2. - simpl. rewrite <- app_assoc. apply MStarApp. + apply Hs1. + apply IHm. Qed. (** The (weak) pumping lemma itself says that, if [s =~ re] and if the length of [s] is at least the pumping constant of [re], then [s] can be split into three substrings [s1 ++ s2 ++ s3] in such a way that [s2] can be repeated any number of times and the result, when combined with [s1] and [s3] will still match [re]. Since [s2] is also guaranteed not to be the empty string, this gives us a (constructive!) way to generate strings matching [re] that are as long as we like. *) Lemma weak_pumping : forall T (re : reg_exp T) s, s =~ re -> pumping_constant re <= length s -> exists s1 s2 s3, s = s1 ++ s2 ++ s3 /\ s2 <> [] /\ forall m, s1 ++ napp m s2 ++ s3 =~ re. (** You are to fill in the proof. Several of the lemmas about [le] that were in an optional exercise earlier in this chapter may be useful. *) Proof. intros T re s Hmatch. induction Hmatch as [ | x | s1 re1 s2 re2 Hmatch1 IH1 Hmatch2 IH2 | s1 re1 re2 Hmatch IH | re1 s2 re2 Hmatch IH | re | s1 s2 re Hmatch1 IH1 Hmatch2 IH2 ]. - (* MEmpty *) simpl. intros contra. inversion contra. (* FILL IN HERE *) Admitted. (** [] *) (** **** Exercise: 5 stars, advanced, optional (pumping) Now here is the usual version of the pumping lemma. In addition to requiring that [s2 <> []], it also requires that [length s1 + length s2 <= pumping_constant re]. *) Lemma pumping : forall T (re : reg_exp T) s, s =~ re -> pumping_constant re <= length s -> exists s1 s2 s3, s = s1 ++ s2 ++ s3 /\ s2 <> [] /\ length s1 + length s2 <= pumping_constant re /\ forall m, s1 ++ napp m s2 ++ s3 =~ re. (** You may want to copy your proof of weak_pumping below. *) Proof. intros T re s Hmatch. induction Hmatch as [ | x | s1 re1 s2 re2 Hmatch1 IH1 Hmatch2 IH2 | s1 re1 re2 Hmatch IH | re1 s2 re2 Hmatch IH | re | s1 s2 re Hmatch1 IH1 Hmatch2 IH2 ]. - (* MEmpty *) simpl. intros contra. inversion contra. (* FILL IN HERE *) Admitted. End Pumping. (** [] *) (* ################################################################# *) (** * Case Study: Improving Reflection *) (** We've seen in the [Logic] chapter that we often need to relate boolean computations to statements in [Prop]. But performing this conversion as we did it there can result in tedious proof scripts. Consider the proof of the following theorem: *) Theorem filter_not_empty_In : forall n l, filter (fun x => n =? x) l <> [] -> In n l. Proof. intros n l. induction l as [|m l' IHl']. - (* l = [] *) simpl. intros H. apply H. reflexivity. - (* l = m :: l' *) simpl. destruct (n =? m) eqn:H. + (* n =? m = true *) intros _. rewrite eqb_eq in H. rewrite H. left. reflexivity. + (* n =? m = false *) intros H'. right. apply IHl'. apply H'. Qed. (** In the first branch after [destruct], we explicitly apply the [eqb_eq] lemma to the equation generated by destructing [n =? m], to convert the assumption [n =? m = true] into the assumption [n = m]; then we had to [rewrite] using this assumption to complete the case. *) (** We can streamline this by defining an inductive proposition that yields a better case-analysis principle for [n =? m]. Instead of generating an equation such as [(n =? m) = true], which is generally not directly useful, this principle gives us right away the assumption we really need: [n = m]. Following the terminology introduced in [Logic], we call this the "reflection principle for equality (between numbers)," and we say that the boolean [n =? m] is _reflected in_ the proposition [n = m]. *) Inductive reflect (P : Prop) : bool -> Prop := | ReflectT (H : P) : reflect P true | ReflectF (H : ~ P) : reflect P false. (** The [reflect] property takes two arguments: a proposition [P] and a boolean [b]. Intuitively, it states that the property [P] is _reflected_ in (i.e., equivalent to) the boolean [b]: that is, [P] holds if and only if [b = true]. To see this, notice that, by definition, the only way we can produce evidence for [reflect P true] is by showing [P] and then using the [ReflectT] constructor. If we invert this statement, this means that it should be possible to extract evidence for [P] from a proof of [reflect P true]. Similarly, the only way to show [reflect P false] is by combining evidence for [~ P] with the [ReflectF] constructor. *) (** To put this observation to work, we first prove that the statements [P <-> b = true] and [reflect P b] are indeed equivalent. First, the left-to-right implication: *) Theorem iff_reflect : forall P b, (P <-> b = true) -> reflect P b. Proof. (* WORKED IN CLASS *) intros P b H. destruct b eqn:Eb. - apply ReflectT. rewrite H. reflexivity. - apply ReflectF. rewrite H. intros H'. discriminate. Qed. (** Now you prove the right-to-left implication: *) (** **** Exercise: 2 stars, standard, especially useful (reflect_iff) *) Theorem reflect_iff : forall P b, reflect P b -> (P <-> b = true). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** The advantage of [reflect] over the normal "if and only if" connective is that, by destructing a hypothesis or lemma of the form [reflect P b], we can perform case analysis on [b] while at the same time generating appropriate hypothesis in the two branches ([P] in the first subgoal and [~ P] in the second). *) Lemma eqbP : forall n m, reflect (n = m) (n =? m). Proof. intros n m. apply iff_reflect. rewrite eqb_eq. reflexivity. Qed. (** A smoother proof of [filter_not_empty_In] now goes as follows. Notice how the calls to [destruct] and [rewrite] are combined into a single call to [destruct]. *) (** (To see this clearly, look at the two proofs of [filter_not_empty_In] with Coq and observe the differences in proof state at the beginning of the first case of the [destruct].) *) Theorem filter_not_empty_In' : forall n l, filter (fun x => n =? x) l <> [] -> In n l. Proof. intros n l. induction l as [|m l' IHl']. - (* l = [] *) simpl. intros H. apply H. reflexivity. - (* l = m :: l' *) simpl. destruct (eqbP n m) as [H | H]. + (* n = m *) intros _. rewrite H. left. reflexivity. + (* n <> m *) intros H'. right. apply IHl'. apply H'. Qed. (** **** Exercise: 3 stars, standard, especially useful (eqbP_practice) Use [eqbP] as above to prove the following: *) Fixpoint count n l := match l with | [] => 0 | m :: l' => (if n =? m then 1 else 0) + count n l' end. Theorem eqbP_practice : forall n l, count n l = 0 -> ~(In n l). Proof. (* FILL IN HERE *) Admitted. (** [] *) (** This small example shows reflection giving us a small gain in convenience; in larger developments, using [reflect] consistently can often lead to noticeably shorter and clearer proof scripts. We'll see many more examples in later chapters and in _Programming Language Foundations_. The use of the [reflect] property has been popularized by _SSReflect_, a Coq library that has been used to formalize important results in mathematics, including as the 4-color theorem and the Feit-Thompson theorem. The name SSReflect stands for _small-scale reflection_, i.e., the pervasive use of reflection to simplify small proof steps with boolean computations. *) (* ################################################################# *) (** * Additional Exercises *) (** **** Exercise: 3 stars, standard, especially useful (nostutter_defn) Formulating inductive definitions of properties is an important skill you'll need in this course. Try to solve this exercise without any help at all. We say that a list "stutters" if it repeats the same element consecutively. (This is different from not containing duplicates: the sequence [[1;4;1]] repeats the element [1] but does not stutter.) The property "[nostutter mylist]" means that [mylist] does not stutter. Formulate an inductive definition for [nostutter]. *) Inductive nostutter {X:Type} : list X -> Prop := (* FILL IN HERE *) . (** Make sure each of these tests succeeds, but feel free to change the suggested proof (in comments) if the given one doesn't work for you. Your definition might be different from ours and still be correct, in which case the examples might need a different proof. (You'll notice that the suggested proofs use a number of tactics we haven't talked about, to make them more robust to different possible ways of defining [nostutter]. You can probably just uncomment and use them as-is, but you can also prove each example with more basic tactics.) *) Example test_nostutter_1: nostutter [3;1;4;1;5;6]. (* FILL IN HERE *) Admitted. (* Proof. repeat constructor; apply eqb_neq; auto. Qed. *) Example test_nostutter_2: nostutter (@nil nat). (* FILL IN HERE *) Admitted. (* Proof. repeat constructor; apply eqb_neq; auto. Qed. *) Example test_nostutter_3: nostutter [5]. (* FILL IN HERE *) Admitted. (* Proof. repeat constructor; auto. Qed. *) Example test_nostutter_4: not (nostutter [3;1;1;4]). (* FILL IN HERE *) Admitted. (* Proof. intro. repeat match goal with h: nostutter _ |- _ => inversion h; clear h; subst end. contradiction; auto. Qed. *) (* Do not modify the following line: *) Definition manual_grade_for_nostutter : option (nat*string) := None. (** [] *) (** **** Exercise: 4 stars, advanced (filter_challenge) Let's prove that our definition of [filter] from the [Poly] chapter matches an abstract specification. Here is the specification, written out informally in English: A list [l] is an "in-order merge" of [l1] and [l2] if it contains all the same elements as [l1] and [l2], in the same order as [l1] and [l2], but possibly interleaved. For example, [1;4;6;2;3] is an in-order merge of [1;6;2] and [4;3]. Now, suppose we have a set [X], a function [test: X->bool], and a list [l] of type [list X]. Suppose further that [l] is an in-order merge of two lists, [l1] and [l2], such that every item in [l1] satisfies [test] and no item in [l2] satisfies test. Then [filter test l = l1]. Translate this specification into a Coq theorem and prove it. (You'll need to begin by defining what it means for one list to be a merge of two others. Do this with an inductive relation, not a [Fixpoint].) *) (* FILL IN HERE *) (* Do not modify the following line: *) Definition manual_grade_for_filter_challenge : option (nat*string) := None. (** [] *) (** **** Exercise: 5 stars, advanced, optional (filter_challenge_2) A different way to characterize the behavior of [filter] goes like this: Among all subsequences of [l] with the property that [test] evaluates to [true] on all their members, [filter test l] is the longest. Formalize this claim and prove it. *) (* FILL IN HERE [] *) (** **** Exercise: 4 stars, standard, optional (palindromes) A palindrome is a sequence that reads the same backwards as forwards. - Define an inductive proposition [pal] on [list X] that captures what it means to be a palindrome. (Hint: You'll need three cases. Your definition should be based on the structure of the list; just having a single constructor like c : forall l, l = rev l -> pal l may seem obvious, but will not work very well.) - Prove ([pal_app_rev]) that forall l, pal (l ++ rev l). - Prove ([pal_rev] that) forall l, pal l -> l = rev l. *) (* FILL IN HERE *) (* Do not modify the following line: *) Definition manual_grade_for_pal_pal_app_rev_pal_rev : option (nat*string) := None. (** [] *) (** **** Exercise: 5 stars, standard, optional (palindrome_converse) Again, the converse direction is significantly more difficult, due to the lack of evidence. Using your definition of [pal] from the previous exercise, prove that forall l, l = rev l -> pal l. *) (* FILL IN HERE [] *) (** **** Exercise: 4 stars, advanced, optional (NoDup) Recall the definition of the [In] property from the [Logic] chapter, which asserts that a value [x] appears at least once in a list [l]: *) (* Fixpoint In (A : Type) (x : A) (l : list A) : Prop := match l with | [] => False | x' :: l' => x' = x \/ In A x l' end *) (** Your first task is to use [In] to define a proposition [disjoint X l1 l2], which should be provable exactly when [l1] and [l2] are lists (with elements of type X) that have no elements in common. *) (* FILL IN HERE *) (** Next, use [In] to define an inductive proposition [NoDup X l], which should be provable exactly when [l] is a list (with elements of type [X]) where every member is different from every other. For example, [NoDup nat [1;2;3;4]] and [NoDup bool []] should be provable, while [NoDup nat [1;2;1]] and [NoDup bool [true;true]] should not be. *) (* FILL IN HERE *) (** Finally, state and prove one or more interesting theorems relating [disjoint], [NoDup] and [++] (list append). *) (* FILL IN HERE *) (* Do not modify the following line: *) Definition manual_grade_for_NoDup_disjoint_etc : option (nat*string) := None. (** [] *) (** **** Exercise: 4 stars, advanced, optional (pigeonhole_principle) The _pigeonhole principle_ states a basic fact about counting: if we distribute more than [n] items into [n] pigeonholes, some pigeonhole must contain at least two items. As often happens, this apparently trivial fact about numbers requires non-trivial machinery to prove, but we now have enough... *) (** First prove an easy and useful lemma. *) Lemma in_split : forall (X:Type) (x:X) (l:list X), In x l -> exists l1 l2, l = l1 ++ x :: l2. Proof. (* FILL IN HERE *) Admitted. (** Now define a property [repeats] such that [repeats X l] asserts that [l] contains at least one repeated element (of type [X]). *) Inductive repeats {X:Type} : list X -> Prop := (* FILL IN HERE *) . (* Do not modify the following line: *) Definition manual_grade_for_check_repeats : option (nat*string) := None. (** Now, here's a way to formalize the pigeonhole principle. Suppose list [l2] represents a list of pigeonhole labels, and list [l1] represents the labels assigned to a list of items. If there are more items than labels, at least two items must have the same label -- i.e., list [l1] must contain repeats. This proof is much easier if you use the [excluded_middle] hypothesis to show that [In] is decidable, i.e., [forall x l, (In x l) \/ ~ (In x l)]. However, it is also possible to make the proof go through _without_ assuming that [In] is decidable; if you manage to do this, you will not need the [excluded_middle] hypothesis. *) Theorem pigeonhole_principle: excluded_middle -> forall (X:Type) (l1 l2:list X), (forall x, In x l1 -> In x l2) -> length l2 < length l1 -> repeats l1. Proof. intros EM X l1. induction l1 as [|x l1' IHl1']. (* FILL IN HERE *) Admitted. (** [] *) (* ================================================================= *) (** ** Extended Exercise: A Verified Regular-Expression Matcher *) (** We have now defined a match relation over regular expressions and polymorphic lists. We can use such a definition to manually prove that a given regex matches a given string, but it does not give us a program that we can run to determine a match automatically. It would be reasonable to hope that we can translate the definitions of the inductive rules for constructing evidence of the match relation into cases of a recursive function that reflects the relation by recursing on a given regex. However, it does not seem straightforward to define such a function in which the given regex is a recursion variable recognized by Coq. As a result, Coq will not accept that the function always terminates. Heavily-optimized regex matchers match a regex by translating a given regex into a state machine and determining if the state machine accepts a given string. However, regex matching can also be implemented using an algorithm that operates purely on strings and regexes without defining and maintaining additional datatypes, such as state machines. We'll implement such an algorithm, and verify that its value reflects the match relation. *) (** We will implement a regex matcher that matches strings represented as lists of ASCII characters: *) Require Import Coq.Strings.Ascii. Definition string := list ascii. (** The Coq standard library contains a distinct inductive definition of strings of ASCII characters. However, we will use the above definition of strings as lists as ASCII characters in order to apply the existing definition of the match relation. We could also define a regex matcher over polymorphic lists, not lists of ASCII characters specifically. The matching algorithm that we will implement needs to be able to test equality of elements in a given list, and thus needs to be given an equality-testing function. Generalizing the definitions, theorems, and proofs that we define for such a setting is a bit tedious, but workable. *) (** The proof of correctness of the regex matcher will combine properties of the regex-matching function with properties of the [match] relation that do not depend on the matching function. We'll go ahead and prove the latter class of properties now. Most of them have straightforward proofs, which have been given to you, although there are a few key lemmas that are left for you to prove. *) (** Each provable [Prop] is equivalent to [True]. *) Lemma provable_equiv_true : forall (P : Prop), P -> (P <-> True). Proof. intros. split. - intros. constructor. - intros _. apply H. Qed. (** Each [Prop] whose negation is provable is equivalent to [False]. *) Lemma not_equiv_false : forall (P : Prop), ~P -> (P <-> False). Proof. intros. split. - apply H. - intros. destruct H0. Qed. (** [EmptySet] matches no string. *) Lemma null_matches_none : forall (s : string), (s =~ EmptySet) <-> False. Proof. intros. apply not_equiv_false. unfold not. intros. inversion H. Qed. (** [EmptyStr] only matches the empty string. *) Lemma empty_matches_eps : forall (s : string), s =~ EmptyStr <-> s = [ ]. Proof. split. - intros. inversion H. reflexivity. - intros. rewrite H. apply MEmpty. Qed. (** [EmptyStr] matches no non-empty string. *) Lemma empty_nomatch_ne : forall (a : ascii) s, (a :: s =~ EmptyStr) <-> False. Proof. intros. apply not_equiv_false. unfold not. intros. inversion H. Qed. (** [Char a] matches no string that starts with a non-[a] character. *) Lemma char_nomatch_char : forall (a b : ascii) s, b <> a -> (b :: s =~ Char a <-> False). Proof. intros. apply not_equiv_false. unfold not. intros. apply H. inversion H0. reflexivity. Qed. (** If [Char a] matches a non-empty string, then the string's tail is empty. *) Lemma char_eps_suffix : forall (a : ascii) s, a :: s =~ Char a <-> s = [ ]. Proof. split. - intros. inversion H. reflexivity. - intros. rewrite H. apply MChar. Qed. (** [App re0 re1] matches string [s] iff [s = s0 ++ s1], where [s0] matches [re0] and [s1] matches [re1]. *) Lemma app_exists : forall (s : string) re0 re1, s =~ App re0 re1 <-> exists s0 s1, s = s0 ++ s1 /\ s0 =~ re0 /\ s1 =~ re1. Proof. intros. split. - intros. inversion H. exists s1, s2. split. * reflexivity. * split. apply H3. apply H4. - intros [ s0 [ s1 [ Happ [ Hmat0 Hmat1 ] ] ] ]. rewrite Happ. apply (MApp s0 _ s1 _ Hmat0 Hmat1). Qed. (** **** Exercise: 3 stars, standard, optional (app_ne) [App re0 re1] matches [a::s] iff [re0] matches the empty string and [a::s] matches [re1] or [s=s0++s1], where [a::s0] matches [re0] and [s1] matches [re1]. Even though this is a property of purely the match relation, it is a critical observation behind the design of our regex matcher. So (1) take time to understand it, (2) prove it, and (3) look for how you'll use it later. *) Lemma app_ne : forall (a : ascii) s re0 re1, a :: s =~ (App re0 re1) <-> ([ ] =~ re0 /\ a :: s =~ re1) \/ exists s0 s1, s = s0 ++ s1 /\ a :: s0 =~ re0 /\ s1 =~ re1. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** [s] matches [Union re0 re1] iff [s] matches [re0] or [s] matches [re1]. *) Lemma union_disj : forall (s : string) re0 re1, s =~ Union re0 re1 <-> s =~ re0 \/ s =~ re1. Proof. intros. split. - intros. inversion H. + left. apply H2. + right. apply H1. - intros [ H | H ]. + apply MUnionL. apply H. + apply MUnionR. apply H. Qed. (** **** Exercise: 3 stars, standard, optional (star_ne) [a::s] matches [Star re] iff [s = s0 ++ s1], where [a::s0] matches [re] and [s1] matches [Star re]. Like [app_ne], this observation is critical, so understand it, prove it, and keep it in mind. Hint: you'll need to perform induction. There are quite a few reasonable candidates for [Prop]'s to prove by induction. The only one that will work is splitting the [iff] into two implications and proving one by induction on the evidence for [a :: s =~ Star re]. The other implication can be proved without induction. In order to prove the right property by induction, you'll need to rephrase [a :: s =~ Star re] to be a [Prop] over general variables, using the [remember] tactic. *) Lemma star_ne : forall (a : ascii) s re, a :: s =~ Star re <-> exists s0 s1, s = s0 ++ s1 /\ a :: s0 =~ re /\ s1 =~ Star re. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** The definition of our regex matcher will include two fixpoint functions. The first function, given regex [re], will evaluate to a value that reflects whether [re] matches the empty string. The function will satisfy the following property: *) Definition refl_matches_eps m := forall re : reg_exp ascii, reflect ([ ] =~ re) (m re). (** **** Exercise: 2 stars, standard, optional (match_eps) Complete the definition of [match_eps] so that it tests if a given regex matches the empty string: *) Fixpoint match_eps (re: reg_exp ascii) : bool (* REPLACE THIS LINE WITH ":= _your_definition_ ." *). Admitted. (** [] *) (** **** Exercise: 3 stars, standard, optional (match_eps_refl) Now, prove that [match_eps] indeed tests if a given regex matches the empty string. (Hint: You'll want to use the reflection lemmas [ReflectT] and [ReflectF].) *) Lemma match_eps_refl : refl_matches_eps match_eps. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** We'll define other functions that use [match_eps]. However, the only property of [match_eps] that you'll need to use in all proofs over these functions is [match_eps_refl]. *) (** The key operation that will be performed by our regex matcher will be to iteratively construct a sequence of regex derivatives. For each character [a] and regex [re], the derivative of [re] on [a] is a regex that matches all suffixes of strings matched by [re] that start with [a]. I.e., [re'] is a derivative of [re] on [a] if they satisfy the following relation: *) Definition is_der re (a : ascii) re' := forall s, a :: s =~ re <-> s =~ re'. (** A function [d] derives strings if, given character [a] and regex [re], it evaluates to the derivative of [re] on [a]. I.e., [d] satisfies the following property: *) Definition derives d := forall a re, is_der re a (d a re). (** **** Exercise: 3 stars, standard, optional (derive) Define [derive] so that it derives strings. One natural implementation uses [match_eps] in some cases to determine if key regex's match the empty string. *) Fixpoint derive (a : ascii) (re : reg_exp ascii) : reg_exp ascii (* REPLACE THIS LINE WITH ":= _your_definition_ ." *). Admitted. (** [] *) (** The [derive] function should pass the following tests. Each test establishes an equality between an expression that will be evaluated by our regex matcher and the final value that must be returned by the regex matcher. Each test is annotated with the match fact that it reflects. *) Example c := ascii_of_nat 99. Example d := ascii_of_nat 100. (** "c" =~ EmptySet: *) Example test_der0 : match_eps (derive c (EmptySet)) = false. Proof. (* FILL IN HERE *) Admitted. (** "c" =~ Char c: *) Example test_der1 : match_eps (derive c (Char c)) = true. Proof. (* FILL IN HERE *) Admitted. (** "c" =~ Char d: *) Example test_der2 : match_eps (derive c (Char d)) = false. Proof. (* FILL IN HERE *) Admitted. (** "c" =~ App (Char c) EmptyStr: *) Example test_der3 : match_eps (derive c (App (Char c) EmptyStr)) = true. Proof. (* FILL IN HERE *) Admitted. (** "c" =~ App EmptyStr (Char c): *) Example test_der4 : match_eps (derive c (App EmptyStr (Char c))) = true. Proof. (* FILL IN HERE *) Admitted. (** "c" =~ Star c: *) Example test_der5 : match_eps (derive c (Star (Char c))) = true. Proof. (* FILL IN HERE *) Admitted. (** "cd" =~ App (Char c) (Char d): *) Example test_der6 : match_eps (derive d (derive c (App (Char c) (Char d)))) = true. Proof. (* FILL IN HERE *) Admitted. (** "cd" =~ App (Char d) (Char c): *) Example test_der7 : match_eps (derive d (derive c (App (Char d) (Char c)))) = false. Proof. (* FILL IN HERE *) Admitted. (** **** Exercise: 4 stars, standard, optional (derive_corr) Prove that [derive] in fact always derives strings. Hint: one proof performs induction on [re], although you'll need to carefully choose the property that you prove by induction by generalizing the appropriate terms. Hint: if your definition of [derive] applies [match_eps] to a particular regex [re], then a natural proof will apply [match_eps_refl] to [re] and destruct the result to generate cases with assumptions that the [re] does or does not match the empty string. Hint: You can save quite a bit of work by using lemmas proved above. In particular, to prove many cases of the induction, you can rewrite a [Prop] over a complicated regex (e.g., [s =~ Union re0 re1]) to a Boolean combination of [Prop]'s over simple regex's (e.g., [s =~ re0 \/ s =~ re1]) using lemmas given above that are logical equivalences. You can then reason about these [Prop]'s naturally using [intro] and [destruct]. *) Lemma derive_corr : derives derive. Proof. (* FILL IN HERE *) Admitted. (** [] *) (** We'll define the regex matcher using [derive]. However, the only property of [derive] that you'll need to use in all proofs of properties of the matcher is [derive_corr]. *) (** A function [m] matches regexes if, given string [s] and regex [re], it evaluates to a value that reflects whether [s] is matched by [re]. I.e., [m] holds the following property: *) Definition matches_regex m : Prop := forall (s : string) re, reflect (s =~ re) (m s re). (** **** Exercise: 2 stars, standard, optional (regex_match) Complete the definition of [regex_match] so that it matches regexes. *) Fixpoint regex_match (s : string) (re : reg_exp ascii) : bool (* REPLACE THIS LINE WITH ":= _your_definition_ ." *). Admitted. (** [] *) (** **** Exercise: 3 stars, standard, optional (regex_refl) Finally, prove that [regex_match] in fact matches regexes. Hint: if your definition of [regex_match] applies [match_eps] to regex [re], then a natural proof applies [match_eps_refl] to [re] and destructs the result to generate cases in which you may assume that [re] does or does not match the empty string. Hint: if your definition of [regex_match] applies [derive] to character [x] and regex [re], then a natural proof applies [derive_corr] to [x] and [re] to prove that [x :: s =~ re] given [s =~ derive x re], and vice versa. *) Theorem regex_refl : matches_regex regex_match. Proof. (* FILL IN HERE *) Admitted. (** [] *) (* 2021-08-11 15:08 *)
`timescale 1ns / 1ps module text_editor_keyboard_controller( input sys_Clk, input PS2_Clk, input Reset, inout PS2KeyboardData, inout PS2KeyboardClk, output reg [7:0] KeyData, output reg KeyReleased ); /************************************************************************ * LOCAL SIGNALS * ************************************************************************/ reg [1:0] state; localparam I = 2'b00, BAT = 2'b01, DATA = 2'b10, UNK = 2'bXX; wire [7:0] received_data; wire received_data_en; reg [7:0] previous_word; reg send_command; reg [7:0] the_command; wire command_sent; /************************************************************************ * PS2 KEYBOARD * ************************************************************************/ PS2_Controller PS2_Keyboard_Controller( // Inputs .CLOCK_50(PS2_Clk), .reset(Reset), .the_command(the_command), .send_command(send_command), // Bidirectionals .PS2_CLK(PS2KeyboardClk), // PS2 Clock .PS2_DAT(PS2KeyboardData), // PS2 Data // Outputs .command_was_sent(command_sent), .error_communication_timed_out( ), .received_data(received_data), .received_data_en(received_data_en) // If 1 - new data has been received ); /************************************************************************ * STATE MACHINE * ************************************************************************/ always @ (posedge sys_Clk, posedge Reset) begin: STATE_MACHINE if(Reset) begin state <= I; previous_word <= 8'hXX; send_command <= 1'bX; the_command <= 8'hXX; KeyData <= 8'hXX; end else case(state) I: begin state <= BAT; KeyData <= 8'h00; previous_word <= 8'h00; KeyReleased <= 1'b0; send_command <= 1'b1; the_command <= 8'hFF; // RESET KEYBOARD end BAT: begin if (command_sent) begin send_command <= 1'b0; end case (received_data) 8'hAA: begin // SUCCESSFUL POST state <= DATA; end 8'hFC: begin send_command <= 1'b1; // TRY TO POST AGAIN end default: begin end endcase end DATA: begin if (command_sent) begin send_command <= 1'b0; end if (KeyReleased) begin KeyReleased <= 1'b0; end if (received_data_en) begin previous_word <= received_data; case(received_data) 8'hF0: begin // Key Released end 8'hFA: begin // Acknowledge end 8'hAA: begin // Self Test Passed end 8'hEE: begin // Echo Response end 8'hFE: begin // Resend Request end 8'h00: begin // Error end 8'hFF: begin // Error end 8'h12: begin // Shift end 8'h59: begin // Shift end 8'h58: begin // Caps end 8'h0D: begin // Tab end 8'h14: begin // Ctrl end 8'h11: begin // Alt end 8'hE0: begin // Extra end 8'h5A: begin // Enter end default: begin if (previous_word == 8'hF0) begin // IF PREV WORD WAS KEY RELEASED SCAN CODE KeyData <= received_data; KeyReleased <= 1'b1; end end endcase end end default: state <= UNK; endcase end endmodule
//***************************************************************************** // (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : 1.2 // \ \ Application : MIG // / / Filename : sim_tb_top.v // /___/ /\ Date Last Modified : $Date: 2011/06/02 11:58:56 $ // \ \ / \ Date Created : Tue Sept 21 2010 // \___\/\___\ // // Device : 7 Series // Design Name : DDR3 SDRAM // Purpose : // Top-level testbench for testing DDR3. // Instantiates: // 1. IP_TOP (top-level representing FPGA, contains core, // clocking, built-in testbench/memory checker and other // support structures) // 2. DDR3 Memory // 3. Miscellaneous clock generation and reset logic // 4. For ECC ON case inserts error on LSB bit // of data from DRAM to FPGA. // Reference : // Revision History : //***************************************************************************** `timescale 1ps/100fs module sim_tb_top; //*************************************************************************** // Traffic Gen related parameters //*************************************************************************** parameter SIMULATION = "FALSE"; parameter BL_WIDTH = 8; parameter PORT_MODE = "BI_MODE"; parameter DATA_MODE = 4'b0010; parameter EYE_TEST = "FALSE"; // set EYE_TEST = "TRUE" to probe memory // signals. Traffic Generator will only // write to one single location and no // read transactions will be generated. parameter DATA_PATTERN = "DGEN_ALL"; // "DGEN_HAMMER", "DGEN_WALKING1", // "DGEN_WALKING0","DGEN_ADDR"," // "DGEN_NEIGHBOR","DGEN_PRBS","DGEN_ALL" parameter CMD_PATTERN = "CGEN_ALL"; // "CGEN_PRBS","CGEN_FIXED","CGEN_BRAM", // "CGEN_SEQUENTIAL", "CGEN_ALL" parameter BEGIN_ADDRESS = 32'h00000000; parameter END_ADDRESS = 32'h00000fff; parameter PRBS_EADDR_MASK_POS = 32'hff000000; parameter SEL_VICTIM_LINE = 11; //*************************************************************************** // The following parameters refer to width of various ports //*************************************************************************** parameter BANK_WIDTH = 3; // # of memory Bank Address bits. parameter CK_WIDTH = 1; // # of CK/CK# outputs to memory. parameter COL_WIDTH = 10; // # of memory Column Address bits. parameter CS_WIDTH = 1; // # of unique CS outputs to memory. parameter nCS_PER_RANK = 1; // # of unique CS outputs per rank for phy parameter CKE_WIDTH = 1; // # of CKE outputs to memory. parameter DATA_BUF_ADDR_WIDTH = 5; parameter DQ_CNT_WIDTH = 6; // = ceil(log2(DQ_WIDTH)) parameter DQ_PER_DM = 8; parameter DM_WIDTH = 8; // # of DM (data mask) parameter DQ_WIDTH = 64; // # of DQ (data) parameter DQS_WIDTH = 8; parameter DQS_CNT_WIDTH = 3; // = ceil(log2(DQS_WIDTH)) parameter DRAM_WIDTH = 8; // # of DQ per DQS parameter ECC = "OFF"; parameter nBANK_MACHS = 4; parameter RANKS = 1; // # of Ranks. parameter ROW_WIDTH = 14; // # of memory Row Address bits. parameter ADDR_WIDTH = 28; // # = RANK_WIDTH + BANK_WIDTH // + ROW_WIDTH + COL_WIDTH; parameter USE_CS_PORT = 1; // # = 1, When CS output is enabled // = 0, When CS output is disabled parameter USE_DM_PORT = 1; // # = 1, When Data Mask option is enabled // = 0, When Data Mask option is disbaled // When Data Mask option is disbaled in // MIG Controller Options page, the logic // related to Data Mask should not get // synthesized parameter USE_ODT_PORT = 1; // # = 1, When ODT output is enabled // = 0, When ODT output is disabled //*************************************************************************** // The following parameters are mode register settings //*************************************************************************** parameter AL = "0"; // DDR3 SDRAM: // Additive Latency (Mode Register 1). // # = "0", "CL-1", "CL-2". // DDR2 SDRAM: // Additive Latency (Extended Mode Register). parameter nAL = 0; // # Additive Latency in number of clock // cycles. parameter BURST_MODE = "8"; // DDR3 SDRAM: // Burst Length (Mode Register 0). // # = "8", "4", "OTF". // DDR2 SDRAM: // Burst Length (Mode Register). // # = "8", "4". parameter BURST_TYPE = "SEQ"; // DDR3 SDRAM: Burst Type (Mode Register 0). // DDR2 SDRAM: Burst Type (Mode Register). // # = "SEQ" - (Sequential), // = "INT" - (Interleaved). parameter CL = 6; // in number of clock cycles // DDR3 SDRAM: CAS Latency (Mode Register 0). // DDR2 SDRAM: CAS Latency (Mode Register). parameter CWL = 5; // in number of clock cycles // DDR3 SDRAM: CAS Write Latency (Mode Register 2). // DDR2 SDRAM: Can be ignored parameter DDR2_DQSN_ENABLE = "YES"; // Enable differential DQS for DDR2 parameter OUTPUT_DRV = "HIGH"; // Output Driver Impedance Control (Mode Register 1). // # = "HIGH" - RZQ/7, // = "LOW" - RZQ/6. parameter RTT_NOM = "60"; // RTT_NOM (ODT) (Mode Register 1). // # = "DISABLED" - RTT_NOM disabled, // = "120" - RZQ/2, // = "60" - RZQ/4, // = "40" - RZQ/6. parameter RTT_WR = "OFF"; // RTT_WR (ODT) (Mode Register 2). // # = "OFF" - Dynamic ODT off, // = "120" - RZQ/2, // = "60" - RZQ/4, parameter ADDR_CMD_MODE = "1T" ; // # = "1T", "2T". parameter REG_CTRL = "OFF"; // # = "ON" - RDIMMs, // = "OFF" - Components, SODIMMs, UDIMMs. //*************************************************************************** // The following parameters are multiplier and divisor factors for PLLE2. // Based on the selected design frequency these parameters vary. //*************************************************************************** parameter CLKIN_PERIOD = 2500; // Input Clock Period parameter CLKFBOUT_MULT = 2; // write PLL VCO multiplier parameter DIVCLK_DIVIDE = 1; // write PLL VCO divisor parameter CLKOUT0_DIVIDE = 2; // VCO output divisor for PLL output clock (CLKOUT0) parameter CLKOUT1_DIVIDE = 2; // VCO output divisor for PLL output clock (CLKOUT1) parameter CLKOUT2_DIVIDE = 32; // VCO output divisor for PLL output clock (CLKOUT2) parameter CLKOUT3_DIVIDE = 8; // VCO output divisor for PLL output clock (CLKOUT3) //*************************************************************************** // Memory Timing Parameters. These parameters varies based on the selected // memory part. //*************************************************************************** parameter tFAW = 30000; // memory tRAW paramter in pS. parameter tRAS = 35000; // memory tRAS paramter in pS. parameter tRCD = 13125; // memory tRCD paramter in pS. parameter tREFI = 7800000; // memory tREFI paramter in pS. parameter tRFC = 110000; // memory tRFC paramter in pS. parameter tRP = 13125; // memory tRP paramter in pS. parameter tRRD = 6000; // memory tRRD paramter in pS. parameter tRTP = 7500; // memory tRTP paramter in pS. parameter tWTR = 7500; // memory tWTR paramter in pS. parameter tZQI = 128_000_000; // memory tZQI paramter in nS. parameter tZQCS = 64; // memory tZQCS paramter in clock cycles. //*************************************************************************** // Simulation parameters //*************************************************************************** parameter SIM_BYPASS_INIT_CAL = "FAST"; // # = "SIM_INIT_CAL_FULL" - Complete // memory init & // calibration sequence // # = "SKIP" - Not supported // # = "FAST" - Complete memory init & use // abbreviated calib sequence //*************************************************************************** // The following parameters varies based on the pin out entered in MIG GUI. // Do not change any of these parameters directly by editing the RTL. // Any changes required should be done through GUI and the design regenerated. //*************************************************************************** parameter BYTE_LANES_B0 = 4'b1111; // Byte lanes used in an IO column. parameter BYTE_LANES_B1 = 4'b1110; // Byte lanes used in an IO column. parameter BYTE_LANES_B2 = 4'b1111; // Byte lanes used in an IO column. parameter BYTE_LANES_B3 = 4'b0000; // Byte lanes used in an IO column. parameter BYTE_LANES_B4 = 4'b0000; // Byte lanes used in an IO column. parameter DATA_CTL_B0 = 4'hF; // Indicates Byte lane is data byte lane // or control Byte lane. '1' in a bit // position indicates a data byte lane and // a '0' indicates a control byte lane parameter DATA_CTL_B1 = 4'h0; // Indicates Byte lane is data byte lane // or control Byte lane. '1' in a bit // position indicates a data byte lane and // a '0' indicates a control byte lane parameter DATA_CTL_B2 = 4'hF; // Indicates Byte lane is data byte lane // or control Byte lane. '1' in a bit // position indicates a data byte lane and // a '0' indicates a control byte lane parameter DATA_CTL_B3 = 4'h0; // Indicates Byte lane is data byte lane // or control Byte lane. '1' in a bit // position indicates a data byte lane and // a '0' indicates a control byte lane parameter DATA_CTL_B4 = 4'h0; // Indicates Byte lane is data byte lane // or control Byte lane. '1' in a bit // position indicates a data byte lane and // a '0' indicates a control byte lane parameter PHY_0_BITLANES = 48'h3FE_3FE_3FE_2FF; parameter PHY_1_BITLANES = 48'hFFF_FFE_000_000; parameter PHY_2_BITLANES = 48'h3FE_3FE_3FE_2FF; // control/address/data pin mapping parameters parameter CK_BYTE_MAP = 144'h00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_13; parameter ADDR_MAP = 192'h000_000_139_138_137_136_135_134_133_132_131_130_129_128_127_126; parameter BANK_MAP = 36'h12B_12A_125; parameter CAS_MAP = 12'h123; parameter CKE_ODT_BYTE_MAP = 8'h13; parameter CS_MAP = 120'h000_000_000_000_000_000_000_000_000_121; parameter PARITY_MAP = 12'h000; parameter RAS_MAP = 12'h124; parameter WE_MAP = 12'h122; parameter DQS_BYTE_MAP = 144'h00_00_00_00_00_00_00_00_00_00_20_21_22_23_00_01_02_03; parameter DATA0_MAP = 96'h031_032_033_034_035_036_037_038; parameter DATA1_MAP = 96'h021_022_023_024_025_026_027_028; parameter DATA2_MAP = 96'h011_012_013_014_015_016_017_018; parameter DATA3_MAP = 96'h000_001_002_003_004_005_006_007; parameter DATA4_MAP = 96'h231_232_233_234_235_236_237_238; parameter DATA5_MAP = 96'h221_222_223_224_225_226_227_228; parameter DATA6_MAP = 96'h211_212_213_214_215_216_217_218; parameter DATA7_MAP = 96'h200_201_202_203_204_205_206_207; parameter DATA8_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA9_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA10_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA11_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA12_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA13_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA14_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA15_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA16_MAP = 96'h000_000_000_000_000_000_000_000; parameter DATA17_MAP = 96'h000_000_000_000_000_000_000_000; parameter MASK0_MAP = 108'h000_209_219_229_239_009_019_029_039; parameter MASK1_MAP = 108'h000_000_000_000_000_000_000_000_000; parameter SLOT_0_CONFIG = 8'b0000_0001; // Mapping of Ranks. parameter SLOT_1_CONFIG = 8'b0000_0000; // Mapping of Ranks. parameter MEM_ADDR_ORDER = "BANK_ROW_COLUMN"; //*************************************************************************** // IODELAY and PHY related parameters //*************************************************************************** parameter IODELAY_HP_MODE = "ON"; // to phy_top parameter IBUF_LPWR_MODE = "OFF"; // to phy_top parameter WRLVL = "ON"; // # = "ON" - DDR3 SDRAM // = "OFF" - DDR2 SDRAM. parameter ORDERING = "NORM"; // # = "NORM", "STRICT", "RELAXED". parameter CALIB_ROW_ADD = 16'h0000; // Calibration row address will be used for // calibration read and write operations parameter CALIB_COL_ADD = 12'h000; // Calibration column address will be used for // calibration read and write operations parameter CALIB_BA_ADD = 3'h0; // Calibration bank address will be used for // calibration read and write operations //*************************************************************************** // IODELAY and PHY related parameters //*************************************************************************** parameter IODELAY_GRP = "IODELAY_MIG"; // It is associated to a set of IODELAYs with // an IDELAYCTRL that have same IODELAY CONTROLLER // clock frequency. parameter INPUT_CLK_TYPE = "DIFFERENTIAL"; // input clock type DIFFERNTIAL or SINGLE_ENDED parameter RST_ACT_LOW = 1; // =1 for active low reset, // =0 for active high. parameter CAL_WIDTH = "HALF"; parameter TCQ = 100; parameter STARVE_LIMIT = 2; // # = 2,3,4. //*************************************************************************** // System clock and Referece clock frequency parameters //*************************************************************************** parameter REFCLK_FREQ = 200.0; // IODELAYCTRL reference clock frequency parameter tCK = 2500; // memory tCK paramter. // # = Clock Period in pS. parameter nCK_PER_CLK = 4; // # of memory CKs per fabric CLK //*************************************************************************** // Debug and Internal parameters //*************************************************************************** parameter DEBUG_PORT = "OFF"; // # = "ON" Enable debug signals/controls. // = "OFF" Disable debug signals/controls. //*************************************************************************** // Debug and Internal parameters //*************************************************************************** parameter DRAM_TYPE = "DDR3"; //**************************************************************************// // Local parameters Declarations //**************************************************************************// localparam real TPROP_DQS = 0.00; // Delay for DQS signal during Write Operation localparam real TPROP_DQS_RD = 0.00; // Delay for DQS signal during Read Operation localparam real TPROP_PCB_CTRL = 0.00; // Delay for Address and Ctrl signals localparam real TPROP_PCB_DATA = 0.00; // Delay for data signal during Write operation localparam real TPROP_PCB_DATA_RD = 0.00; // Delay for data signal during Read operation localparam MEMORY_WIDTH = 8; localparam NUM_COMP = DQ_WIDTH/MEMORY_WIDTH; localparam real REFCLK_PERIOD = (1000000.0/(2*REFCLK_FREQ)); localparam real SYSCLK_PERIOD = tCK; // Number of DDR3 SDRAM controllers localparam DDR3_COUNT = 1; //**************************************************************************// // Wire Declarations //**************************************************************************// reg sys_rst_n; wire sys_rst; wire [DDR3_COUNT-1:0] init_calib_complete_i; wire [DDR3_COUNT-1:0] error_i; reg sys_clk_i; wire sys_clk_p; wire sys_clk_n; reg clk_ref_i; wire clk_ref_p; wire clk_ref_n; wire ddr3_reset_n; wire [DQ_WIDTH-1:0] ddr3_dq_fpga; wire [DQS_WIDTH-1:0] ddr3_dqs_p_fpga; wire [DQS_WIDTH-1:0] ddr3_dqs_n_fpga; wire [ROW_WIDTH-1:0] ddr3_addr_fpga; wire [BANK_WIDTH-1:0] ddr3_ba_fpga; wire ddr3_ras_n_fpga; wire ddr3_cas_n_fpga; wire ddr3_we_n_fpga; wire [CKE_WIDTH-1:0] ddr3_cke_fpga; wire [CK_WIDTH-1:0] ddr3_ck_p_fpga; wire [CK_WIDTH-1:0] ddr3_ck_n_fpga; wire error; wire init_calib_complete; wire [(CS_WIDTH*nCS_PER_RANK)-1:0] ddr3_cs_n_fpga; wire [DM_WIDTH-1:0] ddr3_dm_fpga; wire [RANKS-1:0] ddr3_odt_fpga; wire sda; wire scl; reg [(CS_WIDTH*nCS_PER_RANK)-1:0] ddr3_cs_n_sdram_tmp; reg [DM_WIDTH-1:0] ddr3_dm_sdram_tmp; reg [RANKS-1:0] ddr3_odt_sdram_tmp; wire [DQ_WIDTH-1:0] ddr3_dq_sdram; reg [ROW_WIDTH-1:0] ddr3_addr_sdram; reg [BANK_WIDTH-1:0] ddr3_ba_sdram; reg ddr3_ras_n_sdram; reg ddr3_cas_n_sdram; reg ddr3_we_n_sdram; wire [(CS_WIDTH*nCS_PER_RANK)-1:0] ddr3_cs_n_sdram; wire [RANKS-1:0] ddr3_odt_sdram; reg [CKE_WIDTH-1:0] ddr3_cke_sdram; wire [DM_WIDTH-1:0] ddr3_dm_sdram; wire [DQS_WIDTH-1:0] ddr3_dqs_p_sdram; wire [DQS_WIDTH-1:0] ddr3_dqs_n_sdram; reg [CK_WIDTH-1:0] ddr3_ck_p_sdram; reg [CK_WIDTH-1:0] ddr3_ck_n_sdram; //**************************************************************************// //**************************************************************************// // Reset Generation //**************************************************************************// initial begin sys_rst_n = 1'b0; #120000 sys_rst_n = 1'b1; end assign sys_rst = RST_ACT_LOW ? sys_rst_n : ~sys_rst_n; //**************************************************************************// // Clock Generation //**************************************************************************// initial sys_clk_i = 1'b0; always sys_clk_i = #(CLKIN_PERIOD/2.0) ~sys_clk_i; assign sys_clk_p = sys_clk_i; assign sys_clk_n = ~sys_clk_i; initial clk_ref_i = 1'b0; always clk_ref_i = #REFCLK_PERIOD ~clk_ref_i; assign clk_ref_p = clk_ref_i; assign clk_ref_n = ~clk_ref_i; always @( * ) begin ddr3_ck_p_sdram <= #(TPROP_PCB_CTRL) ddr3_ck_p_fpga; ddr3_ck_n_sdram <= #(TPROP_PCB_CTRL) ddr3_ck_n_fpga; ddr3_addr_sdram <= #(TPROP_PCB_CTRL) ddr3_addr_fpga; ddr3_ba_sdram <= #(TPROP_PCB_CTRL) ddr3_ba_fpga; ddr3_ras_n_sdram <= #(TPROP_PCB_CTRL) ddr3_ras_n_fpga; ddr3_cas_n_sdram <= #(TPROP_PCB_CTRL) ddr3_cas_n_fpga; ddr3_we_n_sdram <= #(TPROP_PCB_CTRL) ddr3_we_n_fpga; ddr3_cke_sdram <= #(TPROP_PCB_CTRL) ddr3_cke_fpga; end always @( * ) ddr3_cs_n_sdram_tmp <= #(TPROP_PCB_CTRL) ddr3_cs_n_fpga; assign ddr3_cs_n_sdram = ddr3_cs_n_sdram_tmp; always @( * ) ddr3_dm_sdram_tmp <= #(TPROP_PCB_DATA) ddr3_dm_fpga;//DM signal generation assign ddr3_dm_sdram = ddr3_dm_sdram_tmp; always @( * ) ddr3_odt_sdram_tmp <= #(TPROP_PCB_CTRL) ddr3_odt_fpga; assign ddr3_odt_sdram = ddr3_odt_sdram_tmp; // Controlling the bi-directional BUS genvar dqwd; generate for (dqwd = 1;dqwd < DQ_WIDTH;dqwd = dqwd+1) begin : dq_delay WireDelay # ( .Delay_g (TPROP_PCB_DATA), .Delay_rd (TPROP_PCB_DATA_RD), .ERR_INSERT ("OFF") ) u_delay_dq ( .A (ddr3_dq_fpga[dqwd]), .B (ddr3_dq_sdram[dqwd]), .reset (sys_rst_n), .phy_init_done (init_calib_complete) ); end // For ECC ON case error is inserted on LSB bit from DRAM to FPGA WireDelay # ( .Delay_g (TPROP_PCB_DATA), .Delay_rd (TPROP_PCB_DATA_RD), .ERR_INSERT (ECC) ) u_delay_dq_0 ( .A (ddr3_dq_fpga[0]), .B (ddr3_dq_sdram[0]), .reset (sys_rst_n), .phy_init_done (init_calib_complete) ); endgenerate genvar dqswd; generate for (dqswd = 0;dqswd < DQS_WIDTH;dqswd = dqswd+1) begin : dqs_delay WireDelay # ( .Delay_g (TPROP_DQS), .Delay_rd (TPROP_DQS_RD), .ERR_INSERT ("OFF") ) u_delay_dqs_p ( .A (ddr3_dqs_p_fpga[dqswd]), .B (ddr3_dqs_p_sdram[dqswd]), .reset (sys_rst_n), .phy_init_done (init_calib_complete) ); WireDelay # ( .Delay_g (TPROP_DQS), .Delay_rd (TPROP_DQS_RD), .ERR_INSERT ("OFF") ) u_delay_dqs_n ( .A (ddr3_dqs_n_fpga[dqswd]), .B (ddr3_dqs_n_sdram[dqswd]), .reset (sys_rst_n), .phy_init_done (init_calib_complete) ); end endgenerate example_top # ( .SIMULATION (SIMULATION), .BL_WIDTH (BL_WIDTH), .PORT_MODE (PORT_MODE), .DATA_MODE (DATA_MODE), .EYE_TEST (EYE_TEST), .DATA_PATTERN (DATA_PATTERN), .CMD_PATTERN (CMD_PATTERN), .BEGIN_ADDRESS (BEGIN_ADDRESS), .END_ADDRESS (END_ADDRESS), .PRBS_EADDR_MASK_POS (PRBS_EADDR_MASK_POS), .SEL_VICTIM_LINE (SEL_VICTIM_LINE), .BANK_WIDTH (BANK_WIDTH), .CK_WIDTH (CK_WIDTH), .COL_WIDTH (COL_WIDTH), .CS_WIDTH (CS_WIDTH), .nCS_PER_RANK (nCS_PER_RANK), .CKE_WIDTH (CKE_WIDTH), .DATA_BUF_ADDR_WIDTH (DATA_BUF_ADDR_WIDTH), .DQ_CNT_WIDTH (DQ_CNT_WIDTH), .DQ_PER_DM (DQ_PER_DM), .DM_WIDTH (DM_WIDTH), .DQ_WIDTH (DQ_WIDTH), .DQS_WIDTH (DQS_WIDTH), .DQS_CNT_WIDTH (DQS_CNT_WIDTH), .DRAM_WIDTH (DRAM_WIDTH), .ECC (ECC), .nBANK_MACHS (nBANK_MACHS), .RANKS (RANKS), .ROW_WIDTH (ROW_WIDTH), .ADDR_WIDTH (ADDR_WIDTH), .USE_CS_PORT (USE_CS_PORT), .USE_DM_PORT (USE_DM_PORT), .USE_ODT_PORT (USE_ODT_PORT), .AL (AL), .nAL (nAL), .BURST_MODE (BURST_MODE), .BURST_TYPE (BURST_TYPE), .CL (CL), .CWL (CWL), .OUTPUT_DRV (OUTPUT_DRV), .RTT_NOM (RTT_NOM), .RTT_WR (RTT_WR), .ADDR_CMD_MODE (ADDR_CMD_MODE), .REG_CTRL (REG_CTRL), .CLKIN_PERIOD (CLKIN_PERIOD), .CLKFBOUT_MULT (CLKFBOUT_MULT), .DIVCLK_DIVIDE (DIVCLK_DIVIDE), .CLKOUT0_DIVIDE (CLKOUT0_DIVIDE), .CLKOUT1_DIVIDE (CLKOUT1_DIVIDE), .CLKOUT2_DIVIDE (CLKOUT2_DIVIDE), .CLKOUT3_DIVIDE (CLKOUT3_DIVIDE), .tFAW (tFAW), .tRAS (tRAS), .tRCD (tRCD), .tREFI (tREFI), .tRFC (tRFC), .tRP (tRP), .tRRD (tRRD), .tRTP (tRTP), .tWTR (tWTR), .tZQI (tZQI), .tZQCS (tZQCS), .SIM_BYPASS_INIT_CAL (SIM_BYPASS_INIT_CAL), .BYTE_LANES_B0 (BYTE_LANES_B0), .BYTE_LANES_B1 (BYTE_LANES_B1), .BYTE_LANES_B2 (BYTE_LANES_B2), .BYTE_LANES_B3 (BYTE_LANES_B3), .BYTE_LANES_B4 (BYTE_LANES_B4), .DATA_CTL_B0 (DATA_CTL_B0), .DATA_CTL_B1 (DATA_CTL_B1), .DATA_CTL_B2 (DATA_CTL_B2), .DATA_CTL_B3 (DATA_CTL_B3), .DATA_CTL_B4 (DATA_CTL_B4), .PHY_0_BITLANES (PHY_0_BITLANES), .PHY_1_BITLANES (PHY_1_BITLANES), .PHY_2_BITLANES (PHY_2_BITLANES), .CK_BYTE_MAP (CK_BYTE_MAP), .ADDR_MAP (ADDR_MAP), .BANK_MAP (BANK_MAP), .CAS_MAP (CAS_MAP), .CKE_ODT_BYTE_MAP (CKE_ODT_BYTE_MAP), .CS_MAP (CS_MAP), .PARITY_MAP (PARITY_MAP), .RAS_MAP (RAS_MAP), .WE_MAP (WE_MAP), .DQS_BYTE_MAP (DQS_BYTE_MAP), .DATA0_MAP (DATA0_MAP), .DATA1_MAP (DATA1_MAP), .DATA2_MAP (DATA2_MAP), .DATA3_MAP (DATA3_MAP), .DATA4_MAP (DATA4_MAP), .DATA5_MAP (DATA5_MAP), .DATA6_MAP (DATA6_MAP), .DATA7_MAP (DATA7_MAP), .DATA8_MAP (DATA8_MAP), .DATA9_MAP (DATA9_MAP), .DATA10_MAP (DATA10_MAP), .DATA11_MAP (DATA11_MAP), .DATA12_MAP (DATA12_MAP), .DATA13_MAP (DATA13_MAP), .DATA14_MAP (DATA14_MAP), .DATA15_MAP (DATA15_MAP), .DATA16_MAP (DATA16_MAP), .DATA17_MAP (DATA17_MAP), .MASK0_MAP (MASK0_MAP), .MASK1_MAP (MASK1_MAP), .SLOT_0_CONFIG (SLOT_0_CONFIG), .SLOT_1_CONFIG (SLOT_1_CONFIG), .MEM_ADDR_ORDER (MEM_ADDR_ORDER), .IODELAY_HP_MODE (IODELAY_HP_MODE), .IBUF_LPWR_MODE (IBUF_LPWR_MODE), .WRLVL (WRLVL), .ORDERING (ORDERING), .IODELAY_GRP (IODELAY_GRP), .INPUT_CLK_TYPE (INPUT_CLK_TYPE), .RST_ACT_LOW (RST_ACT_LOW), .CAL_WIDTH (CAL_WIDTH), .TCQ (TCQ), .STARVE_LIMIT (STARVE_LIMIT), .REFCLK_FREQ (REFCLK_FREQ), .tCK (tCK), .nCK_PER_CLK (nCK_PER_CLK), .DEBUG_PORT (DEBUG_PORT), .DRAM_TYPE (DRAM_TYPE) ) u_ip_top ( .ddr3_dq (ddr3_dq_fpga), .ddr3_dqs_n (ddr3_dqs_n_fpga), .ddr3_dqs_p (ddr3_dqs_p_fpga), .ddr3_addr (ddr3_addr_fpga), .ddr3_ba (ddr3_ba_fpga), .ddr3_ras_n (ddr3_ras_n_fpga), .ddr3_cas_n (ddr3_cas_n_fpga), .ddr3_we_n (ddr3_we_n_fpga), .ddr3_reset_n (ddr3_reset_n), .ddr3_ck_p (ddr3_ck_p_fpga), .ddr3_ck_n (ddr3_ck_n_fpga), .ddr3_cke (ddr3_cke_fpga), .ddr3_cs_n (ddr3_cs_n_fpga), .ddr3_dm (ddr3_dm_fpga), .ddr3_odt (ddr3_odt_fpga), .sda (sda), .scl (scl), .sys_clk_p (sys_clk_p), .sys_clk_n (sys_clk_n), .clk_ref_p (clk_ref_p), .clk_ref_n (clk_ref_n), .error (error_i), .init_calib_complete (init_calib_complete_i), .sys_rst (sys_rst) ); //**************************************************************************// // Memory Models instantiations //**************************************************************************// genvar r,i; generate for (r = 0; r < CS_WIDTH; r = r + 1) begin: mem_rnk for (i = 0; i < NUM_COMP; i = i + 1) begin: gen_mem ddr3_model u_comp_ddr3 ( .rst_n (ddr3_reset_n), .ck (ddr3_ck_p_sdram[(i*MEMORY_WIDTH)/72]), .ck_n (ddr3_ck_n_sdram[(i*MEMORY_WIDTH)/72]), .cke (ddr3_cke_sdram[((i*MEMORY_WIDTH)/72)+(nCS_PER_RANK*r)]), .cs_n (ddr3_cs_n_sdram[((i*MEMORY_WIDTH)/72)+(nCS_PER_RANK*r)]), .ras_n (ddr3_ras_n_sdram), .cas_n (ddr3_cas_n_sdram), .we_n (ddr3_we_n_sdram), .dm_tdqs (ddr3_dm_sdram[i]), .ba (ddr3_ba_sdram), .addr (ddr3_addr_sdram), .dq (ddr3_dq_sdram[MEMORY_WIDTH*(i+1)-1:MEMORY_WIDTH*(i)]), .dqs (ddr3_dqs_p_sdram[i]), .dqs_n (ddr3_dqs_n_sdram[i]), .tdqs_n (), .odt (ddr3_odt_sdram[((i*MEMORY_WIDTH)/72)+(nCS_PER_RANK*r)]) ); end end endgenerate assign error = | error_i; assign init_calib_complete = & init_calib_complete_i; //*************************************************************************** // Reporting the test case status //*************************************************************************** initial begin : Logging fork begin : calibration_done wait (init_calib_complete); $display("Calibration Done"); #50000000; if (!error) begin $display("TEST PASSED"); end else begin $display("TEST FAILED: DATA ERROR"); end disable calib_not_done; $finish; end begin : calib_not_done #1000000000; if (!init_calib_complete) begin $display("TEST FAILED: INITIALIZATION DID NOT COMPLETE"); end disable calibration_done; $finish; end join end endmodule
/* * The MIT License (MIT) * * Copyright (c) 2015 Stefan Wendler * * Permission is hereby granted, free of charge, to any person obtaining a copy * of this software and associated documentation files (the "Software"), to deal * in the Software without restriction, including without limitation the rights * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell * copies of the Software, and to permit persons to whom the Software is * furnished to do so, subject to the following conditions: * * The above copyright notice and this permission notice shall be included in * all copies or substantial portions of the Software. * * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN * THE SOFTWARE. */ /** * Module to send tri-state signals to a radio for operation a RC switch. * * The following wavefroms are used for the tri-states (each pulse is 350us): * * Tri-state "0" Bit * _ _ * | |___| |___ * 1 3 1 3 * * Tri-state "1" Bit * ___ ___ * | |_| |_ * 3 1 3 1 * * Tri-state "F" Bit * _ ___ * | |___| |_ * 1 3 3 1 * * "Sync" Bit * _ * | |_______________________________ * 1 31 * * A message to an RC switch has the following format: * * <address><channel><status> * * <address> is a 5 bit field. Most switches use 11111 as default. * <channel> is A, B, C, D * <status> is 0 (off) or 1 (on) * * To turn channel A on the switch at address 11111 ON, the message would look like this: * * 11111A1 * * For the module, this needs to be translated to tri-state wave form like so: * * 0 in tri-state is F => 10001110 * 1 in tri-state is 0 => 10001000 * * Thus, the address 11111 is in tri-state: 10001000_10001000_10001000_10001000_10001000 * * The channel translates like this: * * A in tri-state is 0FFFF => 10001000_10001110_10001110_10001110_10001110 * B in tri-state is F0FFF => 10001110_10001000_10001110_10001110_10001110 * C in tri-state is FF0FF => 10001110_10001110_10001000_10001110_10001110 * D in tri-state is FFF0F => 10001110_10001110_10001110_10001000_10001110 * * Then the state could be mapped like this: * * 0/off in tri-state is 0F => 10001000_10001110 * 1/on in tri-state is F0 => 10001110_10001000 * * And finally the sync bit is a constant: * * sync => 10000000_00000000_00000000_00000000 * ***************************************************************************** * Also it should be noted, that a message needs to be sent multible times (2-10). * Otherwise it is very likely that the switch will not work! ***************************************************************************** * * inputs: * clk on the positive edge, the next bit is shifted to the radio * the clock needs to provide 350us per cycle (from rising * edge to rising edge) * rst reset * send if set to 1, the complete message (addr+chan+stat+sync) is send * over and over again until send is set back to 0 * addr the address in tri-state wave-form * e.g. 40'b10001000_10001000_10001000_10001000_10001000 = 11111 * chan the channel identifier in tri-state wave-form * e.g. 40'b10001000_10001110_10001110_10001110_10001110 = chan A * stat the status in tri-state wave-form * e.g. 16'b10001000_10001110 = ON * outputs: * ready 1 if module is ready to send, 0 if sending is already in progrss * out the bits shifted out to the radio */ module rcswitch_send( input clk, input rst, input send, input [39:0] addr, input [39:0] chan, input [15:0] stat, output ready, output out ); reg r_out; reg r_ready; reg [7:0] pos; reg [127:0] msg; initial begin r_ready <= 1; r_out <= 0; pos <= 0; msg <= 0; end always @(posedge clk or posedge rst) begin if(rst) begin r_ready <= 1; r_out <= 0; pos <= 0; end else begin // start a new message if(send && pos == 0) begin pos <= 128; r_ready <= 0; msg[127:0] <= {addr[39:0], chan[39:0], stat[15:0], 32'b10000000_00000000_00000000_00000000}; end // shift out the bits for the message else if(pos > 0) begin pos <= pos - 1; r_out <= msg >> pos; // message is done - prepare for repeat if(pos == 0) begin r_ready <= 1; r_out <= 0; pos <= 0; end else begin r_ready <= 0; end end else begin msg <= ~msg; end end end assign ready = r_ready; assign out = r_out; endmodule /** * Module to detect tri-state wave forms. * * The module will detect a combination of high/low and count the clk cycles for * each of the two phases: * * +---------+ +-- * __| |_________| * count_h count_l * * inputs: * clk clock used for the counter of high/low times. the clock should be * a lot faster then the clock of the wave form * rst reset * in the input from the radio * outputs: * count_h clk counts for the time the wave-form was high * count_l clk counts for the time the wave-form was low * detected 1 if tri-state was detected, 0 otherwise */ module tri_state_detect ( input clk, input rst, input in, output [31:0] count_h, output [31:0] count_l, output detected ); reg [31:0] ticks; reg [31:0] t1; reg [31:0] t2; reg synced; reg [31:0] r_count_h; reg [31:0] r_count_l; initial begin ticks <= 0; t1 <= 0; t2 <= 0; synced <= 0; r_count_h <= 0; r_count_l <= 0; end always @(posedge clk or posedge rst) begin if(rst) begin ticks <= 0; end else begin ticks <= ticks + 1; end end always @(negedge in or posedge rst) begin if(rst) begin t2 <= 0; end else begin if(t1 > 0) begin t2 <= ticks; end end end always @(posedge in or posedge rst) begin if(rst) begin t1 <= 0; r_count_h <= 0; r_count_l <= 0; synced <= 0; end else begin if(t2 > t1) begin r_count_h = t2 - t1; r_count_l = ticks - t2; synced <= 1; end else begin synced <= 0; end t1 <= ticks; end end assign count_h = r_count_h; assign count_l = r_count_l; assign detected = (synced & in); endmodule /** * Module to receive tri-state signals for RC switches send by a radio. * * inputs: * clk clock used for the counter of high/low times. the clock should be * a lot faster then the clock of the wave form * rst reset * in the input from the radio * outputs: * addr address received (see rcswitch_send) * chan channel received (see rcswitch_send) * stat status received (see rcswitch_send) * ready 1 if complete message was received, 0 otherwise */ module rcswitch_receive( input clk, input rst, input in, output [39:0] addr, output [39:0] chan, output [15:0] stat, output ready ); reg [8:0] count; reg [95:0] msg; reg [39:0] r_addr; reg [39:0] r_chan; reg [15:0] r_stat; reg r_ready; initial begin count <= 0; msg <= 0; r_addr <= 0; r_chan <= 0; r_stat <= 0; r_ready <= 0; end wire [31:0] count_h; wire [31:0] count_l; wire detected; tri_state_detect tsd_inst ( .clk(clk), .rst(rst), .in(in), .count_h(count_h), .count_l(count_l), .detected(detected) ); always @(posedge detected or posedge rst) begin if(rst) begin count <= 0; r_addr <= 0; r_chan <= 0; r_stat <= 0; r_ready <= 0; end else begin // detected SYNC if(count_h * 10 < count_l) begin count <= 0; msg <= 0; end // detected 1000 else if(count_h < count_l) begin msg <= (msg << 4) | 96'b1000; count <= count + 1; end // detected 1110 else if(count_l < count_h) begin msg <= (msg << 4) | 96'b1110; count <= count + 1; end // message complete? if(count == 24) begin {r_addr[39:0], r_chan[39:0], r_stat[15:0]} <= msg; r_ready <= 1; count <= 0; msg <= 0; end else begin r_ready <= 0; end end end assign ready = r_ready; assign addr = r_addr; assign chan = r_chan; assign stat = r_stat; endmodule
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__A21OI_BEHAVIORAL_V `define SKY130_FD_SC_HS__A21OI_BEHAVIORAL_V /** * a21oi: 2-input AND into first input of 2-input NOR. * * Y = !((A1 & A2) | B1) * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import sub cells. `include "../u_vpwr_vgnd/sky130_fd_sc_hs__u_vpwr_vgnd.v" `celldefine module sky130_fd_sc_hs__a21oi ( Y , A1 , A2 , B1 , VPWR, VGND ); // Module ports output Y ; input A1 ; input A2 ; input B1 ; input VPWR; input VGND; // Local signals wire and0_out ; wire nor0_out_Y ; wire u_vpwr_vgnd0_out_Y; // Name Output Other arguments and and0 (and0_out , A1, A2 ); nor nor0 (nor0_out_Y , B1, and0_out ); sky130_fd_sc_hs__u_vpwr_vgnd u_vpwr_vgnd0 (u_vpwr_vgnd0_out_Y, nor0_out_Y, VPWR, VGND); buf buf0 (Y , u_vpwr_vgnd0_out_Y ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HS__A21OI_BEHAVIORAL_V
/******************************************************************************* * File Name: B_SPI_HFC_Slave_v0_1.v * Version `$CY_MAJOR_VERSION`.`$CY_MINOR_VERSION` * * Description: * This file provides a base level model of the SPI Slave component * * Note: * None ******************************************************************************** * Control and Status Register definitions ******************************************************************************** * * Tx interrupt Status Register Definition * +=======+--------+--------+--------+--------+--------+--------+--------+--------+ * | Bit | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 | * +=======+--------+--------+--------+--------+--------+--------+--------+--------+ * | Desc |interrupt|bt_cplt |unused |unused |unused |tx_empty |tx_n_f |spi_done| * +=======+--------+--------+--------+--------+--------+--------+--------+--------+ * Rx interrupt Status Register Definition * +=======+--------+--------+--------+--------+--------+--------+--------+--------+ * | Bit | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 | * +=======+--------+--------+--------+--------+--------+--------+--------+--------+ * | Desc |interrupt|rx_full |rx_f_ovr|rx_f_e |rx_n_e | unused |unused | unused | * +=======+--------+--------+--------+--------+--------+--------+--------+--------+ * spi_done => 0 = spi transmission not done * 1 = spi transmission done * * tx_n_f => 0 = TX FIFO full * 1 = TX not full * * tx_empty => 0 = TX_FIFO not empty * 1 = TX FIFO empty * * rx_n_e => 0 = RX FIFO empty * 1 = RX FIFO not empty * * rx_f_e => 0 = RX FIFO not empty * 1 = RX FIFO empty * * rx_f_ovr => 0 = RX FIFO not overrun * 1 = RX FIFO overrun * * rx_full => 0 = RX FIFO not full * 1 = RX FIFO full * * bt_cplt => 0 = byte/word transfer is not complete * 1 = byte/word transfer complete * ******************************************************************************** * Data Path register definitions ******************************************************************************** * INSTANCE NAME: DatapathName * DESCRIPTION: * REGISTER USAGE: * F0 => TX FIFO buffer * F1 => RX FIFO buffer * D0 => na * D1 => na * A0 => Current SPI Value(MISO data is shifted out, MOSI data is shifted in) * A1 => na * ******************************************************************************** * I*O Signals: ******************************************************************************** * IO SIGNALS: * * reset input component reset input * clock input component clock input * mosi input SPI MOSI input * sclk input SPI SCLK input * ss input SPI SS input * tx_enable output tx enable output(is used for Bidirectional Mode only) * miso output SPI MISO output * interrupt output interrupt output * ******************************************************************************** * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. * You may use this file only in accordance with the license, terms, conditions, * disclaimers, and limitations in the end user license agreement accompanying * the software package with which this file was provided. ********************************************************************************/ `include "cypress.v" `ifdef B_SPI_HFC_Slave_v0_1_V_ALREADY_INCLUDED `else `define B_SPI_HFC_Slave_v0_1_V_ALREADY_INCLUDED module B_SPI_HFC_Slave_v0_1( input wire reset, /* System Reset */ input wire clock, /* System Clk - 2x Bit rate */ input wire mosi, /* SPI MOSI input */ input wire rde, /* rx data effective */ input wire sclk, /* SPI SCLK input */ input wire ss, /* SPI SS input */ output wire miso, /* SPI MISO output */ output reg tde, /* tx data effective */ output wire tx_interpt, /* Status Register Interrupt output */ output wire rx_interpt, /* Status Register Interrupt output */ output wire tx_drq, /* tx DMA request */ output wire rx_drq /* rx DMA request */ ); /* bit order: default is MSb first (i.e Shift Left and ShiftLeft in static configuration is = 0) */ /* DO NOT CHANGE these two parameters. They define constants */ localparam SPIS_MSB_FIRST = 1'b0; localparam SPIS_LSB_FIRST = 1'b1; /* Status register bits */ localparam SPIS_STS_SPI_DONE_BIT = 3'd0; localparam SPIS_STS_TX_BUFFER_NOT_FULL_BIT = 3'd1; localparam SPIS_STS_TX_BUFFER_EMPTY_BIT = 3'd2; localparam SPIS_STS_BYTE_COMPLETE_BIT = 3'd6; localparam SPIS_STS_RX_BUFFER_NOT_EMPTY_BIT = 3'd3; localparam SPIS_STS_RX_BUFFER_EMPTY_BIT = 3'd4; localparam SPIS_STS_RX_FIFO_OVERRUN_BIT = 3'd5; localparam SPIS_STS_RX_FIFO_FULL_BIT = 3'd6; /* Index for Bidirectional Mode Control Register bit */ localparam CTRL_TX_PERMISSION = 1'b0; /* Counter initialization bit */ localparam CTRL_CNT_INIT = 1'b1; parameter [0:0] ShiftDir = SPIS_MSB_FIRST; /* set to 2-8 bits only. Default is 8 bits */ parameter [6:0] NumberOfDataBits = 7'd8; /* Default is rising edge mode */ parameter [0:0] ModeCPHA = 1'b0; parameter [0:0] ModePOL = 1'b0; localparam [2:0] dp8MsbVal = (NumberOfDataBits % 8) - 3'd1; localparam [7:0] dpMask = (NumberOfDataBits == 8) ? 8'd255 : (NumberOfDataBits == 7) ? 8'd127 : (NumberOfDataBits == 6) ? 8'd63 : (NumberOfDataBits == 5) ? 8'd31 : (NumberOfDataBits == 4) ? 8'd15 : (NumberOfDataBits == 3) ? 8'd7 : (NumberOfDataBits == 2) ? 8'd3 : 8'd0; /* This value get's truncated to 3 bits */ localparam [2:0] dp16MsbVal = (NumberOfDataBits % 8) - 4'd9; localparam [7:0] dp16MOSIMask = (NumberOfDataBits == 16) ? 8'd255 : (NumberOfDataBits == 15) ? 8'd127 : (NumberOfDataBits == 14) ? 8'd63 : (NumberOfDataBits == 13) ? 8'd31 : (NumberOfDataBits == 12) ? 8'd15 : (NumberOfDataBits == 11) ? 8'd7 : (NumberOfDataBits == 10) ? 8'd3 : /*(NumberOfDataBits == 9) ? */ 8'd1 ; localparam [1:0] dynShiftDir = (ShiftDir == SPIS_MSB_FIRST) ? 2'd1 : 2'd2; localparam [1:0] dp16MSBSIChoice = (ShiftDir == SPIS_MSB_FIRST) ? `SC_SI_A_CHAIN : `SC_SI_A_ROUTE; localparam [1:0] dp16LSBSIChoice = (ShiftDir == SPIS_MSB_FIRST) ? `SC_SI_A_ROUTE : `SC_SI_A_CHAIN; /* RX FIFO is loaded on the negedge of SCLK */ localparam f1_edge = `SC_FIFO_CLK1_NEG; /* Datapath selection local parameters */ localparam SR8 = 8'd8; localparam SR16 = 8'd16; /* datapath configurations */ localparam dp8_config = { `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM0: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM1: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM2: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM3: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, dynShiftDir, `CS_A0_SRC__ALU, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM4: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, dynShiftDir, `CS_A0_SRC___F0, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM5: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM6: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM7: */ dpMask, 8'hFF, /*CFG9: */ 8'hFF, 8'hFF, /*CFG11-10: */ `SC_CMPB_A1_D1, `SC_CMPA_A1_D1, `SC_CI_B_ARITH, `SC_CI_A_ARITH, `SC_C1_MASK_DSBL, `SC_C0_MASK_DSBL, `SC_A_MASK_ENBL, `SC_DEF_SI_0, `SC_SI_B_DEFSI, `SC_SI_A_ROUTE, /*CFG13-12: */ `SC_A0_SRC_ACC, ShiftDir, 1'h0, 1'h0, `SC_FIFO1_ALU, `SC_FIFO0_BUS, `SC_MSB_ENBL, dp8MsbVal, `SC_MSB_NOCHN, `SC_FB_NOCHN, `SC_CMP1_NOCHN, `SC_CMP0_NOCHN, /*CFG15-14: */ 3'h00, `SC_FIFO_SYNC__ADD, 4'h00, f1_edge, `SC_FIFO_CLK0_POS, `SC_FIFO_CLK__DP,`SC_FIFO_CAP_AX,`SC_FIFO__EDGE, `SC_FIFO_ASYNC,`SC_EXTCRC_DSBL,`SC_WRK16CAT_DSBL /*CFG17-16: */ }; localparam dp16_config_msb = { `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM0: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM1: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM2: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM3: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, dynShiftDir, `CS_A0_SRC__ALU, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM4: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, dynShiftDir, `CS_A0_SRC___F0, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM5: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM6: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM7: */ dp16MOSIMask, 8'h00, /*CFG9: */ 8'hFF, 8'hFF, /*CFG11-10: */ `SC_CMPB_A1_D1, `SC_CMPA_A1_D1, `SC_CI_B_ARITH, `SC_CI_A_ARITH, `SC_C1_MASK_DSBL, `SC_C0_MASK_DSBL, `SC_A_MASK_ENBL, `SC_DEF_SI_0, `SC_SI_B_DEFSI, dp16MSBSIChoice, /*CFG13-12: */ `SC_A0_SRC_ACC, ShiftDir, 1'h0, 1'h0, `SC_FIFO1_ALU, `SC_FIFO0_BUS, `SC_MSB_ENBL, dp16MsbVal, `SC_MSB_NOCHN, `SC_FB_NOCHN, `SC_CMP1_NOCHN, `SC_CMP0_NOCHN, /*CFG15-14: */ 3'h00, `SC_FIFO_SYNC__ADD, 4'h00, f1_edge, `SC_FIFO_CLK0_POS, `SC_FIFO_CLK__DP, `SC_FIFO_CAP_AX, `SC_FIFO__EDGE, `SC_FIFO_ASYNC, `SC_EXTCRC_DSBL, `SC_WRK16CAT_DSBL /*CFG17-16: */ }; localparam dp16_config_lsb = { `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM0: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM1: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM2: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM3: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, dynShiftDir, `CS_A0_SRC__ALU, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM4: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, dynShiftDir, `CS_A0_SRC___F0, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM5: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM6: */ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, `CS_CMP_SEL_CFGA, /*CFGRAM7: */ 8'hFF, 8'h00, /*CFG9: */ 8'hFF, 8'hFF, /*CFG11-10: */ `SC_CMPB_A1_D1, `SC_CMPA_A1_D1, `SC_CI_B_ARITH, `SC_CI_A_ARITH, `SC_C1_MASK_DSBL, `SC_C0_MASK_DSBL, `SC_A_MASK_DSBL, `SC_DEF_SI_0, `SC_SI_B_DEFSI, dp16LSBSIChoice, /*CFG13-12: */ `SC_A0_SRC_ACC, ShiftDir, 1'h0, 1'h0, `SC_FIFO1_ALU, `SC_FIFO0_BUS, `SC_MSB_DSBL, `SC_MSB_BIT7, `SC_MSB_NOCHN, `SC_FB_NOCHN, `SC_CMP1_NOCHN, `SC_CMP0_NOCHN, /*CFG15-14: */ 3'h00, `SC_FIFO_SYNC__ADD, 4'h00, f1_edge, `SC_FIFO_CLK0_POS, `SC_FIFO_CLK__DP,`SC_FIFO_CAP_AX, `SC_FIFO__EDGE, `SC_FIFO_ASYNC, `SC_EXTCRC_DSBL, `SC_WRK16CAT_DSBL /*CFG17-16: */ }; /* Status Register inputs from the PLD/DP's */ wire [6:0] tx_status; wire [6:0] rx_status; /* Master Out Slave In from the Datapath. Selects between mosi_dp8 and mosi_dp16 based on NUM_BITS */ wire miso_from_dp; /* One compare output of the counter which signals when to load received data into the FIFO */ wire dpcounter_zero; /* "Counter is equal to '1' condition" output is used as "byte complete" condition*/ wire dpcounter_one; /* counter output */ wire [6:0] count; /* datapath si input*/ wire mosi_to_dp; /* non-connected wires, used for connection convenience */ wire nc1, nc2, nc3, nc4; /* TX and RX FIFO status outputs */ wire dpMISO_fifo_not_empty; wire dpMISO_fifo_not_full; wire dpMISO_fifo_empty; wire dpMOSI_fifo_not_empty; wire dpMOSI_fifo_full; wire dpMOSI_fifo_empty; /* "clear on read" status input sources */ wire mosi_buf_overrun; /* load RX FIFO (from counter) */ wire load; /* Datapath and Counter7 clock */ wire dp_clock; /* conditional 'shift out' wires for 16-bit datapath */ wire mosi_from_dpL; wire mosi_from_dpR; wire cnt_reset = reset | ss; wire inv_ss = ~ss; /*registered MOSI value*/ reg mosi_tmp; reg dpcounter_one_reg; reg mosi_buf_overrun_fin; wire clock_fin; wire dpcounter_one_fin; wire miso_tx_empty_reg_fin; wire mosi_buf_overrun_reg; wire dpMOSI_fifo_full_reg; wire tx_load = (ModeCPHA == 1'b0) ? load : dpcounter_zero; wire byte_complete = dpcounter_one_fin & (~dpcounter_one_reg); wire rx_buf_overrun = mosi_buf_overrun_reg & (~mosi_buf_overrun_fin); wire prc_clk_src = (((ModeCPHA == 0) && (ModePOL == 0)) || ((ModeCPHA == 1) && (ModePOL == 1))) ? sclk : ~sclk; wire dp_clk_src = (((ModeCPHA == 0) && (ModePOL == 1)) || ((ModeCPHA == 1) && (ModePOL == 0))) ? sclk : ~sclk; wire prc_clk; cy_psoc3_udb_clock_enable_v1_0 #(.sync_mode(`TRUE)) ClkEn ( .clock_in(clock), .enable(1'b1), .clock_out(clock_fin) ); cy_psoc3_udb_clock_enable_v1_0 #(.sync_mode(`FALSE)) PrcClkEn ( .clock_in(prc_clk_src), .enable(1'b1), .clock_out(prc_clk) ); cy_psoc3_udb_clock_enable_v1_0 #(.sync_mode(`FALSE)) DpClkEn ( .clock_in(dp_clk_src), .enable(1'b1), .clock_out(dp_clock) ); cy_psoc3_sync sync_1( .sc_out(dpcounter_one_fin), .sc_in(dpcounter_one), .clock(clock_fin) ); cy_psoc3_sync sync_2( .sc_out(miso_tx_empty_reg_fin), .sc_in(dpMISO_fifo_empty), .clock(clock_fin) ); cy_psoc3_sync sync_3( .sc_out(mosi_buf_overrun_reg), .sc_in(mosi_buf_overrun), .clock(clock_fin) ); cy_psoc3_sync sync_4( .sc_out(dpMOSI_fifo_full_reg), .sc_in(dpMOSI_fifo_full), .clock(clock_fin) ); assign miso = (ss == 1'b0) ? miso_from_dp : 1'b0; assign dpMISO_fifo_not_empty = ~dpMISO_fifo_empty; assign mosi_buf_overrun = load & dpMOSI_fifo_full; /* status register connections */ assign tx_status[SPIS_STS_SPI_DONE_BIT] = (byte_complete & miso_tx_empty_reg_fin); assign tx_status[SPIS_STS_TX_BUFFER_EMPTY_BIT] = miso_tx_empty_reg_fin; assign tx_status[SPIS_STS_TX_BUFFER_NOT_FULL_BIT] = dpMISO_fifo_not_full; assign tx_status[SPIS_STS_BYTE_COMPLETE_BIT] = byte_complete; assign rx_status[SPIS_STS_RX_BUFFER_EMPTY_BIT] = dpMISO_fifo_empty; assign rx_status[SPIS_STS_RX_BUFFER_NOT_EMPTY_BIT] = dpMOSI_fifo_not_empty; assign rx_status[SPIS_STS_RX_FIFO_OVERRUN_BIT] = rx_buf_overrun; assign rx_status[SPIS_STS_RX_FIFO_FULL_BIT] = dpMOSI_fifo_full_reg; assign tx_status[5:3] = 3'h0; assign rx_status[2:0] = 3'h0; assign tx_drq = dpMISO_fifo_not_full; assign rx_drq = dpMOSI_fifo_not_empty; /* Determination of 'sclk_fin' polarity according to the ModePOL parameter value. If 'SS' is 'high' SCLK should be blocked */ cy_psoc3_count7 #(.cy_period(NumberOfDataBits - 7'h1), .cy_route_ld(0), .cy_route_en(1)) BitCounter( /* input */ .clock(dp_clock), /* input */ .reset(cnt_reset), /* input */ .load(1'b0), /* input */ .enable(inv_ss), /* output [06:00] */ .count(count), /* output */ .tc() ); assign dpcounter_zero = (count[3:0] == 4'h0); assign dpcounter_one = (count[3:0] == 4'h1); assign load = (ModeCPHA == 1'b0) ? dpcounter_one : dpcounter_zero; cy_psoc3_statusi #(.cy_force_order(1), .cy_md_select(7'h41), .cy_int_mask(7'h7F)) TxStsReg( /* input */ .clock(clock_fin), /* input [06:00] */ .status(tx_status), /* output */ .interrupt(tx_interpt) ); cy_psoc3_statusi #(.cy_force_order(1), .cy_md_select(7'h20), .cy_int_mask(7'h7F)) RxStsReg( /* input */ .clock(clock_fin), /* input [06:00] */ .status(rx_status), /* output */ .interrupt(rx_interpt) ); always @(posedge clock_fin) begin dpcounter_one_reg <= dpcounter_one_fin; mosi_buf_overrun_fin <= mosi_buf_overrun_reg; end always @(posedge prc_clk) begin mosi_tmp <= mosi; end always @(posedge dp_clock) begin if (inv_ss == 1'b1 && tx_load == 1'b1) begin tde <= dpMISO_fifo_empty; end else if (dpMISO_fifo_not_full == 1'b1) begin tde <= 1'b0; end else begin tde <= tde; end end /* Bypass input flip-flop on last received bit */ assign mosi_to_dp = (load == 1'b1) ? mosi : mosi_tmp; wire load_rx; assign load_rx = (rde == 1'b0) ? load : 1'b0; generate if (NumberOfDataBits <= SR8) begin: sR8 cy_psoc3_dp8 #(.cy_dpconfig_a(dp8_config)) Dp( /* input */ .clk(dp_clock), /* input */ .reset(reset), /* input [02:00] */ .cs_addr({inv_ss, 1'b0, tx_load}), /* input */ .route_si(mosi_to_dp), /* input */ .route_ci(1'b0), /* input */ .f0_load(1'b0), /* input */ .f1_load(load_rx), /* input */ .d0_load(1'b0), /* input */ .d1_load(1'b0), /* output */ .ce0(), /* output */ .cl0(), /* output */ .z0(), /* output */ .ff0(), /* output */ .ce1(), /* output */ .cl1(), /* output */ .z1(), /* output */ .ff1(), /* output */ .ov_msb(), /* output */ .co_msb(), /* output */ .cmsb(), /* output */ .so(miso_from_dp), /* output */ .f0_bus_stat(dpMISO_fifo_not_full), /* output */ .f0_blk_stat(dpMISO_fifo_empty), /* output */ .f1_bus_stat(dpMOSI_fifo_not_empty), /* output */ .f1_blk_stat(dpMOSI_fifo_full) ); end /* NumberOfDataBits <= 8 */ else if (NumberOfDataBits <= SR16) begin : sR16 /* NumberOfDataBits > 8 */ cy_psoc3_dp16 #(.cy_dpconfig_a(dp16_config_lsb), .cy_dpconfig_b(dp16_config_msb)) Dp( /* input */ .clk(dp_clock), /* input */ .reset(reset), /* input [02:00] */ .cs_addr({inv_ss, 1'b0, tx_load}), /* input */ .route_si(mosi_to_dp), /* input */ .route_ci(1'b0), /* input */ .f0_load(1'b0), /* input */ .f1_load(load_rx), /* input */ .d0_load(1'b0), /* input */ .d1_load(1'b0), /* output */ .ce0(), /* output */ .cl0(), /* output */ .z0(), /* output */ .ff0(), /* output */ .ce1(), /* output */ .cl1(), /* output */ .z1(), /* output */ .ff1(), /* output */ .ov_msb(), /* output */ .co_msb(), /* output */ .cmsb(), /* output */ .so({mosi_from_dpL, mosi_from_dpR}), /* output */ .f0_bus_stat({dpMISO_fifo_not_full, nc1}), /* output */ .f0_blk_stat({dpMISO_fifo_empty, nc2}), /* output */ .f1_bus_stat({dpMOSI_fifo_not_empty, nc3}), /* output */ .f1_blk_stat({dpMOSI_fifo_full, nc4}) ); assign miso_from_dp = (ShiftDir == SPIS_MSB_FIRST) ? mosi_from_dpL : mosi_from_dpR; end /* sR16 */ endgenerate endmodule `endif /* B_SPI_HFC_Slave_v0_1_V_ALREADY_INCLUDED */
// megafunction wizard: %FIFO% // GENERATION: STANDARD // VERSION: WM1.0 // MODULE: scfifo // ============================================================ // File Name: fifo_122x256.v // Megafunction Name(s): // scfifo // // Simulation Library Files(s): // altera_mf // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // // 7.2 Build 203 02/05/2008 SP 2 SJ Full Version // ************************************************************ //Copyright (C) 1991-2007 Altera Corporation //Your use of Altera Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject //to the terms and conditions of the Altera Program License //Subscription Agreement, Altera MegaCore Function License //Agreement, or other applicable license agreement, including, //without limitation, that your use is for the sole purpose of //programming logic devices manufactured by Altera and sold by //Altera or its authorized distributors. Please refer to the //applicable agreement for further details. // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on module fifo_122x256 ( clock, data, rdreq, wrreq, empty, full, q, usedw); input clock; input [121:0] data; input rdreq; input wrreq; output empty; output full; output [121:0] q; output [7:0] usedw; wire [7:0] sub_wire0; wire sub_wire1; wire [121:0] sub_wire2; wire sub_wire3; wire [7:0] usedw = sub_wire0[7:0]; wire empty = sub_wire1; wire [121:0] q = sub_wire2[121:0]; wire full = sub_wire3; scfifo scfifo_component ( .rdreq (rdreq), .clock (clock), .wrreq (wrreq), .data (data), .usedw (sub_wire0), .empty (sub_wire1), .q (sub_wire2), .full (sub_wire3) // synopsys translate_off , .aclr (), .almost_empty (), .almost_full (), .sclr () // synopsys translate_on ); defparam scfifo_component.add_ram_output_register = "OFF", scfifo_component.intended_device_family = "Cyclone III", scfifo_component.lpm_hint = "RAM_BLOCK_TYPE=M9K", scfifo_component.lpm_numwords = 256, scfifo_component.lpm_showahead = "OFF", scfifo_component.lpm_type = "scfifo", scfifo_component.lpm_width = 122, scfifo_component.lpm_widthu = 8, scfifo_component.overflow_checking = "OFF", scfifo_component.underflow_checking = "OFF", scfifo_component.use_eab = "ON"; endmodule // ============================================================ // CNX file retrieval info // ============================================================ // Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" // Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" // Retrieval info: PRIVATE: AlmostFull NUMERIC "0" // Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" // Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" // Retrieval info: PRIVATE: Clock NUMERIC "0" // Retrieval info: PRIVATE: Depth NUMERIC "256" // Retrieval info: PRIVATE: Empty NUMERIC "1" // Retrieval info: PRIVATE: Full NUMERIC "1" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" // Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" // Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1" // Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" // Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1" // Retrieval info: PRIVATE: Optimize NUMERIC "2" // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1" // Retrieval info: PRIVATE: UsedW NUMERIC "1" // Retrieval info: PRIVATE: Width NUMERIC "122" // Retrieval info: PRIVATE: dc_aclr NUMERIC "0" // Retrieval info: PRIVATE: diff_widths NUMERIC "0" // Retrieval info: PRIVATE: msb_usedw NUMERIC "0" // Retrieval info: PRIVATE: output_width NUMERIC "122" // Retrieval info: PRIVATE: rsEmpty NUMERIC "1" // Retrieval info: PRIVATE: rsFull NUMERIC "0" // Retrieval info: PRIVATE: rsUsedW NUMERIC "0" // Retrieval info: PRIVATE: sc_aclr NUMERIC "0" // Retrieval info: PRIVATE: sc_sclr NUMERIC "0" // Retrieval info: PRIVATE: wsEmpty NUMERIC "0" // Retrieval info: PRIVATE: wsFull NUMERIC "1" // Retrieval info: PRIVATE: wsUsedW NUMERIC "0" // Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" // Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M9K" // Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "256" // Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" // Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "122" // Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "8" // Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF" // Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF" // Retrieval info: CONSTANT: USE_EAB STRING "ON" // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock // Retrieval info: USED_PORT: data 0 0 122 0 INPUT NODEFVAL data[121..0] // Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty // Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full // Retrieval info: USED_PORT: q 0 0 122 0 OUTPUT NODEFVAL q[121..0] // Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq // Retrieval info: USED_PORT: usedw 0 0 8 0 OUTPUT NODEFVAL usedw[7..0] // Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq // Retrieval info: CONNECT: @data 0 0 122 0 data 0 0 122 0 // Retrieval info: CONNECT: q 0 0 122 0 @q 0 0 122 0 // Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 // Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 // Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0 // Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0 // Retrieval info: CONNECT: usedw 0 0 8 0 @usedw 0 0 8 0 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256.inc TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256.cmp FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256.bsf FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256_inst.v FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256_bb.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256_waveforms.html TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_122x256_wave*.jpg FALSE // Retrieval info: LIB_FILE: altera_mf
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__A2111O_TB_V `define SKY130_FD_SC_HD__A2111O_TB_V /** * a2111o: 2-input AND into first input of 4-input OR. * * X = ((A1 & A2) | B1 | C1 | D1) * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hd__a2111o.v" module top(); // Inputs are registered reg A1; reg A2; reg B1; reg C1; reg D1; reg VPWR; reg VGND; reg VPB; reg VNB; // Outputs are wires wire X; initial begin // Initial state is x for all inputs. A1 = 1'bX; A2 = 1'bX; B1 = 1'bX; C1 = 1'bX; D1 = 1'bX; VGND = 1'bX; VNB = 1'bX; VPB = 1'bX; VPWR = 1'bX; #20 A1 = 1'b0; #40 A2 = 1'b0; #60 B1 = 1'b0; #80 C1 = 1'b0; #100 D1 = 1'b0; #120 VGND = 1'b0; #140 VNB = 1'b0; #160 VPB = 1'b0; #180 VPWR = 1'b0; #200 A1 = 1'b1; #220 A2 = 1'b1; #240 B1 = 1'b1; #260 C1 = 1'b1; #280 D1 = 1'b1; #300 VGND = 1'b1; #320 VNB = 1'b1; #340 VPB = 1'b1; #360 VPWR = 1'b1; #380 A1 = 1'b0; #400 A2 = 1'b0; #420 B1 = 1'b0; #440 C1 = 1'b0; #460 D1 = 1'b0; #480 VGND = 1'b0; #500 VNB = 1'b0; #520 VPB = 1'b0; #540 VPWR = 1'b0; #560 VPWR = 1'b1; #580 VPB = 1'b1; #600 VNB = 1'b1; #620 VGND = 1'b1; #640 D1 = 1'b1; #660 C1 = 1'b1; #680 B1 = 1'b1; #700 A2 = 1'b1; #720 A1 = 1'b1; #740 VPWR = 1'bx; #760 VPB = 1'bx; #780 VNB = 1'bx; #800 VGND = 1'bx; #820 D1 = 1'bx; #840 C1 = 1'bx; #860 B1 = 1'bx; #880 A2 = 1'bx; #900 A1 = 1'bx; end sky130_fd_sc_hd__a2111o dut (.A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X)); endmodule `default_nettype wire `endif // SKY130_FD_SC_HD__A2111O_TB_V
`timescale 100ps / 10ps `define clkperiodby2 10 //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 22:06:14 09/30/2013 // Design Name: dac // Module Name: F:/PROJECTS/SYSTEMS/V_CODES/DAC/tb_dac.v // Project Name: DAC // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: dac // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module tb_dac; reg [7:0] dac_in; reg clk; reg conv; reg rst; reg [7:0] counter; wire dac_out; dac uut ( .dac_in(dac_in), .clk(clk), .rst(rst), .conv(conv), .dac_out(dac_out) ); defparam uut.RES = 7; initial begin $dumpfile("dump.lxt"); $dumpvars(); // $display ( "time \tclk \trst \tdac_in \tdac_out "); #1981284252 $finish; end initial begin dac_in = 8'h00; clk = 0; conv = 0; rst = 0; #20 rst <= 1; #20 rst <= 0; #20 dac_in = 8'he6; conv = 1; #100 $stop; end always begin #`clkperiodby2 clk <= ~clk; // #((`clkperiodby2)*2*256) dac_in <= dac_in + 1; end /* always@(posedge clk) counter <= counter + 1; always@(posedge clk) begin if(counter == 8'hff) begin dac_in <= dac_in + 1; counter <= 8'b0; end else begin dac_in <= dac_in; counter <= counter + 1; end end */ endmodule
module cpu(CLK, RESET, EN_L, Iin, Din, PC, NextPC, DataA, DataB, DataC, DataD, MW); input CLK; input RESET; input EN_L; input [15:0] Iin; input [7:0] Din; output [7:0] PC; output [7:0] NextPC; output [7:0] DataA; output [7:0] DataB; output [7:0] DataC; output [7:0] DataD; output MW; // comment the two lines out below if you use a submodule to generate PC/NextPC reg [7:0] PC; reg [7:0] NextPC; wire MW; // ADD YOUR CODE BELOW THIS LINE wire [2:0] SA; wire [2:0] SB; wire [2:0] DR; wire LD; wire [7:0] DataA; wire [7:0] DataB; wire [7:0] DataC; wire [7:0] DataD; wire [7:0] ALUB; wire [7:0] IMM_EXT; wire [5:0] IMM; wire MB; wire [2:0] FS; wire MD; wire HALT; wire H; wire [5:0] OFF; wire MP; wire [2:0] BS; wire N; wire Z; wire [7:0] OFF_EXT; registerFile regfile( .SA(SA), .SB(SB), .DR(DR), .D_IN(DataC), .LD(LD), .RESET(RESET), .DataA(DataA), .DataB(DataB), .CLK(CLK) ); decoder hyperwave( .INST(Iin), .DR(DR), .SA(SA), .SB(SB), .IMM(IMM), .MB(MB), .FS(FS), .MD(MD), .LD(LD), .MW(MW), .BS(BS), .OFF(OFF), .HALT(HALT) ); alu aluminum( .A(DataA), .B(ALUB), .OP(FS), .N(N), .Z(Z), .Y(DataD), .C(), .V(), .HEX0(), .HEX1(), .HEX2(), .HEX3(), .HEX4(), .HEX5(), .HEX6(), .HEX7() ); signExtend theExtendables( .IN(IMM), .OUT(IMM_EXT) ); eight_to_one_mux #(.WIDTH(1)) brancher( .A(Z), .B(~Z), .C(~N), .D(N), .E(1'b0), .F(1'b0), .G(1'b0), .H(1'b0), .SEL(BS), .Y(MP) ); halt_logic halting_problem( .CLK(CLK), .HALT(HALT), .EN_L(EN_L), .H(H) ); assign OFF_EXT[7] = OFF[5]; assign OFF_EXT[6:1] = OFF; assign OFF_EXT[0] = 1'b0; assign ALUB = MB ? IMM_EXT : DataB; assign DataC = MD ? Din : DataD; always @(posedge CLK) begin if (RESET) begin PC = 8'b0; end else begin PC = NextPC; end end always @(*) begin if (H) begin NextPC = PC; end else if (MP) begin NextPC = PC + 8'd2 + OFF_EXT; end else begin NextPC = PC + 8'd2; end end // ADD YOUR CODE ABOVE THIS LINE endmodule
`timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 00:59:48 04/01/2015 // Design Name: crc // Module Name: /home/christopher/Documents/RL02Controller/RL02Controller/crc_tb.v // Project Name: RL02Controller // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: crc // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module crc_tb; // Inputs reg [0:0] data_in; reg crc_en; reg rst; reg clk; // Outputs wire [15:0] crc_out; // Instantiate the Unit Under Test (UUT) crc uut ( .data_in(data_in), .crc_en(crc_en), .crc_out(crc_out), .rst(rst), .clk(clk) ); initial begin // Initialize Inputs data_in = 0; crc_en = 0; rst = 1; clk = 0; toggle_clk; rst = 0; toggle_clk; zero; zero; one; one; zero; zero; zero; one; zero; one; zero; zero; zero; one; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; zero; toggle_clk; end task one; begin data_in = 1; crc_en = 1; #10 clk = ~clk; #10 clk = ~clk; crc_en = 0; end endtask task zero; begin data_in = 0; crc_en = 1; #10 clk = ~clk; #10 clk = ~clk; crc_en = 0; end endtask task toggle_clk; begin #10 clk = ~clk; #10 clk = ~clk; #10 clk = ~clk; #10 clk = ~clk; end endtask endmodule
/* Copyright (c) 2015-2018 Alex Forencich Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. */ // Language: Verilog 2001 `resetall `timescale 1ns / 1ps `default_nettype none /* * AXI4-Stream Ethernet FCS checker (64 bit datapath) */ module axis_eth_fcs_check_64 ( input wire clk, input wire rst, /* * AXI input */ input wire [63:0] s_axis_tdata, input wire [7:0] s_axis_tkeep, input wire s_axis_tvalid, output wire s_axis_tready, input wire s_axis_tlast, input wire s_axis_tuser, /* * AXI output */ output wire [63:0] m_axis_tdata, output wire [7:0] m_axis_tkeep, output wire m_axis_tvalid, input wire m_axis_tready, output wire m_axis_tlast, output wire m_axis_tuser, /* * Status */ output wire busy, output wire error_bad_fcs ); localparam [1:0] STATE_IDLE = 2'd0, STATE_PAYLOAD = 2'd1, STATE_LAST = 2'd2; reg [1:0] state_reg = STATE_IDLE, state_next; // datapath control signals reg reset_crc; reg update_crc; reg shift_in; reg shift_reset; reg [7:0] last_cycle_tkeep_reg = 8'd0, last_cycle_tkeep_next; reg last_cycle_tuser_reg = 1'b0, last_cycle_tuser_next; reg [63:0] s_axis_tdata_d0 = 64'd0; reg [7:0] s_axis_tkeep_d0 = 8'd0; reg s_axis_tvalid_d0 = 1'b0; reg s_axis_tuser_d0 = 1'b0; reg busy_reg = 1'b0; reg error_bad_fcs_reg = 1'b0, error_bad_fcs_next; reg s_axis_tready_reg = 1'b0, s_axis_tready_next; reg [31:0] crc_state = 32'hFFFFFFFF; reg [31:0] crc_state3 = 32'hFFFFFFFF; wire [31:0] crc_next0; wire [31:0] crc_next1; wire [31:0] crc_next2; wire [31:0] crc_next3; wire [31:0] crc_next7; wire crc_valid0 = crc_next0 == ~32'h2144df1c; wire crc_valid1 = crc_next1 == ~32'h2144df1c; wire crc_valid2 = crc_next2 == ~32'h2144df1c; wire crc_valid3 = crc_next3 == ~32'h2144df1c; // internal datapath reg [63:0] m_axis_tdata_int; reg [7:0] m_axis_tkeep_int; reg m_axis_tvalid_int; reg m_axis_tready_int_reg = 1'b0; reg m_axis_tlast_int; reg m_axis_tuser_int; wire m_axis_tready_int_early; assign s_axis_tready = s_axis_tready_reg; assign busy = busy_reg; assign error_bad_fcs = error_bad_fcs_reg; wire last_cycle = state_reg == STATE_LAST; lfsr #( .LFSR_WIDTH(32), .LFSR_POLY(32'h4c11db7), .LFSR_CONFIG("GALOIS"), .LFSR_FEED_FORWARD(0), .REVERSE(1), .DATA_WIDTH(8), .STYLE("AUTO") ) eth_crc_8 ( .data_in(last_cycle ? s_axis_tdata_d0[39:32] : s_axis_tdata[7:0]), .state_in(last_cycle ? crc_state3 : crc_state), .data_out(), .state_out(crc_next0) ); lfsr #( .LFSR_WIDTH(32), .LFSR_POLY(32'h4c11db7), .LFSR_CONFIG("GALOIS"), .LFSR_FEED_FORWARD(0), .REVERSE(1), .DATA_WIDTH(16), .STYLE("AUTO") ) eth_crc_16 ( .data_in(last_cycle ? s_axis_tdata_d0[47:32] : s_axis_tdata[15:0]), .state_in(last_cycle ? crc_state3 : crc_state), .data_out(), .state_out(crc_next1) ); lfsr #( .LFSR_WIDTH(32), .LFSR_POLY(32'h4c11db7), .LFSR_CONFIG("GALOIS"), .LFSR_FEED_FORWARD(0), .REVERSE(1), .DATA_WIDTH(24), .STYLE("AUTO") ) eth_crc_24 ( .data_in(last_cycle ? s_axis_tdata_d0[55:32] : s_axis_tdata[23:0]), .state_in(last_cycle ? crc_state3 : crc_state), .data_out(), .state_out(crc_next2) ); lfsr #( .LFSR_WIDTH(32), .LFSR_POLY(32'h4c11db7), .LFSR_CONFIG("GALOIS"), .LFSR_FEED_FORWARD(0), .REVERSE(1), .DATA_WIDTH(32), .STYLE("AUTO") ) eth_crc_32 ( .data_in(last_cycle ? s_axis_tdata_d0[63:32] : s_axis_tdata[31:0]), .state_in(last_cycle ? crc_state3 : crc_state), .data_out(), .state_out(crc_next3) ); lfsr #( .LFSR_WIDTH(32), .LFSR_POLY(32'h4c11db7), .LFSR_CONFIG("GALOIS"), .LFSR_FEED_FORWARD(0), .REVERSE(1), .DATA_WIDTH(64), .STYLE("AUTO") ) eth_crc_64 ( .data_in(s_axis_tdata[63:0]), .state_in(crc_state), .data_out(), .state_out(crc_next7) ); always @* begin state_next = STATE_IDLE; reset_crc = 1'b0; update_crc = 1'b0; shift_in = 1'b0; shift_reset = 1'b0; last_cycle_tkeep_next = last_cycle_tkeep_reg; last_cycle_tuser_next = last_cycle_tuser_reg; s_axis_tready_next = 1'b0; m_axis_tdata_int = 64'd0; m_axis_tkeep_int = 8'd0; m_axis_tvalid_int = 1'b0; m_axis_tlast_int = 1'b0; m_axis_tuser_int = 1'b0; error_bad_fcs_next = 1'b0; case (state_reg) STATE_IDLE: begin // idle state - wait for data s_axis_tready_next = m_axis_tready_int_early; reset_crc = 1'b1; m_axis_tdata_int = s_axis_tdata_d0; m_axis_tkeep_int = s_axis_tkeep_d0; m_axis_tvalid_int = s_axis_tvalid_d0 && s_axis_tvalid; m_axis_tlast_int = 1'b0; m_axis_tuser_int = 1'b0; if (s_axis_tready && s_axis_tvalid) begin shift_in = 1'b1; reset_crc = 1'b0; update_crc = 1'b1; if (s_axis_tlast) begin if (s_axis_tkeep[7:4] == 0) begin shift_reset = 1'b1; reset_crc = 1'b1; m_axis_tlast_int = 1'b1; m_axis_tuser_int = s_axis_tuser; m_axis_tkeep_int = {s_axis_tkeep[3:0], 4'b1111}; if ((s_axis_tkeep[3:0] == 4'b0001 && crc_valid0) || (s_axis_tkeep[3:0] == 4'b0011 && crc_valid1) || (s_axis_tkeep[3:0] == 4'b0111 && crc_valid2) || (s_axis_tkeep[3:0] == 4'b1111 && crc_valid3)) begin // CRC valid end else begin m_axis_tuser_int = 1'b1; error_bad_fcs_next = 1'b1; end s_axis_tready_next = m_axis_tready_int_early; state_next = STATE_IDLE; end else begin last_cycle_tkeep_next = {4'b0000, s_axis_tkeep[7:4]}; last_cycle_tuser_next = s_axis_tuser; s_axis_tready_next = 1'b0; state_next = STATE_LAST; end end else begin state_next = STATE_PAYLOAD; end end else begin state_next = STATE_IDLE; end end STATE_PAYLOAD: begin // transfer payload s_axis_tready_next = m_axis_tready_int_early; m_axis_tdata_int = s_axis_tdata_d0; m_axis_tkeep_int = s_axis_tkeep_d0; m_axis_tvalid_int = s_axis_tvalid_d0 && s_axis_tvalid; m_axis_tlast_int = 1'b0; m_axis_tuser_int = 1'b0; if (s_axis_tready && s_axis_tvalid) begin shift_in = 1'b1; update_crc = 1'b1; if (s_axis_tlast) begin if (s_axis_tkeep[7:4] == 0) begin shift_reset = 1'b1; reset_crc = 1'b1; m_axis_tlast_int = 1'b1; m_axis_tuser_int = s_axis_tuser; m_axis_tkeep_int = {s_axis_tkeep[3:0], 4'b1111}; if ((s_axis_tkeep[3:0] == 4'b0001 && crc_valid0) || (s_axis_tkeep[3:0] == 4'b0011 && crc_valid1) || (s_axis_tkeep[3:0] == 4'b0111 && crc_valid2) || (s_axis_tkeep[3:0] == 4'b1111 && crc_valid3)) begin // CRC valid end else begin m_axis_tuser_int = 1'b1; error_bad_fcs_next = 1'b1; end s_axis_tready_next = m_axis_tready_int_early; state_next = STATE_IDLE; end else begin last_cycle_tkeep_next = {4'b0000, s_axis_tkeep[7:4]}; last_cycle_tuser_next = s_axis_tuser; s_axis_tready_next = 1'b0; state_next = STATE_LAST; end end else begin state_next = STATE_PAYLOAD; end end else begin state_next = STATE_PAYLOAD; end end STATE_LAST: begin // last cycle s_axis_tready_next = 1'b0; m_axis_tdata_int = s_axis_tdata_d0; m_axis_tkeep_int = last_cycle_tkeep_reg; m_axis_tvalid_int = s_axis_tvalid_d0; m_axis_tlast_int = 1'b1; m_axis_tuser_int = last_cycle_tuser_reg; if ((s_axis_tkeep_d0[7:4] == 4'b0001 && crc_valid0) || (s_axis_tkeep_d0[7:4] == 4'b0011 && crc_valid1) || (s_axis_tkeep_d0[7:4] == 4'b0111 && crc_valid2) || (s_axis_tkeep_d0[7:4] == 4'b1111 && crc_valid3)) begin // CRC valid end else begin m_axis_tuser_int = 1'b1; error_bad_fcs_next = 1'b1; end if (m_axis_tready_int_reg) begin shift_reset = 1'b1; reset_crc = 1'b1; s_axis_tready_next = m_axis_tready_int_early; state_next = STATE_IDLE; end else begin state_next = STATE_LAST; end end endcase end always @(posedge clk) begin if (rst) begin state_reg <= STATE_IDLE; s_axis_tready_reg <= 1'b0; busy_reg <= 1'b0; error_bad_fcs_reg <= 1'b0; s_axis_tvalid_d0 <= 1'b0; crc_state <= 32'hFFFFFFFF; crc_state3 <= 32'hFFFFFFFF; end else begin state_reg <= state_next; s_axis_tready_reg <= s_axis_tready_next; busy_reg <= state_next != STATE_IDLE; error_bad_fcs_reg <= error_bad_fcs_next; // datapath if (reset_crc) begin crc_state <= 32'hFFFFFFFF; crc_state3 <= 32'hFFFFFFFF; end else if (update_crc) begin crc_state <= crc_next7; crc_state3 <= crc_next3; end if (shift_reset) begin s_axis_tvalid_d0 <= 1'b0; end else if (shift_in) begin s_axis_tvalid_d0 <= s_axis_tvalid; end end last_cycle_tkeep_reg <= last_cycle_tkeep_next; last_cycle_tuser_reg <= last_cycle_tuser_next; if (shift_in) begin s_axis_tdata_d0 <= s_axis_tdata; s_axis_tkeep_d0 <= s_axis_tkeep; s_axis_tuser_d0 <= s_axis_tuser; end end // output datapath logic reg [63:0] m_axis_tdata_reg = 64'd0; reg [7:0] m_axis_tkeep_reg = 8'd0; reg m_axis_tvalid_reg = 1'b0, m_axis_tvalid_next; reg m_axis_tlast_reg = 1'b0; reg m_axis_tuser_reg = 1'b0; reg [63:0] temp_m_axis_tdata_reg = 64'd0; reg [7:0] temp_m_axis_tkeep_reg = 8'd0; reg temp_m_axis_tvalid_reg = 1'b0, temp_m_axis_tvalid_next; reg temp_m_axis_tlast_reg = 1'b0; reg temp_m_axis_tuser_reg = 1'b0; // datapath control reg store_axis_int_to_output; reg store_axis_int_to_temp; reg store_axis_temp_to_output; assign m_axis_tdata = m_axis_tdata_reg; assign m_axis_tkeep = m_axis_tkeep_reg; assign m_axis_tvalid = m_axis_tvalid_reg; assign m_axis_tlast = m_axis_tlast_reg; assign m_axis_tuser = m_axis_tuser_reg; // enable ready input next cycle if output is ready or the temp reg will not be filled on the next cycle (output reg empty or no input) assign m_axis_tready_int_early = m_axis_tready || (!temp_m_axis_tvalid_reg && (!m_axis_tvalid_reg || !m_axis_tvalid_int)); always @* begin // transfer sink ready state to source m_axis_tvalid_next = m_axis_tvalid_reg; temp_m_axis_tvalid_next = temp_m_axis_tvalid_reg; store_axis_int_to_output = 1'b0; store_axis_int_to_temp = 1'b0; store_axis_temp_to_output = 1'b0; if (m_axis_tready_int_reg) begin // input is ready if (m_axis_tready || !m_axis_tvalid_reg) begin // output is ready or currently not valid, transfer data to output m_axis_tvalid_next = m_axis_tvalid_int; store_axis_int_to_output = 1'b1; end else begin // output is not ready, store input in temp temp_m_axis_tvalid_next = m_axis_tvalid_int; store_axis_int_to_temp = 1'b1; end end else if (m_axis_tready) begin // input is not ready, but output is ready m_axis_tvalid_next = temp_m_axis_tvalid_reg; temp_m_axis_tvalid_next = 1'b0; store_axis_temp_to_output = 1'b1; end end always @(posedge clk) begin if (rst) begin m_axis_tvalid_reg <= 1'b0; m_axis_tready_int_reg <= 1'b0; temp_m_axis_tvalid_reg <= 1'b0; end else begin m_axis_tvalid_reg <= m_axis_tvalid_next; m_axis_tready_int_reg <= m_axis_tready_int_early; temp_m_axis_tvalid_reg <= temp_m_axis_tvalid_next; end // datapath if (store_axis_int_to_output) begin m_axis_tdata_reg <= m_axis_tdata_int; m_axis_tkeep_reg <= m_axis_tkeep_int; m_axis_tlast_reg <= m_axis_tlast_int; m_axis_tuser_reg <= m_axis_tuser_int; end else if (store_axis_temp_to_output) begin m_axis_tdata_reg <= temp_m_axis_tdata_reg; m_axis_tkeep_reg <= temp_m_axis_tkeep_reg; m_axis_tlast_reg <= temp_m_axis_tlast_reg; m_axis_tuser_reg <= temp_m_axis_tuser_reg; end if (store_axis_int_to_temp) begin temp_m_axis_tdata_reg <= m_axis_tdata_int; temp_m_axis_tkeep_reg <= m_axis_tkeep_int; temp_m_axis_tlast_reg <= m_axis_tlast_int; temp_m_axis_tuser_reg <= m_axis_tuser_int; end end endmodule `resetall
// ========== Copyright Header Begin ========================================== // // OpenSPARC T1 Processor File: scdata_ctr_io.v // Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved. // DO NOT ALTER OR REMOVE COPYRIGHT NOTICES. // // The above named program is free software; you can redistribute it and/or // modify it under the terms of the GNU General Public // License version 2 as published by the Free Software Foundation. // // The above named program is distributed in the hope that it will be // useful, but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public // License along with this work; if not, write to the Free Software // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA. // // ========== Copyright Header End ============================================ module scdata_ctr_io(/*AUTOARG*/ // Outputs so, scdata_sctag_decc_c6_ctr, scdata_decc_out_c6, cache_decc_in_c3, cache_col_offset_c3, cache_word_en_c3, cache_way_sel_c3_0, cache_set_c3_0, cache_wr_en_c3_0, cache_way_sel_c3_1, cache_set_c3_1, cache_wr_en_c3_1, cache_way_sel_c3_2, cache_set_c3_2, cache_wr_en_c3_2, cache_way_sel_c3_3, cache_set_c3_3, cache_wr_en_c3_3, // Inputs sctag_scdata_way_sel_c2_buf, sctag_scdata_rd_wr_c2_buf, sctag_scdata_set_c2_buf, sctag_scdata_col_offset_c2_buf, sctag_scdata_word_en_c2_buf, sctag_scdata_fbrd_c3_buf, scbuf_scdata_fbdecc_c5, sctag_scdata_fb_hit_c3_buf, sctag_scdata_stdecc_c2_buf, cache_decc_out_c5, rclk, se, si ); input [11:0] sctag_scdata_way_sel_c2_buf; // way select input sctag_scdata_rd_wr_c2_buf; // Rd/WRbar input [9:0] sctag_scdata_set_c2_buf; // index input [3:0] sctag_scdata_col_offset_c2_buf; // 16B column that is accessed input [15:0] sctag_scdata_word_en_c2_buf; // word enables for stores input sctag_scdata_fbrd_c3_buf; // if fill 1 else 0 input [623:0] scbuf_scdata_fbdecc_c5; input sctag_scdata_fb_hit_c3_buf; // use bypass data from the Fill Buffer. input [77:0] sctag_scdata_stdecc_c2_buf; // store data from sctag input [623:0] cache_decc_out_c5; // data output from memory array input rclk, se, si; output so; output [155:0] scdata_sctag_decc_c6_ctr; output [623:0] scdata_decc_out_c6; output [623:0] cache_decc_in_c3; output [3:0] cache_col_offset_c3; output [15:0] cache_word_en_c3; output [11:0] cache_way_sel_c3_0; output [9:0] cache_set_c3_0; output cache_wr_en_c3_0; output [11:0] cache_way_sel_c3_1; output [9:0] cache_set_c3_1; output cache_wr_en_c3_1; output [11:0] cache_way_sel_c3_2; output [9:0] cache_set_c3_2; output cache_wr_en_c3_2; output [11:0] cache_way_sel_c3_3; output [9:0] cache_set_c3_3; output cache_wr_en_c3_3; wire [11:0] cache_way_sel_c3; wire [9:0] cache_set_c3; wire cache_wr_en_c3; wire [77:0] st_decc_out_c3; wire [155:0] scdata_sctag_decc_c5; wire sel_decc_c5_23; wire [155:0] decc_c5_01; wire [155:0] decc_c5_23; wire [3:0] cache_col_offset_c4, cache_col_offset_c5 ; wire cache_sel_fbdecc_c4, cache_sel_fbdecc_c5 ; wire cache_fb_hit_c4, cache_fb_hit_c5 ; wire [155:0] scdata_sctag_decc_c6_ctr ; wire [623:0] rd_decc_out_c5; wire [623:0] scdata_decc_out_c5; wire [623:0] scdata_decc_out_c6; // way selects dff_s #(12) ff_cache_way_sel_c3 (.q(cache_way_sel_c3[11:0]), .din(sctag_scdata_way_sel_c2_buf[11:0]), .clk(rclk), .se(se), .si(), .so()); assign cache_way_sel_c3_0 = cache_way_sel_c3; assign cache_way_sel_c3_1 = cache_way_sel_c3; assign cache_way_sel_c3_2 = cache_way_sel_c3; assign cache_way_sel_c3_3 = cache_way_sel_c3; // set dff_s #(10) ff_cache_set_c3 (.q(cache_set_c3[9:0]), .din(sctag_scdata_set_c2_buf[9:0]), .clk(rclk), .se(se), .si(), .so()); assign cache_set_c3_0 = cache_set_c3; assign cache_set_c3_1 = cache_set_c3; assign cache_set_c3_2 = cache_set_c3; assign cache_set_c3_3 = cache_set_c3; // col offset dff_s #(4) ff_cache_col_offset_c3 (.q(cache_col_offset_c3[3:0]), .din(sctag_scdata_col_offset_c2_buf[3:0]), .clk(rclk), .se(se), .si(), .so()); dff_s #(4) ff_cache_col_offset_c4 (.q(cache_col_offset_c4[3:0]), .din(cache_col_offset_c3[3:0]), .clk(rclk), .se(se), .si(), .so()); dff_s #(4) ff_cache_col_offset_c5 (.q(cache_col_offset_c5[3:0]), .din(cache_col_offset_c4[3:0]), .clk(rclk), .se(se), .si(), .so()); // word enables for writes dff_s #(16) ff_cache_word_en_c3 (.q(cache_word_en_c3[15:0]), .din(sctag_scdata_word_en_c2_buf[15:0]), .clk(rclk), .se(se), .si(), .so()); // write enable into cache dff_s #(1) ff_cache_wr_en_c3 (.q(cache_wr_en_c3), .din(~sctag_scdata_rd_wr_c2_buf), .clk(rclk), .se(se), .si(), .so()); assign cache_wr_en_c3_0 = cache_wr_en_c3; assign cache_wr_en_c3_1 = cache_wr_en_c3; assign cache_wr_en_c3_2 = cache_wr_en_c3; assign cache_wr_en_c3_3 = cache_wr_en_c3; // sel fill data instead of store data. dff_s #(1) ff_cache_sel_fbdecc_c4 (.q(cache_sel_fbdecc_c4), .din(sctag_scdata_fbrd_c3_buf), .clk(rclk), .se(se), .si(), .so()); dff_s #(1) ff_cache_sel_fbdecc_c5 (.q(cache_sel_fbdecc_c5), .din(cache_sel_fbdecc_c4), .clk(rclk), .se(se), .si(), .so()); // sel fill buffer data over l2$ data. dff_s #(1) ff_cache_fb_hit_c4 (.q(cache_fb_hit_c4), .din(sctag_scdata_fb_hit_c3_buf), .clk(rclk), .se(se), .si(), .so()); dff_s #(1) ff_cache_fb_hit_c5 (.q(cache_fb_hit_c5), .din(cache_fb_hit_c4), .clk(rclk), .se(se), .si(), .so()); // store data dff_s #(78) ff_st_decc_out_c3 (.q(st_decc_out_c3[77:0]), .din(sctag_scdata_stdecc_c2_buf[77:0]), .clk(rclk), .se(se), .si(), .so()); mux2ds #(624) mux_cache_decc_in_c3 (.dout(cache_decc_in_c3[623:0]), .in0({8{st_decc_out_c3[77:0]}}), .in1(scbuf_scdata_fbdecc_c5[623:0]), .sel0(~cache_sel_fbdecc_c5), .sel1(cache_sel_fbdecc_c5)); dff_s #(156) ff_scdata_sctag_decc_c6 (.q(scdata_sctag_decc_c6_ctr[155:0]), .din(scdata_sctag_decc_c5[155:0]), .clk(rclk), .se(se), .si(), .so()); mux2ds #(624) mux_rd_decc_out_c5 (.dout(rd_decc_out_c5[623:0]), .in0(cache_decc_out_c5[623:0]), .in1(scbuf_scdata_fbdecc_c5[623:0]), .sel0(~cache_fb_hit_c5), .sel1(cache_fb_hit_c5)); assign scdata_decc_out_c5[623:0] = rd_decc_out_c5[623:0]; dff_s #(624) ff_scdata_decc_out_c6 (.q(scdata_decc_out_c6[623:0]), .din(scdata_decc_out_c5[623:0]), .clk(rclk), .se(se), .si(), .so()); //////////////////////////////////////////////////////////////////////// // The 64B-16B mux will be performed in the full custom data array. // if the col offsets are non one hot, sctag will cause the hold signal // to be high causing the output mux to hold its old value. //////////////////////////////////////////////////////////////////////// assign sel_decc_c5_23 = cache_col_offset_c5[3] || cache_col_offset_c5[2]; mux2ds #(156) mux_decc_c5_01 (.dout(decc_c5_01[155:0]), .in0(rd_decc_out_c5[155:0]), .in1(rd_decc_out_c5[311:156]), .sel0(cache_col_offset_c5[0]), .sel1(~cache_col_offset_c5[0])); mux2ds #(156) mux_decc_c5_23 (.dout(decc_c5_23[155:0]), .in0(rd_decc_out_c5[467:312]), .in1(rd_decc_out_c5[623:468]), .sel0(cache_col_offset_c5[2]), .sel1(~cache_col_offset_c5[2])); mux2ds #(156) mux_scdata_sctag_decc_c5 (.dout(scdata_sctag_decc_c5[155:0]), .in0(decc_c5_01[155:0]), .in1(decc_c5_23[155:0]), .sel0(~sel_decc_c5_23), .sel1(sel_decc_c5_23)); endmodule // scdata_ctr_io
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__DLRTP_SYMBOL_V `define SKY130_FD_SC_MS__DLRTP_SYMBOL_V /** * dlrtp: Delay latch, inverted reset, non-inverted enable, * single output. * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_ms__dlrtp ( //# {{data|Data Signals}} input D , output Q , //# {{control|Control Signals}} input RESET_B, //# {{clocks|Clocking}} input GATE ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_MS__DLRTP_SYMBOL_V
// ========== Copyright Header Begin ========================================== // // OpenSPARC T1 Processor File: ff_jbi_sc1_2.v // Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved. // DO NOT ALTER OR REMOVE COPYRIGHT NOTICES. // // The above named program is free software; you can redistribute it and/or // modify it under the terms of the GNU General Public // License version 2 as published by the Free Software Foundation. // // The above named program is distributed in the hope that it will be // useful, but WITHOUT ANY WARRANTY; without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU // General Public License for more details. // // You should have received a copy of the GNU General Public // License along with this work; if not, write to the Free Software // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA. // // ========== Copyright Header End ============================================ module ff_jbi_sc1_2(/*AUTOARG*/ // Outputs jbi_sctag_req_d1, scbuf_jbi_data_d1, jbi_scbuf_ecc_d1, jbi_sctag_req_vld_d1, scbuf_jbi_ctag_vld_d1, scbuf_jbi_ue_err_d1, sctag_jbi_iq_dequeue_d1, sctag_jbi_wib_dequeue_d1, sctag_jbi_por_req_d1, so, // Inputs jbi_sctag_req, scbuf_jbi_data, jbi_scbuf_ecc, jbi_sctag_req_vld, scbuf_jbi_ctag_vld, scbuf_jbi_ue_err, sctag_jbi_iq_dequeue, sctag_jbi_wib_dequeue, sctag_jbi_por_req, rclk, si, se ); output [31:0] jbi_sctag_req_d1; output [31:0] scbuf_jbi_data_d1; output [6:0] jbi_scbuf_ecc_d1; output jbi_sctag_req_vld_d1; output scbuf_jbi_ctag_vld_d1; output scbuf_jbi_ue_err_d1; output sctag_jbi_iq_dequeue_d1; output sctag_jbi_wib_dequeue_d1; output sctag_jbi_por_req_d1; input [31:0] jbi_sctag_req; input [31:0] scbuf_jbi_data; input [6:0] jbi_scbuf_ecc; input jbi_sctag_req_vld; input scbuf_jbi_ctag_vld; input scbuf_jbi_ue_err; input sctag_jbi_iq_dequeue; input sctag_jbi_wib_dequeue; input sctag_jbi_por_req; input rclk; input si, se; output so; wire int_scanout; // connect scanout of the last flop to int_scanout. // The output of the lockup latch is // the scanout of this dbb (so) bw_u1_scanlg_2x so_lockup(.so(so), .sd(int_scanout), .ck(rclk), .se(se)); dff_s #(32) ff_flop_row0 (.q(jbi_sctag_req_d1[31:0]), .din(jbi_sctag_req[31:0]), .clk(rclk), .se(1'b0), .si(), .so() ); dff_s #(32) ff_flop_row1 (.q(scbuf_jbi_data_d1[31:0]), .din(scbuf_jbi_data[31:0]), .clk(rclk), .se(1'b0), .si(), .so() ); dff_s #(13) ff_flop_row2 (.q({ jbi_scbuf_ecc_d1[6:0], jbi_sctag_req_vld_d1, scbuf_jbi_ctag_vld_d1, scbuf_jbi_ue_err_d1, sctag_jbi_iq_dequeue_d1, sctag_jbi_wib_dequeue_d1, sctag_jbi_por_req_d1}), .din({ jbi_scbuf_ecc[6:0], jbi_sctag_req_vld, scbuf_jbi_ctag_vld, scbuf_jbi_ue_err, sctag_jbi_iq_dequeue, sctag_jbi_wib_dequeue, sctag_jbi_por_req}), .clk(rclk), .se(1'b0), .si(), .so() ); endmodule
`timescale 1ns / 1ps /* -- Module Name: router -- Description: Top level de router NoC. -- Dependencies: -- system.vh -- control_path.v -- data_path.v -- Parameters: -- X_LOCAL: Direccion en dimension "x" del nodo en la red. -- Y_LOCAL: Direccion en dimension "y" del nodo en la red. -- Original Author: Héctor Cabrera -- Current Author: -- Notas: -- History: -- 05 de Junio 2015: Creacion -- 11 de Junio 2015: Actualizacion de instancias de camino de datos y camino de control. -- 14 de Junio 2015: Actualizacion de instancias de camino de datos y camino de control. */ `include "system.vh" module router #( parameter X_LOCAL = 2, parameter Y_LOCAL = 2, parameter X_WIDTH = 2, parameter Y_WIDTH = 2 ) ( input wire clk, input wire reset, // -- puertos de entrada ------------------------------------- >>>>> output wire credit_out_xpos_dout, input wire [`CHANNEL_WIDTH-1:0] channel_xpos_din, output wire credit_out_ypos_dout, input wire [`CHANNEL_WIDTH-1:0] channel_ypos_din, output wire credit_out_xneg_dout, input wire [`CHANNEL_WIDTH-1:0] channel_xneg_din, output wire credit_out_yneg_dout, input wire [`CHANNEL_WIDTH-1:0] channel_yneg_din, output wire credit_out_pe_dout, input wire [`CHANNEL_WIDTH-1:0] channel_pe_din, // -- puertos de salida -------------------------------------- >>>>> input wire credit_in_xpos_din, output wire [`CHANNEL_WIDTH-1:0] channel_xpos_dout, input wire credit_in_ypos_din, output wire [`CHANNEL_WIDTH-1:0] channel_ypos_dout, input wire credit_in_xneg_din, output wire [`CHANNEL_WIDTH-1:0] channel_xneg_dout, input wire credit_in_yneg_din, output wire [`CHANNEL_WIDTH-1:0] channel_yneg_dout, input wire credit_in_pe_din, output wire [`CHANNEL_WIDTH-1:0] channel_pe_dout ); /* -- Instancia :: Camino de Control -- Descripcion: Top level para logica de control. En este modulo contiene instancias para los modulos: -- control de enlace (link controller) -- selector -- planificador de salida (outport scheduler) Todas las salidas del modulo se conectan al camino de datos con excepcion de las terminales para la recepcion/ transmicion de creditos. La señales IO se agrupan en arreglos para facilitar la interconexion. Los puertos buffer_xxxx son el puente de comunicacion con el camino de datos. Se utilizan para la transmision del campo 'destino' para el calculo de ruta. */ // -- Desglose de Señales ------------------------------------ >>>>> // -- Entrada :: Dupla (x,y) desde buffer ---------------- >>>>> wire [29:24] buffer_xpos; wire [29:24] buffer_ypos; wire [29:24] buffer_xneg; wire [29:24] buffer_yneg; wire [29:24] buffer_pe; // -- Entrada :: Campo 'done' desde buffer---------------- >>>>> wire buffer_done_xpos; wire buffer_done_ypos; wire buffer_done_xneg; wire buffer_done_yneg; wire buffer_done_pe; // -- Salida :: Señales de Escritura/Lectura a Buffer ---- >>>>> wire [4:0] write_strobe; wire [4:0] read_strobe; // -- Salida :: Señales de Configuracion de XBAR --------- >>>>> wire [3:0] xbar_conf_vector_xpos; wire [3:0] xbar_conf_vector_ypos; wire [3:0] xbar_conf_vector_xneg; wire [3:0] xbar_conf_vector_yneg; wire [3:0] xbar_conf_vector_pe; // -- Instancia :: Camino de Control ------------------------- >>>>> control_path #( .X_LOCAL (X_LOCAL), .Y_LOCAL (Y_LOCAL), .X_WIDTH (X_WIDTH), .Y_WIDTH (Y_WIDTH) ) camino_de_control ( .clk (clk), .reset (reset), // -- segmentos de puertos de entrada -------------------- >>>>> .credit_out_xpos_dout (credit_out_xpos_dout), .input_channel_xpos_din (channel_xpos_din[31:24]), .credit_out_ypos_dout (credit_out_ypos_dout), .input_channel_ypos_din (channel_ypos_din[31:24]), .credit_out_xneg_dout (credit_out_xneg_dout), .input_channel_xneg_din (channel_xneg_din[31:24]), .credit_out_yneg_dout (credit_out_yneg_dout), .input_channel_yneg_din (channel_yneg_din[31:24]), .credit_out_pe_dout (credit_out_pe_dout), .input_channel_pe_din (channel_pe_din[31:24]), // -- puertos de recepcion de creditos ------------------- >>>>> .credit_in_xpos_din (credit_in_xpos_din), .credit_in_ypos_din (credit_in_ypos_din), .credit_in_xneg_din (credit_in_xneg_din), .credit_in_yneg_din (credit_in_yneg_din), .credit_in_pe_din (credit_in_pe_din), // -- señales de entrada desde el camino de datos -------- >>>>> .buffer_xpos_din (buffer_xpos), .done_buffer_xpos_din (buffer_done_xpos), .buffer_ypos_din (buffer_ypos), .done_buffer_ypos_din (buffer_done_ypos), .buffer_xneg_din (buffer_xneg), .done_buffer_xneg_din (buffer_done_xneg), .buffer_yneg_din (buffer_yneg), .done_buffer_yneg_din (buffer_done_yneg), .buffer_pe_din (buffer_pe), .done_buffer_pe_din (buffer_done_pe), // -- señales de salida a camino de datos ---------------- >>>>> .write_strobe_dout (write_strobe), .read_strobe_dout (read_strobe), .xbar_conf_vector_xpos_dout (xbar_conf_vector_xpos), .xbar_conf_vector_ypos_dout (xbar_conf_vector_ypos), .xbar_conf_vector_xneg_dout (xbar_conf_vector_xneg), .xbar_conf_vector_yneg_dout (xbar_conf_vector_yneg), .xbar_conf_vector_pe_dout (xbar_conf_vector_pe) ); /* -- Instancia :: Camino de Datos -- Descripcion: Top level para la infraestructura de manejo de datos a traves del router. El modulo incluye las instancias de: -- Colas de almacenamiento (Input Queue) -- Crossbar (switch_fabric) El modulo proporciona los puertos de entrada y salida del router. Todas las señales de control son proporcionadas por el modulo 'control_path'. Los puertos buffer_xxxx son el puente de comunicacion con el camino de datos. Se utilizan para la transmision del campo 'destino' para el calculo de ruta. */ data_path camino_de_datos ( .clk (clk), .reset (reset), // -- input -------------------------------------------------- >>>>> .channel_xpos_din (channel_xpos_din), .channel_ypos_din (channel_ypos_din), .channel_xneg_din (channel_xneg_din), .channel_yneg_din (channel_yneg_din), .channel_pe_din (channel_pe_din), .write_strobe_din (write_strobe), .read_strobe_din (read_strobe), .xbar_conf_vector_xpos_din (xbar_conf_vector_xpos), .xbar_conf_vector_ypos_din (xbar_conf_vector_ypos), .xbar_conf_vector_xneg_din (xbar_conf_vector_xneg), .xbar_conf_vector_yneg_din (xbar_conf_vector_yneg), .xbar_conf_vector_pe_din (xbar_conf_vector_pe), // -- output ------------------------------------------------- >>>>> .buffer_xpos_dout (buffer_xpos), .buffer_ypos_dout (buffer_ypos), .buffer_xneg_dout (buffer_xneg), .buffer_yneg_dout (buffer_yneg), .buffer_pe_dout (buffer_pe), .done_buffer_xpos_dout (buffer_done_xpos), .done_buffer_ypos_dout (buffer_done_ypos), .done_buffer_xneg_dout (buffer_done_xneg), .done_buffer_yneg_dout (buffer_done_yneg), .done_buffer_pe_dout (buffer_done_pe), .channel_xpos_dout (channel_xpos_dout), .channel_ypos_dout (channel_ypos_dout), .channel_xneg_dout (channel_xneg_dout), .channel_yneg_dout (channel_yneg_dout), .channel_pe_dout (channel_pe_dout) ); endmodule /* -- Plantilla de Instancia ------------------------------------- >>>>> router #( .X_LOCAL (X_LOCAL), .Y_LOCAL (Y_LOCAL), .X_WIDTH (X_WIDTH), .Y_WIDTH (Y_WIDTH) ) lancetfish_router ( .clk (clk), .reset (reset), // -- puertos de entrada ------------------------------------- >>>>> .credit_out_xpos_dout (credit_out_xpos_dout), .channel_xpos_din (channel_xpos_din), .credit_out_ypos_dout (credit_out_ypos_dout), .channel_ypos_din (channel_ypos_din), .credit_out_xneg_dout (credit_out_xneg_dout), .channel_xneg_din (channel_xneg_din), .credit_out_yneg_dout (credit_out_yneg_dout), .channel_yneg_din (channel_yneg_din), .credit_out_pe_dout (credit_out_pe_dout), .channel_pe_din (channel_pe_din), // -- puertos de salida -------------------------------------- >>>>> .credit_in_xpos_din (credit_in_xpos_din), .channel_xpos_dout (channel_xpos_dout), .credit_in_ypos_din (credit_in_ypos_din), .channel_ypos_dout (channel_ypos_dout), .credit_in_xneg_din (credit_in_xneg_din), .channel_xneg_dout (channel_xneg_dout), .credit_in_yneg_din (credit_in_yneg_din), .channel_yneg_dout (channel_yneg_dout), .credit_in_pe_din (credit_in_pe_din), .channel_pe_dout (channel_pe_dout) ); */
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__DLXBP_TB_V `define SKY130_FD_SC_HS__DLXBP_TB_V /** * dlxbp: Delay latch, non-inverted enable, complementary outputs. * * Autogenerated test bench. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hs__dlxbp.v" module top(); // Inputs are registered reg D; reg VPWR; reg VGND; // Outputs are wires wire Q; wire Q_N; initial begin // Initial state is x for all inputs. D = 1'bX; VGND = 1'bX; VPWR = 1'bX; #20 D = 1'b0; #40 VGND = 1'b0; #60 VPWR = 1'b0; #80 D = 1'b1; #100 VGND = 1'b1; #120 VPWR = 1'b1; #140 D = 1'b0; #160 VGND = 1'b0; #180 VPWR = 1'b0; #200 VPWR = 1'b1; #220 VGND = 1'b1; #240 D = 1'b1; #260 VPWR = 1'bx; #280 VGND = 1'bx; #300 D = 1'bx; end // Create a clock reg GATE; initial begin GATE = 1'b0; end always begin #5 GATE = ~GATE; end sky130_fd_sc_hs__dlxbp dut (.D(D), .VPWR(VPWR), .VGND(VGND), .Q(Q), .Q_N(Q_N), .GATE(GATE)); endmodule `default_nettype wire `endif // SKY130_FD_SC_HS__DLXBP_TB_V
`timescale 1us/100ns module framing_encoding_test; wire framing_encoding_out; wire framing_encoding_out_valid; reg [7:0] phr_psdu_in; reg phr_psdu_in_valid; reg clk; reg reset_n; initial begin $monitor($time, " indicator: %b, framing_out: %h, whiting_out: %h, serializing_out: %h", framing_encoding_out_valid, u0framing_encoding.framing_crc_out, u0framing_encoding.whiting_out, framing_encoding_out); end framing_encoding u0framing_encoding( .framing_encoding_out (framing_encoding_out), .framing_encoding_out_valid (framing_encoding_out_valid), .phr_psdu_in (phr_psdu_in), .phr_psdu_in_valid (phr_psdu_in_valid), .clk (clk), .reset_n (reset_n) ); // stop simulation after 20000us initial begin #20000 $finish; end // generate data input signal initial begin phr_psdu_in=8'h00; #500 phr_psdu_in=8'h07; #100 phr_psdu_in=8'h03; #100 phr_psdu_in=8'h01; #100 phr_psdu_in=8'h05; #100 phr_psdu_in=8'h21; #100 phr_psdu_in=8'h43; #100 phr_psdu_in=8'h65; #100 phr_psdu_in=8'h87; end // generate phr_psdu_in_valid signal initial begin phr_psdu_in_valid =1'b0; #500 phr_psdu_in_valid =1'b1; #800 phr_psdu_in_valid =1'b0; end // generate clk signal initial begin clk=1'b0; end always #50 clk=~clk; // generate resrt_n signal initial begin reset_n=1'b1; # 520 reset_n=1'b0; # 20 reset_n=1'b1; end endmodule
// -------------------------------------------------------------------- // Copyright (c) 2007 by Terasic Technologies Inc. // -------------------------------------------------------------------- // // Permission: // // Terasic grants permission to use and modify this code for use // in synthesis for all Terasic Development Boards and Altera Development // Kits made by Terasic. Other use of this code, including the selling // ,duplication, or modification of any portion is strictly prohibited. // // Disclaimer: // // This VHDL/Verilog or C/C++ source code is intended as a design reference // which illustrates how these types of functions can be implemented. // It is the user's responsibility to verify their design for // consistency and functionality through the use of formal // verification methods. Terasic provides no warranty regarding the use // or functionality of this code. // // -------------------------------------------------------------------- // // Terasic Technologies Inc // 356 Fu-Shin E. Rd Sec. 1. JhuBei City, // HsinChu County, Taiwan // 302 // // web: http://www.terasic.com/ // email: [email protected] // // -------------------------------------------------------------------- /* Function: WOLFSON WM8731 controller I2C Configuration Requirements: Master Mode I2S, 16-bits Clock: 18.432MHz to XTI/MCLK pin of WM8731 Revision: 1.0, 10/22/2007, Init by Richard Compatibility: Quartus 7.2 */ module AUDIO_IF( avs_s1_clk, avs_s1_reset, avs_s1_address, avs_s1_read, avs_s1_readdata, avs_s1_write, avs_s1_writedata, // avs_s1_export_BCLK, avs_s1_export_DACLRC, avs_s1_export_DACDAT, avs_s1_export_ADCLRC, avs_s1_export_ADCDAT ); /***************************************************************************** * Constant Declarations * *****************************************************************************/ `define DAC_LFIFO_ADDR 0 `define DAC_RFIFO_ADDR 1 `define ADC_LFIFO_ADDR 2 `define ADC_RFIFO_ADDR 3 `define CMD_ADDR 4 `define STATUS_ADDR 5 /***************************************************************************** * Port Declarations * *****************************************************************************/ input avs_s1_clk; input avs_s1_reset; input [2:0] avs_s1_address; input avs_s1_read; output [15:0] avs_s1_readdata; input avs_s1_write; input [15:0] avs_s1_writedata; // input avs_s1_export_BCLK; input avs_s1_export_DACLRC; output avs_s1_export_DACDAT; input avs_s1_export_ADCLRC; input avs_s1_export_ADCDAT; /***************************************************************************** * Internal wires and registers Declarations * *****************************************************************************/ // host reg [15:0] reg_readdata; reg fifo_clear; // dac wire dacfifo_full; reg dacfifo_write; reg [31:0] dacfifo_writedata; // adc wire adcfifo_empty; reg adcfifo_read; wire [31:0] adcfifo_readdata; reg [31:0] data32_from_adcfifo; reg [31:0] data32_from_adcfifo_2; /***************************************************************************** * Sequential logic * *****************************************************************************/ ////////// fifo clear always @ (posedge avs_s1_clk) begin if (avs_s1_reset) fifo_clear <= 1'b0; else if (avs_s1_write && (avs_s1_address == `CMD_ADDR)) fifo_clear <= avs_s1_writedata[0]; else if (fifo_clear) fifo_clear <= 1'b0; end ////////// write audio data(left&right) to dac-fifo always @ (posedge avs_s1_clk) begin if (avs_s1_reset || fifo_clear) begin dacfifo_write <= 1'b0; end else if (avs_s1_write && (avs_s1_address == `DAC_LFIFO_ADDR)) begin dacfifo_writedata[31:16] <= avs_s1_writedata; dacfifo_write <= 1'b0; end else if (avs_s1_write && (avs_s1_address == `DAC_RFIFO_ADDR)) begin dacfifo_writedata[15:0] <= avs_s1_writedata; dacfifo_write <= 1'b1; end else dacfifo_write <= 1'b0; end ////////// response data to avalon-mm always @ (negedge avs_s1_clk) begin if (avs_s1_reset || fifo_clear) data32_from_adcfifo = 0; else if (avs_s1_read && (avs_s1_address == `STATUS_ADDR)) reg_readdata <= {adcfifo_empty, dacfifo_full}; else if (avs_s1_read && (avs_s1_address == `ADC_LFIFO_ADDR)) reg_readdata <= data32_from_adcfifo[31:16]; else if (avs_s1_read && (avs_s1_address == `ADC_RFIFO_ADDR)) begin reg_readdata <= data32_from_adcfifo[15:0]; data32_from_adcfifo <= data32_from_adcfifo_2; end end ////////// read audio data from adc fifo always @ (negedge avs_s1_clk) begin if (avs_s1_reset) begin adcfifo_read <= 1'b0; data32_from_adcfifo_2 <= 0; end else if ((avs_s1_address == `ADC_LFIFO_ADDR) & avs_s1_read & ~adcfifo_empty) begin adcfifo_read <= 1'b1; end else if (adcfifo_read) begin data32_from_adcfifo_2 = adcfifo_readdata; adcfifo_read <= 1'b0; end end /***************************************************************************** * Combinational logic * *****************************************************************************/ assign avs_s1_readdata = reg_readdata; /***************************************************************************** * Internal Modules * *****************************************************************************/ AUDIO_DAC DAC_Instance( // host .clk(avs_s1_clk), .reset(avs_s1_reset), .write(dacfifo_write), .writedata(dacfifo_writedata), .full(dacfifo_full), .clear(fifo_clear), // dac .bclk(avs_s1_export_BCLK), .daclrc(avs_s1_export_DACLRC), .dacdat(avs_s1_export_DACDAT) ); AUDIO_ADC ADC_Instance( // host .clk(avs_s1_clk), .reset(avs_s1_reset), .read(adcfifo_read), .readdata(adcfifo_readdata), .empty(adcfifo_empty), .clear(fifo_clear), // adc .bclk(avs_s1_export_BCLK), .adclrc(avs_s1_export_ADCLRC), .adcdat(avs_s1_export_ADCDAT) ); defparam DAC_Instance.DATA_WIDTH = 32; defparam ADC_Instance.DATA_WIDTH = 32; endmodule
// megafunction wizard: %ALTPLL% // GENERATION: STANDARD // VERSION: WM1.0 // MODULE: altpll // ============================================================ // File Name: VGA_Audio_PLL.v // Megafunction Name(s): // altpll // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // // 5.1 Build 176 10/26/2005 SJ Full Version // ************************************************************ //Copyright (C) 1991-2005 Altera Corporation //Your use of Altera Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject //to the terms and conditions of the Altera Program License //Subscription Agreement, Altera MegaCore Function License //Agreement, or other applicable license agreement, including, //without limitation, that your use is for the sole purpose of //programming logic devices manufactured by Altera and sold by //Altera or its authorized distributors. Please refer to the //applicable agreement for further details. // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on module VGA_Audio_PLL ( areset, inclk0, c0, c1, c2); input areset; input inclk0; output c0; output c1; output c2; wire [5:0] sub_wire0; wire [0:0] sub_wire6 = 1'h0; wire [2:2] sub_wire3 = sub_wire0[2:2]; wire [1:1] sub_wire2 = sub_wire0[1:1]; wire [0:0] sub_wire1 = sub_wire0[0:0]; wire c0 = sub_wire1; wire c1 = sub_wire2; wire c2 = sub_wire3; wire sub_wire4 = inclk0; wire [1:0] sub_wire5 = {sub_wire6, sub_wire4}; altpll altpll_component ( .inclk (sub_wire5), .areset (areset), .clk (sub_wire0) // synopsys translate_off , .scanclk (), .pllena (), .sclkout1 (), .sclkout0 (), .fbin (), .scandone (), .clkloss (), .extclk (), .clkswitch (), .pfdena (), .scanaclr (), .clkena (), .clkbad (), .scandata (), .enable1 (), .scandataout (), .extclkena (), .enable0 (), .scanwrite (), .locked (), .activeclock (), .scanread () // synopsys translate_on ); defparam altpll_component.clk0_divide_by = 15, altpll_component.clk0_duty_cycle = 50, altpll_component.clk0_multiply_by = 14, altpll_component.clk0_phase_shift = "0", altpll_component.clk1_divide_by = 3, altpll_component.clk1_duty_cycle = 50, altpll_component.clk1_multiply_by = 2, altpll_component.clk1_phase_shift = "0", altpll_component.clk2_divide_by = 15, altpll_component.clk2_duty_cycle = 50, altpll_component.clk2_multiply_by = 14, altpll_component.clk2_phase_shift = "-9921", altpll_component.compensate_clock = "CLK0", altpll_component.inclk0_input_frequency = 37037, altpll_component.intended_device_family = "Cyclone II", altpll_component.lpm_type = "altpll", altpll_component.operation_mode = "NORMAL", altpll_component.pll_type = "FAST"; endmodule // ============================================================ // CNX file retrieval info // ============================================================ // Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" // Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" // Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" // Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" // Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" // Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" // Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" // Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" // Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" // Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" // Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" // Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" // Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" // Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" // Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" // Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" // Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone II" // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" // Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "6" // Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" // Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" // Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" // Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" // Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" // Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" // Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" // Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "27.000" // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" // Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" // Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" // Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps" // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" // Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" // Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" // Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "5" // Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.200" // Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "18.000" // Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "25.200" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" // Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" // Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" // Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "-90.00000000" // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" // Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" // Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" // Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" // Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" // Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" // Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" // Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" // Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" // Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" // Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" // Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" // Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" // Retrieval info: PRIVATE: SPREAD_USE STRING "0" // Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" // Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" // Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: USE_CLK0 STRING "1" // Retrieval info: PRIVATE: USE_CLK1 STRING "1" // Retrieval info: PRIVATE: USE_CLK2 STRING "1" // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" // Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" // Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all // Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "15" // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "14" // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "3" // Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" // Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2" // Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "15" // Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" // Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "14" // Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "-9921" // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" // Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" // Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" // Retrieval info: CONSTANT: PLL_TYPE STRING "FAST" // Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" // Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" // Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" // Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT VCC "c1" // Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT VCC "c2" // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" // Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 // Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 // Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 // Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 // Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL.v TRUE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL.inc FALSE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL.cmp FALSE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL.bsf FALSE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL_inst.v FALSE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL_bb.v FALSE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL_waveforms.html TRUE FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL VGA_Audio_PLL_wave*.jpg FALSE FALSE
////////////////////////////////////////////////////////////////////// //// //// //// OR1200's Exception logic //// //// //// //// This file is part of the OpenRISC 1200 project //// //// http://www.opencores.org/project,or1k //// //// //// //// Description //// //// Handles all OR1K exceptions inside CPU block. //// //// //// //// To Do: //// //// - make it smaller and faster //// //// //// //// Author(s): //// //// - Damjan Lampret, [email protected] //// //// //// ////////////////////////////////////////////////////////////////////// //// //// //// Copyright (C) 2000 Authors and OPENCORES.ORG //// //// //// //// This source file may be used and distributed without //// //// restriction provided that this copyright statement is not //// //// removed from the file and that any derivative work contains //// //// the original copyright notice and the associated disclaimer. //// //// //// //// This source file is free software; you can redistribute it //// //// and/or modify it under the terms of the GNU Lesser General //// //// Public License as published by the Free Software Foundation; //// //// either version 2.1 of the License, or (at your option) any //// //// later version. //// //// //// //// This source is distributed in the hope that it will be //// //// useful, but WITHOUT ANY WARRANTY; without even the implied //// //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// //// PURPOSE. See the GNU Lesser General Public License for more //// //// details. //// //// //// //// You should have received a copy of the GNU Lesser General //// //// Public License along with this source; if not, download it //// //// from http://www.opencores.org/lgpl.shtml //// //// //// ////////////////////////////////////////////////////////////////////// // // $Log: or1200_except.v,v $ // // Revision 2.0 2010/06/30 11:00:00 ORSoC // Major update: // Structure reordered and bugs fixed. // synopsys translate_off `include "timescale.v" // synopsys translate_on `include "or1200_defines.v" `define OR1200_EXCEPTFSM_WIDTH 3 `define OR1200_EXCEPTFSM_IDLE `OR1200_EXCEPTFSM_WIDTH'd0 `define OR1200_EXCEPTFSM_FLU1 `OR1200_EXCEPTFSM_WIDTH'd1 `define OR1200_EXCEPTFSM_FLU2 `OR1200_EXCEPTFSM_WIDTH'd2 `define OR1200_EXCEPTFSM_FLU3 `OR1200_EXCEPTFSM_WIDTH'd3 `define OR1200_EXCEPTFSM_FLU4 `OR1200_EXCEPTFSM_WIDTH'd4 `define OR1200_EXCEPTFSM_FLU5 `OR1200_EXCEPTFSM_WIDTH'd5 // // Exception recognition and sequencing // module or1200_except ( // Clock and reset clk, rst, // Internal i/f sig_ibuserr, sig_dbuserr, sig_illegal, sig_align, sig_range, sig_dtlbmiss, sig_dmmufault, sig_int, sig_syscall, sig_trap, sig_itlbmiss, sig_immufault, sig_tick, ex_branch_taken, genpc_freeze, id_freeze, ex_freeze, wb_freeze, if_stall, if_pc, id_pc, ex_pc, wb_pc, id_flushpipe, ex_flushpipe, extend_flush, except_flushpipe, except_type, except_start, except_started, except_stop, except_trig, ex_void, abort_mvspr, branch_op, spr_dat_ppc, spr_dat_npc, datain, du_dsr, epcr_we, eear_we, esr_we, pc_we, epcr, eear, du_dmr1, du_hwbkpt, du_hwbkpt_ls_r, esr, sr_we, to_sr, sr, lsu_addr, abort_ex, icpu_ack_i, icpu_err_i, dcpu_ack_i, dcpu_err_i, sig_fp, fpcsr_fpee, dsx ); // // I/O // input clk; input rst; input sig_ibuserr; input sig_dbuserr; input sig_illegal; input sig_align; input sig_range; input sig_dtlbmiss; input sig_dmmufault; input sig_int; input sig_syscall; input sig_trap; input sig_itlbmiss; input sig_immufault; input sig_tick; input sig_fp; input fpcsr_fpee; input ex_branch_taken; input genpc_freeze; input id_freeze; input ex_freeze; input wb_freeze; input if_stall; input [31:0] if_pc; output [31:0] id_pc; output [31:0] ex_pc; output [31:0] wb_pc; input [31:0] datain; input [`OR1200_DU_DSR_WIDTH-1:0] du_dsr; input [24:0] du_dmr1; input du_hwbkpt; input du_hwbkpt_ls_r; input epcr_we; input eear_we; input esr_we; input pc_we; output [31:0] epcr; output [31:0] eear; output [`OR1200_SR_WIDTH-1:0] esr; input [`OR1200_SR_WIDTH-1:0] to_sr; input sr_we; input [`OR1200_SR_WIDTH-1:0] sr; input [31:0] lsu_addr; input id_flushpipe; input ex_flushpipe; output except_flushpipe; output extend_flush; output [`OR1200_EXCEPT_WIDTH-1:0] except_type; output except_start; output except_started; output [13:0] except_stop; output [13:0] except_trig; input ex_void; input [`OR1200_BRANCHOP_WIDTH-1:0] branch_op; output [31:0] spr_dat_ppc; output [31:0] spr_dat_npc; output abort_ex; output abort_mvspr; input icpu_ack_i; input icpu_err_i; input dcpu_ack_i; input dcpu_err_i; output dsx; // // Internal regs and wires // reg [`OR1200_EXCEPT_WIDTH-1:0] except_type /* verilator public */; reg [31:0] id_pc /* verilator public */; reg id_pc_val; reg [31:0] ex_pc /* verilator public */; reg ex_pc_val; reg [31:0] wb_pc /* verilator public */; reg [31:0] dl_pc; reg [31:0] epcr; reg [31:0] eear; reg [`OR1200_SR_WIDTH-1:0] esr; reg [2:0] id_exceptflags; reg [2:0] ex_exceptflags; reg [`OR1200_EXCEPTFSM_WIDTH-1:0] state; reg extend_flush; reg extend_flush_last; reg ex_dslot /* verilator public */; reg delayed1_ex_dslot; reg delayed2_ex_dslot; wire except_started; wire except_flushpipe /* verilator public */; reg [2:0] delayed_iee; reg [2:0] delayed_tee; wire int_pending; wire tick_pending; wire fp_pending; wire range_pending; reg dsx; reg trace_trap ; reg ex_freeze_prev; reg sr_ted_prev; reg dsr_te_prev; reg dmr1_st_prev ; reg dmr1_bt_prev ; wire dsr_te = ex_freeze_prev ? dsr_te_prev : du_dsr[`OR1200_DU_DSR_TE]; wire sr_ted = ex_freeze_prev ? sr_ted_prev : sr[`OR1200_SR_TED]; wire dmr1_st = ex_freeze_prev ? dmr1_st_prev: du_dmr1[`OR1200_DU_DMR1_ST] ; wire dmr1_bt = ex_freeze_prev ? dmr1_bt_prev: du_dmr1[`OR1200_DU_DMR1_BT] ; // // Simple combinatorial logic // assign except_started = extend_flush & except_start; assign except_start = (except_type != `OR1200_EXCEPT_NONE) & extend_flush; assign int_pending = sig_int & (sr[`OR1200_SR_IEE] | (sr_we & to_sr[`OR1200_SR_IEE])) & id_pc_val & delayed_iee[2] & ~ex_freeze & ~ex_branch_taken & ~ex_dslot & ~(sr_we & ~to_sr[`OR1200_SR_IEE]); assign tick_pending = sig_tick & (sr[`OR1200_SR_TEE] | (sr_we & to_sr[`OR1200_SR_TEE])) & id_pc_val & delayed_tee[2] & ~ex_freeze & ~ex_branch_taken & ~ex_dslot & ~(sr_we & ~to_sr[`OR1200_SR_TEE]); assign fp_pending = sig_fp & fpcsr_fpee & ~ex_freeze & ~ex_branch_taken & ~ex_dslot; `ifdef OR1200_IMPL_OVE assign range_pending = sig_range & sr[`OR1200_SR_OVE] & ~ex_freeze & ~ex_branch_taken & ~ex_dslot; `else assign range_pending = 0; `endif // Abort write into RF by load & other instructions assign abort_ex = sig_dbuserr | sig_dmmufault | sig_dtlbmiss | sig_align | sig_illegal | ((du_hwbkpt | trace_trap) & ex_pc_val & !sr_ted & !dsr_te); // abort spr read/writes assign abort_mvspr = sig_illegal | ((du_hwbkpt | trace_trap) & ex_pc_val & !sr_ted & !dsr_te) ; assign spr_dat_ppc = wb_pc; assign spr_dat_npc = ex_void ? id_pc : ex_pc; // // Order defines exception detection priority // assign except_trig = { ex_exceptflags[1] & ~du_dsr[`OR1200_DU_DSR_IME], ex_exceptflags[0] & ~du_dsr[`OR1200_DU_DSR_IPFE], ex_exceptflags[2] & ~du_dsr[`OR1200_DU_DSR_BUSEE], sig_illegal & ~du_dsr[`OR1200_DU_DSR_IIE], sig_align & ~du_dsr[`OR1200_DU_DSR_AE], sig_dtlbmiss & ~du_dsr[`OR1200_DU_DSR_DME], sig_trap & ~du_dsr[`OR1200_DU_DSR_TE], sig_syscall & ~du_dsr[`OR1200_DU_DSR_SCE] & ~ex_freeze, sig_dmmufault & ~du_dsr[`OR1200_DU_DSR_DPFE], sig_dbuserr & ~du_dsr[`OR1200_DU_DSR_BUSEE], range_pending & ~du_dsr[`OR1200_DU_DSR_RE], fp_pending & ~du_dsr[`OR1200_DU_DSR_FPE], int_pending & ~du_dsr[`OR1200_DU_DSR_IE], tick_pending & ~du_dsr[`OR1200_DU_DSR_TTE] }; wire trace_cond = !ex_freeze && !ex_void && (1'b0 `ifdef OR1200_DU_DMR1_ST || dmr1_st `endif `ifdef OR1200_DU_DMR1_BT || ((branch_op != `OR1200_BRANCHOP_NOP) && (branch_op != `OR1200_BRANCHOP_RFE) && dmr1_bt) `endif ); assign except_stop = { tick_pending & du_dsr[`OR1200_DU_DSR_TTE], int_pending & du_dsr[`OR1200_DU_DSR_IE], ex_exceptflags[1] & du_dsr[`OR1200_DU_DSR_IME], ex_exceptflags[0] & du_dsr[`OR1200_DU_DSR_IPFE], ex_exceptflags[2] & du_dsr[`OR1200_DU_DSR_BUSEE], sig_illegal & du_dsr[`OR1200_DU_DSR_IIE], sig_align & du_dsr[`OR1200_DU_DSR_AE], sig_dtlbmiss & du_dsr[`OR1200_DU_DSR_DME], sig_dmmufault & du_dsr[`OR1200_DU_DSR_DPFE], sig_dbuserr & du_dsr[`OR1200_DU_DSR_BUSEE], range_pending & du_dsr[`OR1200_DU_DSR_RE], sig_trap & du_dsr[`OR1200_DU_DSR_TE], fp_pending & du_dsr[`OR1200_DU_DSR_FPE], sig_syscall & du_dsr[`OR1200_DU_DSR_SCE] & ~ex_freeze }; always @(posedge clk or `OR1200_RST_EVENT rst) begin if (rst == `OR1200_RST_VALUE) begin trace_trap <= 1'b0 ; end else if (!(trace_trap && !ex_pc_val)) begin trace_trap <= trace_cond & !dsr_te & !sr_ted ; end end always @(posedge clk or `OR1200_RST_EVENT rst) begin if (rst == `OR1200_RST_VALUE) begin ex_freeze_prev <= 1'b0 ; sr_ted_prev <= 1'b0 ; dsr_te_prev <= 1'b0 ; dmr1_st_prev <= 1'b0 ; dmr1_bt_prev <= 1'b0 ; end else begin ex_freeze_prev <= ex_freeze ; if (!ex_freeze_prev || ex_void) begin sr_ted_prev <= sr [`OR1200_SR_TED ] ; dsr_te_prev <= du_dsr [`OR1200_DU_DSR_TE ] ; dmr1_st_prev <= du_dmr1[`OR1200_DU_DMR1_ST] ; dmr1_bt_prev <= du_dmr1[`OR1200_DU_DMR1_BT] ; end end end `ifdef verilator // Function to access wb_pc (for Verilator). Have to hide this from // simulator, since functions with no inputs are not allowed in IEEE // 1364-2001. function [31:0] get_wb_pc; // verilator public get_wb_pc = wb_pc; endfunction // get_wb_pc // Function to access id_pc (for Verilator). Have to hide this from // simulator, since functions with no inputs are not allowed in IEEE // 1364-2001. function [31:0] get_id_pc; // verilator public get_id_pc = id_pc; endfunction // get_id_pc // Function to access ex_pc (for Verilator). Have to hide this from // simulator, since functions with no inputs are not allowed in IEEE // 1364-2001. function [31:0] get_ex_pc; // verilator public get_ex_pc = ex_pc; endfunction // get_ex_pc // Function to access except_type[3:0] (for Verilator). Have to hide this from // simulator, since functions with no inputs are not allowed in IEEE // 1364-2001. function [3:0] get_except_type; // verilator public get_except_type = except_type; endfunction // get_except_type `endif // // PC and Exception flags pipelines // always @(posedge clk or `OR1200_RST_EVENT rst) begin if (rst == `OR1200_RST_VALUE) begin id_pc <= 32'd0; id_pc_val <= 1'b0 ; id_exceptflags <= 3'b000; end else if (id_flushpipe) begin id_pc_val <= 1'b0 ; id_exceptflags <= 3'b000; end else if (!id_freeze) begin id_pc <= if_pc; id_pc_val <= 1'b1 ; id_exceptflags <= { sig_ibuserr, sig_itlbmiss, sig_immufault }; end end // // delayed_iee // // SR[IEE] should not enable interrupts right away // when it is restored with l.rfe. Instead delayed_iee // together with SR[IEE] enables interrupts once // pipeline is again ready. // always @(`OR1200_RST_EVENT rst or posedge clk) if (rst == `OR1200_RST_VALUE) delayed_iee <= 3'b000; else if (!sr[`OR1200_SR_IEE]) delayed_iee <= 3'b000; else delayed_iee <= {delayed_iee[1:0], 1'b1}; // // delayed_tee // // SR[TEE] should not enable tick exceptions right away // when it is restored with l.rfe. Instead delayed_tee // together with SR[TEE] enables tick exceptions once // pipeline is again ready. // always @(`OR1200_RST_EVENT rst or posedge clk) if (rst == `OR1200_RST_VALUE) delayed_tee <= 3'b000; else if (!sr[`OR1200_SR_TEE]) delayed_tee <= 3'b000; else delayed_tee <= {delayed_tee[1:0], 1'b1}; // // PC and Exception flags pipelines // always @(posedge clk or `OR1200_RST_EVENT rst) begin if (rst == `OR1200_RST_VALUE) begin ex_dslot <= 1'b0; ex_pc <= 32'd0; ex_pc_val <= 1'b0 ; ex_exceptflags <= 3'b000; delayed1_ex_dslot <= 1'b0; delayed2_ex_dslot <= 1'b0; end else if (ex_flushpipe) begin ex_dslot <= 1'b0; ex_pc_val <= 1'b0 ; ex_exceptflags <= 3'b000; delayed1_ex_dslot <= 1'b0; delayed2_ex_dslot <= 1'b0; end else if (!ex_freeze & id_freeze) begin ex_dslot <= 1'b0; ex_pc <= id_pc; ex_pc_val <= id_pc_val ; ex_exceptflags <= 3'b000; delayed1_ex_dslot <= ex_dslot; delayed2_ex_dslot <= delayed1_ex_dslot; end else if (!ex_freeze) begin ex_dslot <= ex_branch_taken; ex_pc <= id_pc; ex_pc_val <= id_pc_val ; ex_exceptflags <= id_exceptflags; delayed1_ex_dslot <= ex_dslot; delayed2_ex_dslot <= delayed1_ex_dslot; end end // // PC and Exception flags pipelines // always @(posedge clk or `OR1200_RST_EVENT rst) begin if (rst == `OR1200_RST_VALUE) begin wb_pc <= 32'd0; dl_pc <= 32'd0; end else if (!wb_freeze) begin wb_pc <= ex_pc; dl_pc <= wb_pc; end end // // We have started execution of exception handler: // 1. Asserted for 3 clock cycles // 2. Don't execute any instruction that is still in pipeline and is not part of exception handler // assign except_flushpipe = |except_trig & ~|state; // // Exception FSM that sequences execution of exception handler // // except_type signals which exception handler we start fetching in: // 1. Asserted in next clock cycle after exception is recognized // always @(posedge clk or `OR1200_RST_EVENT rst) begin if (rst == `OR1200_RST_VALUE) begin state <= `OR1200_EXCEPTFSM_IDLE; except_type <= `OR1200_EXCEPT_NONE; extend_flush <= 1'b0; epcr <= 32'b0; eear <= 32'b0; esr <= {2'h1, {`OR1200_SR_WIDTH-3{1'b0}}, 1'b1}; extend_flush_last <= 1'b0; dsx <= 1'b0; end else begin `ifdef OR1200_CASE_DEFAULT case (state) // synopsys parallel_case `else case (state) // synopsys full_case parallel_case `endif `OR1200_EXCEPTFSM_IDLE: if (except_flushpipe) begin state <= `OR1200_EXCEPTFSM_FLU1; extend_flush <= 1'b1; esr <= sr_we ? to_sr : sr; casez (except_trig) `ifdef OR1200_EXCEPT_ITLBMISS 14'b1?_????_????_????: begin except_type <= `OR1200_EXCEPT_ITLBMISS; eear <= ex_dslot ? ex_pc : ex_pc; epcr <= ex_dslot ? wb_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_IPF 14'b01_????_????_????: begin except_type <= `OR1200_EXCEPT_IPF; eear <= ex_dslot ? ex_pc : delayed1_ex_dslot ? id_pc : delayed2_ex_dslot ? id_pc : id_pc; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? id_pc : delayed2_ex_dslot ? id_pc : id_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_BUSERR 14'b00_1???_????_????: begin // Insn. Bus Error except_type <= `OR1200_EXCEPT_BUSERR; eear <= ex_dslot ? wb_pc : ex_pc; epcr <= ex_dslot ? wb_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_ILLEGAL 14'b00_01??_????_????: begin except_type <= `OR1200_EXCEPT_ILLEGAL; eear <= ex_pc; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? dl_pc : delayed2_ex_dslot ? id_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_ALIGN 14'b00_001?_????_????: begin except_type <= `OR1200_EXCEPT_ALIGN; eear <= lsu_addr; epcr <= ex_dslot ? wb_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_DTLBMISS 14'b00_0001_????_????: begin except_type <= `OR1200_EXCEPT_DTLBMISS; eear <= lsu_addr; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? dl_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_TRAP 14'b00_0000_1???_????: begin except_type <= `OR1200_EXCEPT_TRAP; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? id_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_SYSCALL 14'b00_0000_01??_????: begin except_type <= `OR1200_EXCEPT_SYSCALL; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? id_pc : delayed2_ex_dslot ? id_pc : id_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_DPF 14'b00_0000_001?_????: begin except_type <= `OR1200_EXCEPT_DPF; eear <= lsu_addr; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? dl_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_BUSERR 14'b00_0000_0001_????: begin // Data Bus Error except_type <= `OR1200_EXCEPT_BUSERR; eear <= lsu_addr; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? dl_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_RANGE 14'b00_0000_0000_1???: begin except_type <= `OR1200_EXCEPT_RANGE; epcr <= ex_dslot ? wb_pc : delayed1_ex_dslot ? dl_pc : delayed2_ex_dslot ? id_pc : ex_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_FLOAT 14'b00_0000_0000_01??: begin except_type <= `OR1200_EXCEPT_FLOAT; epcr <= id_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_INT 14'b00_0000_0000_001?: begin except_type <= `OR1200_EXCEPT_INT; epcr <= id_pc; dsx <= ex_dslot; end `endif `ifdef OR1200_EXCEPT_TICK 14'b00_0000_0000_0001: begin except_type <= `OR1200_EXCEPT_TICK; epcr <= id_pc; dsx <= ex_dslot; end `endif default: except_type <= `OR1200_EXCEPT_NONE; endcase end else if (pc_we) begin state <= `OR1200_EXCEPTFSM_FLU1; extend_flush <= 1'b1; end else begin if (epcr_we) epcr <= datain; if (eear_we) eear <= datain; if (esr_we) esr <= {datain[`OR1200_SR_WIDTH-1], 1'b1, datain[`OR1200_SR_WIDTH-3:0]}; end `OR1200_EXCEPTFSM_FLU1: if (icpu_ack_i | icpu_err_i | genpc_freeze) state <= `OR1200_EXCEPTFSM_FLU2; `OR1200_EXCEPTFSM_FLU2: `ifdef OR1200_EXCEPT_TRAP if (except_type == `OR1200_EXCEPT_TRAP) begin state <= `OR1200_EXCEPTFSM_IDLE; extend_flush <= 1'b0; extend_flush_last <= 1'b0; except_type <= `OR1200_EXCEPT_NONE; end else `endif state <= `OR1200_EXCEPTFSM_FLU3; `OR1200_EXCEPTFSM_FLU3: begin state <= `OR1200_EXCEPTFSM_FLU4; end `OR1200_EXCEPTFSM_FLU4: begin state <= `OR1200_EXCEPTFSM_FLU5; extend_flush <= 1'b0; extend_flush_last <= 1'b0; // damjan end `ifdef OR1200_CASE_DEFAULT default: begin `else `OR1200_EXCEPTFSM_FLU5: begin `endif if (!if_stall && !id_freeze) begin state <= `OR1200_EXCEPTFSM_IDLE; except_type <= `OR1200_EXCEPT_NONE; extend_flush_last <= 1'b0; end end endcase end end endmodule
module SmallBpf_tb (); parameter K0_SHIFT = 5; ///< K0 filter term = 2^-K0_SHIFT parameter K1_SHIFT = 5; ///< K1 filter term = 2^-K1_SHIFT parameter WIDTH = 16; ///< Width of data path parameter CLAMP = 1; ///< Set to 1 to clamp the accumulators parameter FREQ_RATE = 512000; reg clk; reg rst; reg en; reg signed [WIDTH-1:0] dataIn; wire signed [WIDTH-1:0] dataOut; integer i; initial begin clk = 1'b0; rst = 1'b1; en = 1'b1; dataIn = 'd0; #2 rst = 1'b0; for (i=1; i<2**16; i=i+1) begin @(posedge clk) dataIn = $rtoi($sin($itor(i)**2*3.14159/FREQ_RATE)*(2**(WIDTH-2)-1)); end for (i=1; i<2**16; i=i+1) begin @(posedge clk) dataIn = $random(); end $stop(); end always #1 clk = ~clk; SmallBpf #( .K0_SHIFT(K0_SHIFT), ///< K0 filter term = 2^-K0_SHIFT .K1_SHIFT(K1_SHIFT), ///< K1 filter term = 2^-K1_SHIFT .WIDTH (WIDTH ), ///< Width of data path .CLAMP (CLAMP ) ///< Set to 1 to clamp the accumulators ) uut ( .clk(clk), ///< System clock .rst(rst), ///< Reset, active high and synchronous .en(en), ///< Filter enable .dataIn(dataIn), ///< [WIDTH-1:0] Filter input .dataOut(dataOut) ///< [WIDTH-1:0] Filter output ); endmodule
`timescale 1ns / 1ps /* * Simple Brainfuck CPU in Verilog. * Copyright (C) 2011 Sergey Gridasov <[email protected]> * * This program is free software: you can redistribute it and/or modify * it under the terms of the GNU General Public License as published by * the Free Software Foundation, either version 3 of the License, or * (at your option) any later version. * * This program is distributed in the hope that it will be useful, * but WITHOUT ANY WARRANTY; without even the implied warranty of * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the * GNU General Public License for more details. * * You should have received a copy of the GNU General Public License * along with this program. If not, see <http://www.gnu.org/licenses/>. */ module ClockManager( (* buffer_type = "ibufg" *) input CLK_IN, input RESET_IN, output CLK_MAIN, output reg RESET ); parameter DELAY = 4; reg [DELAY - 1:0] CNT_SLOW; reg CLK_SLOW; always @ (posedge CLK_IN) if(RESET_IN) CNT_SLOW <= 0; else CNT_SLOW <= CNT_SLOW + 1; always @ (posedge CLK_IN) if(RESET_IN) begin RESET <= 1'b1; CLK_SLOW <= 1'b0; end else if(CNT_SLOW == (1 << DELAY) - 1) begin RESET <= 1'b0; CLK_SLOW <= ~CLK_SLOW; end assign CLK_MAIN = CLK_IN; endmodule
/////////////////////////////////////////////////////////////////////////////// // Copyright (c) 1995/2016 Xilinx, Inc. // // Licensed under the Apache License, Version 2.0 (the "License"); // you may not use this file except in compliance with the License. // You may obtain a copy of the License at // // http://www.apache.org/licenses/LICENSE-2.0 // // Unless required by applicable law or agreed to in writing, software // distributed under the License is distributed on an "AS IS" BASIS, // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. // See the License for the specific language governing permissions and // limitations under the License. /////////////////////////////////////////////////////////////////////////////// // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : 2016.1 // \ \ Description : Xilinx Unified Simulation Library Component // / / Base Phase-Locked Loop (PLL) // /___/ /\ Filename : PLLE4_BASE.v // \ \ / \ // \___\/\___\ // /////////////////////////////////////////////////////////////////////////////// // Revision: // 10/22/2014 808642 - Added #1 to $finish // End Revision: /////////////////////////////////////////////////////////////////////////////// `timescale 1 ps / 1 ps `celldefine module PLLE4_BASE #( `ifdef XIL_TIMING parameter LOC = "UNPLACED", `endif parameter integer CLKFBOUT_MULT = 5, parameter real CLKFBOUT_PHASE = 0.000, parameter real CLKIN_PERIOD = 0.000, parameter integer CLKOUT0_DIVIDE = 1, parameter real CLKOUT0_DUTY_CYCLE = 0.500, parameter real CLKOUT0_PHASE = 0.000, parameter integer CLKOUT1_DIVIDE = 1, parameter real CLKOUT1_DUTY_CYCLE = 0.500, parameter real CLKOUT1_PHASE = 0.000, parameter CLKOUTPHY_MODE = "VCO_2X", parameter integer DIVCLK_DIVIDE = 1, parameter [0:0] IS_CLKFBIN_INVERTED = 1'b0, parameter [0:0] IS_CLKIN_INVERTED = 1'b0, parameter [0:0] IS_PWRDWN_INVERTED = 1'b0, parameter [0:0] IS_RST_INVERTED = 1'b0, parameter real REF_JITTER = 0.010, parameter STARTUP_WAIT = "FALSE" )( output CLKFBOUT, output CLKOUT0, output CLKOUT0B, output CLKOUT1, output CLKOUT1B, output CLKOUTPHY, output LOCKED, input CLKFBIN, input CLKIN, input CLKOUTPHYEN, input PWRDWN, input RST ); // define constants localparam MODULE_NAME = "PLLE4_BASE"; initial begin #1; if ($realtime == 0) begin $display ("Error: [Unisim %s-1] Simulator resolution is set to a value greater than 1 ps. ", MODULE_NAME); $display ("The simulator resolution must be set to 1ps or smaller. Instance %m"); #1 $finish; end end wire OPEN_DRDY; wire OPEN_PSDONE; wire OPEN_FBS; wire OPEN_INS; wire [15:0] OPEN_DO; PLLE4_ADV #( .CLKFBOUT_MULT(CLKFBOUT_MULT), .CLKFBOUT_PHASE(CLKFBOUT_PHASE), .CLKIN_PERIOD(CLKIN_PERIOD), .CLKOUT0_DIVIDE(CLKOUT0_DIVIDE), .CLKOUT0_DUTY_CYCLE(CLKOUT0_DUTY_CYCLE), .CLKOUT0_PHASE(CLKOUT0_PHASE), .CLKOUT1_DIVIDE(CLKOUT1_DIVIDE), .CLKOUT1_DUTY_CYCLE(CLKOUT1_DUTY_CYCLE), .CLKOUT1_PHASE(CLKOUT1_PHASE), .CLKOUTPHY_MODE(CLKOUTPHY_MODE), .DIVCLK_DIVIDE(DIVCLK_DIVIDE), .IS_CLKFBIN_INVERTED(IS_CLKFBIN_INVERTED), .IS_CLKIN_INVERTED(IS_CLKIN_INVERTED), .IS_PWRDWN_INVERTED(IS_PWRDWN_INVERTED), .IS_RST_INVERTED(IS_RST_INVERTED), .REF_JITTER(REF_JITTER), .STARTUP_WAIT(STARTUP_WAIT) ) pll_adv_1 ( .CLKFBOUT (CLKFBOUT), .CLKOUT0 (CLKOUT0), .CLKOUT0B (CLKOUT0B), .CLKOUT1 (CLKOUT1), .CLKOUT1B (CLKOUT1B), .CLKOUTPHY (CLKOUTPHY), .CLKOUTPHYEN (CLKOUTPHYEN), .DO (OPEN_DO), .DRDY (OPEN_DRDY), .LOCKED (LOCKED), .CLKFBIN (CLKFBIN), .CLKIN (CLKIN), .DADDR (7'b0), .DCLK (1'b0), .DEN (1'b0), .DI (16'b0), .DWE (1'b0), .PWRDWN(PWRDWN), .RST (RST) ); `ifdef XIL_TIMING reg notifier; `endif specify (negedge RST => (LOCKED +: 0)) = (100:100:100, 100:100:100); (posedge RST => (LOCKED +: 0)) = (100:100:100, 100:100:100); `ifdef XIL_TIMING $period (negedge CLKFBIN, 0:0:0, notifier); $period (negedge CLKFBOUT, 0:0:0, notifier); $period (negedge CLKIN, 0:0:0, notifier); $period (negedge CLKOUT0, 0:0:0, notifier); $period (negedge CLKOUT0B, 0:0:0, notifier); $period (negedge CLKOUT1, 0:0:0, notifier); $period (negedge CLKOUT1B, 0:0:0, notifier); $period (negedge CLKOUTPHY, 0:0:0, notifier); $period (posedge CLKFBIN, 0:0:0, notifier); $period (posedge CLKFBOUT, 0:0:0, notifier); $period (posedge CLKIN, 0:0:0, notifier); $period (posedge CLKOUT0, 0:0:0, notifier); $period (posedge CLKOUT0B, 0:0:0, notifier); $period (posedge CLKOUT1, 0:0:0, notifier); $period (posedge CLKOUT1B, 0:0:0, notifier); $period (posedge CLKOUTPHY, 0:0:0, notifier); $width (negedge CLKIN, 0:0:0, 0, notifier); $width (negedge PWRDWN, 0:0:0, 0, notifier); $width (negedge RST, 0:0:0, 0, notifier); $width (posedge CLKIN, 0:0:0, 0, notifier); $width (posedge PWRDWN, 0:0:0, 0, notifier); $width (posedge RST, 0:0:0, 0, notifier); `endif specparam PATHPULSE$ = 0; endspecify endmodule `endcelldefine
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__XNOR2_PP_SYMBOL_V `define SKY130_FD_SC_MS__XNOR2_PP_SYMBOL_V /** * xnor2: 2-input exclusive NOR. * * Y = !(A ^ B) * * Verilog stub (with power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_ms__xnor2 ( //# {{data|Data Signals}} input A , input B , output Y , //# {{power|Power}} input VPB , input VPWR, input VGND, input VNB ); endmodule `default_nettype wire `endif // SKY130_FD_SC_MS__XNOR2_PP_SYMBOL_V
// hps_design.v // Generated using ACDS version 15.0 145 `timescale 1 ps / 1 ps module hps_design ( input wire clk_clk, // clk.clk output wire [14:0] hps_0_ddr_mem_a, // hps_0_ddr.mem_a output wire [2:0] hps_0_ddr_mem_ba, // .mem_ba output wire hps_0_ddr_mem_ck, // .mem_ck output wire hps_0_ddr_mem_ck_n, // .mem_ck_n output wire hps_0_ddr_mem_cke, // .mem_cke output wire hps_0_ddr_mem_cs_n, // .mem_cs_n output wire hps_0_ddr_mem_ras_n, // .mem_ras_n output wire hps_0_ddr_mem_cas_n, // .mem_cas_n output wire hps_0_ddr_mem_we_n, // .mem_we_n output wire hps_0_ddr_mem_reset_n, // .mem_reset_n inout wire [31:0] hps_0_ddr_mem_dq, // .mem_dq inout wire [3:0] hps_0_ddr_mem_dqs, // .mem_dqs inout wire [3:0] hps_0_ddr_mem_dqs_n, // .mem_dqs_n output wire hps_0_ddr_mem_odt, // .mem_odt output wire [3:0] hps_0_ddr_mem_dm, // .mem_dm input wire hps_0_ddr_oct_rzqin, // .oct_rzqin output wire hps_0_io_hps_io_emac1_inst_TX_CLK, // hps_0_io.hps_io_emac1_inst_TX_CLK output wire hps_0_io_hps_io_emac1_inst_TXD0, // .hps_io_emac1_inst_TXD0 output wire hps_0_io_hps_io_emac1_inst_TXD1, // .hps_io_emac1_inst_TXD1 output wire hps_0_io_hps_io_emac1_inst_TXD2, // .hps_io_emac1_inst_TXD2 output wire hps_0_io_hps_io_emac1_inst_TXD3, // .hps_io_emac1_inst_TXD3 input wire hps_0_io_hps_io_emac1_inst_RXD0, // .hps_io_emac1_inst_RXD0 inout wire hps_0_io_hps_io_emac1_inst_MDIO, // .hps_io_emac1_inst_MDIO output wire hps_0_io_hps_io_emac1_inst_MDC, // .hps_io_emac1_inst_MDC input wire hps_0_io_hps_io_emac1_inst_RX_CTL, // .hps_io_emac1_inst_RX_CTL output wire hps_0_io_hps_io_emac1_inst_TX_CTL, // .hps_io_emac1_inst_TX_CTL input wire hps_0_io_hps_io_emac1_inst_RX_CLK, // .hps_io_emac1_inst_RX_CLK input wire hps_0_io_hps_io_emac1_inst_RXD1, // .hps_io_emac1_inst_RXD1 input wire hps_0_io_hps_io_emac1_inst_RXD2, // .hps_io_emac1_inst_RXD2 input wire hps_0_io_hps_io_emac1_inst_RXD3, // .hps_io_emac1_inst_RXD3 inout wire hps_0_io_hps_io_qspi_inst_IO0, // .hps_io_qspi_inst_IO0 inout wire hps_0_io_hps_io_qspi_inst_IO1, // .hps_io_qspi_inst_IO1 inout wire hps_0_io_hps_io_qspi_inst_IO2, // .hps_io_qspi_inst_IO2 inout wire hps_0_io_hps_io_qspi_inst_IO3, // .hps_io_qspi_inst_IO3 output wire hps_0_io_hps_io_qspi_inst_SS0, // .hps_io_qspi_inst_SS0 output wire hps_0_io_hps_io_qspi_inst_CLK, // .hps_io_qspi_inst_CLK inout wire hps_0_io_hps_io_sdio_inst_CMD, // .hps_io_sdio_inst_CMD inout wire hps_0_io_hps_io_sdio_inst_D0, // .hps_io_sdio_inst_D0 inout wire hps_0_io_hps_io_sdio_inst_D1, // .hps_io_sdio_inst_D1 output wire hps_0_io_hps_io_sdio_inst_CLK, // .hps_io_sdio_inst_CLK inout wire hps_0_io_hps_io_sdio_inst_D2, // .hps_io_sdio_inst_D2 inout wire hps_0_io_hps_io_sdio_inst_D3, // .hps_io_sdio_inst_D3 inout wire hps_0_io_hps_io_usb1_inst_D0, // .hps_io_usb1_inst_D0 inout wire hps_0_io_hps_io_usb1_inst_D1, // .hps_io_usb1_inst_D1 inout wire hps_0_io_hps_io_usb1_inst_D2, // .hps_io_usb1_inst_D2 inout wire hps_0_io_hps_io_usb1_inst_D3, // .hps_io_usb1_inst_D3 inout wire hps_0_io_hps_io_usb1_inst_D4, // .hps_io_usb1_inst_D4 inout wire hps_0_io_hps_io_usb1_inst_D5, // .hps_io_usb1_inst_D5 inout wire hps_0_io_hps_io_usb1_inst_D6, // .hps_io_usb1_inst_D6 inout wire hps_0_io_hps_io_usb1_inst_D7, // .hps_io_usb1_inst_D7 input wire hps_0_io_hps_io_usb1_inst_CLK, // .hps_io_usb1_inst_CLK output wire hps_0_io_hps_io_usb1_inst_STP, // .hps_io_usb1_inst_STP input wire hps_0_io_hps_io_usb1_inst_DIR, // .hps_io_usb1_inst_DIR input wire hps_0_io_hps_io_usb1_inst_NXT, // .hps_io_usb1_inst_NXT output wire hps_0_io_hps_io_spim1_inst_CLK, // .hps_io_spim1_inst_CLK output wire hps_0_io_hps_io_spim1_inst_MOSI, // .hps_io_spim1_inst_MOSI input wire hps_0_io_hps_io_spim1_inst_MISO, // .hps_io_spim1_inst_MISO output wire hps_0_io_hps_io_spim1_inst_SS0, // .hps_io_spim1_inst_SS0 input wire hps_0_io_hps_io_uart0_inst_RX, // .hps_io_uart0_inst_RX output wire hps_0_io_hps_io_uart0_inst_TX, // .hps_io_uart0_inst_TX inout wire hps_0_io_hps_io_i2c0_inst_SDA, // .hps_io_i2c0_inst_SDA inout wire hps_0_io_hps_io_i2c0_inst_SCL, // .hps_io_i2c0_inst_SCL inout wire hps_0_io_hps_io_i2c1_inst_SDA, // .hps_io_i2c1_inst_SDA inout wire hps_0_io_hps_io_i2c1_inst_SCL, // .hps_io_i2c1_inst_SCL inout wire hps_0_io_hps_io_gpio_inst_GPIO09, // .hps_io_gpio_inst_GPIO09 inout wire hps_0_io_hps_io_gpio_inst_GPIO35, // .hps_io_gpio_inst_GPIO35 inout wire hps_0_io_hps_io_gpio_inst_GPIO40, // .hps_io_gpio_inst_GPIO40 inout wire hps_0_io_hps_io_gpio_inst_GPIO48, // .hps_io_gpio_inst_GPIO48 inout wire hps_0_io_hps_io_gpio_inst_GPIO53, // .hps_io_gpio_inst_GPIO53 inout wire hps_0_io_hps_io_gpio_inst_GPIO54, // .hps_io_gpio_inst_GPIO54 inout wire hps_0_io_hps_io_gpio_inst_GPIO61, // .hps_io_gpio_inst_GPIO61 output wire ledr_export, // ledr.export output wire pll_0_sdram_clk, // pll_0_sdram.clk input wire reset_reset_n // reset.reset_n ); wire pll_0_outclk0_clk; // pll_0:outclk_0 -> [hps_0:h2f_lw_axi_clk, mm_interconnect_0:pll_0_outclk0_clk, pio_0:clk, rst_controller:clk, rst_controller_001:clk] wire [1:0] hps_0_h2f_lw_axi_master_awburst; // hps_0:h2f_lw_AWBURST -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awburst wire [3:0] hps_0_h2f_lw_axi_master_arlen; // hps_0:h2f_lw_ARLEN -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arlen wire [3:0] hps_0_h2f_lw_axi_master_wstrb; // hps_0:h2f_lw_WSTRB -> mm_interconnect_0:hps_0_h2f_lw_axi_master_wstrb wire hps_0_h2f_lw_axi_master_wready; // mm_interconnect_0:hps_0_h2f_lw_axi_master_wready -> hps_0:h2f_lw_WREADY wire [11:0] hps_0_h2f_lw_axi_master_rid; // mm_interconnect_0:hps_0_h2f_lw_axi_master_rid -> hps_0:h2f_lw_RID wire hps_0_h2f_lw_axi_master_rready; // hps_0:h2f_lw_RREADY -> mm_interconnect_0:hps_0_h2f_lw_axi_master_rready wire [3:0] hps_0_h2f_lw_axi_master_awlen; // hps_0:h2f_lw_AWLEN -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awlen wire [11:0] hps_0_h2f_lw_axi_master_wid; // hps_0:h2f_lw_WID -> mm_interconnect_0:hps_0_h2f_lw_axi_master_wid wire [3:0] hps_0_h2f_lw_axi_master_arcache; // hps_0:h2f_lw_ARCACHE -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arcache wire hps_0_h2f_lw_axi_master_wvalid; // hps_0:h2f_lw_WVALID -> mm_interconnect_0:hps_0_h2f_lw_axi_master_wvalid wire [20:0] hps_0_h2f_lw_axi_master_araddr; // hps_0:h2f_lw_ARADDR -> mm_interconnect_0:hps_0_h2f_lw_axi_master_araddr wire [2:0] hps_0_h2f_lw_axi_master_arprot; // hps_0:h2f_lw_ARPROT -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arprot wire [2:0] hps_0_h2f_lw_axi_master_awprot; // hps_0:h2f_lw_AWPROT -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awprot wire [31:0] hps_0_h2f_lw_axi_master_wdata; // hps_0:h2f_lw_WDATA -> mm_interconnect_0:hps_0_h2f_lw_axi_master_wdata wire hps_0_h2f_lw_axi_master_arvalid; // hps_0:h2f_lw_ARVALID -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arvalid wire [3:0] hps_0_h2f_lw_axi_master_awcache; // hps_0:h2f_lw_AWCACHE -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awcache wire [11:0] hps_0_h2f_lw_axi_master_arid; // hps_0:h2f_lw_ARID -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arid wire [1:0] hps_0_h2f_lw_axi_master_arlock; // hps_0:h2f_lw_ARLOCK -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arlock wire [1:0] hps_0_h2f_lw_axi_master_awlock; // hps_0:h2f_lw_AWLOCK -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awlock wire [20:0] hps_0_h2f_lw_axi_master_awaddr; // hps_0:h2f_lw_AWADDR -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awaddr wire [1:0] hps_0_h2f_lw_axi_master_bresp; // mm_interconnect_0:hps_0_h2f_lw_axi_master_bresp -> hps_0:h2f_lw_BRESP wire hps_0_h2f_lw_axi_master_arready; // mm_interconnect_0:hps_0_h2f_lw_axi_master_arready -> hps_0:h2f_lw_ARREADY wire [31:0] hps_0_h2f_lw_axi_master_rdata; // mm_interconnect_0:hps_0_h2f_lw_axi_master_rdata -> hps_0:h2f_lw_RDATA wire hps_0_h2f_lw_axi_master_awready; // mm_interconnect_0:hps_0_h2f_lw_axi_master_awready -> hps_0:h2f_lw_AWREADY wire [1:0] hps_0_h2f_lw_axi_master_arburst; // hps_0:h2f_lw_ARBURST -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arburst wire [2:0] hps_0_h2f_lw_axi_master_arsize; // hps_0:h2f_lw_ARSIZE -> mm_interconnect_0:hps_0_h2f_lw_axi_master_arsize wire hps_0_h2f_lw_axi_master_bready; // hps_0:h2f_lw_BREADY -> mm_interconnect_0:hps_0_h2f_lw_axi_master_bready wire hps_0_h2f_lw_axi_master_rlast; // mm_interconnect_0:hps_0_h2f_lw_axi_master_rlast -> hps_0:h2f_lw_RLAST wire hps_0_h2f_lw_axi_master_wlast; // hps_0:h2f_lw_WLAST -> mm_interconnect_0:hps_0_h2f_lw_axi_master_wlast wire [1:0] hps_0_h2f_lw_axi_master_rresp; // mm_interconnect_0:hps_0_h2f_lw_axi_master_rresp -> hps_0:h2f_lw_RRESP wire [11:0] hps_0_h2f_lw_axi_master_awid; // hps_0:h2f_lw_AWID -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awid wire [11:0] hps_0_h2f_lw_axi_master_bid; // mm_interconnect_0:hps_0_h2f_lw_axi_master_bid -> hps_0:h2f_lw_BID wire hps_0_h2f_lw_axi_master_bvalid; // mm_interconnect_0:hps_0_h2f_lw_axi_master_bvalid -> hps_0:h2f_lw_BVALID wire [2:0] hps_0_h2f_lw_axi_master_awsize; // hps_0:h2f_lw_AWSIZE -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awsize wire hps_0_h2f_lw_axi_master_awvalid; // hps_0:h2f_lw_AWVALID -> mm_interconnect_0:hps_0_h2f_lw_axi_master_awvalid wire hps_0_h2f_lw_axi_master_rvalid; // mm_interconnect_0:hps_0_h2f_lw_axi_master_rvalid -> hps_0:h2f_lw_RVALID wire mm_interconnect_0_pio_0_s1_chipselect; // mm_interconnect_0:pio_0_s1_chipselect -> pio_0:chipselect wire [31:0] mm_interconnect_0_pio_0_s1_readdata; // pio_0:readdata -> mm_interconnect_0:pio_0_s1_readdata wire [1:0] mm_interconnect_0_pio_0_s1_address; // mm_interconnect_0:pio_0_s1_address -> pio_0:address wire mm_interconnect_0_pio_0_s1_write; // mm_interconnect_0:pio_0_s1_write -> pio_0:write_n wire [31:0] mm_interconnect_0_pio_0_s1_writedata; // mm_interconnect_0:pio_0_s1_writedata -> pio_0:writedata wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [mm_interconnect_0:pio_0_reset_reset_bridge_in_reset_reset, pio_0:reset_n] wire rst_controller_001_reset_out_reset; // rst_controller_001:reset_out -> mm_interconnect_0:hps_0_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset_reset wire hps_0_h2f_reset_reset; // hps_0:h2f_rst_n -> rst_controller_001:reset_in0 hps_design_hps_0 #( .F2S_Width (0), .S2F_Width (0) ) hps_0 ( .mem_a (hps_0_ddr_mem_a), // memory.mem_a .mem_ba (hps_0_ddr_mem_ba), // .mem_ba .mem_ck (hps_0_ddr_mem_ck), // .mem_ck .mem_ck_n (hps_0_ddr_mem_ck_n), // .mem_ck_n .mem_cke (hps_0_ddr_mem_cke), // .mem_cke .mem_cs_n (hps_0_ddr_mem_cs_n), // .mem_cs_n .mem_ras_n (hps_0_ddr_mem_ras_n), // .mem_ras_n .mem_cas_n (hps_0_ddr_mem_cas_n), // .mem_cas_n .mem_we_n (hps_0_ddr_mem_we_n), // .mem_we_n .mem_reset_n (hps_0_ddr_mem_reset_n), // .mem_reset_n .mem_dq (hps_0_ddr_mem_dq), // .mem_dq .mem_dqs (hps_0_ddr_mem_dqs), // .mem_dqs .mem_dqs_n (hps_0_ddr_mem_dqs_n), // .mem_dqs_n .mem_odt (hps_0_ddr_mem_odt), // .mem_odt .mem_dm (hps_0_ddr_mem_dm), // .mem_dm .oct_rzqin (hps_0_ddr_oct_rzqin), // .oct_rzqin .hps_io_emac1_inst_TX_CLK (hps_0_io_hps_io_emac1_inst_TX_CLK), // hps_io.hps_io_emac1_inst_TX_CLK .hps_io_emac1_inst_TXD0 (hps_0_io_hps_io_emac1_inst_TXD0), // .hps_io_emac1_inst_TXD0 .hps_io_emac1_inst_TXD1 (hps_0_io_hps_io_emac1_inst_TXD1), // .hps_io_emac1_inst_TXD1 .hps_io_emac1_inst_TXD2 (hps_0_io_hps_io_emac1_inst_TXD2), // .hps_io_emac1_inst_TXD2 .hps_io_emac1_inst_TXD3 (hps_0_io_hps_io_emac1_inst_TXD3), // .hps_io_emac1_inst_TXD3 .hps_io_emac1_inst_RXD0 (hps_0_io_hps_io_emac1_inst_RXD0), // .hps_io_emac1_inst_RXD0 .hps_io_emac1_inst_MDIO (hps_0_io_hps_io_emac1_inst_MDIO), // .hps_io_emac1_inst_MDIO .hps_io_emac1_inst_MDC (hps_0_io_hps_io_emac1_inst_MDC), // .hps_io_emac1_inst_MDC .hps_io_emac1_inst_RX_CTL (hps_0_io_hps_io_emac1_inst_RX_CTL), // .hps_io_emac1_inst_RX_CTL .hps_io_emac1_inst_TX_CTL (hps_0_io_hps_io_emac1_inst_TX_CTL), // .hps_io_emac1_inst_TX_CTL .hps_io_emac1_inst_RX_CLK (hps_0_io_hps_io_emac1_inst_RX_CLK), // .hps_io_emac1_inst_RX_CLK .hps_io_emac1_inst_RXD1 (hps_0_io_hps_io_emac1_inst_RXD1), // .hps_io_emac1_inst_RXD1 .hps_io_emac1_inst_RXD2 (hps_0_io_hps_io_emac1_inst_RXD2), // .hps_io_emac1_inst_RXD2 .hps_io_emac1_inst_RXD3 (hps_0_io_hps_io_emac1_inst_RXD3), // .hps_io_emac1_inst_RXD3 .hps_io_qspi_inst_IO0 (hps_0_io_hps_io_qspi_inst_IO0), // .hps_io_qspi_inst_IO0 .hps_io_qspi_inst_IO1 (hps_0_io_hps_io_qspi_inst_IO1), // .hps_io_qspi_inst_IO1 .hps_io_qspi_inst_IO2 (hps_0_io_hps_io_qspi_inst_IO2), // .hps_io_qspi_inst_IO2 .hps_io_qspi_inst_IO3 (hps_0_io_hps_io_qspi_inst_IO3), // .hps_io_qspi_inst_IO3 .hps_io_qspi_inst_SS0 (hps_0_io_hps_io_qspi_inst_SS0), // .hps_io_qspi_inst_SS0 .hps_io_qspi_inst_CLK (hps_0_io_hps_io_qspi_inst_CLK), // .hps_io_qspi_inst_CLK .hps_io_sdio_inst_CMD (hps_0_io_hps_io_sdio_inst_CMD), // .hps_io_sdio_inst_CMD .hps_io_sdio_inst_D0 (hps_0_io_hps_io_sdio_inst_D0), // .hps_io_sdio_inst_D0 .hps_io_sdio_inst_D1 (hps_0_io_hps_io_sdio_inst_D1), // .hps_io_sdio_inst_D1 .hps_io_sdio_inst_CLK (hps_0_io_hps_io_sdio_inst_CLK), // .hps_io_sdio_inst_CLK .hps_io_sdio_inst_D2 (hps_0_io_hps_io_sdio_inst_D2), // .hps_io_sdio_inst_D2 .hps_io_sdio_inst_D3 (hps_0_io_hps_io_sdio_inst_D3), // .hps_io_sdio_inst_D3 .hps_io_usb1_inst_D0 (hps_0_io_hps_io_usb1_inst_D0), // .hps_io_usb1_inst_D0 .hps_io_usb1_inst_D1 (hps_0_io_hps_io_usb1_inst_D1), // .hps_io_usb1_inst_D1 .hps_io_usb1_inst_D2 (hps_0_io_hps_io_usb1_inst_D2), // .hps_io_usb1_inst_D2 .hps_io_usb1_inst_D3 (hps_0_io_hps_io_usb1_inst_D3), // .hps_io_usb1_inst_D3 .hps_io_usb1_inst_D4 (hps_0_io_hps_io_usb1_inst_D4), // .hps_io_usb1_inst_D4 .hps_io_usb1_inst_D5 (hps_0_io_hps_io_usb1_inst_D5), // .hps_io_usb1_inst_D5 .hps_io_usb1_inst_D6 (hps_0_io_hps_io_usb1_inst_D6), // .hps_io_usb1_inst_D6 .hps_io_usb1_inst_D7 (hps_0_io_hps_io_usb1_inst_D7), // .hps_io_usb1_inst_D7 .hps_io_usb1_inst_CLK (hps_0_io_hps_io_usb1_inst_CLK), // .hps_io_usb1_inst_CLK .hps_io_usb1_inst_STP (hps_0_io_hps_io_usb1_inst_STP), // .hps_io_usb1_inst_STP .hps_io_usb1_inst_DIR (hps_0_io_hps_io_usb1_inst_DIR), // .hps_io_usb1_inst_DIR .hps_io_usb1_inst_NXT (hps_0_io_hps_io_usb1_inst_NXT), // .hps_io_usb1_inst_NXT .hps_io_spim1_inst_CLK (hps_0_io_hps_io_spim1_inst_CLK), // .hps_io_spim1_inst_CLK .hps_io_spim1_inst_MOSI (hps_0_io_hps_io_spim1_inst_MOSI), // .hps_io_spim1_inst_MOSI .hps_io_spim1_inst_MISO (hps_0_io_hps_io_spim1_inst_MISO), // .hps_io_spim1_inst_MISO .hps_io_spim1_inst_SS0 (hps_0_io_hps_io_spim1_inst_SS0), // .hps_io_spim1_inst_SS0 .hps_io_uart0_inst_RX (hps_0_io_hps_io_uart0_inst_RX), // .hps_io_uart0_inst_RX .hps_io_uart0_inst_TX (hps_0_io_hps_io_uart0_inst_TX), // .hps_io_uart0_inst_TX .hps_io_i2c0_inst_SDA (hps_0_io_hps_io_i2c0_inst_SDA), // .hps_io_i2c0_inst_SDA .hps_io_i2c0_inst_SCL (hps_0_io_hps_io_i2c0_inst_SCL), // .hps_io_i2c0_inst_SCL .hps_io_i2c1_inst_SDA (hps_0_io_hps_io_i2c1_inst_SDA), // .hps_io_i2c1_inst_SDA .hps_io_i2c1_inst_SCL (hps_0_io_hps_io_i2c1_inst_SCL), // .hps_io_i2c1_inst_SCL .hps_io_gpio_inst_GPIO09 (hps_0_io_hps_io_gpio_inst_GPIO09), // .hps_io_gpio_inst_GPIO09 .hps_io_gpio_inst_GPIO35 (hps_0_io_hps_io_gpio_inst_GPIO35), // .hps_io_gpio_inst_GPIO35 .hps_io_gpio_inst_GPIO40 (hps_0_io_hps_io_gpio_inst_GPIO40), // .hps_io_gpio_inst_GPIO40 .hps_io_gpio_inst_GPIO48 (hps_0_io_hps_io_gpio_inst_GPIO48), // .hps_io_gpio_inst_GPIO48 .hps_io_gpio_inst_GPIO53 (hps_0_io_hps_io_gpio_inst_GPIO53), // .hps_io_gpio_inst_GPIO53 .hps_io_gpio_inst_GPIO54 (hps_0_io_hps_io_gpio_inst_GPIO54), // .hps_io_gpio_inst_GPIO54 .hps_io_gpio_inst_GPIO61 (hps_0_io_hps_io_gpio_inst_GPIO61), // .hps_io_gpio_inst_GPIO61 .h2f_rst_n (hps_0_h2f_reset_reset), // h2f_reset.reset_n .h2f_lw_axi_clk (pll_0_outclk0_clk), // h2f_lw_axi_clock.clk .h2f_lw_AWID (hps_0_h2f_lw_axi_master_awid), // h2f_lw_axi_master.awid .h2f_lw_AWADDR (hps_0_h2f_lw_axi_master_awaddr), // .awaddr .h2f_lw_AWLEN (hps_0_h2f_lw_axi_master_awlen), // .awlen .h2f_lw_AWSIZE (hps_0_h2f_lw_axi_master_awsize), // .awsize .h2f_lw_AWBURST (hps_0_h2f_lw_axi_master_awburst), // .awburst .h2f_lw_AWLOCK (hps_0_h2f_lw_axi_master_awlock), // .awlock .h2f_lw_AWCACHE (hps_0_h2f_lw_axi_master_awcache), // .awcache .h2f_lw_AWPROT (hps_0_h2f_lw_axi_master_awprot), // .awprot .h2f_lw_AWVALID (hps_0_h2f_lw_axi_master_awvalid), // .awvalid .h2f_lw_AWREADY (hps_0_h2f_lw_axi_master_awready), // .awready .h2f_lw_WID (hps_0_h2f_lw_axi_master_wid), // .wid .h2f_lw_WDATA (hps_0_h2f_lw_axi_master_wdata), // .wdata .h2f_lw_WSTRB (hps_0_h2f_lw_axi_master_wstrb), // .wstrb .h2f_lw_WLAST (hps_0_h2f_lw_axi_master_wlast), // .wlast .h2f_lw_WVALID (hps_0_h2f_lw_axi_master_wvalid), // .wvalid .h2f_lw_WREADY (hps_0_h2f_lw_axi_master_wready), // .wready .h2f_lw_BID (hps_0_h2f_lw_axi_master_bid), // .bid .h2f_lw_BRESP (hps_0_h2f_lw_axi_master_bresp), // .bresp .h2f_lw_BVALID (hps_0_h2f_lw_axi_master_bvalid), // .bvalid .h2f_lw_BREADY (hps_0_h2f_lw_axi_master_bready), // .bready .h2f_lw_ARID (hps_0_h2f_lw_axi_master_arid), // .arid .h2f_lw_ARADDR (hps_0_h2f_lw_axi_master_araddr), // .araddr .h2f_lw_ARLEN (hps_0_h2f_lw_axi_master_arlen), // .arlen .h2f_lw_ARSIZE (hps_0_h2f_lw_axi_master_arsize), // .arsize .h2f_lw_ARBURST (hps_0_h2f_lw_axi_master_arburst), // .arburst .h2f_lw_ARLOCK (hps_0_h2f_lw_axi_master_arlock), // .arlock .h2f_lw_ARCACHE (hps_0_h2f_lw_axi_master_arcache), // .arcache .h2f_lw_ARPROT (hps_0_h2f_lw_axi_master_arprot), // .arprot .h2f_lw_ARVALID (hps_0_h2f_lw_axi_master_arvalid), // .arvalid .h2f_lw_ARREADY (hps_0_h2f_lw_axi_master_arready), // .arready .h2f_lw_RID (hps_0_h2f_lw_axi_master_rid), // .rid .h2f_lw_RDATA (hps_0_h2f_lw_axi_master_rdata), // .rdata .h2f_lw_RRESP (hps_0_h2f_lw_axi_master_rresp), // .rresp .h2f_lw_RLAST (hps_0_h2f_lw_axi_master_rlast), // .rlast .h2f_lw_RVALID (hps_0_h2f_lw_axi_master_rvalid), // .rvalid .h2f_lw_RREADY (hps_0_h2f_lw_axi_master_rready) // .rready ); hps_design_pio_0 pio_0 ( .clk (pll_0_outclk0_clk), // clk.clk .reset_n (~rst_controller_reset_out_reset), // reset.reset_n .address (mm_interconnect_0_pio_0_s1_address), // s1.address .write_n (~mm_interconnect_0_pio_0_s1_write), // .write_n .writedata (mm_interconnect_0_pio_0_s1_writedata), // .writedata .chipselect (mm_interconnect_0_pio_0_s1_chipselect), // .chipselect .readdata (mm_interconnect_0_pio_0_s1_readdata), // .readdata .out_port (ledr_export) // external_connection.export ); hps_design_pll_0 pll_0 ( .refclk (clk_clk), // refclk.clk .rst (~reset_reset_n), // reset.reset .outclk_0 (pll_0_outclk0_clk), // outclk0.clk .outclk_1 (), // outclk1.clk .outclk_2 (pll_0_sdram_clk), // outclk2.clk .locked () // (terminated) ); hps_design_mm_interconnect_0 mm_interconnect_0 ( .hps_0_h2f_lw_axi_master_awid (hps_0_h2f_lw_axi_master_awid), // hps_0_h2f_lw_axi_master.awid .hps_0_h2f_lw_axi_master_awaddr (hps_0_h2f_lw_axi_master_awaddr), // .awaddr .hps_0_h2f_lw_axi_master_awlen (hps_0_h2f_lw_axi_master_awlen), // .awlen .hps_0_h2f_lw_axi_master_awsize (hps_0_h2f_lw_axi_master_awsize), // .awsize .hps_0_h2f_lw_axi_master_awburst (hps_0_h2f_lw_axi_master_awburst), // .awburst .hps_0_h2f_lw_axi_master_awlock (hps_0_h2f_lw_axi_master_awlock), // .awlock .hps_0_h2f_lw_axi_master_awcache (hps_0_h2f_lw_axi_master_awcache), // .awcache .hps_0_h2f_lw_axi_master_awprot (hps_0_h2f_lw_axi_master_awprot), // .awprot .hps_0_h2f_lw_axi_master_awvalid (hps_0_h2f_lw_axi_master_awvalid), // .awvalid .hps_0_h2f_lw_axi_master_awready (hps_0_h2f_lw_axi_master_awready), // .awready .hps_0_h2f_lw_axi_master_wid (hps_0_h2f_lw_axi_master_wid), // .wid .hps_0_h2f_lw_axi_master_wdata (hps_0_h2f_lw_axi_master_wdata), // .wdata .hps_0_h2f_lw_axi_master_wstrb (hps_0_h2f_lw_axi_master_wstrb), // .wstrb .hps_0_h2f_lw_axi_master_wlast (hps_0_h2f_lw_axi_master_wlast), // .wlast .hps_0_h2f_lw_axi_master_wvalid (hps_0_h2f_lw_axi_master_wvalid), // .wvalid .hps_0_h2f_lw_axi_master_wready (hps_0_h2f_lw_axi_master_wready), // .wready .hps_0_h2f_lw_axi_master_bid (hps_0_h2f_lw_axi_master_bid), // .bid .hps_0_h2f_lw_axi_master_bresp (hps_0_h2f_lw_axi_master_bresp), // .bresp .hps_0_h2f_lw_axi_master_bvalid (hps_0_h2f_lw_axi_master_bvalid), // .bvalid .hps_0_h2f_lw_axi_master_bready (hps_0_h2f_lw_axi_master_bready), // .bready .hps_0_h2f_lw_axi_master_arid (hps_0_h2f_lw_axi_master_arid), // .arid .hps_0_h2f_lw_axi_master_araddr (hps_0_h2f_lw_axi_master_araddr), // .araddr .hps_0_h2f_lw_axi_master_arlen (hps_0_h2f_lw_axi_master_arlen), // .arlen .hps_0_h2f_lw_axi_master_arsize (hps_0_h2f_lw_axi_master_arsize), // .arsize .hps_0_h2f_lw_axi_master_arburst (hps_0_h2f_lw_axi_master_arburst), // .arburst .hps_0_h2f_lw_axi_master_arlock (hps_0_h2f_lw_axi_master_arlock), // .arlock .hps_0_h2f_lw_axi_master_arcache (hps_0_h2f_lw_axi_master_arcache), // .arcache .hps_0_h2f_lw_axi_master_arprot (hps_0_h2f_lw_axi_master_arprot), // .arprot .hps_0_h2f_lw_axi_master_arvalid (hps_0_h2f_lw_axi_master_arvalid), // .arvalid .hps_0_h2f_lw_axi_master_arready (hps_0_h2f_lw_axi_master_arready), // .arready .hps_0_h2f_lw_axi_master_rid (hps_0_h2f_lw_axi_master_rid), // .rid .hps_0_h2f_lw_axi_master_rdata (hps_0_h2f_lw_axi_master_rdata), // .rdata .hps_0_h2f_lw_axi_master_rresp (hps_0_h2f_lw_axi_master_rresp), // .rresp .hps_0_h2f_lw_axi_master_rlast (hps_0_h2f_lw_axi_master_rlast), // .rlast .hps_0_h2f_lw_axi_master_rvalid (hps_0_h2f_lw_axi_master_rvalid), // .rvalid .hps_0_h2f_lw_axi_master_rready (hps_0_h2f_lw_axi_master_rready), // .rready .pll_0_outclk0_clk (pll_0_outclk0_clk), // pll_0_outclk0.clk .hps_0_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset_reset (rst_controller_001_reset_out_reset), // hps_0_h2f_lw_axi_master_agent_clk_reset_reset_bridge_in_reset.reset .pio_0_reset_reset_bridge_in_reset_reset (rst_controller_reset_out_reset), // pio_0_reset_reset_bridge_in_reset.reset .pio_0_s1_address (mm_interconnect_0_pio_0_s1_address), // pio_0_s1.address .pio_0_s1_write (mm_interconnect_0_pio_0_s1_write), // .write .pio_0_s1_readdata (mm_interconnect_0_pio_0_s1_readdata), // .readdata .pio_0_s1_writedata (mm_interconnect_0_pio_0_s1_writedata), // .writedata .pio_0_s1_chipselect (mm_interconnect_0_pio_0_s1_chipselect) // .chipselect ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller ( .reset_in0 (~reset_reset_n), // reset_in0.reset .clk (pll_0_outclk0_clk), // clk.clk .reset_out (rst_controller_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); altera_reset_controller #( .NUM_RESET_INPUTS (1), .OUTPUT_RESET_SYNC_EDGES ("deassert"), .SYNC_DEPTH (2), .RESET_REQUEST_PRESENT (0), .RESET_REQ_WAIT_TIME (1), .MIN_RST_ASSERTION_TIME (3), .RESET_REQ_EARLY_DSRT_TIME (1), .USE_RESET_REQUEST_IN0 (0), .USE_RESET_REQUEST_IN1 (0), .USE_RESET_REQUEST_IN2 (0), .USE_RESET_REQUEST_IN3 (0), .USE_RESET_REQUEST_IN4 (0), .USE_RESET_REQUEST_IN5 (0), .USE_RESET_REQUEST_IN6 (0), .USE_RESET_REQUEST_IN7 (0), .USE_RESET_REQUEST_IN8 (0), .USE_RESET_REQUEST_IN9 (0), .USE_RESET_REQUEST_IN10 (0), .USE_RESET_REQUEST_IN11 (0), .USE_RESET_REQUEST_IN12 (0), .USE_RESET_REQUEST_IN13 (0), .USE_RESET_REQUEST_IN14 (0), .USE_RESET_REQUEST_IN15 (0), .ADAPT_RESET_REQUEST (0) ) rst_controller_001 ( .reset_in0 (~hps_0_h2f_reset_reset), // reset_in0.reset .clk (pll_0_outclk0_clk), // clk.clk .reset_out (rst_controller_001_reset_out_reset), // reset_out.reset .reset_req (), // (terminated) .reset_req_in0 (1'b0), // (terminated) .reset_in1 (1'b0), // (terminated) .reset_req_in1 (1'b0), // (terminated) .reset_in2 (1'b0), // (terminated) .reset_req_in2 (1'b0), // (terminated) .reset_in3 (1'b0), // (terminated) .reset_req_in3 (1'b0), // (terminated) .reset_in4 (1'b0), // (terminated) .reset_req_in4 (1'b0), // (terminated) .reset_in5 (1'b0), // (terminated) .reset_req_in5 (1'b0), // (terminated) .reset_in6 (1'b0), // (terminated) .reset_req_in6 (1'b0), // (terminated) .reset_in7 (1'b0), // (terminated) .reset_req_in7 (1'b0), // (terminated) .reset_in8 (1'b0), // (terminated) .reset_req_in8 (1'b0), // (terminated) .reset_in9 (1'b0), // (terminated) .reset_req_in9 (1'b0), // (terminated) .reset_in10 (1'b0), // (terminated) .reset_req_in10 (1'b0), // (terminated) .reset_in11 (1'b0), // (terminated) .reset_req_in11 (1'b0), // (terminated) .reset_in12 (1'b0), // (terminated) .reset_req_in12 (1'b0), // (terminated) .reset_in13 (1'b0), // (terminated) .reset_req_in13 (1'b0), // (terminated) .reset_in14 (1'b0), // (terminated) .reset_req_in14 (1'b0), // (terminated) .reset_in15 (1'b0), // (terminated) .reset_req_in15 (1'b0) // (terminated) ); endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HVL__SDFRTP_PP_BLACKBOX_V `define SKY130_FD_SC_HVL__SDFRTP_PP_BLACKBOX_V /** * sdfrtp: Scan delay flop, inverted reset, non-inverted clock, * single output. * * Verilog stub definition (black box with power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hvl__sdfrtp ( Q , CLK , D , SCD , SCE , RESET_B, VPWR , VGND , VPB , VNB ); output Q ; input CLK ; input D ; input SCD ; input SCE ; input RESET_B; input VPWR ; input VGND ; input VPB ; input VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_HVL__SDFRTP_PP_BLACKBOX_V
module test; genvar i; localparam nodes_p=7; wire [nodes_p:0] wi_nets, ei_nets; logic [nodes_p-1:0] pi_nets, po_nets; logic clk_li, reset_li; // program the barrier network // // WEP localparam [nodes_p-1:0][2:0] ins_p = { 3'b001 /* east end node */ , 3'b011 /* east middle node */ , 3'b111 /* center node */ , 3'b101 /* west middle node */ , 3'b101 /* west middle node */ , 3'b101 /* west middle node */ , 3'b001 /* west end node */ }; localparam [nodes_p-1:0][1:0] outs_p = { 2'd2 /*node 6 W */ ,2'd2 /*node 5 W */ ,2'd3 /*node 4 X */ ,2'd1 /*nodes 3 E */ ,2'd1 /*nodes 2 E */ ,2'd1 /*nodes 1 E */ ,2'd1 /*nodes 0 E */ }; // 0 1 2 3 4 // ---> ---> ---> Wi ---> ---> Wi ---> --> Wi // <--- node 0 <---- node 1 <--- Ei <---- node 2 <--- Ei <---- node 3 <--- Ei // // wire up the topology for (i=0; i < nodes_p; i++) begin: rof bsg_barrier #(.dirs_p(3)) one (.clk_i(clk_li) ,.reset_i(reset_li) ,.data_i({wi_nets[i+0],ei_nets[i+1], pi_nets[i]}) ,.data_o({ei_nets[i+0],wi_nets[i+1], po_nets[i]}) ,.src_r_i(ins_p[i]) ,.dest_r_i(outs_p[i]) ); end initial begin clk_li = 0; while (1) begin #5 clk_li = ~clk_li; end end // we set it up so we enter the next barrier immediately after the first bsg_dff_reset #(.width_p(nodes_p)) dff (.clk_i(clk_li) ,.reset_i(reset_li) ,.data_i(~po_nets) ,.data_o(pi_nets) ); always @(negedge clk_li) begin $display("%d Pi=%b, Wi=%b Ei=%b Po=%b",$time, pi_nets, wi_nets, ei_nets, po_nets); // when pi==po, we know the barrier is completed // so we can xor the pi bit to enter the barrier for (integer i = 0; i < nodes_p; i++) if (pi_nets[i] == po_nets[i]) $display("%t %d: barrier completed",$time,i); end initial begin reset_li = 0; #10 reset_li = 1; #10 reset_li = 0; #200 #200 $finish(); end endmodule
// ---------------------------------------------------------------------- // Copyright (c) 2016, The Regents of the University of California All // rights reserved. // // Redistribution and use in source and binary forms, with or without // modification, are permitted provided that the following conditions are // met: // // * Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // // * Redistributions in binary form must reproduce the above // copyright notice, this list of conditions and the following // disclaimer in the documentation and/or other materials provided // with the distribution. // // * Neither the name of The Regents of the University of California // nor the names of its contributors may be used to endorse or // promote products derived from this software without specific // prior written permission. // // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR // A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE // UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT, // INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, // BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS // OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND // ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR // TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE // USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH // DAMAGE. // ---------------------------------------------------------------------- //---------------------------------------------------------------------------- // Filename: tx_engine.v // Version: 1.0 // Verilog Standard: Verilog-2001 // Description: The tx_engine module takes a formatted header, number of alignment // blanks and a payloa and concatenates all three (in that order) to form a // packet. These packets must meet max-request, max-payload, and payload // termination requirements (see Read Completion Boundary). The tx_engine does // not check these requirements during operation, but may do so during simulation. // This Engine is capable of operating at "line rate". // Author: Dustin Richmond (@darichmond) //----------------------------------------------------------------------------- `timescale 1ns/1ns `include "trellis.vh" // Defines the user-facing signal widths. module tx_engine #(parameter C_DATA_WIDTH = 128, parameter C_DEPTH_PACKETS = 10, parameter C_PIPELINE_INPUT = 1, parameter C_PIPELINE_OUTPUT = 0, parameter C_FORMATTER_DELAY = 1, parameter C_MAX_HDR_WIDTH = 128, parameter C_MAX_PAYLOAD_DWORDS = 64, parameter C_VENDOR = "ALTERA" ) ( // Interface: Clocks input CLK, // Interface: Reset input RST_IN, // Interface: TX HDR input TX_HDR_VALID, input [C_MAX_HDR_WIDTH-1:0] TX_HDR, input [`SIG_LEN_W-1:0] TX_HDR_PAYLOAD_LEN, input [`SIG_NONPAY_W-1:0] TX_HDR_NONPAY_LEN, input [`SIG_PACKETLEN_W-1:0] TX_HDR_PACKET_LEN, input TX_HDR_NOPAYLOAD, output TX_HDR_READY, // Interface: TX_DATA input TX_DATA_VALID, input [C_DATA_WIDTH-1:0] TX_DATA, input TX_DATA_START_FLAG, input [clog2s(C_DATA_WIDTH/32)-1:0] TX_DATA_START_OFFSET, input TX_DATA_END_FLAG, input [clog2s(C_DATA_WIDTH/32)-1:0] TX_DATA_END_OFFSET, output TX_DATA_READY, // Interface: TX_PKT input TX_PKT_READY, output [C_DATA_WIDTH-1:0] TX_PKT, output TX_PKT_START_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_START_OFFSET, output TX_PKT_END_FLAG, output [clog2s(C_DATA_WIDTH/32)-1:0] TX_PKT_END_OFFSET, output TX_PKT_VALID ); `include "functions.vh" localparam C_PIPELINE_HDR_FIFO_INPUT = C_PIPELINE_INPUT; localparam C_PIPELINE_HDR_FIFO_OUTPUT = C_PIPELINE_OUTPUT; localparam C_PIPELINE_HDR_INPUT = C_PIPELINE_INPUT; localparam C_ACTUAL_HDR_FIFO_DEPTH = (1<<clog2s(C_DEPTH_PACKETS)); localparam C_USE_COMPUTE_REG = 1; localparam C_USE_READY_REG = 1; localparam C_USE_FWFT_HDR_FIFO = 1; localparam C_DATA_FIFO_DEPTH = C_ACTUAL_HDR_FIFO_DEPTH + C_FORMATTER_DELAY + C_PIPELINE_HDR_FIFO_INPUT + C_PIPELINE_HDR_FIFO_OUTPUT + C_USE_FWFT_HDR_FIFO + // Header Fifo C_PIPELINE_HDR_INPUT + C_USE_COMPUTE_REG + C_USE_READY_REG + C_PIPELINE_OUTPUT; wire wTxHdrReady; wire wTxHdrValid; wire [C_MAX_HDR_WIDTH-1:0] wTxHdr; wire [`SIG_NONPAY_W-1:0] wTxHdrNonpayLen; wire [`SIG_PACKETLEN_W-1:0] wTxHdrPacketLen; wire [`SIG_LEN_W-1:0] wTxHdrPayloadLen; wire wTxHdrNoPayload; wire wTxDataReady; wire [C_DATA_WIDTH-1:0] wTxData; wire [clog2s(C_DATA_WIDTH/32)-1:0] wTxDataEndOffset; wire wTxDataStartFlag; wire wTxDataPacketValid; wire [(C_DATA_WIDTH/32)-1:0] wTxDataEndFlags; wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordValid; wire [(C_DATA_WIDTH/32)-1:0] wTxDataWordReady; tx_data_pipeline #(.C_DEPTH_PACKETS (C_DATA_FIFO_DEPTH), /*AUTOINSTPARAM*/ // Parameters .C_DATA_WIDTH (C_DATA_WIDTH), .C_PIPELINE_INPUT (C_PIPELINE_INPUT), .C_PIPELINE_OUTPUT (C_PIPELINE_OUTPUT), .C_MAX_PAYLOAD_DWORDS (C_MAX_PAYLOAD_DWORDS), .C_VENDOR (C_VENDOR)) tx_data_pipeline_inst (// Outputs .RD_TX_DATA (wTxData[C_DATA_WIDTH-1:0]), .RD_TX_DATA_WORD_VALID (wTxDataWordValid[(C_DATA_WIDTH/32)-1:0]), .RD_TX_DATA_START_FLAG (wTxDataStartFlag), .RD_TX_DATA_END_FLAGS (wTxDataEndFlags[(C_DATA_WIDTH/32)-1:0]), .RD_TX_DATA_PACKET_VALID (wTxDataPacketValid), .WR_TX_DATA_READY (TX_DATA_READY), // Inputs .RD_TX_DATA_WORD_READY (wTxDataWordReady[(C_DATA_WIDTH/32)-1:0]), .WR_TX_DATA (TX_DATA), .WR_TX_DATA_VALID (TX_DATA_VALID), .WR_TX_DATA_START_FLAG (TX_DATA_START_FLAG), .WR_TX_DATA_START_OFFSET (TX_DATA_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .WR_TX_DATA_END_FLAG (TX_DATA_END_FLAG), .WR_TX_DATA_END_OFFSET (TX_DATA_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); // TX Header Fifo tx_hdr_fifo #(.C_PIPELINE_OUTPUT (C_PIPELINE_HDR_FIFO_OUTPUT), .C_PIPELINE_INPUT (C_PIPELINE_HDR_FIFO_INPUT), /*AUTOINSTPARAM*/ // Parameters .C_DEPTH_PACKETS (C_DEPTH_PACKETS), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_VENDOR (C_VENDOR)) txhf_inst (// Outputs .WR_TX_HDR_READY (TX_HDR_READY), .RD_TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .RD_TX_HDR_VALID (wTxHdrValid), .RD_TX_HDR_NOPAYLOAD (wTxHdrNoPayload), .RD_TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .RD_TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .RD_TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), // Inputs .WR_TX_HDR (TX_HDR[C_MAX_HDR_WIDTH-1:0]), .WR_TX_HDR_VALID (TX_HDR_VALID), .WR_TX_HDR_NOPAYLOAD (TX_HDR_NOPAYLOAD), .WR_TX_HDR_PAYLOAD_LEN (TX_HDR_PAYLOAD_LEN[`SIG_LEN_W-1:0]), .WR_TX_HDR_NONPAY_LEN (TX_HDR_NONPAY_LEN[`SIG_NONPAY_W-1:0]), .WR_TX_HDR_PACKET_LEN (TX_HDR_PACKET_LEN[`SIG_PACKETLEN_W-1:0]), .RD_TX_HDR_READY (wTxHdrReady), /*AUTOINST*/ // Inputs .CLK (CLK), .RST_IN (RST_IN)); // TX Header Fifo tx_alignment_pipeline #(// Parameters .C_PIPELINE_OUTPUT (1), .C_PIPELINE_DATA_INPUT (1), .C_PIPELINE_HDR_INPUT (C_PIPELINE_HDR_INPUT), .C_DATA_WIDTH (C_DATA_WIDTH), // Parameters /*AUTOINSTPARAM*/ // Parameters .C_USE_COMPUTE_REG (C_USE_COMPUTE_REG), .C_USE_READY_REG (C_USE_READY_REG), .C_MAX_HDR_WIDTH (C_MAX_HDR_WIDTH), .C_VENDOR (C_VENDOR)) tx_alignment_inst (// Outputs .TX_DATA_WORD_READY (wTxDataWordReady[(C_DATA_WIDTH/32)-1:0]), .TX_HDR_READY (wTxHdrReady), // Inputs .TX_DATA_START_FLAG (wTxDataStartFlag), .TX_DATA_END_FLAGS (wTxDataEndFlags), .TX_DATA_WORD_VALID (wTxDataWordValid[(C_DATA_WIDTH/32)-1:0]), .TX_DATA_PACKET_VALID (wTxDataPacketValid), .TX_DATA (wTxData[C_DATA_WIDTH-1:0]), .TX_HDR (wTxHdr[C_MAX_HDR_WIDTH-1:0]), .TX_HDR_VALID (wTxHdrValid), .TX_HDR_NOPAYLOAD (wTxHdrNoPayload), .TX_HDR_PAYLOAD_LEN (wTxHdrPayloadLen[`SIG_LEN_W-1:0]), .TX_HDR_NONPAY_LEN (wTxHdrNonpayLen[`SIG_NONPAY_W-1:0]), .TX_HDR_PACKET_LEN (wTxHdrPacketLen[`SIG_PACKETLEN_W-1:0]), /*AUTOINST*/ // Outputs .TX_PKT (TX_PKT[C_DATA_WIDTH-1:0]), .TX_PKT_START_FLAG (TX_PKT_START_FLAG), .TX_PKT_START_OFFSET (TX_PKT_START_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_END_FLAG (TX_PKT_END_FLAG), .TX_PKT_END_OFFSET (TX_PKT_END_OFFSET[clog2s(C_DATA_WIDTH/32)-1:0]), .TX_PKT_VALID (TX_PKT_VALID), // Inputs .CLK (CLK), .RST_IN (RST_IN), .TX_PKT_READY (TX_PKT_READY)); endmodule
module user_design(clk, rst, exception, input_timer, input_rs232_rx, input_ps2, input_i2c, input_switches, input_eth_rx, input_buttons, input_timer_stb, input_rs232_rx_stb, input_ps2_stb, input_i2c_stb, input_switches_stb, input_eth_rx_stb, input_buttons_stb, input_timer_ack, input_rs232_rx_ack, input_ps2_ack, input_i2c_ack, input_switches_ack, input_eth_rx_ack, input_buttons_ack, output_seven_segment_annode, output_eth_tx, output_rs232_tx, output_leds, output_audio, output_led_g, output_seven_segment_cathode, output_led_b, output_i2c, output_vga, output_led_r, output_seven_segment_annode_stb, output_eth_tx_stb, output_rs232_tx_stb, output_leds_stb, output_audio_stb, output_led_g_stb, output_seven_segment_cathode_stb, output_led_b_stb, output_i2c_stb, output_vga_stb, output_led_r_stb, output_seven_segment_annode_ack, output_eth_tx_ack, output_rs232_tx_ack, output_leds_ack, output_audio_ack, output_led_g_ack, output_seven_segment_cathode_ack, output_led_b_ack, output_i2c_ack, output_vga_ack, output_led_r_ack); input clk; input rst; output exception; input [31:0] input_timer; input input_timer_stb; output input_timer_ack; input [31:0] input_rs232_rx; input input_rs232_rx_stb; output input_rs232_rx_ack; input [31:0] input_ps2; input input_ps2_stb; output input_ps2_ack; input [31:0] input_i2c; input input_i2c_stb; output input_i2c_ack; input [31:0] input_switches; input input_switches_stb; output input_switches_ack; input [31:0] input_eth_rx; input input_eth_rx_stb; output input_eth_rx_ack; input [31:0] input_buttons; input input_buttons_stb; output input_buttons_ack; output [31:0] output_seven_segment_annode; output output_seven_segment_annode_stb; input output_seven_segment_annode_ack; output [31:0] output_eth_tx; output output_eth_tx_stb; input output_eth_tx_ack; output [31:0] output_rs232_tx; output output_rs232_tx_stb; input output_rs232_tx_ack; output [31:0] output_leds; output output_leds_stb; input output_leds_ack; output [31:0] output_audio; output output_audio_stb; input output_audio_ack; output [31:0] output_led_g; output output_led_g_stb; input output_led_g_ack; output [31:0] output_seven_segment_cathode; output output_seven_segment_cathode_stb; input output_seven_segment_cathode_ack; output [31:0] output_led_b; output output_led_b_stb; input output_led_b_ack; output [31:0] output_i2c; output output_i2c_stb; input output_i2c_ack; output [31:0] output_vga; output output_vga_stb; input output_vga_ack; output [31:0] output_led_r; output output_led_r_stb; input output_led_r_ack; wire [31:0] wire_139931276046560; wire wire_139931276046560_stb; wire wire_139931276046560_ack; wire exception_139931282298208; wire exception_139931279302312; wire exception_139931282298568; wire exception_139931276022632; wire exception_139931284594768; wire exception_139931283933808; wire exception_139931274793904; wire exception_139931280104840; wire exception_139931276181376; wire exception_139931279234472; wire exception_139931282558560; wire exception_139931284812000; wire exception_139931278184392; wire exception_139931274909024; wire exception_139931275225496; wire exception_139931277018680; wire exception_139931277927848; wire exception_139931279974624; main_0 main_0_139931282298208( .clk(clk), .rst(rst), .exception(exception_139931282298208), .output_value(wire_139931276046560), .output_value_stb(wire_139931276046560_stb), .output_value_ack(wire_139931276046560_ack)); main_1 main_1_139931279302312( .clk(clk), .rst(rst), .exception(exception_139931279302312), .input_value(wire_139931276046560), .input_value_stb(wire_139931276046560_stb), .input_value_ack(wire_139931276046560_ack), .output_annode(output_seven_segment_annode), .output_annode_stb(output_seven_segment_annode_stb), .output_annode_ack(output_seven_segment_annode_ack), .output_cathode(output_seven_segment_cathode), .output_cathode_stb(output_seven_segment_cathode_stb), .output_cathode_ack(output_seven_segment_cathode_ack)); main_2 main_2_139931282298568( .clk(clk), .rst(rst), .exception(exception_139931282298568), .input_in(input_timer), .input_in_stb(input_timer_stb), .input_in_ack(input_timer_ack)); main_3 main_3_139931276022632( .clk(clk), .rst(rst), .exception(exception_139931276022632), .input_in(input_rs232_rx), .input_in_stb(input_rs232_rx_stb), .input_in_ack(input_rs232_rx_ack)); main_4 main_4_139931284594768( .clk(clk), .rst(rst), .exception(exception_139931284594768), .input_in(input_ps2), .input_in_stb(input_ps2_stb), .input_in_ack(input_ps2_ack)); main_5 main_5_139931283933808( .clk(clk), .rst(rst), .exception(exception_139931283933808), .input_in(input_i2c), .input_in_stb(input_i2c_stb), .input_in_ack(input_i2c_ack)); main_6 main_6_139931274793904( .clk(clk), .rst(rst), .exception(exception_139931274793904), .input_in(input_switches), .input_in_stb(input_switches_stb), .input_in_ack(input_switches_ack)); main_7 main_7_139931280104840( .clk(clk), .rst(rst), .exception(exception_139931280104840), .input_in(input_eth_rx), .input_in_stb(input_eth_rx_stb), .input_in_ack(input_eth_rx_ack)); main_8 main_8_139931276181376( .clk(clk), .rst(rst), .exception(exception_139931276181376), .input_in(input_buttons), .input_in_stb(input_buttons_stb), .input_in_ack(input_buttons_ack)); main_9 main_9_139931279234472( .clk(clk), .rst(rst), .exception(exception_139931279234472), .output_out(output_eth_tx), .output_out_stb(output_eth_tx_stb), .output_out_ack(output_eth_tx_ack)); main_10 main_10_139931282558560( .clk(clk), .rst(rst), .exception(exception_139931282558560), .output_out(output_rs232_tx), .output_out_stb(output_rs232_tx_stb), .output_out_ack(output_rs232_tx_ack)); main_11 main_11_139931284812000( .clk(clk), .rst(rst), .exception(exception_139931284812000), .output_out(output_leds), .output_out_stb(output_leds_stb), .output_out_ack(output_leds_ack)); main_12 main_12_139931278184392( .clk(clk), .rst(rst), .exception(exception_139931278184392), .output_out(output_audio), .output_out_stb(output_audio_stb), .output_out_ack(output_audio_ack)); main_13 main_13_139931274909024( .clk(clk), .rst(rst), .exception(exception_139931274909024), .output_out(output_led_g), .output_out_stb(output_led_g_stb), .output_out_ack(output_led_g_ack)); main_14 main_14_139931275225496( .clk(clk), .rst(rst), .exception(exception_139931275225496), .output_out(output_led_b), .output_out_stb(output_led_b_stb), .output_out_ack(output_led_b_ack)); main_15 main_15_139931277018680( .clk(clk), .rst(rst), .exception(exception_139931277018680), .output_out(output_i2c), .output_out_stb(output_i2c_stb), .output_out_ack(output_i2c_ack)); main_16 main_16_139931277927848( .clk(clk), .rst(rst), .exception(exception_139931277927848), .output_out(output_vga), .output_out_stb(output_vga_stb), .output_out_ack(output_vga_ack)); main_17 main_17_139931279974624( .clk(clk), .rst(rst), .exception(exception_139931279974624), .output_out(output_led_r), .output_out_stb(output_led_r_stb), .output_out_ack(output_led_r_ack)); assign exception = exception_139931282298208 || exception_139931279302312 || exception_139931282298568 || exception_139931276022632 || exception_139931284594768 || exception_139931283933808 || exception_139931274793904 || exception_139931280104840 || exception_139931276181376 || exception_139931279234472 || exception_139931282558560 || exception_139931284812000 || exception_139931278184392 || exception_139931274909024 || exception_139931275225496 || exception_139931277018680 || exception_139931277927848 || exception_139931279974624; endmodule
//***************************************************************************** // (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. // //***************************************************************************** // ____ ____ // / /\/ / // /___/ \ / Vendor : Xilinx // \ \ \/ Version : %version // \ \ Application : MIG // / / Filename : col_mach.v // /___/ /\ Date Last Modified : $date$ // \ \ / \ Date Created : Tue Jun 30 2009 // \___\/\___\ // //Device : 7-Series //Design Name : DDR3 SDRAM //Purpose : //Reference : //Revision History : //***************************************************************************** // The column machine manages the dq bus. Since there is a single DQ // bus, and the column part of the DRAM is tightly coupled to this DQ // bus, conceptually, the DQ bus and all of the column hardware in // a multi rank DRAM array are managed as a single unit. // // // The column machine does not "enforce" the column timing directly. // It generates information and sends it to the bank machines. If the // bank machines incorrectly make a request, the column machine will // simply overwrite the existing request with the new request even // if this would result in a timing or protocol violation. // // The column machine // hosts the block that controls read and write data transfer // to and from the dq bus. // // And if configured, there is provision for tracking the address // of a command as it moves through the column pipeline. This // address will be logged for detected ECC errors. `timescale 1 ps / 1 ps module col_mach # ( parameter TCQ = 100, parameter BANK_WIDTH = 3, parameter BURST_MODE = "8", parameter COL_WIDTH = 12, parameter CS_WIDTH = 4, parameter DATA_BUF_ADDR_WIDTH = 8, parameter DATA_BUF_OFFSET_WIDTH = 1, parameter DELAY_WR_DATA_CNTRL = 0, parameter DQS_WIDTH = 8, parameter DRAM_TYPE = "DDR3", parameter EARLY_WR_DATA_ADDR = "OFF", parameter ECC = "OFF", parameter MC_ERR_ADDR_WIDTH = 31, parameter nCK_PER_CLK = 2, parameter nPHY_WRLAT = 0, parameter nRD_EN2CNFG_WR = 6, parameter nWR_EN2CNFG_RD = 4, parameter nWR_EN2CNFG_WR = 4, parameter RANK_WIDTH = 2, parameter ROW_WIDTH = 16 ) (/*AUTOARG*/ // Outputs dq_busy_data, wr_data_offset, mc_wrdata_en, wr_data_en, wr_data_addr, inhbt_wr_config, inhbt_rd_config, rd_rmw, ecc_err_addr, ecc_status_valid, wr_ecc_buf, rd_data_end, rd_data_addr, rd_data_offset, rd_data_en, // Inputs clk, rst, sent_col, col_size, io_config, col_wr_data_buf_addr, phy_rddata_valid, col_periodic_rd, col_data_buf_addr, col_rmw, col_rd_wr, col_ra, col_ba, col_row, col_a ); input clk; input rst; input sent_col; input col_rd_wr; output reg dq_busy_data = 1'b0; // The following generates a column command disable based mostly on the type // of DRAM and the fabric to DRAM CK ratio. generate if ((nCK_PER_CLK == 1) && ((BURST_MODE == "8") || (DRAM_TYPE == "DDR3"))) begin : three_bumps reg [1:0] granted_col_d_r; wire [1:0] granted_col_d_ns = {sent_col, granted_col_d_r[1]}; always @(posedge clk) granted_col_d_r <= #TCQ granted_col_d_ns; always @(/*AS*/granted_col_d_r or sent_col) dq_busy_data = sent_col || |granted_col_d_r; end if (((nCK_PER_CLK == 2) && ((BURST_MODE == "8") || (DRAM_TYPE == "DDR3"))) || ((nCK_PER_CLK == 1) && ((BURST_MODE == "4") || (DRAM_TYPE == "DDR2")))) begin : one_bump always @(/*AS*/sent_col) dq_busy_data = sent_col; end endgenerate // This generates a data offset based on fabric clock to DRAM CK ratio and // the size bit. Note that this is different that the dq_busy_data signal // generated above. reg [1:0] offset_r = 2'b0; reg [1:0] offset_ns = 2'b0; input col_size; wire data_end; generate if(nCK_PER_CLK == 4) begin : data_valid_4_1 always @ (rst) if(rst) begin offset_ns = 2'b0; offset_r = 2'b0; end assign data_end = 1'b1; end else begin if(DATA_BUF_OFFSET_WIDTH == 2) begin : data_valid_1_1 always @(col_size or offset_r or rst or sent_col) begin if (rst) offset_ns = 2'b0; else begin offset_ns = offset_r; if (sent_col) offset_ns = 2'b1; else if (|offset_r && (offset_r != {col_size, 1'b1})) offset_ns = offset_r + 2'b1; else offset_ns = 2'b0; end end always @(posedge clk) offset_r <= #TCQ offset_ns; assign data_end = col_size ? (offset_r == 2'b11) : offset_r[0]; end else begin : data_valid_2_1 always @(col_size or rst or sent_col) offset_ns[0] = rst ? 1'b0 : sent_col && col_size; always @(posedge clk) offset_r[0] <= #TCQ offset_ns[0]; assign data_end = col_size ? offset_r[0] : 1'b1; end end endgenerate reg [DATA_BUF_OFFSET_WIDTH-1:0] offset_r1 = {DATA_BUF_OFFSET_WIDTH{1'b0}}; reg [DATA_BUF_OFFSET_WIDTH-1:0] offset_r2 = {DATA_BUF_OFFSET_WIDTH{1'b0}}; reg col_rd_wr_r1; reg col_rd_wr_r2; generate if ((nPHY_WRLAT == 1) || (DELAY_WR_DATA_CNTRL == 1)) begin : offset_pipe_0 always @(posedge clk) offset_r1 <= #TCQ offset_r[DATA_BUF_OFFSET_WIDTH-1:0]; always @(posedge clk) col_rd_wr_r1 <= #TCQ col_rd_wr; end if(nPHY_WRLAT == 2) begin : offset_pipe_1 always @(posedge clk) offset_r2 <= #TCQ offset_r1[DATA_BUF_OFFSET_WIDTH-1:0]; always @(posedge clk) col_rd_wr_r2 <= #TCQ col_rd_wr_r1; end endgenerate output wire [DATA_BUF_OFFSET_WIDTH-1:0] wr_data_offset; assign wr_data_offset = (DELAY_WR_DATA_CNTRL == 1) ? offset_r1[DATA_BUF_OFFSET_WIDTH-1:0] : (EARLY_WR_DATA_ADDR == "OFF") ? offset_r[DATA_BUF_OFFSET_WIDTH-1:0] : offset_ns[DATA_BUF_OFFSET_WIDTH-1:0]; input [RANK_WIDTH:0] io_config; reg sent_col_r1; reg sent_col_r2; always @(posedge clk) sent_col_r1 <= #TCQ sent_col; always @(posedge clk) sent_col_r2 <= #TCQ sent_col_r1; wire wrdata_en = (nPHY_WRLAT == 0) ? (sent_col & ~col_rd_wr) || |offset_r : (nPHY_WRLAT == 1) ? (sent_col_r1 & ~col_rd_wr_r1) || |offset_r1 : //(nPHY_WRLAT >= 2) ? (sent_col_r2 & ~col_rd_wr_r2) || |offset_r2; output wire [DQS_WIDTH-1:0] mc_wrdata_en; assign mc_wrdata_en = {DQS_WIDTH{wrdata_en}}; output wire wr_data_en; assign wr_data_en = (DELAY_WR_DATA_CNTRL == 1) ? ((sent_col_r1 || |offset_r1) && io_config[RANK_WIDTH]) : ((sent_col || |offset_r) && io_config[RANK_WIDTH]); input [DATA_BUF_ADDR_WIDTH-1:0] col_wr_data_buf_addr; output wire [DATA_BUF_ADDR_WIDTH-1:0] wr_data_addr; generate if (DELAY_WR_DATA_CNTRL == 1) begin : delay_wr_data_cntrl_eq_1 reg [DATA_BUF_ADDR_WIDTH-1:0] col_wr_data_buf_addr_r; always @(posedge clk) col_wr_data_buf_addr_r <= #TCQ col_wr_data_buf_addr; assign wr_data_addr = col_wr_data_buf_addr_r; end else begin : delay_wr_data_cntrl_ne_1 assign wr_data_addr = col_wr_data_buf_addr; end endgenerate // CAS-RD to mc_rddata_en wire read_data_valid = (sent_col || |offset_r) && ~io_config[RANK_WIDTH]; function integer clogb2 (input integer size); // ceiling logb2 begin size = size - 1; for (clogb2=1; size>1; clogb2=clogb2+1) size = size >> 1; end endfunction // clogb2 localparam ONE = 1; localparam nRD_EN2CNFG_WR_LOCAL = nRD_EN2CNFG_WR - 2; localparam nWR_EN2CNFG_WR_LOCAL = nWR_EN2CNFG_WR - 2; localparam WR_WAIT_CNT_WIDTH = clogb2(nRD_EN2CNFG_WR_LOCAL + 1); reg [WR_WAIT_CNT_WIDTH-1:0] cnfg_wr_wait_r; reg [WR_WAIT_CNT_WIDTH-1:0] cnfg_wr_wait_ns; always @(/*AS*/cnfg_wr_wait_r or read_data_valid or rst or wrdata_en) begin if (rst) cnfg_wr_wait_ns = {WR_WAIT_CNT_WIDTH{1'b0}}; else begin cnfg_wr_wait_ns = cnfg_wr_wait_r; if (wrdata_en) cnfg_wr_wait_ns = nWR_EN2CNFG_WR_LOCAL[WR_WAIT_CNT_WIDTH-1:0]; else if (read_data_valid) cnfg_wr_wait_ns = nRD_EN2CNFG_WR_LOCAL[WR_WAIT_CNT_WIDTH-1:0]; else if (|cnfg_wr_wait_r) cnfg_wr_wait_ns = cnfg_wr_wait_r - ONE[WR_WAIT_CNT_WIDTH-1:0]; end // else: !if(rst) end always @(posedge clk) cnfg_wr_wait_r <= #TCQ cnfg_wr_wait_ns; localparam nWR_EN2CNFG_RD_LOCAL = nWR_EN2CNFG_RD - 2; localparam RD_WAIT_CNT_WIDTH = clogb2(nWR_EN2CNFG_RD_LOCAL + 1); reg [RD_WAIT_CNT_WIDTH-1:0] cnfg_rd_wait_r; reg [RD_WAIT_CNT_WIDTH-1:0] cnfg_rd_wait_ns; always @(/*AS*/cnfg_rd_wait_r or rst or wrdata_en) begin if (rst) cnfg_rd_wait_ns = {RD_WAIT_CNT_WIDTH{1'b0}}; else begin cnfg_rd_wait_ns = cnfg_rd_wait_r; if (wrdata_en) cnfg_rd_wait_ns = nWR_EN2CNFG_RD_LOCAL[RD_WAIT_CNT_WIDTH-1:0]; else if (|cnfg_rd_wait_r) cnfg_rd_wait_ns = cnfg_rd_wait_r - ONE[RD_WAIT_CNT_WIDTH-1:0]; end end always @(posedge clk) cnfg_rd_wait_r <= #TCQ cnfg_rd_wait_ns; // Finally, generate the inhbit signals. Do it in a way to help timing. wire inhbt_wr_config_ns = (cnfg_wr_wait_ns != {WR_WAIT_CNT_WIDTH{1'b0}}); reg inhbt_wr_config_r; always @(posedge clk) inhbt_wr_config_r <= #TCQ inhbt_wr_config_ns; output wire inhbt_wr_config; assign inhbt_wr_config = sent_col || wrdata_en || inhbt_wr_config_r; wire inhbt_rd_config_ns = (cnfg_rd_wait_ns != {RD_WAIT_CNT_WIDTH{1'b0}}); reg inhbt_rd_config_r; always @(posedge clk) inhbt_rd_config_r <= #TCQ inhbt_rd_config_ns; output wire inhbt_rd_config; assign inhbt_rd_config = sent_col || wrdata_en || inhbt_rd_config_r; // Implement FIFO that records reads as they are sent to the DRAM. // When phy_rddata_valid is returned some unknown time later, the // FIFO output is used to control how the data is interpreted. input phy_rddata_valid; output wire rd_rmw; output reg [MC_ERR_ADDR_WIDTH-1:0] ecc_err_addr; output reg ecc_status_valid; output reg wr_ecc_buf; output reg rd_data_end; output reg [DATA_BUF_ADDR_WIDTH-1:0] rd_data_addr; output reg [DATA_BUF_OFFSET_WIDTH-1:0] rd_data_offset; output reg rd_data_en; input col_periodic_rd; input [DATA_BUF_ADDR_WIDTH-1:0] col_data_buf_addr; input col_rmw; input [RANK_WIDTH-1:0] col_ra; input [BANK_WIDTH-1:0] col_ba; input [ROW_WIDTH-1:0] col_row; input [ROW_WIDTH-1:0] col_a; wire [11:0] col_a_full = {col_a[13], col_a[11], col_a[9:0]}; wire [COL_WIDTH-1:0] col_a_extracted = col_a_full[COL_WIDTH-1:0]; localparam MC_ERR_LINE_WIDTH = MC_ERR_ADDR_WIDTH-DATA_BUF_OFFSET_WIDTH; localparam FIFO_WIDTH = 1 /*data_end*/ + 1 /*periodic_rd*/ + DATA_BUF_ADDR_WIDTH + DATA_BUF_OFFSET_WIDTH + ((ECC == "OFF") ? 0 : 1+MC_ERR_LINE_WIDTH); localparam FULL_RAM_CNT = (FIFO_WIDTH/6); localparam REMAINDER = FIFO_WIDTH % 6; localparam RAM_CNT = FULL_RAM_CNT + ((REMAINDER == 0 ) ? 0 : 1); localparam RAM_WIDTH = (RAM_CNT*6); generate begin : read_fifo wire [MC_ERR_LINE_WIDTH:0] ecc_line; if (CS_WIDTH == 1) assign ecc_line = {col_rmw, col_ba, col_row, col_a_extracted}; else assign ecc_line = {col_rmw, col_ra, col_ba, col_row, col_a_extracted}; wire [FIFO_WIDTH-1:0] real_fifo_data; if (ECC == "OFF") assign real_fifo_data = {data_end, col_periodic_rd, col_data_buf_addr, offset_r[DATA_BUF_OFFSET_WIDTH-1:0]}; else assign real_fifo_data = {data_end, col_periodic_rd, col_data_buf_addr, offset_r[DATA_BUF_OFFSET_WIDTH-1:0], ecc_line}; wire [RAM_WIDTH-1:0] fifo_in_data; if (REMAINDER == 0) assign fifo_in_data = real_fifo_data; else assign fifo_in_data = {{6-REMAINDER{1'b0}}, real_fifo_data}; wire [RAM_WIDTH-1:0] fifo_out_data_ns; reg [4:0] head_r; wire [4:0] head_ns = rst ? 5'b0 : read_data_valid ? (head_r + 5'b1) : head_r; always @(posedge clk) head_r <= #TCQ head_ns; reg [4:0] tail_r; wire [4:0] tail_ns = rst ? 5'b0 : phy_rddata_valid ? (tail_r + 5'b1) : tail_r; always @(posedge clk) tail_r <= #TCQ tail_ns; genvar i; for (i=0; i<RAM_CNT; i=i+1) begin : fifo_ram RAM32M #(.INIT_A(64'h0000000000000000), .INIT_B(64'h0000000000000000), .INIT_C(64'h0000000000000000), .INIT_D(64'h0000000000000000) ) RAM32M0 ( .DOA(fifo_out_data_ns[((i*6)+4)+:2]), .DOB(fifo_out_data_ns[((i*6)+2)+:2]), .DOC(fifo_out_data_ns[((i*6)+0)+:2]), .DOD(), .DIA(fifo_in_data[((i*6)+4)+:2]), .DIB(fifo_in_data[((i*6)+2)+:2]), .DIC(fifo_in_data[((i*6)+0)+:2]), .DID(2'b0), .ADDRA(tail_ns), .ADDRB(tail_ns), .ADDRC(tail_ns), .ADDRD(head_r), .WE(1'b1), .WCLK(clk) ); end // block: fifo_ram reg [RAM_WIDTH-1:0] fifo_out_data_r; always @(posedge clk) fifo_out_data_r <= #TCQ fifo_out_data_ns; // When ECC is ON, most of the FIFO output is delayed // by one state. if (ECC == "OFF") begin reg periodic_rd; always @(/*AS*/phy_rddata_valid or fifo_out_data_r) begin {rd_data_end, periodic_rd, rd_data_addr, rd_data_offset} = fifo_out_data_r[FIFO_WIDTH-1:0]; ecc_err_addr = {MC_ERR_ADDR_WIDTH{1'b0}}; rd_data_en = phy_rddata_valid && ~periodic_rd; ecc_status_valid = 1'b0; wr_ecc_buf = 1'b0; end assign rd_rmw = 1'b0; end else begin wire rd_data_end_ns; wire periodic_rd; wire [DATA_BUF_ADDR_WIDTH-1:0] rd_data_addr_ns; wire [DATA_BUF_OFFSET_WIDTH-1:0] rd_data_offset_ns; wire [MC_ERR_ADDR_WIDTH-1:0] ecc_err_addr_ns; assign {rd_data_end_ns, periodic_rd, rd_data_addr_ns, rd_data_offset_ns, rd_rmw, ecc_err_addr_ns[DATA_BUF_OFFSET_WIDTH+:MC_ERR_LINE_WIDTH]} = {fifo_out_data_r[FIFO_WIDTH-1:0]}; assign ecc_err_addr_ns[0+:DATA_BUF_OFFSET_WIDTH] = rd_data_offset_ns; always @(posedge clk) rd_data_end <= #TCQ rd_data_end_ns; always @(posedge clk) rd_data_addr <= #TCQ rd_data_addr_ns; always @(posedge clk) rd_data_offset <= #TCQ rd_data_offset_ns; always @(posedge clk) ecc_err_addr <= #TCQ ecc_err_addr_ns; wire rd_data_en_ns = phy_rddata_valid && ~(periodic_rd || rd_rmw); always @(posedge clk) rd_data_en <= rd_data_en_ns; wire ecc_status_valid_ns = phy_rddata_valid && ~periodic_rd; always @(posedge clk) ecc_status_valid <= #TCQ ecc_status_valid_ns; wire wr_ecc_buf_ns = phy_rddata_valid && ~periodic_rd && rd_rmw; always @(posedge clk) wr_ecc_buf <= #TCQ wr_ecc_buf_ns; end end endgenerate endmodule
////////////////////////////////////////////////////////////////////// //// //// //// Generic Single-Port Synchronous RAM //// //// //// //// This file is part of memory library available from //// //// http://www.opencores.org/cvsweb.shtml/generic_memories/ //// //// //// //// Description //// //// This block is a wrapper with common single-port //// //// synchronous memory interface for different //// //// types of ASIC and FPGA RAMs. Beside universal memory //// //// interface it also provides behavioral model of generic //// //// single-port synchronous RAM. //// //// It should be used in all OPENCORES designs that want to be //// //// portable accross different target technologies and //// //// independent of target memory. //// //// //// //// Supported ASIC RAMs are: //// //// - Artisan Single-Port Sync RAM //// //// - Avant! Two-Port Sync RAM (*) //// //// - Virage Single-Port Sync RAM //// //// - Virtual Silicon Single-Port Sync RAM //// //// //// //// Supported FPGA RAMs are: //// //// - Xilinx Virtex RAMB16 //// //// - Xilinx Virtex RAMB4 //// //// - Altera LPM //// //// //// //// To Do: //// //// - xilinx rams need external tri-state logic //// //// - fix avant! two-port ram //// //// - add additional RAMs //// //// //// //// Author(s): //// //// - Damjan Lampret, [email protected] //// //// //// ////////////////////////////////////////////////////////////////////// //// //// //// Copyright (C) 2000 Authors and OPENCORES.ORG //// //// //// //// This source file may be used and distributed without //// //// restriction provided that this copyright statement is not //// //// removed from the file and that any derivative work contains //// //// the original copyright notice and the associated disclaimer. //// //// //// //// This source file is free software; you can redistribute it //// //// and/or modify it under the terms of the GNU Lesser General //// //// Public License as published by the Free Software Foundation; //// //// either version 2.1 of the License, or (at your option) any //// //// later version. //// //// //// //// This source is distributed in the hope that it will be //// //// useful, but WITHOUT ANY WARRANTY; without even the implied //// //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// //// PURPOSE. See the GNU Lesser General Public License for more //// //// details. //// //// //// //// You should have received a copy of the GNU Lesser General //// //// Public License along with this source; if not, download it //// //// from http://www.opencores.org/lgpl.shtml //// //// //// ////////////////////////////////////////////////////////////////////// // // CVS Revision History // // $Log: or1200_spram_2048x32.v,v $ // Revision 1.10 2005/10/19 11:37:56 jcastillo // Added support for RAMB16 Xilinx4/Spartan3 primitives // // Revision 1.9 2004/06/08 18:15:32 lampret // Changed behavior of the simulation generic models // // Revision 1.8 2004/04/05 08:29:57 lampret // Merged branch_qmem into main tree. // // Revision 1.4.4.1 2003/12/09 11:46:48 simons // Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed. // // Revision 1.4 2003/04/07 01:19:07 lampret // Added Altera LPM RAMs. Changed generic RAM output when OE inactive. // // Revision 1.3 2002/10/28 15:03:50 mohor // Signal scanb_sen renamed to scanb_en. // // Revision 1.2 2002/10/17 20:04:40 lampret // Added BIST scan. Special VS RAMs need to be used to implement BIST. // // Revision 1.1 2002/01/03 08:16:15 lampret // New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs. // // Revision 1.8 2001/11/02 18:57:14 lampret // Modified virtual silicon instantiations. // // Revision 1.7 2001/10/21 17:57:16 lampret // Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF. // // Revision 1.6 2001/10/14 13:12:09 lampret // MP3 version. // // Revision 1.1.1.1 2001/10/06 10:18:36 igorm // no message // // Revision 1.1 2001/08/09 13:39:33 lampret // Major clean-up. // // Revision 1.2 2001/07/30 05:38:02 lampret // Adding empty directories required by HDL coding guidelines // // // synopsys translate_off `include "rtl/verilog/or1200/timescale.v" // synopsys translate_on `include "rtl/verilog/or1200/or1200_defines.v" module or1200_spram_2048x32( `ifdef OR1200_BIST // RAM BIST mbist_si_i, mbist_so_o, mbist_ctrl_i, `endif // Generic synchronous single-port RAM interface clk, rst, ce, we, oe, addr, di, doq ); // // Default address and data buses width // parameter aw = 11; parameter dw = 32; `ifdef OR1200_BIST // // RAM BIST // input mbist_si_i; input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i; output mbist_so_o; `endif // // Generic synchronous single-port RAM interface // input clk; // Clock input rst; // Reset input ce; // Chip enable input input we; // Write enable input input oe; // Output enable input input [aw-1:0] addr; // address bus inputs input [dw-1:0] di; // input data bus output [dw-1:0] doq; // output data bus // // Internal wires and registers // `ifdef OR1200_ARTISAN_SSP `else `ifdef OR1200_VIRTUALSILICON_SSP `else `ifdef OR1200_BIST assign mbist_so_o = mbist_si_i; `endif `endif `endif `ifdef OR1200_ARTISAN_SSP // // Instantiation of ASIC memory: // // Artisan Synchronous Single-Port RAM (ra1sh) // `ifdef UNUSED art_hdsp_2048x32 #(dw, 1<<aw, aw) artisan_ssp( `else `ifdef OR1200_BIST art_hssp_2048x32_bist artisan_ssp( `else art_hssp_2048x32 artisan_ssp( `endif `endif `ifdef OR1200_BIST // RAM BIST .mbist_si_i(mbist_si_i), .mbist_so_o(mbist_so_o), .mbist_ctrl_i(mbist_ctrl_i), `endif .CLK(clk), .CEN(~ce), .WEN(~we), .A(addr), .D(di), .OEN(~oe), .Q(doq) ); `else `ifdef OR1200_AVANT_ATP // // Instantiation of ASIC memory: // // Avant! Asynchronous Two-Port RAM // avant_atp avant_atp( .web(~we), .reb(), .oeb(~oe), .rcsb(), .wcsb(), .ra(addr), .wa(addr), .di(di), .doq(doq) ); `else `ifdef OR1200_VIRAGE_SSP // // Instantiation of ASIC memory: // // Virage Synchronous 1-port R/W RAM // virage_ssp virage_ssp( .clk(clk), .adr(addr), .d(di), .we(we), .oe(oe), .me(ce), .q(doq) ); `else `ifdef OR1200_VIRTUALSILICON_SSP // // Instantiation of ASIC memory: // // Virtual Silicon Single-Port Synchronous SRAM // `ifdef UNUSED vs_hdsp_2048x32 #(1<<aw, aw-1, dw-1) vs_ssp( `else `ifdef OR1200_BIST vs_hdsp_2048x32_bist vs_ssp( `else vs_hdsp_2048x32 vs_ssp( `endif `endif `ifdef OR1200_BIST // RAM BIST .mbist_si_i(mbist_si_i), .mbist_so_o(mbist_so_o), .mbist_ctrl_i(mbist_ctrl_i), `endif .CK(clk), .ADR(addr), .DI(di), .WEN(~we), .CEN(~ce), .OEN(~oe), .DOUT(doq) ); `else `ifdef OR1200_XILINX_RAMB4 // // Instantiation of FPGA memory: // // Virtex/Spartan2 // // // Block 0 // RAMB4_S2 ramb4_s2_0( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[1:0]), .EN(ce), .WE(we), .DO(doq[1:0]) ); // // Block 1 // RAMB4_S2 ramb4_s2_1( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[3:2]), .EN(ce), .WE(we), .DO(doq[3:2]) ); // // Block 2 // RAMB4_S2 ramb4_s2_2( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[5:4]), .EN(ce), .WE(we), .DO(doq[5:4]) ); // // Block 3 // RAMB4_S2 ramb4_s2_3( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[7:6]), .EN(ce), .WE(we), .DO(doq[7:6]) ); // // Block 4 // RAMB4_S2 ramb4_s2_4( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[9:8]), .EN(ce), .WE(we), .DO(doq[9:8]) ); // // Block 5 // RAMB4_S2 ramb4_s2_5( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[11:10]), .EN(ce), .WE(we), .DO(doq[11:10]) ); // // Block 6 // RAMB4_S2 ramb4_s2_6( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[13:12]), .EN(ce), .WE(we), .DO(doq[13:12]) ); // // Block 7 // RAMB4_S2 ramb4_s2_7( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[15:14]), .EN(ce), .WE(we), .DO(doq[15:14]) ); // // Block 8 // RAMB4_S2 ramb4_s2_8( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[17:16]), .EN(ce), .WE(we), .DO(doq[17:16]) ); // // Block 9 // RAMB4_S2 ramb4_s2_9( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[19:18]), .EN(ce), .WE(we), .DO(doq[19:18]) ); // // Block 10 // RAMB4_S2 ramb4_s2_10( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[21:20]), .EN(ce), .WE(we), .DO(doq[21:20]) ); // // Block 11 // RAMB4_S2 ramb4_s2_11( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[23:22]), .EN(ce), .WE(we), .DO(doq[23:22]) ); // // Block 12 // RAMB4_S2 ramb4_s2_12( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[25:24]), .EN(ce), .WE(we), .DO(doq[25:24]) ); // // Block 13 // RAMB4_S2 ramb4_s2_13( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[27:26]), .EN(ce), .WE(we), .DO(doq[27:26]) ); // // Block 14 // RAMB4_S2 ramb4_s2_14( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[29:28]), .EN(ce), .WE(we), .DO(doq[29:28]) ); // // Block 15 // RAMB4_S2 ramb4_s2_15( .CLK(clk), .RST(rst), .ADDR(addr), .DI(di[31:30]), .EN(ce), .WE(we), .DO(doq[31:30]) ); `else `ifdef OR1200_XILINX_RAMB16 // // Instantiation of FPGA memory: // // Virtex4/Spartan3E // // Added By Nir Mor // // // Block 0 // RAMB16_S9 ramb16_s9_0( .CLK(clk), .SSR(rst), .ADDR(addr), .DI(di[7:0]), .DIP(1'b0), .EN(ce), .WE(we), .DO(doq[7:0]), .DOP() ); // // Block 1 // RAMB16_S9 ramb16_s9_1( .CLK(clk), .SSR(rst), .ADDR(addr), .DI(di[15:8]), .DIP(1'b0), .EN(ce), .WE(we), .DO(doq[15:8]), .DOP() ); // // Block 2 // RAMB16_S9 ramb16_s9_2( .CLK(clk), .SSR(rst), .ADDR(addr), .DI(di[23:16]), .DIP(1'b0), .EN(ce), .WE(we), .DO(doq[23:16]), .DOP() ); // // Block 3 // RAMB16_S9 ramb16_s9_3( .CLK(clk), .SSR(rst), .ADDR(addr), .DI(di[31:24]), .DIP(1'b0), .EN(ce), .WE(we), .DO(doq[31:24]), .DOP() ); `else `ifdef OR1200_ALTERA_LPM // // Instantiation of FPGA memory: // // Altera LPM // // Added By Jamil Khatib // wire wr; assign wr = ce & we; initial $display("Using Altera LPM."); lpm_ram_dq lpm_ram_dq_component ( .address(addr), .inclock(clk), .outclock(clk), .data(di), .we(wr), .q(doq) ); defparam lpm_ram_dq_component.lpm_width = dw, lpm_ram_dq_component.lpm_widthad = aw, lpm_ram_dq_component.lpm_indata = "REGISTERED", lpm_ram_dq_component.lpm_address_control = "REGISTERED", lpm_ram_dq_component.lpm_outdata = "UNREGISTERED", lpm_ram_dq_component.lpm_hint = "USE_EAB=ON"; // examplar attribute lpm_ram_dq_component NOOPT TRUE `else // // Generic single-port synchronous RAM model // // // Generic RAM's registers and wires // reg [dw-1:0] mem [(1<<aw)-1:0]; // RAM content reg [aw-1:0] addr_reg; // RAM address register // // Data output drivers // assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}}; // // RAM address register // always @(posedge clk or posedge rst) if (rst) addr_reg <= #1 {aw{1'b0}}; else if (ce) addr_reg <= #1 addr; // // RAM write // always @(posedge clk) if (ce && we) mem[addr] <= #1 di; `endif // !OR1200_ALTERA_LPM `endif // !OR1200_XILINX_RAMB16 `endif // !OR1200_XILINX_RAMB4 `endif // !OR1200_VIRTUALSILICON_SSP `endif // !OR1200_VIRAGE_SSP `endif // !OR1200_AVANT_ATP `endif // !OR1200_ARTISAN_SSP endmodule
`timescale 1ns / 100ps // UART Protocol Layer module QMFIR_uart_if (/*AUTOARG*/ // Outputs uart_dout, uart_addr, uart_mem_we, uart_mem_re, reg_we, uart_tx, // Inputs uart_mem_i, uart_reg_i, clk, arst_n, uart_rx ); output [31:0] uart_dout; output [13:0] uart_addr; output uart_mem_we; output uart_mem_re; output reg_we; output uart_tx; input [23:0] uart_mem_i; input [23:0] uart_reg_i; input clk; input arst_n; input uart_rx; reg [15:0] cmd; reg [31:0] uart_dout; parameter stIdle = 0; parameter stCmd1 = 1; parameter stCmd2 = 2; parameter stData1 = 3; parameter stData2 = 4; parameter stData3 = 5; parameter stData4 = 6; parameter stWr = 7; parameter stRd = 8; reg [3:0] state; reg [7:0] din_i; /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) wire [7:0] dout_o; // From uart_ of sasc_top.v wire empty_o; // From uart_ of sasc_top.v wire full_o; // From uart_ of sasc_top.v wire sio_ce; // From baud_ of sasc_brg.v wire sio_ce_x4; // From baud_ of sasc_brg.v // End of automatics wire cmdRd; wire cmdMem; reg re_i; reg we_i; sasc_top uart_ (// Outputs .txd_o (uart_tx), .rts_o (), // Inputs .rxd_i (uart_rx), .cts_i (1'b0), .rst_n (arst_n), /*AUTOINST*/ // Outputs .dout_o (dout_o[7:0]), .full_o (full_o), .empty_o (empty_o), // Inputs .clk (clk), .sio_ce (sio_ce), .sio_ce_x4 (sio_ce_x4), .din_i (din_i[7:0]), .re_i (re_i), .we_i (we_i)); sasc_brg baud_ (/*AUTOINST*/ // Outputs .sio_ce (sio_ce), .sio_ce_x4 (sio_ce_x4), // Inputs .clk (clk), .arst_n (arst_n)); always @ (posedge clk or negedge arst_n) if (~arst_n) state <= stIdle; else case (state) stIdle : if (~empty_o) state <= stCmd1; stCmd1 : if (~empty_o) state <= stCmd2; stCmd2 : if (cmdRd) state <= stRd; // read else if (~empty_o) state <= stData1; // write stData1: if (cmdRd) state <= stData2; // read else if (~empty_o) state <= stData2; // write stData2: if (cmdRd) state <= stData3; // read else if (~empty_o) state <= stData3; // write stData3: if (cmdRd) state <= stData4; // read done else if (~empty_o) state <= stData4; // write stData4: if (cmdRd) state <= stIdle; else state <= stWr; // write commit stWr: state <= stIdle; stRd: state <= stData1; endcase // case(state) // --------------- Command Word Capture ----------------- // always @ (posedge clk or negedge arst_n) if (~arst_n) cmd <= 0; else begin if (state==stIdle) cmd[15:8] <= dout_o[7:0]; if (state==stCmd1) cmd[7:0] <= dout_o[7:0]; end assign cmdRd = ~cmd[15]; assign cmdMem = cmd[14]; assign uart_addr = cmd[13:0]; // --------------- Write Command ----------------- // always @ (posedge clk or negedge arst_n) if (~arst_n) uart_dout <= 0; else begin if (state==stCmd2 & ~cmdRd) uart_dout[31:24] <= dout_o[7:0]; if (state==stData1 & ~cmdRd) uart_dout[23:16] <= dout_o[7:0]; if (state==stData2 & ~cmdRd) uart_dout[15:8] <= dout_o[7:0]; if (state==stData3 & ~cmdRd) uart_dout[7:0] <= dout_o[7:0]; end always @ (/*AS*/cmdRd or empty_o or state) case (state) stIdle : re_i = ~empty_o; stCmd1 : re_i = ~empty_o; stCmd2 : re_i = ~empty_o & ~cmdRd; stData1: re_i = ~empty_o & ~cmdRd; stData2: re_i = ~empty_o & ~cmdRd; stData3: re_i = ~empty_o & ~cmdRd; default: re_i = 0; endcase // case(state) assign uart_mem_we = (state==stWr) & cmdMem; assign reg_we = (state==stWr) & ~cmdMem; // --------------- Read Command ----------------- // always @ (/*AS*/cmdMem or state or uart_mem_i or uart_reg_i) case (state) stData2: din_i[7:0] = cmdMem ? uart_mem_i[23:16] : uart_reg_i[23:16]; stData3: din_i[7:0] = cmdMem ? uart_mem_i[15:8] : uart_reg_i[15:8]; stData4: din_i[7:0] = cmdMem ? uart_mem_i[7:0] : uart_reg_i[7:0]; default: din_i[7:0] = cmdMem ? 0 : 0; endcase // case(state) always @ (/*AS*/cmdRd or state) case (state) stData1: we_i = cmdRd; stData2: we_i = cmdRd; stData3: we_i = cmdRd; stData4: we_i = cmdRd; default: we_i = 0; endcase // case(state) assign uart_mem_re = (state==stRd); endmodule // QMFIR_uart_if
`include "../lib/mux_16to1.v" module test; reg [3:0] s; reg i15, i14, i13, i12, i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0; wire z; mux_16to1 mux( .s (s ), .i15(i15), .i14(i14), .i13(i13), .i12(i12), .i11(i11), .i10(i10), .i9 (i9 ), .i8 (i8 ), .i7 (i7 ), .i6 (i6 ), .i5 (i5 ), .i4 (i4 ), .i3 (i3 ), .i2 (i2 ), .i1 (i1 ), .i0 (i0 ), .z (z )); initial begin $monitor($time, ": %b %b %b %b %b %b %b %b %b %b %b %b %b %b %b %b | %b %b %b %b | %b", i15, i14, i13, i12,i11,i10,i9, i8,i7, i6, i5, i4, i3, i2, i1, i0,s[3], s[2],s[1],s[0],z); #5 i15 = 0; i14 = 0; i13 = 0; i12 = 0; i11 = 0; i10 = 0; i9 = 0; i8 = 0; i7 = 0; i6 = 0; i5 = 0; i4 = 0; i3 = 0; i2 = 0; i1 = 0; i0 = 0; s[3] = 0; s[2] = 0; s[1] = 0; s[0] = 0; #5 i4 = 1; i5 = 1; i2 = 1; s[1] = 1; // 010 select i2 #5 s[0] = 1; // 0011 select i3 #5 s[2] = 1; // 0111 select i7 #5 i7 = 0; #5 s[1] = 0; // 0101 select i5 #5 i15 = 1; s[1] = 1; s[3] = 1; //1111 select i15 #5 i10 = 1; s[0] = 0; s[2] = 0; // 1010 select i10 end // 0000 0 // 0001 1 // 0010 2 // 0011 3 // 0100 4 // 0101 5 // 0110 6 // 0111 7 // 1000 8 // 1001 9 // 1010 10 // 1011 11 // 1100 12 // 1101 13 // 1110 14 // 1111 15 endmodule
/* Copyright (c) 2014-2018 Alex Forencich Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. */ // Language: Verilog 2001 `timescale 1ns / 1ps /* * FPGA core logic */ module fpga_core # ( parameter TARGET = "XILINX" ) ( /* * Clock: 390.625 MHz * Synchronous reset */ input wire clk, input wire rst, /* * GPIO */ output wire [1:0] user_led_g, output wire user_led_r, output wire [1:0] front_led, input wire [1:0] user_sw, /* * Ethernet: QSFP28 */ input wire qsfp_0_tx_clk_0, input wire qsfp_0_tx_rst_0, output wire [63:0] qsfp_0_txd_0, output wire [7:0] qsfp_0_txc_0, input wire qsfp_0_rx_clk_0, input wire qsfp_0_rx_rst_0, input wire [63:0] qsfp_0_rxd_0, input wire [7:0] qsfp_0_rxc_0, input wire qsfp_0_tx_clk_1, input wire qsfp_0_tx_rst_1, output wire [63:0] qsfp_0_txd_1, output wire [7:0] qsfp_0_txc_1, input wire qsfp_0_rx_clk_1, input wire qsfp_0_rx_rst_1, input wire [63:0] qsfp_0_rxd_1, input wire [7:0] qsfp_0_rxc_1, input wire qsfp_0_tx_clk_2, input wire qsfp_0_tx_rst_2, output wire [63:0] qsfp_0_txd_2, output wire [7:0] qsfp_0_txc_2, input wire qsfp_0_rx_clk_2, input wire qsfp_0_rx_rst_2, input wire [63:0] qsfp_0_rxd_2, input wire [7:0] qsfp_0_rxc_2, input wire qsfp_0_tx_clk_3, input wire qsfp_0_tx_rst_3, output wire [63:0] qsfp_0_txd_3, output wire [7:0] qsfp_0_txc_3, input wire qsfp_0_rx_clk_3, input wire qsfp_0_rx_rst_3, input wire [63:0] qsfp_0_rxd_3, input wire [7:0] qsfp_0_rxc_3, input wire qsfp_1_tx_clk_0, input wire qsfp_1_tx_rst_0, output wire [63:0] qsfp_1_txd_0, output wire [7:0] qsfp_1_txc_0, input wire qsfp_1_rx_clk_0, input wire qsfp_1_rx_rst_0, input wire [63:0] qsfp_1_rxd_0, input wire [7:0] qsfp_1_rxc_0, input wire qsfp_1_tx_clk_1, input wire qsfp_1_tx_rst_1, output wire [63:0] qsfp_1_txd_1, output wire [7:0] qsfp_1_txc_1, input wire qsfp_1_rx_clk_1, input wire qsfp_1_rx_rst_1, input wire [63:0] qsfp_1_rxd_1, input wire [7:0] qsfp_1_rxc_1, input wire qsfp_1_tx_clk_2, input wire qsfp_1_tx_rst_2, output wire [63:0] qsfp_1_txd_2, output wire [7:0] qsfp_1_txc_2, input wire qsfp_1_rx_clk_2, input wire qsfp_1_rx_rst_2, input wire [63:0] qsfp_1_rxd_2, input wire [7:0] qsfp_1_rxc_2, input wire qsfp_1_tx_clk_3, input wire qsfp_1_tx_rst_3, output wire [63:0] qsfp_1_txd_3, output wire [7:0] qsfp_1_txc_3, input wire qsfp_1_rx_clk_3, input wire qsfp_1_rx_rst_3, input wire [63:0] qsfp_1_rxd_3, input wire [7:0] qsfp_1_rxc_3 ); // AXI between MAC and Ethernet modules wire [63:0] rx_axis_tdata; wire [7:0] rx_axis_tkeep; wire rx_axis_tvalid; wire rx_axis_tready; wire rx_axis_tlast; wire rx_axis_tuser; wire [63:0] tx_axis_tdata; wire [7:0] tx_axis_tkeep; wire tx_axis_tvalid; wire tx_axis_tready; wire tx_axis_tlast; wire tx_axis_tuser; // Ethernet frame between Ethernet modules and UDP stack wire rx_eth_hdr_ready; wire rx_eth_hdr_valid; wire [47:0] rx_eth_dest_mac; wire [47:0] rx_eth_src_mac; wire [15:0] rx_eth_type; wire [63:0] rx_eth_payload_axis_tdata; wire [7:0] rx_eth_payload_axis_tkeep; wire rx_eth_payload_axis_tvalid; wire rx_eth_payload_axis_tready; wire rx_eth_payload_axis_tlast; wire rx_eth_payload_axis_tuser; wire tx_eth_hdr_ready; wire tx_eth_hdr_valid; wire [47:0] tx_eth_dest_mac; wire [47:0] tx_eth_src_mac; wire [15:0] tx_eth_type; wire [63:0] tx_eth_payload_axis_tdata; wire [7:0] tx_eth_payload_axis_tkeep; wire tx_eth_payload_axis_tvalid; wire tx_eth_payload_axis_tready; wire tx_eth_payload_axis_tlast; wire tx_eth_payload_axis_tuser; // IP frame connections wire rx_ip_hdr_valid; wire rx_ip_hdr_ready; wire [47:0] rx_ip_eth_dest_mac; wire [47:0] rx_ip_eth_src_mac; wire [15:0] rx_ip_eth_type; wire [3:0] rx_ip_version; wire [3:0] rx_ip_ihl; wire [5:0] rx_ip_dscp; wire [1:0] rx_ip_ecn; wire [15:0] rx_ip_length; wire [15:0] rx_ip_identification; wire [2:0] rx_ip_flags; wire [12:0] rx_ip_fragment_offset; wire [7:0] rx_ip_ttl; wire [7:0] rx_ip_protocol; wire [15:0] rx_ip_header_checksum; wire [31:0] rx_ip_source_ip; wire [31:0] rx_ip_dest_ip; wire [63:0] rx_ip_payload_axis_tdata; wire [7:0] rx_ip_payload_axis_tkeep; wire rx_ip_payload_axis_tvalid; wire rx_ip_payload_axis_tready; wire rx_ip_payload_axis_tlast; wire rx_ip_payload_axis_tuser; wire tx_ip_hdr_valid; wire tx_ip_hdr_ready; wire [5:0] tx_ip_dscp; wire [1:0] tx_ip_ecn; wire [15:0] tx_ip_length; wire [7:0] tx_ip_ttl; wire [7:0] tx_ip_protocol; wire [31:0] tx_ip_source_ip; wire [31:0] tx_ip_dest_ip; wire [63:0] tx_ip_payload_axis_tdata; wire [7:0] tx_ip_payload_axis_tkeep; wire tx_ip_payload_axis_tvalid; wire tx_ip_payload_axis_tready; wire tx_ip_payload_axis_tlast; wire tx_ip_payload_axis_tuser; // UDP frame connections wire rx_udp_hdr_valid; wire rx_udp_hdr_ready; wire [47:0] rx_udp_eth_dest_mac; wire [47:0] rx_udp_eth_src_mac; wire [15:0] rx_udp_eth_type; wire [3:0] rx_udp_ip_version; wire [3:0] rx_udp_ip_ihl; wire [5:0] rx_udp_ip_dscp; wire [1:0] rx_udp_ip_ecn; wire [15:0] rx_udp_ip_length; wire [15:0] rx_udp_ip_identification; wire [2:0] rx_udp_ip_flags; wire [12:0] rx_udp_ip_fragment_offset; wire [7:0] rx_udp_ip_ttl; wire [7:0] rx_udp_ip_protocol; wire [15:0] rx_udp_ip_header_checksum; wire [31:0] rx_udp_ip_source_ip; wire [31:0] rx_udp_ip_dest_ip; wire [15:0] rx_udp_source_port; wire [15:0] rx_udp_dest_port; wire [15:0] rx_udp_length; wire [15:0] rx_udp_checksum; wire [63:0] rx_udp_payload_axis_tdata; wire [7:0] rx_udp_payload_axis_tkeep; wire rx_udp_payload_axis_tvalid; wire rx_udp_payload_axis_tready; wire rx_udp_payload_axis_tlast; wire rx_udp_payload_axis_tuser; wire tx_udp_hdr_valid; wire tx_udp_hdr_ready; wire [5:0] tx_udp_ip_dscp; wire [1:0] tx_udp_ip_ecn; wire [7:0] tx_udp_ip_ttl; wire [31:0] tx_udp_ip_source_ip; wire [31:0] tx_udp_ip_dest_ip; wire [15:0] tx_udp_source_port; wire [15:0] tx_udp_dest_port; wire [15:0] tx_udp_length; wire [15:0] tx_udp_checksum; wire [63:0] tx_udp_payload_axis_tdata; wire [7:0] tx_udp_payload_axis_tkeep; wire tx_udp_payload_axis_tvalid; wire tx_udp_payload_axis_tready; wire tx_udp_payload_axis_tlast; wire tx_udp_payload_axis_tuser; wire [63:0] rx_fifo_udp_payload_axis_tdata; wire [7:0] rx_fifo_udp_payload_axis_tkeep; wire rx_fifo_udp_payload_axis_tvalid; wire rx_fifo_udp_payload_axis_tready; wire rx_fifo_udp_payload_axis_tlast; wire rx_fifo_udp_payload_axis_tuser; wire [63:0] tx_fifo_udp_payload_axis_tdata; wire [7:0] tx_fifo_udp_payload_axis_tkeep; wire tx_fifo_udp_payload_axis_tvalid; wire tx_fifo_udp_payload_axis_tready; wire tx_fifo_udp_payload_axis_tlast; wire tx_fifo_udp_payload_axis_tuser; // Configuration wire [47:0] local_mac = 48'h02_00_00_00_00_00; wire [31:0] local_ip = {8'd192, 8'd168, 8'd1, 8'd128}; wire [31:0] gateway_ip = {8'd192, 8'd168, 8'd1, 8'd1}; wire [31:0] subnet_mask = {8'd255, 8'd255, 8'd255, 8'd0}; // IP ports not used assign rx_ip_hdr_ready = 1; assign rx_ip_payload_axis_tready = 1; assign tx_ip_hdr_valid = 0; assign tx_ip_dscp = 0; assign tx_ip_ecn = 0; assign tx_ip_length = 0; assign tx_ip_ttl = 0; assign tx_ip_protocol = 0; assign tx_ip_source_ip = 0; assign tx_ip_dest_ip = 0; assign tx_ip_payload_axis_tdata = 0; assign tx_ip_payload_axis_tkeep = 0; assign tx_ip_payload_axis_tvalid = 0; assign tx_ip_payload_axis_tlast = 0; assign tx_ip_payload_axis_tuser = 0; // Loop back UDP wire match_cond = rx_udp_dest_port == 1234; wire no_match = !match_cond; reg match_cond_reg = 0; reg no_match_reg = 0; always @(posedge clk) begin if (rst) begin match_cond_reg <= 0; no_match_reg <= 0; end else begin if (rx_udp_payload_axis_tvalid) begin if ((!match_cond_reg && !no_match_reg) || (rx_udp_payload_axis_tvalid && rx_udp_payload_axis_tready && rx_udp_payload_axis_tlast)) begin match_cond_reg <= match_cond; no_match_reg <= no_match; end end else begin match_cond_reg <= 0; no_match_reg <= 0; end end end assign tx_udp_hdr_valid = rx_udp_hdr_valid && match_cond; assign rx_udp_hdr_ready = (tx_eth_hdr_ready && match_cond) || no_match; assign tx_udp_ip_dscp = 0; assign tx_udp_ip_ecn = 0; assign tx_udp_ip_ttl = 64; assign tx_udp_ip_source_ip = local_ip; assign tx_udp_ip_dest_ip = rx_udp_ip_source_ip; assign tx_udp_source_port = rx_udp_dest_port; assign tx_udp_dest_port = rx_udp_source_port; assign tx_udp_length = rx_udp_length; assign tx_udp_checksum = 0; assign tx_udp_payload_axis_tdata = tx_fifo_udp_payload_axis_tdata; assign tx_udp_payload_axis_tkeep = tx_fifo_udp_payload_axis_tkeep; assign tx_udp_payload_axis_tvalid = tx_fifo_udp_payload_axis_tvalid; assign tx_fifo_udp_payload_axis_tready = tx_udp_payload_axis_tready; assign tx_udp_payload_axis_tlast = tx_fifo_udp_payload_axis_tlast; assign tx_udp_payload_axis_tuser = tx_fifo_udp_payload_axis_tuser; assign rx_fifo_udp_payload_axis_tdata = rx_udp_payload_axis_tdata; assign rx_fifo_udp_payload_axis_tkeep = rx_udp_payload_axis_tkeep; assign rx_fifo_udp_payload_axis_tvalid = rx_udp_payload_axis_tvalid && match_cond_reg; assign rx_udp_payload_axis_tready = (rx_fifo_udp_payload_axis_tready && match_cond_reg) || no_match_reg; assign rx_fifo_udp_payload_axis_tlast = rx_udp_payload_axis_tlast; assign rx_fifo_udp_payload_axis_tuser = rx_udp_payload_axis_tuser; // Place first payload byte onto LEDs reg valid_last = 0; reg [7:0] led_reg = 0; always @(posedge clk) begin if (rst) begin led_reg <= 0; end else begin valid_last <= tx_udp_payload_axis_tvalid; if (tx_udp_payload_axis_tvalid && !valid_last) begin led_reg <= tx_udp_payload_axis_tdata; end end end assign user_led_g = ~led_reg[1:0]; assign user_led_r = 1'b1; assign front_led = 2'b00; assign phy_reset_n = !rst; assign qsfp_0_txd_1 = 64'h0707070707070707; assign qsfp_0_txc_1 = 8'hff; assign qsfp_0_txd_2 = 64'h0707070707070707; assign qsfp_0_txc_2 = 8'hff; assign qsfp_0_txd_3 = 64'h0707070707070707; assign qsfp_0_txc_3 = 8'hff; assign qsfp_1_txd_0 = 64'h0707070707070707; assign qsfp_1_txc_0 = 8'hff; assign qsfp_1_txd_1 = 64'h0707070707070707; assign qsfp_1_txc_1 = 8'hff; assign qsfp_1_txd_2 = 64'h0707070707070707; assign qsfp_1_txc_2 = 8'hff; assign qsfp_1_txd_3 = 64'h0707070707070707; assign qsfp_1_txc_3 = 8'hff; eth_mac_10g_fifo #( .ENABLE_PADDING(1), .ENABLE_DIC(1), .MIN_FRAME_LENGTH(64), .TX_FIFO_DEPTH(4096), .TX_FRAME_FIFO(1), .RX_FIFO_DEPTH(4096), .RX_FRAME_FIFO(1) ) eth_mac_10g_fifo_inst ( .rx_clk(qsfp_0_rx_clk_0), .rx_rst(qsfp_0_rx_rst_0), .tx_clk(qsfp_0_tx_clk_0), .tx_rst(qsfp_0_tx_rst_0), .logic_clk(clk), .logic_rst(rst), .tx_axis_tdata(tx_axis_tdata), .tx_axis_tkeep(tx_axis_tkeep), .tx_axis_tvalid(tx_axis_tvalid), .tx_axis_tready(tx_axis_tready), .tx_axis_tlast(tx_axis_tlast), .tx_axis_tuser(tx_axis_tuser), .rx_axis_tdata(rx_axis_tdata), .rx_axis_tkeep(rx_axis_tkeep), .rx_axis_tvalid(rx_axis_tvalid), .rx_axis_tready(rx_axis_tready), .rx_axis_tlast(rx_axis_tlast), .rx_axis_tuser(rx_axis_tuser), .xgmii_rxd(qsfp_0_rxd_0), .xgmii_rxc(qsfp_0_rxc_0), .xgmii_txd(qsfp_0_txd_0), .xgmii_txc(qsfp_0_txc_0), .tx_fifo_overflow(), .tx_fifo_bad_frame(), .tx_fifo_good_frame(), .rx_error_bad_frame(), .rx_error_bad_fcs(), .rx_fifo_overflow(), .rx_fifo_bad_frame(), .rx_fifo_good_frame(), .ifg_delay(8'd12) ); eth_axis_rx #( .DATA_WIDTH(64) ) eth_axis_rx_inst ( .clk(clk), .rst(rst), // AXI input .s_axis_tdata(rx_axis_tdata), .s_axis_tkeep(rx_axis_tkeep), .s_axis_tvalid(rx_axis_tvalid), .s_axis_tready(rx_axis_tready), .s_axis_tlast(rx_axis_tlast), .s_axis_tuser(rx_axis_tuser), // Ethernet frame output .m_eth_hdr_valid(rx_eth_hdr_valid), .m_eth_hdr_ready(rx_eth_hdr_ready), .m_eth_dest_mac(rx_eth_dest_mac), .m_eth_src_mac(rx_eth_src_mac), .m_eth_type(rx_eth_type), .m_eth_payload_axis_tdata(rx_eth_payload_axis_tdata), .m_eth_payload_axis_tkeep(rx_eth_payload_axis_tkeep), .m_eth_payload_axis_tvalid(rx_eth_payload_axis_tvalid), .m_eth_payload_axis_tready(rx_eth_payload_axis_tready), .m_eth_payload_axis_tlast(rx_eth_payload_axis_tlast), .m_eth_payload_axis_tuser(rx_eth_payload_axis_tuser), // Status signals .busy(), .error_header_early_termination() ); eth_axis_tx #( .DATA_WIDTH(64) ) eth_axis_tx_inst ( .clk(clk), .rst(rst), // Ethernet frame input .s_eth_hdr_valid(tx_eth_hdr_valid), .s_eth_hdr_ready(tx_eth_hdr_ready), .s_eth_dest_mac(tx_eth_dest_mac), .s_eth_src_mac(tx_eth_src_mac), .s_eth_type(tx_eth_type), .s_eth_payload_axis_tdata(tx_eth_payload_axis_tdata), .s_eth_payload_axis_tkeep(tx_eth_payload_axis_tkeep), .s_eth_payload_axis_tvalid(tx_eth_payload_axis_tvalid), .s_eth_payload_axis_tready(tx_eth_payload_axis_tready), .s_eth_payload_axis_tlast(tx_eth_payload_axis_tlast), .s_eth_payload_axis_tuser(tx_eth_payload_axis_tuser), // AXI output .m_axis_tdata(tx_axis_tdata), .m_axis_tkeep(tx_axis_tkeep), .m_axis_tvalid(tx_axis_tvalid), .m_axis_tready(tx_axis_tready), .m_axis_tlast(tx_axis_tlast), .m_axis_tuser(tx_axis_tuser), // Status signals .busy() ); udp_complete_64 udp_complete_inst ( .clk(clk), .rst(rst), // Ethernet frame input .s_eth_hdr_valid(rx_eth_hdr_valid), .s_eth_hdr_ready(rx_eth_hdr_ready), .s_eth_dest_mac(rx_eth_dest_mac), .s_eth_src_mac(rx_eth_src_mac), .s_eth_type(rx_eth_type), .s_eth_payload_axis_tdata(rx_eth_payload_axis_tdata), .s_eth_payload_axis_tkeep(rx_eth_payload_axis_tkeep), .s_eth_payload_axis_tvalid(rx_eth_payload_axis_tvalid), .s_eth_payload_axis_tready(rx_eth_payload_axis_tready), .s_eth_payload_axis_tlast(rx_eth_payload_axis_tlast), .s_eth_payload_axis_tuser(rx_eth_payload_axis_tuser), // Ethernet frame output .m_eth_hdr_valid(tx_eth_hdr_valid), .m_eth_hdr_ready(tx_eth_hdr_ready), .m_eth_dest_mac(tx_eth_dest_mac), .m_eth_src_mac(tx_eth_src_mac), .m_eth_type(tx_eth_type), .m_eth_payload_axis_tdata(tx_eth_payload_axis_tdata), .m_eth_payload_axis_tkeep(tx_eth_payload_axis_tkeep), .m_eth_payload_axis_tvalid(tx_eth_payload_axis_tvalid), .m_eth_payload_axis_tready(tx_eth_payload_axis_tready), .m_eth_payload_axis_tlast(tx_eth_payload_axis_tlast), .m_eth_payload_axis_tuser(tx_eth_payload_axis_tuser), // IP frame input .s_ip_hdr_valid(tx_ip_hdr_valid), .s_ip_hdr_ready(tx_ip_hdr_ready), .s_ip_dscp(tx_ip_dscp), .s_ip_ecn(tx_ip_ecn), .s_ip_length(tx_ip_length), .s_ip_ttl(tx_ip_ttl), .s_ip_protocol(tx_ip_protocol), .s_ip_source_ip(tx_ip_source_ip), .s_ip_dest_ip(tx_ip_dest_ip), .s_ip_payload_axis_tdata(tx_ip_payload_axis_tdata), .s_ip_payload_axis_tkeep(tx_ip_payload_axis_tkeep), .s_ip_payload_axis_tvalid(tx_ip_payload_axis_tvalid), .s_ip_payload_axis_tready(tx_ip_payload_axis_tready), .s_ip_payload_axis_tlast(tx_ip_payload_axis_tlast), .s_ip_payload_axis_tuser(tx_ip_payload_axis_tuser), // IP frame output .m_ip_hdr_valid(rx_ip_hdr_valid), .m_ip_hdr_ready(rx_ip_hdr_ready), .m_ip_eth_dest_mac(rx_ip_eth_dest_mac), .m_ip_eth_src_mac(rx_ip_eth_src_mac), .m_ip_eth_type(rx_ip_eth_type), .m_ip_version(rx_ip_version), .m_ip_ihl(rx_ip_ihl), .m_ip_dscp(rx_ip_dscp), .m_ip_ecn(rx_ip_ecn), .m_ip_length(rx_ip_length), .m_ip_identification(rx_ip_identification), .m_ip_flags(rx_ip_flags), .m_ip_fragment_offset(rx_ip_fragment_offset), .m_ip_ttl(rx_ip_ttl), .m_ip_protocol(rx_ip_protocol), .m_ip_header_checksum(rx_ip_header_checksum), .m_ip_source_ip(rx_ip_source_ip), .m_ip_dest_ip(rx_ip_dest_ip), .m_ip_payload_axis_tdata(rx_ip_payload_axis_tdata), .m_ip_payload_axis_tkeep(rx_ip_payload_axis_tkeep), .m_ip_payload_axis_tvalid(rx_ip_payload_axis_tvalid), .m_ip_payload_axis_tready(rx_ip_payload_axis_tready), .m_ip_payload_axis_tlast(rx_ip_payload_axis_tlast), .m_ip_payload_axis_tuser(rx_ip_payload_axis_tuser), // UDP frame input .s_udp_hdr_valid(tx_udp_hdr_valid), .s_udp_hdr_ready(tx_udp_hdr_ready), .s_udp_ip_dscp(tx_udp_ip_dscp), .s_udp_ip_ecn(tx_udp_ip_ecn), .s_udp_ip_ttl(tx_udp_ip_ttl), .s_udp_ip_source_ip(tx_udp_ip_source_ip), .s_udp_ip_dest_ip(tx_udp_ip_dest_ip), .s_udp_source_port(tx_udp_source_port), .s_udp_dest_port(tx_udp_dest_port), .s_udp_length(tx_udp_length), .s_udp_checksum(tx_udp_checksum), .s_udp_payload_axis_tdata(tx_udp_payload_axis_tdata), .s_udp_payload_axis_tkeep(tx_udp_payload_axis_tkeep), .s_udp_payload_axis_tvalid(tx_udp_payload_axis_tvalid), .s_udp_payload_axis_tready(tx_udp_payload_axis_tready), .s_udp_payload_axis_tlast(tx_udp_payload_axis_tlast), .s_udp_payload_axis_tuser(tx_udp_payload_axis_tuser), // UDP frame output .m_udp_hdr_valid(rx_udp_hdr_valid), .m_udp_hdr_ready(rx_udp_hdr_ready), .m_udp_eth_dest_mac(rx_udp_eth_dest_mac), .m_udp_eth_src_mac(rx_udp_eth_src_mac), .m_udp_eth_type(rx_udp_eth_type), .m_udp_ip_version(rx_udp_ip_version), .m_udp_ip_ihl(rx_udp_ip_ihl), .m_udp_ip_dscp(rx_udp_ip_dscp), .m_udp_ip_ecn(rx_udp_ip_ecn), .m_udp_ip_length(rx_udp_ip_length), .m_udp_ip_identification(rx_udp_ip_identification), .m_udp_ip_flags(rx_udp_ip_flags), .m_udp_ip_fragment_offset(rx_udp_ip_fragment_offset), .m_udp_ip_ttl(rx_udp_ip_ttl), .m_udp_ip_protocol(rx_udp_ip_protocol), .m_udp_ip_header_checksum(rx_udp_ip_header_checksum), .m_udp_ip_source_ip(rx_udp_ip_source_ip), .m_udp_ip_dest_ip(rx_udp_ip_dest_ip), .m_udp_source_port(rx_udp_source_port), .m_udp_dest_port(rx_udp_dest_port), .m_udp_length(rx_udp_length), .m_udp_checksum(rx_udp_checksum), .m_udp_payload_axis_tdata(rx_udp_payload_axis_tdata), .m_udp_payload_axis_tkeep(rx_udp_payload_axis_tkeep), .m_udp_payload_axis_tvalid(rx_udp_payload_axis_tvalid), .m_udp_payload_axis_tready(rx_udp_payload_axis_tready), .m_udp_payload_axis_tlast(rx_udp_payload_axis_tlast), .m_udp_payload_axis_tuser(rx_udp_payload_axis_tuser), // Status signals .ip_rx_busy(), .ip_tx_busy(), .udp_rx_busy(), .udp_tx_busy(), .ip_rx_error_header_early_termination(), .ip_rx_error_payload_early_termination(), .ip_rx_error_invalid_header(), .ip_rx_error_invalid_checksum(), .ip_tx_error_payload_early_termination(), .ip_tx_error_arp_failed(), .udp_rx_error_header_early_termination(), .udp_rx_error_payload_early_termination(), .udp_tx_error_payload_early_termination(), // Configuration .local_mac(local_mac), .local_ip(local_ip), .gateway_ip(gateway_ip), .subnet_mask(subnet_mask), .clear_arp_cache(1'b0) ); axis_fifo #( .DEPTH(8192), .DATA_WIDTH(64), .KEEP_ENABLE(1), .KEEP_WIDTH(8), .ID_ENABLE(0), .DEST_ENABLE(0), .USER_ENABLE(1), .USER_WIDTH(1), .FRAME_FIFO(0) ) udp_payload_fifo ( .clk(clk), .rst(rst), // AXI input .s_axis_tdata(rx_fifo_udp_payload_axis_tdata), .s_axis_tkeep(rx_fifo_udp_payload_axis_tkeep), .s_axis_tvalid(rx_fifo_udp_payload_axis_tvalid), .s_axis_tready(rx_fifo_udp_payload_axis_tready), .s_axis_tlast(rx_fifo_udp_payload_axis_tlast), .s_axis_tid(0), .s_axis_tdest(0), .s_axis_tuser(rx_fifo_udp_payload_axis_tuser), // AXI output .m_axis_tdata(tx_fifo_udp_payload_axis_tdata), .m_axis_tkeep(tx_fifo_udp_payload_axis_tkeep), .m_axis_tvalid(tx_fifo_udp_payload_axis_tvalid), .m_axis_tready(tx_fifo_udp_payload_axis_tready), .m_axis_tlast(tx_fifo_udp_payload_axis_tlast), .m_axis_tid(), .m_axis_tdest(), .m_axis_tuser(tx_fifo_udp_payload_axis_tuser), // Status .status_overflow(), .status_bad_frame(), .status_good_frame() ); endmodule
// *************************************************************************** // *************************************************************************** // Copyright 2013(c) Analog Devices, Inc. // Author: Lars-Peter Clausen <[email protected]> // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** module dmac_request_generator ( input req_aclk, input req_aresetn, output [C_ID_WIDTH-1:0] request_id, input [C_ID_WIDTH-1:0] response_id, input req_valid, output reg req_ready, input [C_BURSTS_PER_TRANSFER_WIDTH-1:0] req_burst_count, input enable, input pause, output eot ); parameter C_ID_WIDTH = 3; parameter C_BURSTS_PER_TRANSFER_WIDTH = 17; `include "inc_id.h" /* * Here we only need to count the number of bursts, which means we can ignore * the lower bits of the byte count. The last last burst may not contain the * maximum number of bytes, but the address_generator and data_mover will take * care that only the requested ammount of bytes is transfered. */ reg [C_BURSTS_PER_TRANSFER_WIDTH-1:0] burst_count = 'h00; reg [C_ID_WIDTH-1:0] id; wire [C_ID_WIDTH-1:0] id_next = inc_id(id); assign eot = burst_count == 'h00; assign request_id = id; always @(posedge req_aclk) begin if (req_aresetn == 1'b0) begin burst_count <= 'h00; id <= 'h0; req_ready <= 1'b1; end else if (enable == 1'b0) begin req_ready <= 1'b1; end else begin if (req_ready) begin if (req_valid && enable) begin burst_count <= req_burst_count; req_ready <= 1'b0; end end else if (response_id != id_next && ~pause) begin if (eot) req_ready <= 1'b1; burst_count <= burst_count - 1'b1; id <= id_next; end end end endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__A31O_2_V `define SKY130_FD_SC_HD__A31O_2_V /** * a31o: 3-input AND into first input of 2-input OR. * * X = ((A1 & A2 & A3) | B1) * * Verilog wrapper for a31o with size of 2 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_hd__a31o.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hd__a31o_2 ( X , A1 , A2 , A3 , B1 , VPWR, VGND, VPB , VNB ); output X ; input A1 ; input A2 ; input A3 ; input B1 ; input VPWR; input VGND; input VPB ; input VNB ; sky130_fd_sc_hd__a31o base ( .X(X), .A1(A1), .A2(A2), .A3(A3), .B1(B1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_hd__a31o_2 ( X , A1, A2, A3, B1 ); output X ; input A1; input A2; input A3; input B1; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__a31o base ( .X(X), .A1(A1), .A2(A2), .A3(A3), .B1(B1) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_HD__A31O_2_V
/* * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HS__O221A_FUNCTIONAL_V `define SKY130_FD_SC_HS__O221A_FUNCTIONAL_V /** * o221a: 2-input OR into first two inputs of 3-input AND. * * X = ((A1 | A2) & (B1 | B2) & C1) * * Verilog simulation functional model. */ `timescale 1ns / 1ps `default_nettype none // Import sub cells. `include "../u_vpwr_vgnd/sky130_fd_sc_hs__u_vpwr_vgnd.v" `celldefine module sky130_fd_sc_hs__o221a ( VPWR, VGND, X , A1 , A2 , B1 , B2 , C1 ); // Module ports input VPWR; input VGND; output X ; input A1 ; input A2 ; input B1 ; input B2 ; input C1 ; // Local signals wire B2 or0_out ; wire B2 or1_out ; wire and0_out_X ; wire u_vpwr_vgnd0_out_X; // Name Output Other arguments or or0 (or0_out , B2, B1 ); or or1 (or1_out , A2, A1 ); and and0 (and0_out_X , or0_out, or1_out, C1 ); sky130_fd_sc_hs__u_vpwr_vgnd u_vpwr_vgnd0 (u_vpwr_vgnd0_out_X, and0_out_X, VPWR, VGND); buf buf0 (X , u_vpwr_vgnd0_out_X ); endmodule `endcelldefine `default_nettype wire `endif // SKY130_FD_SC_HS__O221A_FUNCTIONAL_V
// (C) 2001-2015 Altera Corporation. All rights reserved. // Your use of Altera Corporation's design tools, logic functions and other // software and tools, and its AMPP partner logic functions, and any output // files any of the foregoing (including device programming or simulation // files), and any associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License Subscription // Agreement, Altera MegaCore Function License Agreement, or other applicable // license agreement, including, without limitation, that your use is for the // sole purpose of programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the applicable // agreement for further details. `timescale 1 ps / 1 ps module nios_mem_if_ddr2_emif_0_p0_addr_cmd_datapath( clk, reset_n, afi_address, afi_bank, afi_cs_n, afi_cke, afi_odt, afi_ras_n, afi_cas_n, afi_we_n, phy_ddio_address, phy_ddio_bank, phy_ddio_cs_n, phy_ddio_cke, phy_ddio_we_n, phy_ddio_ras_n, phy_ddio_cas_n, phy_ddio_odt ); parameter MEM_ADDRESS_WIDTH = ""; parameter MEM_BANK_WIDTH = ""; parameter MEM_CHIP_SELECT_WIDTH = ""; parameter MEM_CLK_EN_WIDTH = ""; parameter MEM_ODT_WIDTH = ""; parameter MEM_DM_WIDTH = ""; parameter MEM_CONTROL_WIDTH = ""; parameter MEM_DQ_WIDTH = ""; parameter MEM_READ_DQS_WIDTH = ""; parameter MEM_WRITE_DQS_WIDTH = ""; parameter AFI_ADDRESS_WIDTH = ""; parameter AFI_BANK_WIDTH = ""; parameter AFI_CHIP_SELECT_WIDTH = ""; parameter AFI_CLK_EN_WIDTH = ""; parameter AFI_ODT_WIDTH = ""; parameter AFI_DATA_MASK_WIDTH = ""; parameter AFI_CONTROL_WIDTH = ""; parameter AFI_DATA_WIDTH = ""; parameter NUM_AC_FR_CYCLE_SHIFTS = ""; localparam RATE_MULT = 2; input reset_n; input clk; input [AFI_ADDRESS_WIDTH-1:0] afi_address; input [AFI_BANK_WIDTH-1:0] afi_bank; input [AFI_CHIP_SELECT_WIDTH-1:0] afi_cs_n; input [AFI_CLK_EN_WIDTH-1:0] afi_cke; input [AFI_ODT_WIDTH-1:0] afi_odt; input [AFI_CONTROL_WIDTH-1:0] afi_ras_n; input [AFI_CONTROL_WIDTH-1:0] afi_cas_n; input [AFI_CONTROL_WIDTH-1:0] afi_we_n; output [AFI_ADDRESS_WIDTH-1:0] phy_ddio_address; output [AFI_BANK_WIDTH-1:0] phy_ddio_bank; output [AFI_CHIP_SELECT_WIDTH-1:0] phy_ddio_cs_n; output [AFI_CLK_EN_WIDTH-1:0] phy_ddio_cke; output [AFI_ODT_WIDTH-1:0] phy_ddio_odt; output [AFI_CONTROL_WIDTH-1:0] phy_ddio_ras_n; output [AFI_CONTROL_WIDTH-1:0] phy_ddio_cas_n; output [AFI_CONTROL_WIDTH-1:0] phy_ddio_we_n; wire [AFI_ADDRESS_WIDTH-1:0] afi_address_r = afi_address; wire [AFI_BANK_WIDTH-1:0] afi_bank_r = afi_bank; wire [AFI_CHIP_SELECT_WIDTH-1:0] afi_cs_n_r = afi_cs_n; wire [AFI_CLK_EN_WIDTH-1:0] afi_cke_r = afi_cke; wire [AFI_ODT_WIDTH-1:0] afi_odt_r = afi_odt; wire [AFI_CONTROL_WIDTH-1:0] afi_ras_n_r = afi_ras_n; wire [AFI_CONTROL_WIDTH-1:0] afi_cas_n_r = afi_cas_n; wire [AFI_CONTROL_WIDTH-1:0] afi_we_n_r = afi_we_n; wire [1:0] shift_fr_cycle = (NUM_AC_FR_CYCLE_SHIFTS == 0) ? 2'b00 : ( (NUM_AC_FR_CYCLE_SHIFTS == 1) ? 2'b01 : ( (NUM_AC_FR_CYCLE_SHIFTS == 2) ? 2'b10 : ( 2'b11 ))); nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_address( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_address_r), .dataout (phy_ddio_address) ); defparam uaddr_cmd_shift_address.DATA_WIDTH = MEM_ADDRESS_WIDTH; defparam uaddr_cmd_shift_address.REG_POST_RESET_HIGH = "false"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_bank( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_bank_r), .dataout (phy_ddio_bank) ); defparam uaddr_cmd_shift_bank.DATA_WIDTH = MEM_BANK_WIDTH; defparam uaddr_cmd_shift_bank.REG_POST_RESET_HIGH = "false"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_cke( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_cke_r), .dataout (phy_ddio_cke) ); defparam uaddr_cmd_shift_cke.DATA_WIDTH = MEM_CLK_EN_WIDTH; defparam uaddr_cmd_shift_cke.REG_POST_RESET_HIGH = "false"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_cs_n( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_cs_n_r), .dataout (phy_ddio_cs_n) ); defparam uaddr_cmd_shift_cs_n.DATA_WIDTH = MEM_CHIP_SELECT_WIDTH; defparam uaddr_cmd_shift_cs_n.REG_POST_RESET_HIGH = "true"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_odt( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_odt_r), .dataout (phy_ddio_odt) ); defparam uaddr_cmd_shift_odt.DATA_WIDTH = MEM_ODT_WIDTH; defparam uaddr_cmd_shift_odt.REG_POST_RESET_HIGH = "false"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_ras_n( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_ras_n_r), .dataout (phy_ddio_ras_n) ); defparam uaddr_cmd_shift_ras_n.DATA_WIDTH = MEM_CONTROL_WIDTH; defparam uaddr_cmd_shift_ras_n.REG_POST_RESET_HIGH = "true"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_cas_n( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_cas_n_r), .dataout (phy_ddio_cas_n) ); defparam uaddr_cmd_shift_cas_n.DATA_WIDTH = MEM_CONTROL_WIDTH; defparam uaddr_cmd_shift_cas_n.REG_POST_RESET_HIGH = "true"; nios_mem_if_ddr2_emif_0_p0_fr_cycle_shifter uaddr_cmd_shift_we_n( .clk (clk), .reset_n (reset_n), .shift_by (shift_fr_cycle), .datain (afi_we_n_r), .dataout (phy_ddio_we_n) ); defparam uaddr_cmd_shift_we_n.DATA_WIDTH = MEM_CONTROL_WIDTH; defparam uaddr_cmd_shift_we_n.REG_POST_RESET_HIGH = "true"; endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_HD__O311A_SYMBOL_V `define SKY130_FD_SC_HD__O311A_SYMBOL_V /** * o311a: 3-input OR into 3-input AND. * * X = ((A1 | A2 | A3) & B1 & C1) * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_hd__o311a ( //# {{data|Data Signals}} input A1, input A2, input A3, input B1, input C1, output X ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_HD__O311A_SYMBOL_V
// // Author: Steffen Reith ([email protected]) // // Creation Date: Sat Apr 8 21:13:17 GMT+2 2017 // Module Name: Board_Nexys4DDR - Behavioral // Project Name: J1Sc - A simple J1 implementation in Scala using Spinal HDL // // module Board_Nexys4DDR (nreset, clk100Mhz, extInt, leds, rgbLeds, segments_a, segments_b, segments_c, segments_d, segments_e, segments_f, segments_g, dot, selector, pmodA, sSwitches, pButtons, tck, tms, tdi, tdo, rx, tx); // Input ports input nreset; input clk100Mhz; input [0:0] extInt; input [15:0] sSwitches; input [4:0] pButtons; input tck; input tms; input tdi; input rx; // Output ports output [15:0] leds; output [5:0] rgbLeds; output tdo; output tx; output segments_a; output segments_b; output segments_c; output segments_d; output segments_e; output segments_f; output segments_g; output dot; output [7:0] selector; // Bidirectional port inout [7:0] pmodA; // Internal reset wire reset; // Clock generation wire boardClk; wire boardClkLocked; // Internal wiring wire [7:0] pmodA_read; wire [7:0] pmodA_write; wire [7:0] pmodA_writeEnable; // Instantiate a PLL/MMCM (makes a 80Mhz clock) PLL makeClk (.clkIn (clk100Mhz), .clkOut (boardClk), .isLocked (boardClkLocked)); // Instantiate the J1SoC core generated by Spinal J1Nexys4X core (.reset (reset), .boardClk (boardClk), .boardClkLocked (boardClkLocked), .extInt (extInt), .leds (leds), .rgbLeds (rgbLeds), .segments_a (segments_a), .segments_b (segments_b), .segments_c (segments_c), .segments_d (segments_d), .segments_e (segments_e), .segments_f (segments_f), .segments_g (segments_g), .dot (dot), .selector (selector), .pmodA_read (pmodA_read), .pmodA_write (pmodA_write), .pmodA_writeEnable (pmodA_writeEnable), .sSwitches (sSwitches), .pButtons (pButtons), .tck (tck), .tms (tms), .tdi (tdi), .tdo (tdo), .rx (rx), .tx (tx)); // Make the reset high active assign reset = !nreset; // Connect the pmodA read port assign pmodA_read = pmodA; // Generate the write port and equip it with tristate functionality genvar i; generate for (i = 0; i < 8; i = i + 1) begin assign pmodA[i] = pmodA_writeEnable[i] ? pmodA_write[i] : 1'bZ; end endgenerate endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__O2111A_SYMBOL_V `define SKY130_FD_SC_LP__O2111A_SYMBOL_V /** * o2111a: 2-input OR into first input of 4-input AND. * * X = ((A1 | A2) & B1 & C1 & D1) * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__o2111a ( //# {{data|Data Signals}} input A1, input A2, input B1, input C1, input D1, output X ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__O2111A_SYMBOL_V
module sram_ctrl ( input clk, input rst_n, input clk_proc, input wr_en, input rd_en, input [31:0] wr_data, input [20:0] addr, output reg [31:0] rd_data, output sram_ub_n, output sram_lb_n, output reg sram_ce_n, output reg sram_we_n, output reg sram_oe_n, output reg [19:0] sram_addr, output reg [15:0] sram_wr_data, input [15:0] sram_rd_data ); parameter ST_IDLE = 0; parameter ST_WRITE_0 = 1; parameter ST_WRITE_1 = 2; parameter ST_READ_0 = 3; parameter ST_READ_1 = 4; parameter ST_READ_2 = 5; reg [2:0] state; reg [2:0] next_state; reg [2:0] counter; reg [31:0] rd_data_reg; wire [20:0] addr_plus2; reg wr_data_dly; reg rd_data_dly; reg wr_detc; //wire rd_detc; reg clk_proc_pulse; reg clk_proc_dly; //wire [31:0] rd_data_concat; assign sram_ub_n = 1'b0; assign sram_lb_n = 1'b0; assign addr_plus2 = addr+2; //assign rd_data_concat = {sram_rd_data,rd_data_reg[15:0]}; always@(posedge clk, negedge rst_n)begin if(!rst_n)begin rd_data <= 0; end else begin if(state == ST_IDLE)begin rd_data <= rd_data_reg; end end end always@(posedge clk, negedge rst_n)begin if(!rst_n)begin wr_data_dly <= 1'b0; clk_proc_dly <= 1'b0; wr_detc <= 1'b0; clk_proc_pulse <= 1'b0; end else begin wr_data_dly <= wr_en; wr_detc <= wr_en & !wr_data_dly; clk_proc_dly <= clk_proc; clk_proc_pulse <= clk_proc & !clk_proc_dly; end end always@(posedge clk, negedge rst_n)begin if(!rst_n)begin rd_data_reg <= 32'd0; sram_ce_n = 1'b0; sram_we_n = 1'b0; sram_oe_n = 1'b1; sram_wr_data = 0; sram_addr = 0; end else begin case(state) ST_IDLE: begin if(wr_detc)begin //write sram_ce_n <= 1'b0; sram_we_n <= 1'b0; sram_oe_n <= 1'b1; sram_wr_data <= wr_data[15:0]; sram_addr <= addr[20:1]; end else if (rd_en && clk_proc_pulse) begin//read sram_ce_n <= 1'b0; sram_we_n <= 1'b1; sram_oe_n <= 1'b0; sram_addr <= addr[20:1]; end else begin sram_ce_n <= 1'b1; sram_we_n <= 1'b1; sram_oe_n <= 1'b1; sram_addr <= 20'd0; sram_wr_data <= 16'd0; end end ST_WRITE_0: begin sram_ce_n <= 1'b1; sram_we_n <= 1'b1; sram_oe_n <= 1'b1; sram_wr_data <= 0; sram_addr <= 0; end ST_WRITE_1: begin sram_ce_n <= 1'b0; sram_we_n <= 1'b0; sram_oe_n <= 1'b1; sram_wr_data <= wr_data[31:16]; sram_addr <= addr_plus2[20:1]; end ST_READ_0: begin sram_ce_n <= 1'b1; sram_we_n <= 1'b1; sram_oe_n <= 1'b0; sram_addr <= 0; end ST_READ_1: begin sram_ce_n <= 1'b0; sram_we_n <= 1'b1; sram_oe_n <= 1'b0; sram_addr <= addr_plus2[20:1]; rd_data_reg[15:0] <= sram_rd_data; end ST_READ_2: begin sram_ce_n <= 1'b1; sram_we_n <= 1'b1; sram_oe_n <= 1'b0; sram_addr <= addr_plus2[20:1]; rd_data_reg[31:16] <= sram_rd_data; end default: begin sram_ce_n <= 1'b1; sram_we_n <= 1'b1; sram_oe_n <= 1'b1; rd_data_reg <= rd_data_reg; end endcase end end always@(posedge clk, negedge rst_n)begin if(!rst_n)begin state <= ST_IDLE; end else begin state <= next_state; end end always@(*)begin case (state) ST_IDLE: begin if(wr_detc) next_state = ST_WRITE_0; else if(rd_en && clk_proc_pulse) next_state = ST_READ_0; else next_state = ST_IDLE; end ST_WRITE_0: begin next_state = ST_WRITE_1; end ST_WRITE_1: begin next_state = ST_IDLE; end ST_READ_0: begin next_state = ST_READ_1; end ST_READ_1: begin next_state = ST_READ_2; end ST_READ_2: begin next_state = ST_IDLE; end default:begin next_state = ST_IDLE; end endcase end endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LP__O21AI_SYMBOL_V `define SKY130_FD_SC_LP__O21AI_SYMBOL_V /** * o21ai: 2-input OR into first input of 2-input NAND. * * Y = !((A1 | A2) & B1) * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_lp__o21ai ( //# {{data|Data Signals}} input A1, input A2, input B1, output Y ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LP__O21AI_SYMBOL_V
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: Case Western Reserve University // Engineer: Matt McConnell // // Create Date: 14:48:00 01/25/2017 // Project Name: EECS301 Digital Design // Design Name: Lab #2 Project // Module Name: CLS_PWM_DutyCycle_Timer // Target Devices: Altera Cyclone V // Tool versions: Quartus v15.0 // Description: PWM Channel Bank // 8 PWM Channels, synchronized together // // Dependencies: // ////////////////////////////////////////////////////////////////////////////////// module CLS_PWM_DutyCycle_Timer #( parameter CLK_RATE_HZ = 50000000, // Hz parameter DUTY_RATE_HZ = 1000, // Hz parameter DUTY_PERCENT = 50 // Cycle On-time % ) ( // Input Signals input PWM_INTERVAL_TICK, // Output Signals output reg PWM_OUT, // System Signals input CLK ); // Include Standard Functions header file (needed for bit_index()) `include "StdFunctions.vh" // Initial register settings initial begin PWM_OUT = 1'b0; end //!! Add Implementation Here !! localparam PWM_INV_TICKS = CLK_RATE_HZ / DUTY_RATE_HZ; localparam PWM_REG_WIDTH = bit_index(PWM_INV_TICKS); // Compute the PWM Duty Cycle Counter Parameters localparam integer PDC_OFF_TICKS = PWM_INV_TICKS * (100.0-DUTY_PERCENT) / 100; localparam [PWM_REG_WIDTH:0] PDC_LOADVAL = {1'b1, {PWM_REG_WIDTH{1'b0}}} - PDC_OFF_TICKS[PWM_REG_WIDTH:0]; reg [PWM_REG_WIDTH:0] pdc_count_reg; // Initialize Registers initial begin pdc_count_reg = PDC_LOADVAL; end // PWM Duty Cycle Counter always @(posedge CLK) begin if (PWM_INTERVAL_TICK) pdc_count_reg <= PDC_LOADVAL; else pdc_count_reg <= pdc_count_reg + 1'b1; end // PWM Output Register always @(posedge CLK) begin PWM_OUT <= pdc_count_reg[PWM_REG_WIDTH]; end endmodule
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__SDFBBN_SYMBOL_V `define SKY130_FD_SC_LS__SDFBBN_SYMBOL_V /** * sdfbbn: Scan delay flop, inverted set, inverted reset, inverted * clock, complementary outputs. * * Verilog stub (without power pins) for graphical symbol definition * generation. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_ls__sdfbbn ( //# {{data|Data Signals}} input D , output Q , output Q_N , //# {{control|Control Signals}} input RESET_B, input SET_B , //# {{scanchain|Scan Chain}} input SCD , input SCE , //# {{clocks|Clocking}} input CLK_N ); // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LS__SDFBBN_SYMBOL_V
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_LS__SDFRTN_BLACKBOX_V `define SKY130_FD_SC_LS__SDFRTN_BLACKBOX_V /** * sdfrtn: Scan delay flop, inverted reset, inverted clock, * single output. * * Verilog stub definition (black box without power pins). * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none (* blackbox *) module sky130_fd_sc_ls__sdfrtn ( Q , CLK_N , D , SCD , SCE , RESET_B ); output Q ; input CLK_N ; input D ; input SCD ; input SCE ; input RESET_B; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; endmodule `default_nettype wire `endif // SKY130_FD_SC_LS__SDFRTN_BLACKBOX_V
`timescale 1ns/1ps //----------------------------------------------------------------------------- // Title : KPU internal memory // Project : KPU //----------------------------------------------------------------------------- // File : mem.v // Author : acorallo <[email protected]> // Created : 17.12.2016 //----------------------------------------------------------------------------- // Description : // Implementation of the internal KPU memory //----------------------------------------------------------------------------- // This file is part of KPU. // KPU is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published by // the Free Software Foundation, either version 3 of the License, or // (at your option) any later version. // KPU is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; // without even the implied warranty of // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the // GNU General Public License for more details. // You should have received a copy of the GNU General Public License // along with KPU. If not, see <http://www.gnu.org/licenses/>. // // Copyright (c) 2016 2017 by Andrea Corallo. //------------------------------------------------------------------------------ // Modification history : // 17.12.2016 : created //----------------------------------------------------------------------------- `ifndef _mem `define _mem `include "kpu_conf.v" `include "wishbone_defines.v" `include "uart_defines.v" `include "kcache_defs.v" module mem( input wire clk_i, // clock output reg kpu_wait_o, // Stop kpu execution if needed input wire [`N-1:0] i_addr_i, // instruction memory address output reg [`N-1:0] i_data_o, // instruction data output input wire [`N-1:0] d_addr_i, // data memory address input wire [3:0] d_sel_i, // data byte select input wire [`N-1:0] d_data_i, // data input output reg [`N-1:0] d_data_o, // data output input wire [`N-1:0] d_gp_i, // general purpose input output reg [`N-1:0] d_gp_o, // general purpose output input wire [`N-1:0] io_int_num_i, // interrupt number input output reg int_ctrl_rst_o, // rst int ctrl line // Wishbone handler lines output reg wb_ready_o, output reg [31:0] wb_command_o = 0, output reg [31:0] wb_addr_o = 0, output reg [31:0] wb_data_o = 0, output reg [27:0] wb_data_count_o = 0, input wire [31:0] wb_status_i, input wire [31:0] wb_data_i, input wire [27:0] wb_data_count_i, input wire wb_o_en_i, // External SRAM interface output wire [`SRAM_ADDR_W-1:0] sram_addr_o, inout wire [`SRAM_DATA_W-1:0] sram_data_io, output wire sram_cs_o, output wire sram_we_o, output wire sram_oe_o, output wire sram_hb_o, output wire sram_lb_o ); reg [`N-1:0] ram [0:`RAM_SIZE - 1] /* synthesis syn_ramstyle=no_rw_check */; reg [`N-1:0] rom [0:`ROM_SIZE - 1] /* synthesis syn_ramstyle=no_rw_check */; reg [3:0] wb_interface_state; reg wb_write_start = 1'b0; reg wb_read_start = 1'b0; reg [1:0] wb_byte_count_read, wb_data_count_tmp; reg [31:0] wb_data_write, wb_reg_write, wb_reg_read; reg wb_was_read, wb_readed_data_ready; reg [31:0] wb_readed_data; wire kcache_wait; // WB write states localparam WB_IDLE = 0; localparam WB_READ_ONGOING = 1; localparam WB_WRITE_ONGOING = 2; localparam WB_READ_WAIT = 3; localparam WB_WRITE_WAIT = 4; initial begin `ifdef ROM_IMAGE $readmemh(`ROM_IMAGE, rom); `else $readmemh("rom/rom.hex", rom); `endif d_gp_o = `N'h0; kpu_wait_o = `N'h0; wb_interface_state = 3'b0; wb_ready_o = 1'b0; end // initial begin kcache kcache_i( .clk_i(clk_i), .wait_o(kcache_wait), .flush_i(1'b0), // TODO to be populated with correct mem mapped reg .i_addr_i(0), .i_data_o(), .d_addr_i(0), .d_sel_wr_i(4'b0), .d_data_i(0), .d_data_o(), // SRAM wires .sram_addr_o(sram_addr_o), .sram_data_io(sram_data_io), .sram_cs_o(sram_cs_o), .sram_we_o(sram_we_o), .sram_oe_o(sram_oe_o), .sram_hb_o(sram_hb_o), .sram_lb_o(sram_lb_o) ); always @(ram[i_addr_i] or i_addr_i) begin if ((i_addr_i << 2) & `ROM_ADDR) i_data_o = rom[i_addr_i & ~(`ROM_ADDR >> 2)]; else i_data_o = ram[i_addr_i]; end always @(*) kpu_wait_o = wb_interface_state != WB_IDLE || wb_write_start || wb_read_start || kcache_wait; // read always @(ram[d_addr_i] or d_addr_i or d_gp_i or d_sel_i or io_int_num_i or wb_interface_state or wb_readed_data or wb_readed_data_ready) begin wb_read_start = 1'b0; wb_byte_count_read = 2'd3; wb_reg_read = 32'b0; d_data_o = `N'h0; if (wb_readed_data_ready) d_data_o = wb_readed_data; else if (d_sel_i == 4'b0000) case (d_addr_i << 2) `IO_INT_NUM_MAP: begin // Raised IO interrupt number d_data_o = io_int_num_i; end `GP_IN_MAP: begin // General purpose input read d_data_o = d_gp_i; end `UART_CONTROL_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_CONTROL; end end `UART_STATUS_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_STATUS; end end `UART_PRESCALER_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_PRESCALER; end end `UART_CLOCK_DIV_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_CLOCK_DIV; end end `UART_WRITE_COUNT_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_WRITE_COUNT; end end `UART_WRITE_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_WRITE; end end `UART_READ_COUNT_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_read_start = 1'b1; wb_reg_read = `UART_REG_READ_COUNT; end end `UART_READ_REG_MAP: begin if (wb_interface_state == WB_IDLE) begin wb_byte_count_read = 2'd1; wb_read_start = 1'b1; wb_reg_read = `UART_REG_READ; end end default: begin // Normal memory read if ((d_addr_i << 2) & `ROM_ADDR) d_data_o = rom[d_addr_i & ~(`ROM_ADDR >> 2)]; else d_data_o = ram[d_addr_i]; end endcase end // write always @(posedge clk_i) begin wb_write_start <= #1 1'b0; casex (d_addr_i << 2) `GP_OUT_MAP: begin // General purpose output write if (d_sel_i[0]) d_gp_o[7:0] <= #1 d_data_i[7:0]; if (d_sel_i[1]) d_gp_o[15:8] <= #1 d_data_i[15:8]; if (d_sel_i[2]) d_gp_o[23:16] <= #1 d_data_i[23:16]; if (d_sel_i[3]) d_gp_o[31:24] <= #1 d_data_i[31:24]; end `UART_CONTROL_REG_MAP: begin // Start wishbone write procedure if (d_sel_i) begin if (wb_interface_state == WB_IDLE) begin wb_write_start <= #1 1'b1; wb_data_write <= #1 d_data_i; wb_reg_write <= #1 `UART_REG_CONTROL; end else begin wb_write_start <= #1 1'b0; end end end `UART_CLOCK_DIV_REG_MAP: begin // Start wishbone write procedure if (d_sel_i) begin if (wb_interface_state == WB_IDLE) begin wb_write_start <= #1 1'b1; wb_data_write <= #1 d_data_i; wb_reg_write <= #1 `UART_REG_CLOCK_DIV; end else begin wb_write_start <= #1 1'b0; end end end `UART_WRITE_REG_MAP: begin // Start wishbone write procedure if (d_sel_i) begin if (wb_interface_state == WB_IDLE) begin wb_write_start <= #1 1'b1; wb_data_write <= #1 (1 << 16) | (d_data_i[7:0] << 8); wb_reg_write <= #1 `UART_REG_WRITE; end else begin wb_write_start <= #1 1'b0; end end end default: begin // Normal memory write if (d_sel_i[0]) ram[d_addr_i][7:0] <= #1 d_data_i[7:0]; if (d_sel_i[1]) ram[d_addr_i][15:8] <= #1 d_data_i[15:8]; if (d_sel_i[2]) ram[d_addr_i][23:16] <= #1 d_data_i[23:16]; if (d_sel_i[3]) ram[d_addr_i][31:24] <= #1 d_data_i[31:24]; end endcase // case (wb_interface_state) end //////////////////////////////// // WISHBONE READ/WRITE // //////////////////////////////// always @(posedge clk_i) begin case (wb_interface_state ) WB_IDLE: begin wb_readed_data_ready <= #1 1'b0; if (wb_write_start) begin wb_interface_state <= #1 WB_WRITE_ONGOING; wb_command_o <= #1 `COMMAND_WRITE; wb_addr_o <= #1 wb_reg_write; wb_data_o <= #1 wb_data_write; wb_data_count_o <= #1 28'h0; wb_ready_o <= #1 1'b1; end else if (wb_read_start) begin wb_interface_state <= #1 WB_READ_ONGOING; wb_command_o <= #1 `COMMAND_READ; wb_addr_o <= #1 wb_reg_read; wb_data_count_o <= #1 28'h0; wb_ready_o <= #1 1'b1; wb_data_count_tmp <= #1 wb_byte_count_read; end end WB_READ_ONGOING: begin if (wb_status_i == ~wb_command_o && (wb_data_count_i == 28'h0)) begin wb_ready_o <= #1 1'b0; wb_interface_state <= #1 WB_READ_WAIT; end end WB_WRITE_ONGOING: begin if (wb_status_i == ~wb_command_o) begin wb_ready_o <= #1 1'b0; wb_interface_state <= #1 WB_WRITE_WAIT; end end WB_READ_WAIT: begin if (wb_o_en_i) begin wb_ready_o <= #1 1'b0; wb_interface_state <= #1 WB_IDLE; wb_readed_data_ready <= #1 1'b1; if (wb_data_count_tmp == 2'd1) wb_readed_data <= #1 {24'b0, wb_data_i[31:24]}; else wb_readed_data <= #1 wb_data_i; end end WB_WRITE_WAIT: begin if (wb_o_en_i) begin wb_ready_o <= #1 1'b0; wb_interface_state <= #1 WB_IDLE; end end endcase end // Reset interrupt controller always @(posedge clk_i) begin if (d_addr_i << 2 == `IO_INT_NUM_MAP && d_sel_i != 4'b0000) int_ctrl_rst_o <= 1'b1; else int_ctrl_rst_o <= 1'b0; end endmodule // mem `endif // `ifndef _mem
/** * Copyright 2020 The SkyWater PDK Authors * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * https://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. * * SPDX-License-Identifier: Apache-2.0 */ `ifndef SKY130_FD_SC_MS__DLXBN_1_V `define SKY130_FD_SC_MS__DLXBN_1_V /** * dlxbn: Delay latch, inverted enable, complementary outputs. * * Verilog wrapper for dlxbn with size of 1 units. * * WARNING: This file is autogenerated, do not modify directly! */ `timescale 1ns / 1ps `default_nettype none `include "sky130_fd_sc_ms__dlxbn.v" `ifdef USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ms__dlxbn_1 ( Q , Q_N , D , GATE_N, VPWR , VGND , VPB , VNB ); output Q ; output Q_N ; input D ; input GATE_N; input VPWR ; input VGND ; input VPB ; input VNB ; sky130_fd_sc_ms__dlxbn base ( .Q(Q), .Q_N(Q_N), .D(D), .GATE_N(GATE_N), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule `endcelldefine /*********************************************************/ `else // If not USE_POWER_PINS /*********************************************************/ `celldefine module sky130_fd_sc_ms__dlxbn_1 ( Q , Q_N , D , GATE_N ); output Q ; output Q_N ; input D ; input GATE_N; // Voltage supply signals supply1 VPWR; supply0 VGND; supply1 VPB ; supply0 VNB ; sky130_fd_sc_ms__dlxbn base ( .Q(Q), .Q_N(Q_N), .D(D), .GATE_N(GATE_N) ); endmodule `endcelldefine /*********************************************************/ `endif // USE_POWER_PINS `default_nettype wire `endif // SKY130_FD_SC_MS__DLXBN_1_V
// nios_mem_if_ddr2_emif_0_s0_mm_interconnect_0_avalon_st_adapter.v // This file was auto-generated from altera_avalon_st_adapter_hw.tcl. If you edit it your changes // will probably be lost. // // Generated using ACDS version 15.1 185 `timescale 1 ps / 1 ps module nios_mem_if_ddr2_emif_0_s0_mm_interconnect_0_avalon_st_adapter #( parameter inBitsPerSymbol = 34, parameter inUsePackets = 0, parameter inDataWidth = 34, parameter inChannelWidth = 0, parameter inErrorWidth = 0, parameter inUseEmptyPort = 0, parameter inUseValid = 1, parameter inUseReady = 1, parameter inReadyLatency = 0, parameter outDataWidth = 34, parameter outChannelWidth = 0, parameter outErrorWidth = 1, parameter outUseEmptyPort = 0, parameter outUseValid = 1, parameter outUseReady = 1, parameter outReadyLatency = 0 ) ( input wire in_clk_0_clk, // in_clk_0.clk input wire in_rst_0_reset, // in_rst_0.reset input wire [33:0] in_0_data, // in_0.data input wire in_0_valid, // .valid output wire in_0_ready, // .ready output wire [33:0] out_0_data, // out_0.data output wire out_0_valid, // .valid input wire out_0_ready, // .ready output wire [0:0] out_0_error // .error ); generate // If any of the display statements (or deliberately broken // instantiations) within this generate block triggers then this module // has been instantiated this module with a set of parameters different // from those it was generated for. This will usually result in a // non-functioning system. if (inBitsPerSymbol != 34) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inbitspersymbol_check ( .error(1'b1) ); end if (inUsePackets != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inusepackets_check ( .error(1'b1) ); end if (inDataWidth != 34) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above indatawidth_check ( .error(1'b1) ); end if (inChannelWidth != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inchannelwidth_check ( .error(1'b1) ); end if (inErrorWidth != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inerrorwidth_check ( .error(1'b1) ); end if (inUseEmptyPort != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inuseemptyport_check ( .error(1'b1) ); end if (inUseValid != 1) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inusevalid_check ( .error(1'b1) ); end if (inUseReady != 1) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inuseready_check ( .error(1'b1) ); end if (inReadyLatency != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above inreadylatency_check ( .error(1'b1) ); end if (outDataWidth != 34) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outdatawidth_check ( .error(1'b1) ); end if (outChannelWidth != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outchannelwidth_check ( .error(1'b1) ); end if (outErrorWidth != 1) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outerrorwidth_check ( .error(1'b1) ); end if (outUseEmptyPort != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outuseemptyport_check ( .error(1'b1) ); end if (outUseValid != 1) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outusevalid_check ( .error(1'b1) ); end if (outUseReady != 1) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outuseready_check ( .error(1'b1) ); end if (outReadyLatency != 0) begin initial begin $display("Generated module instantiated with wrong parameters"); $stop; end instantiated_with_wrong_parameters_error_see_comment_above outreadylatency_check ( .error(1'b1) ); end endgenerate nios_mem_if_ddr2_emif_0_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0 error_adapter_0 ( .clk (in_clk_0_clk), // clk.clk .reset_n (~in_rst_0_reset), // reset.reset_n .in_data (in_0_data), // in.data .in_valid (in_0_valid), // .valid .in_ready (in_0_ready), // .ready .out_data (out_0_data), // out.data .out_valid (out_0_valid), // .valid .out_ready (out_0_ready), // .ready .out_error (out_0_error) // .error ); endmodule
// Single bit edge detector // - Does not synchronize an asynchronous input // - Outputs a single clock pulse at the rising and falling edges // - Rising edge pulse is coincident with the rising edge of iSIG // - Falling edge pulse is 1 clock after falling edge of iSIG // - Output "oRFE" is "Rising AND Falling Edge" detector. // Pulses on both edges, with same timing as rising and falling // edge outputs // Instantiation Template: // edgedetect # (.registered("TRUE")) edgedetect_inst (.iCLK(), .iRST(), .iSIG(), .oRE(), .oFE(), oRFE()); `timescale 1ns/1ns module edgedetect ( input iCLK , input iRST , input iSIG , output wire oRE , output wire oFE , output wire oRFE ); parameter registered = "FALSE"; reg delay; wire re; wire fe; wire rfe; always @(posedge iCLK) begin if (iRST) begin delay <= 1'b0; end else begin delay <= iSIG; end end // Edge detect logic assign re = (iSIG && !delay) ? 1'b1 : 1'b0; assign fe = (!iSIG && delay) ? 1'b1 : 1'b0; assign rfe = ((iSIG && !delay) || (!iSIG && delay)) ? 1'b1 : 1'b0; // Register edge detect pulses reg re_reg, fe_reg, rfe_reg; always @(posedge iCLK) begin if (iRST) begin re_reg <= 1'b0; fe_reg <= 1'b0; rfe_reg <= 1'b0; end else begin re_reg <= re; fe_reg <= fe; rfe_reg <= rfe; end end // MUX either the combination or registered edge detect pulses to the outputs assign oRE = (registered == "TRUE") ? re_reg : re ; assign oFE = (registered == "TRUE") ? fe_reg : fe ; assign oRFE = (registered == "TRUE") ? rfe_reg : rfe; endmodule
// ============================================================== // File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC // Version: 2014.4 // Copyright (C) 2014 Xilinx Inc. All rights reserved. // // ============================================================== `timescale 1 ns / 1 ps module FIFO_image_filter_img_2_rows_V_shiftReg ( clk, data, ce, a, q); parameter DATA_WIDTH = 32'd12; parameter ADDR_WIDTH = 32'd2; parameter DEPTH = 32'd3; input clk; input [DATA_WIDTH-1:0] data; input ce; input [ADDR_WIDTH-1:0] a; output [DATA_WIDTH-1:0] q; reg[DATA_WIDTH-1:0] SRL_SIG [0:DEPTH-1]; integer i; always @ (posedge clk) begin if (ce) begin for (i=0;i<DEPTH-1;i=i+1) SRL_SIG[i+1] <= SRL_SIG[i]; SRL_SIG[0] <= data; end end assign q = SRL_SIG[a]; endmodule module FIFO_image_filter_img_2_rows_V ( clk, reset, if_empty_n, if_read_ce, if_read, if_dout, if_full_n, if_write_ce, if_write, if_din); parameter MEM_STYLE = "shiftreg"; parameter DATA_WIDTH = 32'd12; parameter ADDR_WIDTH = 32'd2; parameter DEPTH = 32'd3; input clk; input reset; output if_empty_n; input if_read_ce; input if_read; output[DATA_WIDTH - 1:0] if_dout; output if_full_n; input if_write_ce; input if_write; input[DATA_WIDTH - 1:0] if_din; wire[ADDR_WIDTH - 1:0] shiftReg_addr ; wire[DATA_WIDTH - 1:0] shiftReg_data, shiftReg_q; reg[ADDR_WIDTH:0] mOutPtr = {(ADDR_WIDTH+1){1'b1}}; reg internal_empty_n = 0, internal_full_n = 1; assign if_empty_n = internal_empty_n; assign if_full_n = internal_full_n; assign shiftReg_data = if_din; assign if_dout = shiftReg_q; always @ (posedge clk) begin if (reset == 1'b1) begin mOutPtr <= ~{ADDR_WIDTH+1{1'b0}}; internal_empty_n <= 1'b0; internal_full_n <= 1'b1; end else begin if (((if_read & if_read_ce) == 1 & internal_empty_n == 1) && ((if_write & if_write_ce) == 0 | internal_full_n == 0)) begin mOutPtr <= mOutPtr -1; if (mOutPtr == 0) internal_empty_n <= 1'b0; internal_full_n <= 1'b1; end else if (((if_read & if_read_ce) == 0 | internal_empty_n == 0) && ((if_write & if_write_ce) == 1 & internal_full_n == 1)) begin mOutPtr <= mOutPtr +1; internal_empty_n <= 1'b1; if (mOutPtr == DEPTH-2) internal_full_n <= 1'b0; end end end assign shiftReg_addr = mOutPtr[ADDR_WIDTH] == 1'b0 ? mOutPtr[ADDR_WIDTH-1:0]:{ADDR_WIDTH{1'b0}}; assign shiftReg_ce = (if_write & if_write_ce) & internal_full_n; FIFO_image_filter_img_2_rows_V_shiftReg #( .DATA_WIDTH(DATA_WIDTH), .ADDR_WIDTH(ADDR_WIDTH), .DEPTH(DEPTH)) U_FIFO_image_filter_img_2_rows_V_ram ( .clk(clk), .data(shiftReg_data), .ce(shiftReg_ce), .a(shiftReg_addr), .q(shiftReg_q)); endmodule
////////////////////////////////////////////////////////////////////- // Design unit: Data Path Unit (Module) // : // File name : du.v // : // Description: Data Path Unit for Vending Machine // : // Limitations: None // : // System : Verilog // : // Author : 1. Wan Ahmad Zainie bin Wan Mohamad (ME131135) // : [email protected] // : 2. Azfar 'Aizat bin Mohd Isa (ME131032) // : [email protected] // // Revision : Version 0.1 2014-05-30 Initial // : Version 1.0 2014-06-09 Ready for submission // : Version 2.0 2014-06-10 Change to behavioral ////////////////////////////////////////////////////////////////////- module du(clk, rst, deposit, select, price, ldRdeposit, ldRselect, ldRprice, ldA, ldRproduct, ldRchange, ldRpurchase, ldMprice, ldMquantity, clrRdeposit, clrRselect, clrRprice, clrA, clrRproduct, clrRchange, clrRpurchase, purchase, refund, product, change); input clk, rst; input [9:0] deposit, price; input [4:0] select; input ldRdeposit, ldRselect, ldRprice, ldA, ldRproduct, ldRchange; input ldRpurchase, ldMprice, ldMquantity, clrRdeposit, clrRselect; input clrRprice, clrA, clrRproduct, clrRchange, clrRpurchase; output reg purchase, refund; output reg [4:0] product; output reg [9:0] change; reg [9:0] Rdeposit, Rprice, Adeposit; reg [4:0] Rselect; reg [15:0] mem [0:31]; integer i; initial begin for (i=0;i<32;i=i+1) begin mem[i] = 16'h2864; end mem[0] = 16'b0000_0000_0011_0010; // quantity=0, price=50(RM5) mem[1] = 16'b0010_1001_1001_0000; // quantity=10, price=400(RM40) end //initial begin $readmemh("default.dat", mem); end // Register deposit always @ (negedge rst or posedge clk) begin if (rst == 0) Rdeposit <= 0; else if (ldRdeposit) Rdeposit <= deposit; else if (clrRdeposit) Rdeposit <= 0; end // Register select always @ (negedge rst or posedge clk) begin if (rst == 0) Rselect <= 0; else if (ldRselect) Rselect <= select; else if (clrRselect) Rselect <= 0; end // Register price always @ (negedge rst or posedge clk) begin if (rst == 0) Rprice <= 0; else if (ldRprice) Rprice <= price; else if (clrRprice) Rprice <= 0; end // Accumulator accumulate deposit, and restore previous if exceed threshold always @ (negedge rst or posedge clk) begin if (rst == 0) Adeposit <= 0; else if (ldA) Adeposit <= Adeposit + Rdeposit; else if (clrA) Adeposit <= 0; else if (refund) Adeposit <= Adeposit - Rdeposit; end // Comparator Adeposit > maximum accepted deposit always @ (Adeposit) begin if (Adeposit > 500) refund = 1; else refund = 0; end // Comparator Adeposit >= price, quantity > 0 always @ (Adeposit) begin for (i=0; i<32;i=i+1) begin if (0 < mem[i][13:10] && Adeposit >= mem[i][9:0]) mem[i][15] = 1; else mem[i][15] = 0; end end // Logic to indicate purchase always @ (negedge rst or posedge clk) begin if (rst == 0) purchase <= 0; else if (ldRpurchase) if (mem[Rselect][15]) purchase <= 1; else purchase <= 0; else if (clrRpurchase) purchase <= 0; end // Substractor calculate change always @ (negedge rst or posedge clk) begin if (rst == 0) change <= 0; else if (ldRchange) change <= Adeposit - mem[Rselect][9:0]; else if (clrRchange) change <= 0; end // Register selected product always @ (negedge rst or posedge clk) begin if (rst == 0) product <= 0; else if (ldRproduct) product <= Rselect; else if (clrRproduct) product <= 0; end // Register array update price or reduce quantity by 1 always @ (posedge clk) begin if (ldMquantity) mem[Rselect][13:10] <= mem[Rselect][13:10] - 1'b1; if (ldMprice) mem[Rselect][9:0] <= Rprice; end endmodule
//----------------------------------------------------------------------------- // Copyright 2017 Damien Pretet ThotIP // // Licensed under the Apache License, Version 2.0 (the "License"); // you may not use this file except in compliance with the License. // You may obtain a copy of the License at // // http://www.apache.org/licenses/LICENSE-2.0 // // Unless required by applicable law or agreed to in writing, software // distributed under the License is distributed on an "AS IS" BASIS, // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. // See the License for the specific language governing permissions and // limitations under the License. //----------------------------------------------------------------------------- `timescale 1 ns / 1 ps `default_nettype none module sync_ptr #( parameter ASIZE = 4 )( input wire dest_clk, input wire dest_rst_n, input wire [ASIZE:0] src_ptr, output reg [ASIZE:0] dest_ptr ); reg [ASIZE:0] ptr_x; always @(posedge dest_clk or negedge dest_rst_n) begin if (!dest_rst_n) {dest_ptr,ptr_x} <= 0; else {dest_ptr,ptr_x} <= {ptr_x,src_ptr}; end endmodule `resetall