repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/FIFO_image_filter_dmask_data_stream_0_V.vhd
2
4629
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_dmask_data_stream_0_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_dmask_data_stream_0_V_shiftReg; architecture rtl of FIFO_image_filter_dmask_data_stream_0_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_dmask_data_stream_0_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_dmask_data_stream_0_V is component FIFO_image_filter_dmask_data_stream_0_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_dmask_data_stream_0_V_shiftReg : FIFO_image_filter_dmask_data_stream_0_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_pkg.vhd
13
6615
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_pkg.vhd -- Description: This package contains various constants and functions for -- AXI SG Engine. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package axi_sg_pkg is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- -- Convert boolean to a std_logic function bo2int (value : boolean) return integer; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- AXI Response Values constant OKAY_RESP : std_logic_vector(1 downto 0) := "00"; constant EXOKAY_RESP : std_logic_vector(1 downto 0) := "01"; constant SLVERR_RESP : std_logic_vector(1 downto 0) := "10"; constant DECERR_RESP : std_logic_vector(1 downto 0) := "11"; -- Misc Constants constant CMD_BASE_WIDTH : integer := 40; constant SG_BTT_WIDTH : integer := 7; constant SG_ADDR_LSB : integer := 6; -- Interrupt Coalescing constant ZERO_THRESHOLD : std_logic_vector(7 downto 0) := (others => '0'); constant ONE_THRESHOLD : std_logic_vector(7 downto 0) := "00000001"; constant ZERO_DELAY : std_logic_vector(7 downto 0) := (others => '0'); -- Constants Used in Desc Updates constant DESC_STS_TYPE : std_logic := '1'; constant DESC_DATA_TYPE : std_logic := '0'; -- DataMover Command / Status Constants constant DATAMOVER_STS_CMDDONE_BIT : integer := 7; constant DATAMOVER_STS_SLVERR_BIT : integer := 6; constant DATAMOVER_STS_DECERR_BIT : integer := 5; constant DATAMOVER_STS_INTERR_BIT : integer := 4; constant DATAMOVER_STS_TAGMSB_BIT : integer := 3; constant DATAMOVER_STS_TAGLSB_BIT : integer := 0; constant DATAMOVER_CMD_BTTLSB_BIT : integer := 0; constant DATAMOVER_CMD_BTTMSB_BIT : integer := 22; constant DATAMOVER_CMD_TYPE_BIT : integer := 23; constant DATAMOVER_CMD_DSALSB_BIT : integer := 24; constant DATAMOVER_CMD_DSAMSB_BIT : integer := 29; constant DATAMOVER_CMD_EOF_BIT : integer := 30; constant DATAMOVER_CMD_DRR_BIT : integer := 31; constant DATAMOVER_CMD_ADDRLSB_BIT : integer := 32; -- Note: Bit offset require adding ADDR WIDTH to get to actual bit index constant DATAMOVER_CMD_ADDRMSB_BOFST : integer := 31; constant DATAMOVER_CMD_TAGLSB_BOFST : integer := 32; constant DATAMOVER_CMD_TAGMSB_BOFST : integer := 35; constant DATAMOVER_CMD_RSVLSB_BOFST : integer := 36; constant DATAMOVER_CMD_RSVMSB_BOFST : integer := 39; -- Descriptor field bits constant DESC_STS_INTERR_BIT : integer := 28; constant DESC_STS_SLVERR_BIT : integer := 29; constant DESC_STS_DECERR_BIT : integer := 30; constant DESC_STS_CMPLTD_BIT : integer := 31; -- IOC Bit on descriptor update -- Stored in LSB of TAG field then catinated on status word from primary -- datamover (i.e. DESCTYPE & IOC & STATUS & Bytes Transferred). constant DESC_IOC_TAG_BIT : integer := 32; end axi_sg_pkg; ------------------------------------------------------------------------------- -- PACKAGE BODY ------------------------------------------------------------------------------- package body axi_sg_pkg is ------------------------------------------------------------------------------- -- Boolean to Integer ------------------------------------------------------------------------------- function bo2int ( value : boolean) return integer is variable value_int : integer; begin if(value)then value_int := 1; else value_int := 0; end if; return value_int; end function bo2int; end package body axi_sg_pkg;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/image_filter_Block_proc.vhd
2
17400
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_Block_proc is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; rows : IN STD_LOGIC_VECTOR (31 downto 0); cols : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_4 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_5 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_6 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_7 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_8 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_9 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_10 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_11 : OUT STD_LOGIC_VECTOR (11 downto 0) ); end; architecture behav of image_filter_Block_proc is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv12_0 : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "1"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_20 : BOOLEAN; signal ap_sig_bdd_46 : BOOLEAN; signal p_src_rows_V_fu_31_p1 : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_fu_35_p1 : STD_LOGIC_VECTOR (11 downto 0); signal ap_return_0_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_1_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_2_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_3_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_4_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_5_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_6_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_7_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_8_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_9_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_10_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_return_11_preg : STD_LOGIC_VECTOR (11 downto 0) := "000000000000"; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_done_reg assign process. -- ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; -- ap_return_0_preg assign process. -- ap_return_0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_0_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_0_preg <= p_src_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_10_preg assign process. -- ap_return_10_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_10_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_10_preg <= p_src_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_11_preg assign process. -- ap_return_11_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_11_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_11_preg <= p_src_cols_V_fu_35_p1; end if; end if; end if; end process; -- ap_return_1_preg assign process. -- ap_return_1_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_1_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_1_preg <= p_src_cols_V_fu_35_p1; end if; end if; end if; end process; -- ap_return_2_preg assign process. -- ap_return_2_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_2_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_2_preg <= p_src_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_3_preg assign process. -- ap_return_3_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_3_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_3_preg <= p_src_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_4_preg assign process. -- ap_return_4_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_4_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_4_preg <= p_src_cols_V_fu_35_p1; end if; end if; end if; end process; -- ap_return_5_preg assign process. -- ap_return_5_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_5_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_5_preg <= p_src_cols_V_fu_35_p1; end if; end if; end if; end process; -- ap_return_6_preg assign process. -- ap_return_6_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_6_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_6_preg <= p_src_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_7_preg assign process. -- ap_return_7_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_7_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_7_preg <= p_src_rows_V_fu_31_p1; end if; end if; end if; end process; -- ap_return_8_preg assign process. -- ap_return_8_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_8_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_8_preg <= p_src_cols_V_fu_35_p1; end if; end if; end if; end process; -- ap_return_9_preg assign process. -- ap_return_9_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_9_preg <= ap_const_lv12_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_9_preg <= p_src_cols_V_fu_35_p1; end if; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_46) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_done_reg, ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46) begin if (((ap_const_logic_1 = ap_done_reg) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_return_0 assign process. -- ap_return_0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_rows_V_fu_31_p1, ap_return_0_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_0 <= p_src_rows_V_fu_31_p1; else ap_return_0 <= ap_return_0_preg; end if; end process; -- ap_return_1 assign process. -- ap_return_1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_cols_V_fu_35_p1, ap_return_1_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_1 <= p_src_cols_V_fu_35_p1; else ap_return_1 <= ap_return_1_preg; end if; end process; -- ap_return_10 assign process. -- ap_return_10_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_rows_V_fu_31_p1, ap_return_10_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_10 <= p_src_rows_V_fu_31_p1; else ap_return_10 <= ap_return_10_preg; end if; end process; -- ap_return_11 assign process. -- ap_return_11_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_cols_V_fu_35_p1, ap_return_11_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_11 <= p_src_cols_V_fu_35_p1; else ap_return_11 <= ap_return_11_preg; end if; end process; -- ap_return_2 assign process. -- ap_return_2_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_rows_V_fu_31_p1, ap_return_2_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_2 <= p_src_rows_V_fu_31_p1; else ap_return_2 <= ap_return_2_preg; end if; end process; -- ap_return_3 assign process. -- ap_return_3_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_rows_V_fu_31_p1, ap_return_3_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_3 <= p_src_rows_V_fu_31_p1; else ap_return_3 <= ap_return_3_preg; end if; end process; -- ap_return_4 assign process. -- ap_return_4_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_cols_V_fu_35_p1, ap_return_4_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_4 <= p_src_cols_V_fu_35_p1; else ap_return_4 <= ap_return_4_preg; end if; end process; -- ap_return_5 assign process. -- ap_return_5_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_cols_V_fu_35_p1, ap_return_5_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_5 <= p_src_cols_V_fu_35_p1; else ap_return_5 <= ap_return_5_preg; end if; end process; -- ap_return_6 assign process. -- ap_return_6_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_rows_V_fu_31_p1, ap_return_6_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_6 <= p_src_rows_V_fu_31_p1; else ap_return_6 <= ap_return_6_preg; end if; end process; -- ap_return_7 assign process. -- ap_return_7_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_rows_V_fu_31_p1, ap_return_7_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_7 <= p_src_rows_V_fu_31_p1; else ap_return_7 <= ap_return_7_preg; end if; end process; -- ap_return_8 assign process. -- ap_return_8_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_cols_V_fu_35_p1, ap_return_8_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_8 <= p_src_cols_V_fu_35_p1; else ap_return_8 <= ap_return_8_preg; end if; end process; -- ap_return_9 assign process. -- ap_return_9_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ap_sig_bdd_46, p_src_cols_V_fu_35_p1, ap_return_9_preg) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_46))) then ap_return_9 <= p_src_cols_V_fu_35_p1; else ap_return_9 <= ap_return_9_preg; end if; end process; -- ap_sig_bdd_20 assign process. -- ap_sig_bdd_20_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_20 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_46 assign process. -- ap_sig_bdd_46_assign_proc : process(ap_start, ap_done_reg) begin ap_sig_bdd_46 <= ((ap_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1)); end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_20) begin if (ap_sig_bdd_20) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; p_src_cols_V_fu_35_p1 <= cols(12 - 1 downto 0); p_src_rows_V_fu_31_p1 <= rows(12 - 1 downto 0); end behav;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_wrdata_cntl.vhd
6
90830
------------------------------------------------------------------------------- -- axi_datamover_wrdata_cntl.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_wrdata_cntl.vhd -- -- Description: -- This file implements the DataMover Master Write Data Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_fifo; use axi_datamover_v5_1.axi_datamover_strb_gen2; ------------------------------------------------------------------------------- entity axi_datamover_wrdata_cntl is generic ( C_REALIGNER_INCLUDED : Integer range 0 to 1 := 0; -- Indicates the Data Realignment function is included (external -- to this module) C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates the INDET BTT function is included (external -- to this module) C_SF_BYTES_RCVD_WIDTH : Integer range 1 to 23 := 1; -- Sets the width of the data2wsc_bytes_rcvd port used for -- relaying the actual number of bytes received when Idet BTT is -- enabled (C_ENABLE_INDET_BTT = 1) C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS bits of the transfer address that -- are being used to Demux write data to a wider AXI4 Write -- Data Bus C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4; -- Sets the depth of the internal command fifo used for the -- command queue C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the native data width of the Read Data port C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream output data port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input command C_FAMILY : String := "virtex7" -- Indicates the device family of the target FPGA ); port ( -- Clock and Reset inputs ---------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------------ -- Soft Shutdown internal interface ------------------------------------ -- rst2data_stop_request : in std_logic; -- -- Active high soft stop request to modules -- -- data2addr_stop_req : Out std_logic; -- -- Active high signal requesting the Address Controller -- -- to stop posting commands to the AXI Read Address Channel -- -- data2rst_stop_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- any pending transfers committed by the Address Controller -- -- after a stop has been requested by the Reset module. -- ------------------------------------------------------------------------ -- Store and Forward support signals for external User logic ------------ -- wr_xfer_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- a single write data transfer on the AXI4 Write Data Channel. -- -- This signal is escentially echos the assertion of wlast sent -- -- to the AXI4. -- -- s2mm_ld_nxt_len : out std_logic; -- -- Active high pulse indicating a new xfer length has been queued -- -- to the WDC Cmd FIFO -- -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- -- Bus indicating the AXI LEN value associated with the xfer command -- -- loaded into the WDC Command FIFO. -- ------------------------------------------------------------------------- -- AXI Write Data Channel Skid buffer I/O --------------------------------------- -- data2skid_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wlast : Out std_logic; -- -- Write LAST output to skid buffer -- -- data2skid_wvalid : Out std_logic; -- -- Write VALID output to skid buffer -- -- skid2data_wready : In std_logic; -- -- Write READY input from skid buffer -- ---------------------------------------------------------------------------------- -- AXI Slave Stream In ----------------------------------------------------------- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID input -- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data input -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB input -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST input -- ---------------------------------------------------------------------------------- -- Stream input sideband signal from Indeterminate BTT and/or DRE ---------------- -- s2mm_strm_eop : In std_logic; -- -- Stream End of Packet marker input. This is only used when Indeterminate -- -- BTT mode is enable. Otherwise it is ignored -- -- -- s2mm_stbs_asserted : in std_logic_vector(7 downto 0); -- -- Indicates the number of asserted WSTRB bits for the -- -- associated input stream data beat -- -- -- -- Realigner Underrun/overrun error flag used in non Indeterminate BTT -- -- Mode -- realign2wdc_eop_error : In std_logic ; -- -- Asserted active high and will only clear with reset. It is only used -- -- when Indeterminate BTT is not enabled and the Realigner Module is -- -- instantiated upstream from the WDC. The Realigner will detect overrun -- -- underrun conditions and will will relay these conditions via this signal. -- ---------------------------------------------------------------------------------- -- Command Calculator Interface -------------------------------------------------- -- mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the write strb -- -- demux (only used if Stream data width is less than the MMap Dwidth). -- -- mstr2data_len : In std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the first stream data beat -- -- mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the last stream -- -- data beat -- -- mstr2data_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2data_sequential : In std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : In std_logic; -- -- The final child tranfer of a parent command fetched from -- -- the Command FIFO (not necessarily an EOF command) -- -- mstr2data_cmd_valid : In std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : Out std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- ---------------------------------------------------------------------------------- -- Address Controller Interface -------------------------------------------------- -- addr2data_addr_posted : In std_logic ; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel -- -- -- data2addr_data_rdy : out std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer request until the -- -- corresponding data valid is asserted on the stream input. The -- -- WDC will continue to assert the output until an assertion on -- -- the addr2data_addr_posted is received. -- --------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ------------------------------------------ -- data2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the data controller detected -- -- a premature TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------------------- -- Data Controller Halted Status ------------------------------------------------- -- data2all_dcntlr_halted : Out std_logic; -- -- When asserted, this indicates the data controller has satisfied -- -- all pending transfers queued by the Address Controller and is halted. -- ---------------------------------------------------------------------------------- -- Input Stream Skid Buffer Halt control ----------------------------------------- -- data2skid_halt : Out std_logic; -- -- The data controller asserts this output for 1 primary clock period -- -- The pulse commands the MM2S Stream skid buffer to tun off outputs -- -- at the next tlast transmission. -- ---------------------------------------------------------------------------------- -- Write Status Controller Interface --------------------------------------------- -- data2wsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The command tag -- -- data2wsc_calc_err : Out std_logic ; -- -- Indication that the current command out from the Cntl FIFO -- -- has a calculation error -- -- data2wsc_last_err : Out std_logic ; -- -- Indication that the current write transfer encountered a premature -- -- TLAST assertion on the incoming Stream Channel -- -- data2wsc_cmd_cmplt : Out std_logic ; -- -- Indication by the Data Channel Controller that the -- -- corresponding status is the last status for a command -- -- pulled from the command FIFO -- -- wsc2data_ready : in std_logic; -- -- Input from the Write Status Module indicating that the -- -- Status Reg/FIFO is ready to accept data -- -- data2wsc_valid : Out std_logic; -- -- Output to the Command/Status Module indicating that the -- -- Data Controller has valid tag and err indicators to write -- -- to the Status module -- -- data2wsc_eop : Out std_logic; -- -- Output to the Write Status Controller indicating that the -- -- associated command status also corresponds to a End of Packet -- -- marker for the input Stream. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- data2wsc_bytes_rcvd : Out std_logic_vector(C_SF_BYTES_RCVD_WIDTH-1 downto 0); -- -- Output to the Write Status Controller indicating the actual -- -- number of bytes received from the Stream input for the -- -- corresponding command status. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- wsc2mstr_halt_pipe : In std_logic -- -- Indication to Halt the Data and Address Command pipeline due -- -- to the Status FIFO going full or an internal error being logged -- ---------------------------------------------------------------------------------- ); end entity axi_datamover_wrdata_cntl; architecture implementation of axi_datamover_wrdata_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declaration ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 128 => -- 1024 bits -- Added per Per CR616409 temp_dbeat_residue_width := 7; -- Added per Per CR616409 when 64 => -- 512 bits -- Added per Per CR616409 temp_dbeat_residue_width := 6; -- Added per Per CR616409 when 32 => -- 256 bits temp_dbeat_residue_width := 5; when 16 => -- 128 bits temp_dbeat_residue_width := 4; when 8 => -- 64 bits temp_dbeat_residue_width := 3; when 4 => -- 32 bits temp_dbeat_residue_width := 2; when 2 => -- 16 bits temp_dbeat_residue_width := 1; when others => -- assume 1-byte transfers temp_dbeat_residue_width := 0; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_cnt_width -- -- Function Description: -- Sets a count width based on a fifo depth. A depth of 4 or less -- is a special case which requires a minimum count width of 3 bits. -- ------------------------------------------------------------------- function funct_set_cnt_width (fifo_depth : integer) return integer is Variable temp_cnt_width : Integer := 4; begin if (fifo_depth <= 4) then temp_cnt_width := 3; elsif (fifo_depth <= 8) then temp_cnt_width := 4; elsif (fifo_depth <= 16) then temp_cnt_width := 5; elsif (fifo_depth <= 32) then temp_cnt_width := 6; else -- fifo depth <= 64 temp_cnt_width := 7; end if; Return (temp_cnt_width); end function funct_set_cnt_width; -- Constant Declarations -------------------------------------------- Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH; Constant LEN_WIDTH : integer := 8; Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant CMD_CMPLT_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SADDR_LSB_WIDTH + -- LS Address field width LEN_WIDTH + -- LEN field STRB_WIDTH + -- Starting Strobe field STRB_WIDTH + -- Ending Strobe field DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CMD_CMPLT_WIDTH + -- Command Complete Flag CALC_ERR_WIDTH; -- Calc error flag Constant TAG_STRT_INDEX : integer := 0; Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH; Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH; Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH; Constant DRR_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX+CMD_CMPLT_WIDTH; Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8; Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH); Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH); Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '1'); -- Signal Declarations -------------------------------------------- signal sig_get_next_dqual : std_logic := '0'; signal sig_last_mmap_dbeat : std_logic := '0'; signal sig_last_mmap_dbeat_reg : std_logic := '0'; signal sig_mmap2data_ready : std_logic := '0'; signal sig_data2mmap_valid : std_logic := '0'; signal sig_data2mmap_last : std_logic := '0'; signal sig_data2mmap_data : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_ld_new_cmd : std_logic := '0'; signal sig_ld_new_cmd_reg : std_logic := '0'; signal sig_cmd_cmplt_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted : std_logic := '0'; signal sig_dqual_rdy : std_logic := '0'; signal sig_good_mmap_dbeat : std_logic := '0'; signal sig_first_dbeat : std_logic := '0'; signal sig_last_dbeat : std_logic := '0'; signal sig_single_dbeat : std_logic := '0'; signal sig_new_len_eq_0 : std_logic := '0'; signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0'); Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0; signal sig_dbeat_cntr_eq_0 : std_logic := '0'; signal sig_dbeat_cntr_eq_1 : std_logic := '0'; signal sig_wsc_ready : std_logic := '0'; signal sig_push_to_wsc : std_logic := '0'; signal sig_push_to_wsc_cmplt : std_logic := '0'; signal sig_set_push2wsc : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_tlast_error : std_logic := '0'; signal sig_tlast_error_strbs : std_logic := '0'; signal sig_end_stbs_match_err : std_logic := '0'; signal sig_tlast_error_reg : std_logic := '0'; signal sig_cmd_is_eof : std_logic := '0'; signal sig_push_err2wsc : std_logic := '0'; signal sig_tlast_error_ovrrun : std_logic := '0'; signal sig_tlast_error_undrrun : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_eof_reg : std_logic := '0'; signal sig_next_sequential_reg : std_logic := '0'; signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_next_calc_error_reg : std_logic := '0'; signal sig_pop_dqual_reg : std_logic := '0'; signal sig_push_dqual_reg : std_logic := '0'; signal sig_dqual_reg_empty : std_logic := '0'; signal sig_dqual_reg_full : std_logic := '0'; signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted_cntr_eq_0 : std_logic := '0'; signal sig_addr_posted_cntr_max : std_logic := '0'; signal sig_decr_addr_posted_cntr : std_logic := '0'; signal sig_incr_addr_posted_cntr : std_logic := '0'; signal sig_addr_posted_cntr_eq_1 : std_logic := '0'; signal sig_apc_going2zero : std_logic := '0'; signal sig_aposted_cntr_ready : std_logic := '0'; signal sig_addr_chan_rdy : std_logic := '0'; Signal sig_no_posted_cmds : std_logic := '0'; signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ls_addr_cntr : std_logic := '0'; signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_sadddr_lsb : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_last_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_drr : std_logic := '0'; signal sig_fifo_next_eof : std_logic := '0'; signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_next_sequential : std_logic := '0'; signal sig_fifo_next_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_sequential_push : std_logic := '0'; signal sig_clr_dqual_reg : std_logic := '0'; signal sig_tlast_err_stop : std_logic := '0'; signal sig_halt_reg : std_logic := '0'; signal sig_halt_reg_dly1 : std_logic := '0'; signal sig_halt_reg_dly2 : std_logic := '0'; signal sig_halt_reg_dly3 : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_stop_wvalid : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_s2mm_strm_wready : std_logic := '0'; signal sig_good_strm_dbeat : std_logic := '0'; signal sig_halt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_sfhalt_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_wfd_simult_clr_set : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_spcl_push_err2wsc : std_logic := '0'; begin --(architecture implementation) -- Command calculator handshake data2mstr_cmd_ready <= sig_data2mstr_cmd_ready; -- Write Data Channel Skid Buffer Port assignments sig_mmap2data_ready <= skid2data_wready ; data2skid_wvalid <= sig_data2mmap_valid ; data2skid_wlast <= sig_data2mmap_last ; data2skid_wdata <= sig_data2mmap_data ; data2skid_saddr_lsb <= sig_addr_lsb_reg ; -- AXI MM2S Stream Channel Port assignments sig_data2mmap_data <= s2mm_strm_wdata ; -- Premature TLAST assertion indication data2all_tlast_error <= sig_tlast_error_reg ; -- Stream Input Ready Handshake s2mm_strm_wready <= sig_s2mm_strm_wready ; sig_good_strm_dbeat <= s2mm_strm_wvalid and sig_s2mm_strm_wready; sig_data2mmap_last <= sig_dbeat_cntr_eq_0 and sig_dqual_rdy; -- Write Status Block interface signals data2wsc_valid <= sig_push_to_wsc and not(sig_tlast_err_stop) ; -- only allow 1 status write on TLAST errror sig_wsc_ready <= wsc2data_ready ; data2wsc_tag <= sig_data2wsc_tag ; data2wsc_calc_err <= sig_data2wsc_calc_err ; data2wsc_last_err <= sig_data2wsc_last_err ; data2wsc_cmd_cmplt <= sig_data2wsc_cmd_cmplt ; -- Address Channel Controller synchro pulse input sig_addr_posted <= addr2data_addr_posted; -- Request to halt the Address Channel Controller data2addr_stop_req <= sig_halt_reg or sig_tlast_error_reg; -- Halted flag to the reset module data2rst_stop_cmplt <= sig_data2rst_stop_cmplt; -- Indicate the Write Data Controller is always ready data2addr_data_rdy <= '1'; -- Write Transfer Completed Status output wr_xfer_cmplt <= sig_wr_xfer_cmplt ; -- New LEN value is being loaded s2mm_ld_nxt_len <= sig_s2mm_ld_nxt_len; -- The new LEN value s2mm_wr_len <= sig_s2mm_wr_len; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_CMPLT_FLAG -- -- Process Description: -- Implements the status flag indicating that a write data -- transfer has completed. This is an echo of a wlast assertion -- and a qualified data beat on the AXI4 Write Data Channel. -- ------------------------------------------------------------- IMP_WR_CMPLT_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_wr_xfer_cmplt <= '0'; else sig_wr_xfer_cmplt <= sig_data2mmap_last and sig_good_strm_dbeat; end if; end if; end process IMP_WR_CMPLT_FLAG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omits any Indeterminate BTT Support logic and includes -- any error detection needed in Non Indeterminate BTT mode. -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_sfhalt_next_strt_strb <= sig_fifo_next_strt_strb; -- Just housekeep the output port signals data2wsc_eop <= '0'; data2wsc_bytes_rcvd <= (others => '0'); -- WRSTRB logic ------------------------------ -- Generate the Write Strobes for the MMap Write Data Channel -- for the non Indeterminate BTT Case data2skid_wstrb <= sig_strt_strb_reg When (sig_first_dbeat = '1') Else sig_last_strb_reg When (sig_last_dbeat = '1') Else (others => '1'); -- Generate the Stream Ready for the Stream input side sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested (sig_mmap2data_ready and sig_addr_chan_rdy and -- This puts combinational logic in the stream WREADY path sig_dqual_rdy and not(sig_calc_error_reg) and not(sig_tlast_error_reg)); -- Stop the stream channel at a overrun/underrun detection -- MMap Write Data Channel Valid Handshaking sig_data2mmap_valid <= (s2mm_strm_wvalid or sig_tlast_error_reg or -- force valid if TLAST error sig_halt_reg ) and -- force valid if halt requested sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and not(sig_stop_wvalid); -- gate off wvalid immediately after a wlast for 1 clk -- or when the soft shutdown has completed ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LOCAL_ERR_DETECT -- -- If Generate Description: -- Implements the local overrun and underrun detection when -- the S2MM Realigner is not included. -- -- ------------------------------------------------------------ GEN_LOCAL_ERR_DETECT : if (C_REALIGNER_INCLUDED = 0) generate begin ------- Input Stream TLAST assertion error ------------------------------- sig_tlast_error_ovrrun <= sig_cmd_is_eof and sig_dbeat_cntr_eq_0 and sig_good_mmap_dbeat and not(s2mm_strm_wlast); sig_tlast_error_undrrun <= s2mm_strm_wlast and sig_good_mmap_dbeat and (not(sig_dbeat_cntr_eq_0) or not(sig_cmd_is_eof)); sig_end_stbs_match_err <= '1' -- Set flag if the calculated end strobe value When ((s2mm_strm_wstrb /= sig_next_last_strb_reg) and -- does not match the received strobe value (s2mm_strm_wlast = '1') and -- at TLAST assertion (sig_good_mmap_dbeat = '1')) -- Qualified databeat Else '0'; sig_tlast_error <= (sig_tlast_error_ovrrun or sig_tlast_error_undrrun or sig_end_stbs_match_err) and not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Just housekeep this when local TLAST error detection is used sig_spcl_push_err2wsc <= '0'; end generate GEN_LOCAL_ERR_DETECT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_EXTERN_ERR_DETECT -- -- If Generate Description: -- Omits the local overrun and underrun detection and relies -- on the S2MM Realigner for the detection. -- ------------------------------------------------------------ GEN_EXTERN_ERR_DETECT : if (C_REALIGNER_INCLUDED = 1) generate begin sig_tlast_error_undrrun <= '0'; -- not used here sig_tlast_error_ovrrun <= '0'; -- not used here sig_end_stbs_match_err <= '0'; -- not used here sig_tlast_error <= realign2wdc_eop_error and -- External error detection asserted not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Special case for pushing error status when timing is such that no -- addresses have been posted to AXI and a TLAST error has been detected -- by the Realigner module and propagated in from the Stream input side. sig_spcl_push_err2wsc <= sig_tlast_error_reg and not(sig_tlast_err_stop) and not(sig_addr_chan_rdy ); end generate GEN_EXTERN_ERR_DETECT; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_REG -- -- Process Description: -- Implements a sample and hold flop for the flag indicating -- that the input Stream TLAST assertion was not at the expected -- data beat relative to the commanded number of databeats -- from the associated command from the SCC or PCC. ------------------------------------------------------------- IMP_TLAST_ERR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_error_reg <= '0'; elsif (sig_tlast_error = '1') then sig_tlast_error_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_TLAST_ERR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERROR_STOP -- -- Process Description: -- Implements the flop to generate a stop flag once the TLAST -- error condition has been relayed to the Write Status -- Controller. This stop flag is used to prevent any more -- pushes to the Write Status Controller. -- ------------------------------------------------------------- IMP_TLAST_ERROR_STOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_err_stop <= '0'; elsif (sig_tlast_error_reg = '1' and sig_push_to_wsc_cmplt = '1') then sig_tlast_err_stop <= '1'; else null; -- Hold State end if; end if; end process IMP_TLAST_ERROR_STOP; end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INDET_BTT -- -- If Generate Description: -- Includes any Indeterminate BTT Support logic. Primarily -- this is a counter for the input stream bytes received. The -- received byte count is relayed to the Write Status Controller -- for each parent command completed. -- When a packet completion is indicated via the EOP marker -- assertion, the status to the Write Status Controller also -- indicates the EOP condition. -- Note that underrun and overrun detection/error flagging -- is disabled in Indeterminate BTT Mode. -- ------------------------------------------------------------ GEN_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate -- local constants Constant BYTE_CNTR_WIDTH : integer := C_SF_BYTES_RCVD_WIDTH; Constant NUM_ZEROS_WIDTH : integer := 8; Constant BYTES_PER_DBEAT : integer := C_STREAM_DWIDTH/8; Constant STRBGEN_ADDR_SLICE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT); Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); -- local signals signal lsig_byte_cntr : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_byte_cntr_incr_value : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_ld_byte_cntr : std_logic := '0'; signal lsig_incr_byte_cntr : std_logic := '0'; signal lsig_clr_byte_cntr : std_logic := '0'; signal lsig_end_of_cmd_reg : std_logic := '0'; signal lsig_eop_s_h_reg : std_logic := '0'; signal lsig_eop_reg : std_logic := '0'; signal sig_strbgen_addr : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); begin -- Assign the outputs to the Write Status Controller data2wsc_eop <= lsig_eop_reg and not(sig_next_calc_error_reg); data2wsc_bytes_rcvd <= STD_LOGIC_VECTOR(lsig_byte_cntr); -- WRSTRB logic ------------------------------ --sig_strbgen_bytes <= (others => '1'); -- set to the max value -- set the length to the max number of bytes per databeat sig_strbgen_bytes <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)); sig_strbgen_addr <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_fifo_next_sadddr_lsb), STRBGEN_ADDR_SLICE_WIDTH)) ; ------------------------------------------------------------ -- Instance: I_STRT_STRB_GEN -- -- Description: -- Strobe generator used to generate the starting databeat -- strobe value for soft shutdown case where the S2MM has to -- flush out all of the transfers that have been committed -- to the AXI Write address channel. Starting Strobes must -- match the committed address offest for each transfer. -- ------------------------------------------------------------ I_STRT_STRB_GEN : entity axi_datamover_v5_1.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 0 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 ) port map ( start_addr_offset => sig_strbgen_addr , end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 num_valid_bytes => sig_strbgen_bytes , strb_out => sig_sfhalt_next_strt_strb ); -- Generate the WSTRB to use during soft shutdown sig_halt_strb <= sig_strt_strb_reg When (sig_first_dbeat = '1' or sig_single_dbeat = '1') Else (others => '1'); -- Generate the Write Strobes for the MMap Write Data Channel -- for the Indeterminate BTT case. Strobes come from the Stream -- input from the Indeterminate BTT module during normal operation. -- However, during soft shutdown, those strobes become unpredictable -- so generated strobes have to be used. data2skid_wstrb <= sig_halt_strb When (sig_halt_reg = '1') Else s2mm_strm_wstrb; -- Generate the Stream Ready for the Stream input side sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested (sig_mmap2data_ready and -- MMap is accepting the xfers sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and -- No internal error not(sig_stop_wvalid)); -- Gate off stream ready immediately after a wlast for 1 clk -- or when the soft shutdown has completed -- MMap Write Data Channel Valid Handshaking sig_data2mmap_valid <= (s2mm_strm_wvalid or -- Normal Stream input valid sig_halt_reg ) and -- force valid if halt requested sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and -- No internal error not(sig_stop_wvalid); -- Gate off wvalid immediately after a wlast for 1 clk -- or when the soft shutdown has completed -- TLAST Error housekeeping for Indeterminate BTT Mode -- There is no Underrun/overrun in Stroe and Forward mode sig_tlast_error_ovrrun <= '0'; -- Not used with Indeterminate BTT sig_tlast_error_undrrun <= '0'; -- Not used with Indeterminate BTT sig_end_stbs_match_err <= '0'; -- Not used with Indeterminate BTT sig_tlast_error <= '0'; -- Not used with Indeterminate BTT sig_tlast_error_reg <= '0'; -- Not used with Indeterminate BTT sig_tlast_err_stop <= '0'; -- Not used with Indeterminate BTT ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_EOP_REG_FLOP -- -- Process Description: -- Register the End of Packet marker. -- ------------------------------------------------------------- IMP_EOP_REG_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_end_of_cmd_reg <= '0'; lsig_eop_reg <= '0'; Elsif (sig_good_strm_dbeat = '1') Then lsig_end_of_cmd_reg <= sig_next_cmd_cmplt_reg and s2mm_strm_wlast; lsig_eop_reg <= s2mm_strm_eop; else null; -- hold current state end if; end if; end process IMP_EOP_REG_FLOP; ----- Byte Counter Logic ----------------------------------------------- -- The Byte counter reflects the actual byte count received on the -- Stream input for each parent command loaded into the S2MM command -- FIFO. Thus it counts input bytes until the command complete qualifier -- is set and the TLAST input from the Stream input. lsig_clr_byte_cntr <= lsig_end_of_cmd_reg and -- Clear if a new stream packet does not start not(sig_good_strm_dbeat); -- immediately after the previous one finished. lsig_ld_byte_cntr <= lsig_end_of_cmd_reg and -- Only load if a new stream packet starts sig_good_strm_dbeat; -- immediately after the previous one finished. lsig_incr_byte_cntr <= sig_good_strm_dbeat; lsig_byte_cntr_incr_value <= RESIZE(UNSIGNED(s2mm_stbs_asserted), BYTE_CNTR_WIDTH); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BYTE_CMTR -- -- Process Description: -- Keeps a running byte count per burst packet loaded into the -- xfer FIFO. It is based on the strobes set on the incoming -- Stream dbeat. -- ------------------------------------------------------------- IMP_BYTE_CMTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_clr_byte_cntr = '1') then lsig_byte_cntr <= (others => '0'); elsif (lsig_ld_byte_cntr = '1') then lsig_byte_cntr <= lsig_byte_cntr_incr_value; elsif (lsig_incr_byte_cntr = '1') then lsig_byte_cntr <= lsig_byte_cntr + lsig_byte_cntr_incr_value; else null; -- hold current value end if; end if; end process IMP_BYTE_CMTR; end generate GEN_INDET_BTT; -- Internal logic ------------------------------ sig_good_mmap_dbeat <= sig_mmap2data_ready and sig_data2mmap_valid; sig_last_mmap_dbeat <= sig_good_mmap_dbeat and sig_data2mmap_last; sig_get_next_dqual <= sig_last_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_LAST_DBEAT -- -- Process Description: -- This implements a FLOP that creates a pulse -- indicating the LAST signal for an outgoing write data channel -- has been sent. Note that it is possible to have back to -- back LAST databeats. -- ------------------------------------------------------------- REG_LAST_DBEAT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_last_mmap_dbeat_reg <= '0'; else sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat; end if; end if; end process REG_LAST_DBEAT; ----- Write Status Interface Stuff -------------------------- sig_push_to_wsc_cmplt <= sig_push_to_wsc and sig_wsc_ready; sig_set_push2wsc <= (sig_good_mmap_dbeat and sig_dbeat_cntr_eq_0) or sig_push_err2wsc or sig_spcl_push_err2wsc; -- Special case from CR616212 ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INTERR_PUSH_FLOP -- -- Process Description: -- Generate a 1 clock wide pulse when a calc error has propagated -- from the Command Calculator. This pulse is used to force a -- push of the error status to the Write Status Controller -- without a AXI transfer completion. -- ------------------------------------------------------------- IMP_INTERR_PUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_push_err2wsc = '1') then sig_push_err2wsc <= '0'; elsif (sig_ld_new_cmd_reg = '1' and sig_calc_error_reg = '1') then sig_push_err2wsc <= '1'; else null; -- hold state end if; end if; end process IMP_INTERR_PUSH_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH2WSC_FLOP -- -- Process Description: -- Implements a Sample and hold register for the outbound status -- signals to the Write Status Controller (WSC). This register -- has to support back to back transfer completions. -- ------------------------------------------------------------- IMP_PUSH2WSC_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_push_to_wsc_cmplt = '1' and sig_set_push2wsc = '0')) then sig_push_to_wsc <= '0'; sig_data2wsc_tag <= (others => '0'); sig_data2wsc_calc_err <= '0'; sig_data2wsc_last_err <= '0'; sig_data2wsc_cmd_cmplt <= '0'; elsif (sig_set_push2wsc = '1' and sig_tlast_err_stop = '0') then sig_push_to_wsc <= '1'; sig_data2wsc_tag <= sig_tag_reg ; sig_data2wsc_calc_err <= sig_calc_error_reg ; sig_data2wsc_last_err <= sig_tlast_error_reg or sig_tlast_error ; sig_data2wsc_cmd_cmplt <= sig_cmd_cmplt_reg or sig_tlast_error_reg or sig_tlast_error ; else null; -- hold current state end if; end if; end process IMP_PUSH2WSC_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LD_NEW_CMD_REG -- -- Process Description: -- Registers the flag indicating a new command has been -- loaded. Needs to be a 1 clk wide pulse. -- ------------------------------------------------------------- IMP_LD_NEW_CMD_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_ld_new_cmd_reg = '1') then sig_ld_new_cmd_reg <= '0'; else sig_ld_new_cmd_reg <= sig_ld_new_cmd; end if; end if; end process IMP_LD_NEW_CMD_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_NXT_LEN_REG -- -- Process Description: -- Registers the load control and length value for a command -- passed to the WDC input command interface. The registered -- signals are used for the external Indeterminate BTT support -- ports. -- ------------------------------------------------------------- IMP_NXT_LEN_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_s2mm_ld_nxt_len <= '0'; sig_s2mm_wr_len <= (others => '0'); else sig_s2mm_ld_nxt_len <= mstr2data_cmd_valid and sig_data2mstr_cmd_ready; sig_s2mm_wr_len <= mstr2data_len; end if; end if; end process IMP_NXT_LEN_REG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DATA_CNTL_FIFO -- -- If Generate Description: -- Omits the input data control FIFO if the requested FIFO -- depth is 1. The Data Qualifier Register serves as a -- 1 deep FIFO by itself. -- ------------------------------------------------------------ GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ; -- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe) and -- The Wr Status Controller is not stalling -- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is -- pre 13.1 -- no calculation error being propagated sig_fifo_wr_cmd_ready <= sig_push_dqual_reg; sig_fifo_next_tag <= mstr2data_tag ; sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ; sig_fifo_next_len <= mstr2data_len ; sig_fifo_next_strt_strb <= mstr2data_strt_strb ; sig_fifo_next_last_strb <= mstr2data_last_strb ; sig_fifo_next_drr <= mstr2data_drr ; sig_fifo_next_eof <= mstr2data_eof ; sig_fifo_next_sequential <= mstr2data_sequential ; sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ; sig_fifo_next_calc_error <= mstr2data_calc_error ; end generate GEN_NO_DATA_CNTL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DATA_CNTL_FIFO -- -- If Generate Description: -- Includes the input data control FIFO if the requested -- FIFO depth is more than 1. -- ------------------------------------------------------------ GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ; -- pop the fifo when dqual reg is pushed sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2data_calc_error & mstr2data_cmd_cmplt & mstr2data_sequential & mstr2data_eof & mstr2data_drr & mstr2data_last_strb & mstr2data_strt_strb & mstr2data_len & mstr2data_saddr_lsb & mstr2data_tag ; -- Rip the output fifo data word sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto SADDR_LSB_STRT_INDEX); sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto LEN_STRT_INDEX); sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto STRT_STRB_STRT_INDEX); sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto LAST_STRB_STRT_INDEX); sig_fifo_next_drr <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX); sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DATA_CNTL_FIFO -- -- Description: -- Instance for the Command Qualifier FIFO -- ------------------------------------------------------------ I_DATA_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => DCTL_FIFO_WIDTH , C_DEPTH => C_DATA_CNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_DATA_CNTL_FIFO; -- Data Qualifier Register ------------------------------------ sig_ld_new_cmd <= sig_push_dqual_reg ; sig_dqual_rdy <= sig_dqual_reg_full ; sig_strt_strb_reg <= sig_next_strt_strb_reg ; sig_last_strb_reg <= sig_next_last_strb_reg ; sig_tag_reg <= sig_next_tag_reg ; sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ; sig_calc_error_reg <= sig_next_calc_error_reg ; sig_cmd_is_eof <= sig_next_eof_reg ; -- new for no bubbles between child requests sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified sig_last_dbeat and -- last data beat of transfer sig_next_sequential_reg;-- next queued command is sequential -- to the current command -- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or -- pre 13.1 sig_dqual_reg_empty) and -- pre 13.1 sig_fifo_rd_cmd_valid and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- pre 13.1 -- stalling the command execution pipe sig_push_dqual_reg <= (sig_sequential_push or sig_dqual_reg_empty) and sig_fifo_rd_cmd_valid and sig_aposted_cntr_ready and not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- stalling the command execution pipe sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and sig_get_next_dqual and sig_dqual_reg_full ; -- new for no bubbles between child requests sig_clr_dqual_reg <= mmap_reset or (sig_pop_dqual_reg and not(sig_push_dqual_reg)); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DQUAL_REG -- -- Process Description: -- This process implements a register for the Data -- Control and qualifiers. It operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_DQUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_clr_dqual_reg = '1') then sig_next_tag_reg <= (others => '0'); sig_next_strt_strb_reg <= (others => '0'); sig_next_last_strb_reg <= (others => '0'); sig_next_eof_reg <= '0' ; sig_next_sequential_reg <= '0' ; sig_next_cmd_cmplt_reg <= '0' ; sig_next_calc_error_reg <= '0' ; sig_dqual_reg_empty <= '1' ; sig_dqual_reg_full <= '0' ; elsif (sig_push_dqual_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_strt_strb_reg <= sig_sfhalt_next_strt_strb ; sig_next_last_strb_reg <= sig_fifo_next_last_strb ; sig_next_eof_reg <= sig_fifo_next_eof ; sig_next_sequential_reg <= sig_fifo_next_sequential ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_next_calc_error_reg <= sig_fifo_next_calc_error ; sig_dqual_reg_empty <= '0'; sig_dqual_reg_full <= '1'; else null; -- don't change state end if; end if; end process IMP_DQUAL_REG; -- Address LS Cntr logic -------------------------- sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr); sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH); sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_ADDR_LSB_CNTR -- -- Process Description: -- Implements the LS Address Counter used for controlling -- the Write STRB DeMux during Burst transfers -- ------------------------------------------------------------- DO_ADDR_LSB_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_dqual_reg = '1'and sig_push_dqual_reg = '0')) then -- Clear the Counter sig_ls_addr_cntr <= (others => '0'); elsif (sig_push_dqual_reg = '1') then -- Load the Counter sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb); elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd; else null; -- Hold Current value end if; end if; end process DO_ADDR_LSB_CNTR; -- Address Posted Counter Logic -------------------------------------- sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0 or sig_apc_going2zero) ; -- Gates data channel xfer handshake sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max) ; -- Gates new command fetching sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0 ; -- Used for flushing cmds that are posted sig_incr_addr_posted_cntr <= sig_addr_posted ; sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ; sig_addr_posted_cntr_eq_0 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ZERO) Else '0'; sig_addr_posted_cntr_max <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_MAX) Else '0'; sig_addr_posted_cntr_eq_1 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ONE) Else '0'; sig_apc_going2zero <= sig_addr_posted_cntr_eq_1 and sig_decr_addr_posted_cntr and not(sig_incr_addr_posted_cntr); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_POSTED_FIFO_CNTR -- -- Process Description: -- This process implements a counter for the tracking -- if an Address has been posted on the AXI address channel. -- The Data Controller must wait for an address to be posted -- before proceeding with the corresponding data transfer on -- the Data Channel. The counter is also used to track flushing -- operations where all transfers commited on the AXI Address -- Channel have to be completed before a halt can occur. ------------------------------------------------------------- IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_addr_posted_cntr <= ADDR_POSTED_ZERO; elsif (sig_incr_addr_posted_cntr = '1' and sig_decr_addr_posted_cntr = '0' and sig_addr_posted_cntr_max = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ; elsif (sig_incr_addr_posted_cntr = '0' and sig_decr_addr_posted_cntr = '1' and sig_addr_posted_cntr_eq_0 = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ; else null; -- don't change state end if; end if; end process IMP_ADDR_POSTED_FIFO_CNTR; ------- First/Middle/Last Dbeat detimination ------------------- sig_new_len_eq_0 <= '1' When (sig_fifo_next_len = LEN_OF_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_FIRST_MID_LAST -- -- Process Description: -- Implements the detection of the First/Mid/Last databeat of -- a transfer. -- ------------------------------------------------------------- DO_FIRST_MID_LAST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; elsif (sig_ld_new_cmd = '1') then sig_first_dbeat <= not(sig_new_len_eq_0); sig_last_dbeat <= sig_new_len_eq_0; sig_single_dbeat <= sig_new_len_eq_0; Elsif (sig_dbeat_cntr_eq_1 = '1' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '1'; sig_single_dbeat <= '0'; Elsif (sig_dbeat_cntr_eq_0 = '0' and sig_dbeat_cntr_eq_1 = '0' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; else null; -- hold current state end if; end if; end process DO_FIRST_MID_LAST; ------- Data Controller Halted Indication ------------------------------- data2all_dcntlr_halted <= sig_no_posted_cmds or sig_calc_error_reg; ------- Data Beat counter logic ------------------------------- sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr); sig_dbeat_cntr_eq_0 <= '1' when (sig_dbeat_cntr_int = 0) Else '0'; sig_dbeat_cntr_eq_1 <= '1' when (sig_dbeat_cntr_int = 1) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DBEAT_CNTR -- -- Process Description: -- Implements the transfer data beat counter used to track -- progress of the transfer. -- ------------------------------------------------------------- DO_DBEAT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_dbeat_cntr <= (others => '0'); elsif (sig_ld_new_cmd = '1') then sig_dbeat_cntr <= unsigned(sig_fifo_next_len); Elsif (sig_good_mmap_dbeat = '1' and sig_dbeat_cntr_eq_0 = '0') Then sig_dbeat_cntr <= sig_dbeat_cntr-1; else null; -- Hold current state end if; end if; end process DO_DBEAT_CNTR; ------- Soft Shutdown Logic ------------------------------- -- Formulate the soft shutdown complete flag sig_data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown sig_no_posted_cmds and not(sig_calc_error_reg)) or (sig_halt_reg_dly3 and -- Shutdown after error trap sig_calc_error_reg); -- Generate a gate signal to deassert the WVALID output -- for 1 clock cycle after a WLAST is issued. This only -- occurs when in soft shutdown mode. sig_stop_wvalid <= (sig_last_mmap_dbeat_reg and sig_halt_reg) or sig_data2rst_stop_cmplt; -- Assign the output port skid buf control for the -- input Stream skid buffer data2skid_halt <= sig_data2skid_halt; -- Create a 1 clock wide pulse to tell the input -- stream skid buffer to shut down. sig_data2skid_halt <= sig_halt_reg_dly2 and not(sig_halt_reg_dly3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG -- -- Process Description: -- Implements the flop for capturing the Halt request from -- the Reset module. -- ------------------------------------------------------------- IMP_HALT_REQ_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg <= '0'; elsif (rst2data_stop_request = '1') then sig_halt_reg <= '1'; else null; -- Hold current State end if; end if; end process IMP_HALT_REQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG_DLY -- -- Process Description: -- Implements the flops for delaying the halt request by 3 -- clocks to allow the Address Controller to halt before the -- Data Contoller can safely indicate it has exhausted all -- transfers committed to the AXI Address Channel by the Address -- Controller. -- ------------------------------------------------------------- IMP_HALT_REQ_REG_DLY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg_dly1 <= '0'; sig_halt_reg_dly2 <= '0'; sig_halt_reg_dly3 <= '0'; else sig_halt_reg_dly1 <= sig_halt_reg; sig_halt_reg_dly2 <= sig_halt_reg_dly1; sig_halt_reg_dly3 <= sig_halt_reg_dly2; end if; end if; end process IMP_HALT_REQ_REG_DLY; end implementation;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/1541/vhdl_source/c1541_drive.vhd
4
10338
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; use work.mem_bus_pkg.all; entity c1541_drive is generic ( g_audio_tag : std_logic_vector(7 downto 0) := X"01"; g_floppy_tag : std_logic_vector(7 downto 0) := X"02"; g_cpu_tag : std_logic_vector(7 downto 0) := X"04"; g_audio : boolean := true; g_audio_div : integer := 2222; -- 22500 Hz (from 50 MHz) g_audio_base : unsigned(27 downto 0) := X"0030000"; g_ram_base : unsigned(27 downto 0) := X"0060000" ); port ( clock : in std_logic; reset : in std_logic; drive_stop : in std_logic; -- slave port on io bus io_req : in t_io_req; io_resp : out t_io_resp; -- master port on memory bus mem_req : out t_mem_req; mem_resp : in t_mem_resp; -- serial bus pins atn_o : out std_logic; -- open drain atn_i : in std_logic; clk_o : out std_logic; -- open drain clk_i : in std_logic; data_o : out std_logic; -- open drain data_i : in std_logic; iec_reset_n : in std_logic; c64_reset_n : in std_logic; -- LED act_led_n : out std_logic; motor_led_n : out std_logic; dirty_led_n : out std_logic; -- audio out audio_sample : out signed(12 downto 0) ); end c1541_drive; architecture structural of c1541_drive is signal cpu_clock_en : std_logic; signal drv_clock_en : std_logic; signal iec_reset_o : std_logic; signal param_write : std_logic; signal param_ram_en : std_logic; signal param_addr : std_logic_vector(10 downto 0); signal param_wdata : std_logic_vector(7 downto 0); signal param_rdata : std_logic_vector(7 downto 0); signal do_track_out : std_logic; signal do_track_in : std_logic; signal do_head_bang : std_logic; signal en_hum : std_logic; signal en_slip : std_logic; signal use_c64_reset : std_logic; signal floppy_inserted : std_logic := '0'; signal bank_is_ram : std_logic_vector(7 downto 0); signal power : std_logic; signal motor_on : std_logic; signal mode : std_logic; signal step : std_logic_vector(1 downto 0) := "00"; signal soe : std_logic; signal rate_ctrl : std_logic_vector(1 downto 0); signal byte_ready : std_logic; signal sync : std_logic; signal track : std_logic_vector(6 downto 0); signal track_is_0 : std_logic; signal drive_address : std_logic_vector(1 downto 0) := "00"; signal write_prot_n : std_logic := '1'; signal drv_reset : std_logic := '1'; signal disk_rdata : std_logic_vector(7 downto 0); signal disk_wdata : std_logic_vector(7 downto 0); signal drive_stop_i : std_logic; signal stop_on_freeze : std_logic; signal mem_req_cpu : t_mem_req; signal mem_resp_cpu : t_mem_resp; signal mem_req_flop : t_mem_req; signal mem_resp_flop : t_mem_resp; signal mem_req_snd : t_mem_req := c_mem_req_init; signal mem_resp_snd : t_mem_resp; signal count : unsigned(7 downto 0) := X"00"; signal led_intensity : unsigned(1 downto 0); begin drive_stop_i <= drive_stop and stop_on_freeze; i_timing: entity work.c1541_timing port map ( clock => clock, reset => reset, use_c64_reset=> use_c64_reset, c64_reset_n => c64_reset_n, iec_reset_n => iec_reset_n, iec_reset_o => iec_reset_o, drive_stop => drive_stop_i, drv_clock_en => drv_clock_en, -- 1/12.5 (4 MHz) cpu_clock_en => cpu_clock_en ); -- 1/50 (1 MHz) i_cpu: entity work.cpu_part_1541 generic map ( g_tag => g_cpu_tag, g_ram_base => g_ram_base ) port map ( clock => clock, clock_en => cpu_clock_en, reset => drv_reset, -- serial bus pins atn_o => atn_o, -- open drain atn_i => atn_i, clk_o => clk_o, -- open drain clk_i => clk_i, data_o => data_o, -- open drain data_i => data_i, -- trace data cpu_pc => open, --cpu_pc_1541, -- configuration bank_is_ram => bank_is_ram, -- memory interface mem_req => mem_req_cpu, mem_resp => mem_resp_cpu, -- drive pins power => power, drive_address => drive_address, write_prot_n => write_prot_n, motor_on => motor_on, mode => mode, step => step, soe => soe, rate_ctrl => rate_ctrl, byte_ready => byte_ready, sync => sync, track_is_0 => track_is_0, drv_rdata => disk_rdata, drv_wdata => disk_wdata, -- other act_led => act_led_n ); i_flop: entity work.floppy generic map ( g_tag => g_floppy_tag ) port map ( sys_clock => clock, drv_clock_en => drv_clock_en, -- resulting in 4 MHz drv_reset => drv_reset, -- signals from MOS 6522 VIA motor_on => motor_on, mode => mode, write_prot_n => write_prot_n, step => step, soe => soe, rate_ctrl => rate_ctrl, byte_ready => byte_ready, sync => sync, read_data => disk_rdata, write_data => disk_wdata, track => track, track_is_0 => track_is_0, --- cpu_write => param_write, cpu_ram_en => param_ram_en, cpu_addr => param_addr, cpu_wdata => param_wdata, cpu_rdata => param_rdata, --- floppy_inserted => floppy_inserted, do_track_out => do_track_out, do_track_in => do_track_in, do_head_bang => do_head_bang, en_hum => en_hum, en_slip => en_slip, --- mem_req => mem_req_flop, mem_resp => mem_resp_flop ); r_snd: if g_audio generate i_snd: entity work.floppy_sound generic map ( g_tag => g_audio_tag, rate_div => g_audio_div, -- 22050 Hz sound_base => g_audio_base(27 downto 16), motor_hum_addr => X"0000", flop_slip_addr => X"1200", track_in_addr => X"2400", track_out_addr => X"2C00", head_bang_addr => X"3480", motor_len => 4410, track_in_len => X"0800", -- ~100 ms; track_out_len => X"0880", -- ~100 ms; head_bang_len => X"0880" ) -- ~100 ms; port map ( clock => clock, -- 50 MHz reset => drv_reset, do_trk_out => do_track_out, do_trk_in => do_track_in, do_head_bang => do_head_bang, en_hum => en_hum, en_slip => en_slip, -- memory interface mem_req => mem_req_snd, mem_resp => mem_resp_snd, -- audio sample_out => audio_sample ); end generate; i_regs: entity work.drive_registers generic map ( g_audio_base => g_audio_base, g_ram_base => g_ram_base ) port map ( clock => clock, reset => reset, io_req => io_req, io_resp => io_resp, param_write => param_write, param_ram_en => param_ram_en, param_addr => param_addr, param_wdata => param_wdata, param_rdata => param_rdata, iec_reset_o => iec_reset_o, use_c64_reset => use_c64_reset, power => power, drv_reset => drv_reset, drive_address => drive_address, floppy_inserted => floppy_inserted, write_prot_n => write_prot_n, bank_is_ram => bank_is_ram, dirty_led_n => dirty_led_n, stop_on_freeze => stop_on_freeze, track => track, mode => mode, motor_on => motor_on ); -- memory arbitration i_arb: entity work.mem_bus_arbiter_pri generic map ( g_ports => 3, g_registered => false ) port map ( clock => clock, reset => reset, reqs(0) => mem_req_flop, reqs(1) => mem_req_cpu, reqs(2) => mem_req_snd, resps(0) => mem_resp_flop, resps(1) => mem_resp_cpu, resps(2) => mem_resp_snd, req => mem_req, resp => mem_resp ); process(clock) variable led_int : unsigned(7 downto 0); begin if rising_edge(clock) then count <= count + 1; if count=X"00" then motor_led_n <= '0'; -- on end if; led_int := led_intensity & led_intensity & led_intensity & led_intensity; if count=led_int then motor_led_n <= '1'; -- off end if; end if; end process; led_intensity <= "00" when power='0' else "01" when floppy_inserted='0' else "10" when motor_on='0' else "11"; end architecture;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/video/vhdl_source/phase_detector.vhd
5
2122
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity phase_detector is port ( n : in unsigned(11 downto 0); pll_clock : in std_logic; h_sync : in std_logic; mute : in std_logic; reference : out std_logic; pulse_level : out std_logic; pulse_enable: out std_logic; up : out std_logic; down : out std_logic; analog : out std_logic ); end phase_detector; architecture gideon of phase_detector is signal div_count : unsigned(n'range) := (others => '0'); signal divided : std_logic; signal h_latch : std_logic; signal ref_latch : std_logic; signal release : std_logic; signal up_i : std_logic; signal down_i : std_logic; begin reference <= divided; process(pll_clock) begin if rising_edge(pll_clock) then if div_count = 0 then div_count <= n; divided <= '1'; else div_count <= div_count - 1; if div_count = ('0' & n(11 downto 1)) then divided <= '0'; end if; end if; end if; end process; process(h_sync, release) begin if release='1' then h_latch <= '0'; elsif rising_edge(h_sync) then h_latch <= '1'; end if; end process; process(divided, release) begin if release='1' then ref_latch <= '0'; elsif rising_edge(divided) then ref_latch <= '1'; end if; end process; up_i <= h_latch and not ref_latch; down_i <= ref_latch and not h_latch; release <= h_latch and ref_latch; pulse_enable <= (up_i or down_i) and not mute; pulse_level <= up_i; analog <= '0' when (down_i='1' and up_i='0' and mute='0') else '1' when (up_i='1' and down_i='0' and mute='0') else 'Z'; up <= up_i; down <= down_i; end gideon;
gpl-3.0
freecores/gpib_controller
vhdl/src/gpib/SecAddrSaver.vhd
1
1875
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Entity: SecAddrSaver -- Date:2011-11-11 -- Author: Andrzej Paluch -- -- Description ${cursor} -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity SecAddrSaver is port ( reset : in std_logic; ------------------- gpib ---------------------- TADS : in std_logic; TPAS : in std_logic; LADS : in std_logic; LPAS : in std_logic; MSA_Dec : in std_logic; DI : in std_logic_vector(4 downto 0); currentSecAddr : out std_logic_vector(4 downto 0) ); end SecAddrSaver; architecture arch of SecAddrSaver is signal goToSecAddressed : std_logic; begin goToSecAddressed <= MSA_Dec and ((TADS and TPAS) or (LADS and LPAS)); -- save secondary address process (reset, goToSecAddressed) begin if(reset = '1') then currentSecAddr <= (others => '0'); elsif rising_edge(goToSecAddressed) then currentSecAddr <= DI(4 downto 0); end if; end process; end arch;
gpl-3.0
dhmeves/ece-485
ece-485-project-2/two_to_one_mux_1_bit.vhd
1
344
library IEEE; use ieee.std_logic_1164.all; entity two_to_one_mux_1_bit is port( a, b : in std_logic; sel : in std_logic; output : out std_logic ); end entity two_to_one_mux_1_bit; architecture behav of two_to_one_mux_1_bit is begin --output <= (a and (not sel)) or (b and sel); output <= a when (sel = '0') else b; end behav;
gpl-3.0
freecores/gpib_controller
vhdl/src/common/gpibComponents.vhd
1
18572
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Entity: components -- Date: 23:15 10/12/2011 -- Author: Andrzej Paluch -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; package gpibComponents is component if_func_AH is port( -- device inputs clk : in std_logic; -- clock pon : in std_logic; -- power on rdy : in std_logic; -- ready for next message tcs : in std_logic; -- take control synchronously -- state inputs LACS : in std_logic; -- listener active state LADS : in std_logic; -- listener addressed state -- interface inputs ATN : in std_logic; -- attention DAV : in std_logic; -- data accepted -- interface outputs RFD : out std_logic; -- ready for data DAC : out std_logic; -- data accepted -- reported state ANRS : out std_logic; -- acceptor not ready state ACDS : out std_logic -- accept data state ); end component; component if_func_SH is port( -- device inputs clk : in std_logic; -- clock -- settingd T1 : in std_logic_vector (7 downto 0); -- local commands pon : in std_logic; -- power on nba : in std_logic; -- new byte available -- state inputs TACS : in std_logic; -- talker active state SPAS : in std_logic; -- seriall poll active state CACS : in std_logic; -- controller active state CTRS : in std_logic; -- controller transfer state -- interface inputs ATN : in std_logic; -- attention DAC : in std_logic; -- data accepted RFD : in std_logic; -- ready for data -- remote instructions DAV : out std_logic; -- data address valid -- device outputs wnc : out std_logic; -- wait for new cycle -- reported states STRS : out std_logic; -- source transfer state SDYS : out std_logic -- source delay state ); end component; component if_func_L_LE is port( -- clock clk : in std_logic; -- clock -- function settings isLE : in std_logic; -- local commands pon : in std_logic; -- power on ltn : in std_logic; -- listen lun : in std_logic; -- local unlisten lon : in std_logic; -- listen only -- state inputs ACDS : in std_logic; -- accept data state (AH) CACS : in std_logic; -- controller active state (C) TPAS : in std_logic; -- talker primary address state (T) -- remote commands ATN : in std_logic; -- attention IFC : in std_logic; -- interface clear MLA : in std_logic; -- my listen address MTA : in std_logic; -- my talk address UNL : in std_logic; -- unlisten PCG : in std_logic; -- primary command group MSA : in std_logic; -- my secondary address -- reported states LACS : out std_logic; -- listener active state LADS : out std_logic; -- listener addressed state LPAS : out std_logic -- listener primary addressed state ;debug1 : out std_logic ); end component; component if_func_T_TE is port( -- clock clk : in std_logic; -- clock -- function settings isTE : in std_logic; -- local instruction inputs pon : in std_logic; -- power on ton : in std_logic; -- talk only endOf : in std_logic; -- end of byte string -- state inputs ACDS : in std_logic; -- accept data state (AH) APRS : in std_logic; -- affirmative poll response LPAS : in std_logic; -- listener primary state (LE) -- remote instruction inputs ATN : in std_logic; -- attention IFC : in std_logic; -- interface clear SPE : in std_logic; -- serial poll enable SPD : in std_logic; -- serial poll disable MTA : in std_logic; -- my talk address OTA : in std_logic; -- other talk address MLA : in std_logic; -- my listen address OSA : in std_logic; -- other secondary address MSA : in std_logic; -- my secondary address PCG : in std_logic; -- primary command group -- remote instruction outputs END_OF : out std_logic; -- end of data RQS : out std_logic; -- data accepted DAB : out std_logic; -- data byte EOS : out std_logic; -- end of string STB : out std_logic; -- status byte -- local instruction outputs tac : out std_logic; -- talker active -- reported states SPAS : out std_logic; -- serial poll active state TPAS : out std_logic; -- transmitter active state TADS : out std_logic; -- talker addressed state TACS : out std_logic -- talker active state ); end component; component if_func_C is port( -- device inputs clk : in std_logic; -- clock pon : in std_logic; -- power on gts : in std_logic; -- go to standby rpp : in std_logic; -- request parallel poll tcs : in std_logic; -- take control synchronously tca : in std_logic; -- take control asynchronously sic : in std_logic; -- send interface clear rsc : in std_logic; -- request system control sre : in std_logic; -- send remote enable -- state inputs TADS : in std_logic; -- talker addressed state (T or TE) ACDS : in std_logic; -- accept data state (AH) ANRS : in std_logic; -- acceptor not ready state (AH) STRS : in std_logic; -- source transfer state (SH) SDYS : in std_logic; -- source delay state (SH) -- command inputs ATN_in : in std_logic; -- attention IFC_in : in std_logic; -- interface clear TCT_in : in std_logic; -- take control SRQ_in : in std_logic; -- service request -- command outputs ATN_out : out std_logic; -- attention IFC_out : out std_logic; -- interface clear TCT_out : out std_logic; -- take control IDY_out : out std_logic; -- identify REN_out : out std_logic; -- remote enable -- reported states CACS : out std_logic; -- controller active state CTRS : out std_logic; -- controller transfer state CSBS : out std_logic; -- controller standby state CPPS : out std_logic; -- controller parallel poll state CSRS : out std_logic; -- controller service requested state SACS : out std_logic -- system control active state ); end component; component if_func_DC is port( -- device inputs clk : in std_logic; -- clock -- state inputs LADS : in std_logic; -- listener addressed state (L or LE) ACDS : in std_logic; -- accept data state (AH) -- instructions DCL : in std_logic; -- my listen address SDC : in std_logic; -- unlisten -- local instructions clr : out std_logic -- clear device ); end component; component if_func_DT is port( -- device inputs clk : in std_logic; -- clock -- state inputs LADS : in std_logic; -- listener addressed state (L or LE) ACDS : in std_logic; -- accept data state (AH) -- instructions GET : in std_logic; -- group execute trigger -- local instructions trg : out std_logic -- trigger ); end component; component if_func_PP is port( -- device inputs clk : in std_logic; -- clock -- settings lpeUsed : std_logic; fixedPpLine : in std_logic_vector (2 downto 0); -- local commands pon : in std_logic; -- power on lpe : in std_logic; -- local poll enable ist : in std_logic; -- individual status -- state inputs ACDS : in std_logic; -- accept data state LADS : in std_logic; -- listener address state (L or LE) -- data input dio_data : in std_logic_vector(3 downto 0); -- byte from data lines -- remote command inputs IDY : in std_logic; -- identify PPE : in std_logic; -- parallel poll enable PPD : in std_logic; -- parallel poll disable PPC : in std_logic; -- parallel poll configure PPU : in std_logic; -- parallel poll unconfigure PCG : in std_logic; -- primary command group -- remote command outputs PPR : out std_logic; -- paralel poll response -- PPR command data ppBitValue : out std_logic; -- bit value ppLineNumber : out std_logic_vector (2 downto 0); -- reported states PPAS : out std_logic -- parallel poll active state ); end component; component if_func_RL is port( -- device inputs clk : in std_logic; -- clock pon : in std_logic; -- power on rtl : in std_logic; -- return to local -- state inputs ACDS : in std_logic; -- listener active state (AH) LADS : in std_logic; -- listener addressed state (L or LE) -- instructions REN : in std_logic; -- remote enable LLO : in std_logic; -- local lockout MLA : in std_logic; -- my listen address GTL : in std_logic; -- go to local -- reported state LOCS : out std_logic; -- local state LWLS : out std_logic -- local with lockout state ); end component; component if_func_SR is port( -- device inputs clk : in std_logic; -- clock pon : in std_logic; -- power on rsv : in std_logic; -- service request -- state inputs SPAS : in std_logic; -- serial poll active state (T or TE) -- output instructions SRQ : out std_logic; -- service request -- reported states APRS : out std_logic -- affirmative poll response state ); end component; component commandEcoder is port ( -- data data : in std_logic_vector (7 downto 0); -- status byte status_byte : in std_logic_vector (7 downto 0); -- PPR command data ppBitValue : in std_logic; ppLineNumber : in std_logic_vector (2 downto 0); -- func states APRS : in std_logic; -- affirmative poll response state CACS : in std_logic; -- controller active state (C) -- commands ATN : in std_logic; END_OF : in std_logic; IDY : in std_logic; DAC : in std_logic; RFD : in std_logic; DAV : in std_logic; IFC : in std_logic; REN : in std_logic; SRQ : in std_logic; -- request for service DAB : in std_logic; EOS : in std_logic; RQS : in std_logic; -- part of STB STB : in std_logic; TCT : in std_logic; PPR : in std_logic; ------------------------------------------- -- data lines ----------------------------- ------------------------------------------- DO : out std_logic_vector (7 downto 0); output_valid : out std_logic; ------------------------------------------- -- control lines -------------------------- ------------------------------------------- -- DAV line DAV_line : out std_logic; -- NRFD line NRFD_line : out std_logic; -- NDAC line NDAC_line : out std_logic; -- ATN line ATN_line : out std_logic; -- EOI line EOI_line : out std_logic; -- SRQ line SRQ_line : out std_logic; -- IFC line IFC_line : out std_logic; -- REN line REN_line : out std_logic ); end component; component commandDecoder is port ( ------------------------------------------- -- data lines ----------------------------- ------------------------------------------- DI : in std_logic_vector (7 downto 0); ------------------------------------------- -- control lines -------------------------- ------------------------------------------- -- DAV line DAV_line : in std_logic; -- NRFD line NRFD_line : in std_logic; -- NDAC line NDAC_line : in std_logic; -- ATN line ATN_line : in std_logic; -- EOI line EOI_line : in std_logic; -- SRQ line SRQ_line : in std_logic; -- IFC line IFC_line : in std_logic; -- REN line REN_line : in std_logic; ------------------------------------------- -- internal settiongs --------------------- ------------------------------------------- -- eos mark eosMark : in std_logic_vector (7 downto 0); -- eos used eosUsed : in std_logic; -- my listen address myListAddr : in std_logic_vector (4 downto 0); -- my talk address myTalkAddr : in std_logic_vector (4 downto 0); -- secondary address detected secAddrDetected : in std_logic; ------------------------------------------- -- internal states ------------------------ ------------------------------------------- -- serial poll active state (T or TE) SPAS : in std_logic; ------------------------------------------- -- single line commands ------------------- ------------------------------------------- -- attention ATN : out std_logic; -- data accepted DAC : out std_logic; -- data valid DAV : out std_logic; -- end END_c : out std_logic; -- identify IDY : out std_logic; -- interface clear IFC : out std_logic; -- remote enable REN : out std_logic; -- ready for data RFD : out std_logic; -- service request SRQ : out std_logic; ------------------------------------------- -- multi line commands -------------------- ------------------------------------------- -- addressed command group ACG : out std_logic; -- data byte DAB : out std_logic; -- device clear DCL : out std_logic; -- end of string EOS : out std_logic; -- group execute trigger GET : out std_logic; -- go to local GTL : out std_logic; -- listen address group LAG : out std_logic; -- local lockout LLO : out std_logic; -- my listen address MLA : out std_logic; -- my talk address MTA : out std_logic; -- my secondary address MSA : out std_logic; -- null byte NUL : out std_logic; -- other secondary address OSA : out std_logic; -- other talk address OTA : out std_logic; -- primary command group PCG : out std_logic; -- parallel poll configure PPC : out std_logic; -- parallel poll enable PPE : out std_logic; -- parallel poll disable PPD : out std_logic; -- parallel poll response PPR : out std_logic; -- parallel poll unconfigure PPU : out std_logic; -- request service RQS : out std_logic; -- secondary command group SCG : out std_logic; -- selected device clear SDC : out std_logic; -- serial poll disable SPD : out std_logic; -- serial poll enable SPE : out std_logic; -- status byte STB : out std_logic; -- talk address group TAG : out std_logic; -- take control TCT : out std_logic; -- universal command group UCG : out std_logic; -- unlisten UNL : out std_logic; -- untalk UNT : out std_logic ); end component; component SecondaryAddressDecoder is port ( -- secondary address mask secAddrMask : in std_logic_vector (31 downto 0); -- data input DI : in std_logic_vector (4 downto 0); -- secondary address detected secAddrDetected : out std_logic ); end component; component SecAddrSaver is port ( reset : in std_logic; ------------------- gpib ---------------------- TADS : in std_logic; TPAS : in std_logic; LADS : in std_logic; LPAS : in std_logic; MSA_Dec : in std_logic; DI : in std_logic_vector(4 downto 0); currentSecAddr : out std_logic_vector(4 downto 0) ); end component; component gpibInterface is port ( clk : in std_logic; reset : std_logic; -- application interface isLE : in std_logic; isTE : in std_logic; lpeUsed : in std_logic; fixedPpLine : in std_logic_vector (2 downto 0); eosUsed : in std_logic; eosMark : in std_logic_vector (7 downto 0); myListAddr : in std_logic_vector (4 downto 0); myTalkAddr : in std_logic_vector (4 downto 0); secAddrMask : in std_logic_vector (31 downto 0); data : in std_logic_vector (7 downto 0); status_byte : in std_logic_vector (7 downto 0); T1 : in std_logic_vector (7 downto 0); -- local commands to interface rdy : in std_logic; -- ready for next message (AH) nba : in std_logic; -- new byte available (SH) ltn : in std_logic; -- listen (L, LE) lun : in std_logic; -- local unlisten (L, LE) lon : in std_logic; -- listen only (L, LE) ton : in std_logic; -- talk only (T, TE) endOf : in std_logic; -- end of byte string (T, TE) gts : in std_logic; -- go to standby (C) rpp : in std_logic; -- request parallel poll (C) tcs : in std_logic; -- take control synchronously (C, AH) tca : in std_logic; -- take control asynchronously (C) sic : in std_logic; -- send interface clear (C) rsc : in std_logic; -- request system control (C) sre : in std_logic; -- send remote enable (C) rtl : in std_logic; -- return to local (RL) rsv : in std_logic; -- request service (SR) ist : in std_logic; -- individual status (PP) lpe : in std_logic; -- local poll enable (PP) -- local commands from interface dvd : out std_logic; -- data valid (AH) wnc : out std_logic; -- wait for new cycle (SH) tac : out std_logic; -- talker active (T, TE) lac : out std_logic; -- listener active (L, LE) cwrc : out std_logic; -- controller write commands cwrd : out std_logic; -- controller write data clr : out std_logic; -- clear device (DC) trg : out std_logic; -- trigger device (DT) atl : out std_logic; -- addressed to listen (T or TE) att : out std_logic; -- addressed to talk(L or LE) mla : out std_logic; -- my listen addres decoded (L or LE) lsb : out std_logic; -- last byte spa : out std_logic; -- seriall poll active ppr : out std_logic; -- parallel poll ready sreq : out std_logic; -- service requested isLocal : out std_logic; -- device is local controlled currentSecAddr : out std_logic_vector (4 downto 0); -- current sec addr -- interface signals DI : in std_logic_vector (7 downto 0); DO : out std_logic_vector (7 downto 0); output_valid : out std_logic; -- attention ATN_in : in std_logic; ATN_out : out std_logic; -- data valid DAV_in : in std_logic; DAV_out : out std_logic; -- not ready for data NRFD_in : in std_logic; NRFD_out : out std_logic; -- no data accepted NDAC_in : in std_logic; NDAC_out : out std_logic; -- end or identify EOI_in : in std_logic; EOI_out : out std_logic; -- service request SRQ_in : in std_logic; SRQ_out : out std_logic; -- interface clear IFC_in : in std_logic; IFC_out : out std_logic; -- remote enable REN_in : in std_logic; REN_out : out std_logic ;debug1 : out std_logic ); end component; end gpibComponents;
gpl-3.0
freecores/gpib_controller
vhdl/src/gpib_helper/SinglePulseGenerator.vhd
1
1909
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Entity: SinglePulseGenerator -- Date:2011-11-10 -- Author: Andrzej Paluch -- -- Description ${cursor} -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.utilPkg.all; entity SinglePulseGenerator is generic ( WIDTH : integer := 3 ); port ( reset : in std_logic; clk : in std_logic; t_in: in std_logic; t_out : out std_logic; pulse : out std_logic ); end SinglePulseGenerator; architecture arch of SinglePulseGenerator is signal rcount : integer range 0 to WIDTH; signal i_t_out : std_logic; begin pulse <= to_stdl(t_in /= i_t_out); t_out <= i_t_out; -- buffer reset generator process (reset, clk, t_in) begin if reset = '1' then i_t_out <= t_in; rcount <= 0; elsif rising_edge(clk) then if t_in /= i_t_out then rcount <= rcount + 1; if rcount = WIDTH then rcount <= 0; i_t_out <= t_in; end if; end if; end if; end process; end arch;
gpl-3.0
pavsa/hackrf-spectrum-analyzer
src/hackrf-sweep/lib/hackrf/firmware/cpld/sgpio_if/top_tb.vhd
19
3604
-- -- Copyright 2012 Jared Boone -- -- This file is part of HackRF. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; see the file COPYING. If not, write to -- the Free Software Foundation, Inc., 51 Franklin Street, -- Boston, MA 02110-1301, USA. LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY top_tb IS END top_tb; ARCHITECTURE behavior OF top_tb IS COMPONENT top PORT( HOST_DATA : INOUT std_logic_vector(7 downto 0); HOST_CAPTURE : OUT std_logic; HOST_DISABLE : IN std_logic; HOST_DIRECTION : IN std_logic; HOST_DECIM_SEL : IN std_logic_vector(2 downto 0); DA : IN std_logic_vector(7 downto 0); DD : OUT std_logic_vector(9 downto 0); CODEC_CLK : IN std_logic; CODEC_X2_CLK : IN std_logic ); END COMPONENT; --Inputs signal DA : std_logic_vector(7 downto 0) := (others => '0'); signal CODEC_CLK : std_logic := '0'; signal CODEC_X2_CLK : std_logic := '0'; signal HOST_DISABLE : std_logic := '1'; signal HOST_DIRECTION : std_logic := '0'; signal HOST_DECIM_SEL : std_logic_vector(2 downto 0) := "010"; --BiDirs signal HOST_DATA : std_logic_vector(7 downto 0); --Outputs signal DD : std_logic_vector(9 downto 0); signal HOST_CAPTURE : std_logic; begin uut: top PORT MAP ( HOST_DATA => HOST_DATA, HOST_CAPTURE => HOST_CAPTURE, HOST_DISABLE => HOST_DISABLE, HOST_DIRECTION => HOST_DIRECTION, HOST_DECIM_SEL => HOST_DECIM_SEL, DA => DA, DD => DD, CODEC_CLK => CODEC_CLK, CODEC_X2_CLK => CODEC_X2_CLK ); clk_process :process begin CODEC_CLK <= '1'; CODEC_X2_CLK <= '1'; wait for 12.5 ns; CODEC_X2_CLK <= '0'; wait for 12.5 ns; CODEC_CLK <= '0'; CODEC_X2_CLK <= '1'; wait for 12.5 ns; CODEC_X2_CLK <= '0'; wait for 12.5 ns; end process; adc_proc: process begin wait until rising_edge(CODEC_CLK); wait for 9 ns; DA <= "00000000"; wait until falling_edge(CODEC_CLK); wait for 9 ns; DA <= "00000001"; end process; sgpio_proc: process begin HOST_DATA <= (others => 'Z'); HOST_DIRECTION <= '0'; HOST_DISABLE <= '1'; wait for 135 ns; HOST_DISABLE <= '0'; wait for 1000 ns; HOST_DISABLE <= '1'; wait for 100 ns; HOST_DIRECTION <= '1'; wait for 100 ns; HOST_DISABLE <= '0'; for i in 0 to 10 loop HOST_DATA <= (others => '0'); wait until rising_edge(CODEC_CLK) and HOST_CAPTURE = '1'; HOST_DATA <= (others => '1'); wait until rising_edge(CODEC_CLK) and HOST_CAPTURE = '1'; end loop; wait; end process; end;
gpl-3.0
freecores/gpib_controller
vhdl/src/gpib/commandDecoder.vhd
1
8375
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Entity: commandDecoder -- Date:2011-10-07 -- Author: Andrzej Paluch -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.utilPkg.all; entity commandDecoder is port ( ------------------------------------------- -- data lines ----------------------------- ------------------------------------------- DI : in std_logic_vector (7 downto 0); ------------------------------------------- -- control lines -------------------------- ------------------------------------------- -- DAV line DAV_line : in std_logic; -- NRFD line NRFD_line : in std_logic; -- NDAC line NDAC_line : in std_logic; -- ATN line ATN_line : in std_logic; -- EOI line EOI_line : in std_logic; -- SRQ line SRQ_line : in std_logic; -- IFC line IFC_line : in std_logic; -- REN line REN_line : in std_logic; ------------------------------------------- -- internal settiongs --------------------- ------------------------------------------- -- eos mark eosMark : in std_logic_vector (7 downto 0); -- eos used eosUsed : in std_logic; -- my listen address myListAddr : in std_logic_vector (4 downto 0); -- my talk address myTalkAddr : in std_logic_vector (4 downto 0); -- secondary address detected secAddrDetected : in std_logic; ------------------------------------------- -- internal states ------------------------ ------------------------------------------- -- serial poll active state (T or TE) SPAS : in std_logic; ------------------------------------------- -- single line commands ------------------- ------------------------------------------- -- attention ATN : out std_logic; -- data accepted DAC : out std_logic; -- data valid DAV : out std_logic; -- end END_c : out std_logic; -- identify IDY : out std_logic; -- interface clear IFC : out std_logic; -- remote enable REN : out std_logic; -- ready for data RFD : out std_logic; -- service request SRQ : out std_logic; ------------------------------------------- -- multi line commands -------------------- ------------------------------------------- -- addressed command group ACG : out std_logic; -- data byte DAB : out std_logic; -- device clear DCL : out std_logic; -- end of string EOS : out std_logic; -- group execute trigger GET : out std_logic; -- go to local GTL : out std_logic; -- listen address group LAG : out std_logic; -- local lockout LLO : out std_logic; -- my listen address MLA : out std_logic; -- my talk address MTA : out std_logic; -- my secondary address MSA : out std_logic; -- null byte NUL : out std_logic; -- other secondary address OSA : out std_logic; -- other talk address OTA : out std_logic; -- primary command group PCG : out std_logic; -- parallel poll configure PPC : out std_logic; -- parallel poll enable PPE : out std_logic; -- parallel poll disable PPD : out std_logic; -- parallel poll response PPR : out std_logic; -- parallel poll unconfigure PPU : out std_logic; -- request service RQS : out std_logic; -- secondary command group SCG : out std_logic; -- selected device clear SDC : out std_logic; -- serial poll disable SPD : out std_logic; -- serial poll enable SPE : out std_logic; -- status byte STB : out std_logic; -- talk address group TAG : out std_logic; -- take control TCT : out std_logic; -- universal command group UCG : out std_logic; -- unlisten UNL : out std_logic; -- untalk UNT : out std_logic ); end commandDecoder; architecture arch of commandDecoder is signal ATN_int, IDY_int : std_logic; signal SCG_int, MSA_int, TAG_int, MTA_int, ACG_int, UCG_int, LAG_int, STB_int : std_logic; begin -------------------------------------- -- single line -------------------------------------- ATN_int <= ATN_line; ATN <= ATN_int; ---------------------- DAC <= not NDAC_line; ---------------------- DAV <= DAV_line; ---------------------- END_c <= not ATN_line and EOI_line; ---------------------- IDY_int <= ATN_line and EOI_line; IDY <= IDY_int; ---------------------- IFC <= IFC_line; ---------------------- REN <= REN_line; ---------------------- RFD <= not NRFD_line; ---------------------- SRQ <= SRQ_line; --------------------------------------- -- multiple line --------------------------------------- ACG_int <= ATN_int and to_stdl(DI(6 downto 4) = "000"); ACG <= ACG_int; --------------------------------------- DAB <= not ATN_int and ((eosUsed and to_stdl(DI /= eosMark)) or not eosUsed); --------------------------------------- DCL <= ATN_int and to_stdl(DI(6 downto 0) = "0010100"); --------------------------------------- EOS <= not ATN_int and eosUsed and to_stdl(DI = eosMark); --------------------------------------- GET <= ATN_int and to_stdl(DI(6 downto 0) = "0001000"); --------------------------------------- GTL <= ATN_int and to_stdl(DI(6 downto 0) = "0000001"); --------------------------------------- LAG_int <= ATN_int and to_stdl(DI(6 downto 5) = "01"); LAG <= LAG_int; --------------------------------------- LLO <= ATN_int and to_stdl(DI(6 downto 0) = "0010001"); --------------------------------------- MLA <= LAG_int and to_stdl(DI(4 downto 0) = myListAddr); --------------------------------------- MTA_int <= TAG_int and to_stdl(DI(4 downto 0) = myTalkAddr); MTA <= MTA_int; --------------------------------------- MSA_int <= SCG_int and secAddrDetected; MSA <= MSA_int; --------------------------------------- NUL <= ATN_int and to_stdl(DI = "00000000"); --------------------------------------- OSA <= SCG_int and not MSA_int; --------------------------------------- OTA <= TAG_int and not MTA_int; --------------------------------------- PCG <= ACG_int or UCG_int or LAG_int or TAG_int; --------------------------------------- PPC <= ATN_int and to_stdl(DI(6 downto 0) = "0000101"); --------------------------------------- PPE <= ATN_int and to_stdl(DI(6 downto 4) = "110"); --------------------------------------- PPD <= ATN_int and to_stdl(DI(6 downto 4) = "111"); -- "-1110000" ? --------------------------------------- PPR <= ATN_int and IDY_int; --------------------------------------- PPU <= ATN_int and to_stdl(DI(6 downto 0) = "0010101"); --------------------------------------- RQS <= STB_int and to_stdl(DI(6) = '1'); --------------------------------------- SCG_int <= ATN_int and to_stdl(DI(6 downto 5) = "11"); SCG <= SCG_int; --------------------------------------- SDC <= ATN_int and to_stdl(DI(6 downto 0) = "0000100"); --------------------------------------- SPD <= ATN_int and to_stdl(DI(6 downto 0) = "0011001"); --------------------------------------- SPE <= ATN_int and to_stdl(DI(6 downto 0) = "0011000"); --------------------------------------- STB_int <= not ATN_int and SPAS; STB <= STB_int; --------------------------------------- TAG_int <= ATN_int and to_stdl(DI(6 downto 5) = "10"); TAG <= TAG_int; --------------------------------------- TCT <= ATN_int and to_stdl(DI(6 downto 0) = "0001001"); --------------------------------------- UCG_int <= ATN_int and to_stdl(DI(6 downto 4) = "001"); UCG <= UCG_int; --------------------------------------- UNL <= ATN_int and to_stdl(DI(6 downto 0) = "0111111"); --------------------------------------- UNT <= ATN_int and to_stdl(DI(6 downto 0) = "1011111"); end arch;
gpl-3.0
freecores/gpib_controller
vhdl/src/wrapper/gpibControlReg.vhd
1
2822
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Entity: gpibControlReg -- Date:2011-11-12 -- Author: Andrzej Paluch -- -- Description ${cursor} -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity gpibControlReg is port ( reset : in std_logic; strobe : in std_logic; data_in : in std_logic_vector (15 downto 0); data_out : out std_logic_vector (15 downto 0); ------------------ gpib ------------------------ ltn : out std_logic; -- listen (L, LE) lun : out std_logic; -- local unlisten (L, LE) rtl : out std_logic; -- return to local (RL) rsv : out std_logic; -- request service (SR) ist : out std_logic; -- individual status (PP) lpe : out std_logic; -- local poll enable (PP) ------------------------------------------------ rsc : out std_logic; -- request system control (C) sic : out std_logic; -- send interface clear (C) sre : out std_logic; -- send remote enable (C) gts : out std_logic; -- go to standby (C) tcs : out std_logic; -- take control synchronously (C, AH) tca : out std_logic; -- take control asynchronously (C) rpp : out std_logic; -- request parallel poll (C) rec_stb : out std_logic -- receives status byte (C) ); end gpibControlReg; architecture arch of gpibControlReg is signal inner_buf : std_logic_vector (15 downto 0); begin ltn <= inner_buf(0); lun <= inner_buf(1); rtl <= inner_buf(2); rsv <= inner_buf(3); ist <= inner_buf(4); lpe <= inner_buf(5); ------------------------------------------------ rsc <= inner_buf(6); sic <= inner_buf(7); sre <= inner_buf(8); gts <= inner_buf(9); tcs <= inner_buf(10); tca <= inner_buf(11); rpp <= inner_buf(12); rec_stb <= inner_buf(13); data_out <= inner_buf; process (reset, strobe) begin if reset = '1' then inner_buf <= "0000000000000000"; elsif rising_edge(strobe) then inner_buf <= data_in; end if; end process; end arch;
gpl-3.0
dhmeves/ece-485
ece-485-project-2/ALUOut.vhd
1
360
library IEEE; use ieee.std_logic_1164.all; entity ALUOut is port( input : in std_logic_vector(31 downto 0); clk, rst, pre, ce : in std_logic; output : out std_logic_vector(31 downto 0) ); end ALUOut; architecture behav of ALUOut is begin ALUOutput : entity work.thirty_two_bit_register(behav) port map(input, clk, rst, pre, ce, output); end behav;
gpl-3.0
dhmeves/ece-485
ece-485-project-2/thirty_two_to_one_mux.vhd
1
1634
library IEEE; use ieee.std_logic_1164.all; entity thirty_two_to_one_mux is port( in0, in1, in2, in3, in4, in5, in6, in7, in8, in9, in10, in11, in12, in13, in14, in15, in16, in17, in18, in19, in20, in21, in22, in23, in24, in25, in26, in27, in28, in29, in30, in31 : in std_logic_vector(31 downto 0); sel : in std_logic_vector(4 downto 0); output : out std_logic_vector(31 downto 0) ); end entity thirty_two_to_one_mux; architecture behav of thirty_two_to_one_mux is begin --output <= (a and (not sel(0)) and (not sel(1))) or (b and sel(0) and (not sel(1))) or (c and (not sel(0)) and sel(1)) or (d and sel(0) and sel(1)); output <= in0 when (sel = "00000") else in1 when (sel = "00001") else in2 when (sel = "00010") else in3 when (sel = "00011") else in4 when (sel = "00100") else in5 when (sel = "00101") else in6 when (sel = "00110") else in7 when (sel = "00111") else in8 when (sel = "01000") else in9 when (sel = "01001") else in10 when (sel = "01010") else in11 when (sel = "01011") else in12 when (sel = "01100") else in13 when (sel = "01101") else in14 when (sel = "01110") else in15 when (sel = "01111") else in16 when (sel = "10000") else in17 when (sel = "10001") else in18 when (sel = "10010") else in19 when (sel = "10011") else in20 when (sel = "10100") else in21 when (sel = "10101") else in22 when (sel = "10110") else in23 when (sel = "10111") else in24 when (sel = "11000") else in25 when (sel = "11001") else in26 when (sel = "11010") else in27 when (sel = "11011") else in28 when (sel = "11100") else in29 when (sel = "11101") else in30 when (sel = "11110") else in31 when (sel = "11111"); end behav;
gpl-3.0
MonsieurOenologue/Paprotto
Paprotto.vhd
1
2441
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY Paprotto IS PORT( clk : IN STD_LOGIC; reset : IN std_logic; run : IN std_logic; code : IN std_logic_vector(15 DOWNTO 0); done : OUT std_logic; overflow : OUT std_logic ); END ENTITY Paprotto; ARCHITECTURE behavior OF Paprotto IS --Component controler COMPONENT fsm IS PORT( run : IN std_logic; reset : IN std_logic; clk : IN std_logic; IR : IN std_logic_vector(9 DOWNTO 0); done : OUT std_logic; multSel : OUT std_logic_vector(3 DOWNTO 0); R0 : OUT std_logic; R1 : OUT std_logic; R2 : OUT std_logic; R3 : OUT std_logic; R4 : OUT std_logic; R5 : OUT std_logic; R6 : OUT std_logic; R7 : OUT std_logic; Aset : OUT std_logic_vector(15 DOWNTO 0); Gset : OUT std_logic_vector(15 DOWNTO 0); ALU : OUT std_logic_vector(3 DOWNTO 0); IRSet : OUT std_logic ); END COMPONENT; COMPONENT alu IS GENERIC(N:POSITIVE := 8); PORT( clk : IN std_logic; sel : IN std_logic_vector(3 DOWNTO 0); A, B : IN std_logic_vector(N-1 DOWNTO 0); Q : OUT std_logic_vector(N-1 DOWNTO 0); Cout : OUT std_logic ); END COMPONENT; --Memory generic COMPONENT shiftregG IS GENERIC(N : POSITIVE := 8); PORT( clk,rst,set : IN STD_LOGIC; d : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ); END COMPONENT; -- Selectors for memorys SIGNAL Rs : std_logic_vector(7 DOWNTO 0); SIGNAL Routs : std_logic_vector(15 DOWNTO 0); SIGNAL ALUs : std_logic_vector(3 DOWNTO 0); SIGNAL Gs : std_logic_vector(15 DOWNTO 0); SIGNAL goToGs : std_logic_vector(15 DOWNTO 0); --SIGNAL StorageDatas : std_logic_vector(15 DOWNTO 0); SIGNAL IRSets : std_logic; --multSel : select which value will be take SIGNAL multSel : STD_LOGIC_VECTOR(3 DOWNTO 0); -- mults is the exit of the multiplexer SIGNAL mults : STD_LOGIC_VECTOR(15 DOWNTO 0); --As is the exit of A register SIGNAL As : std_logic_vector(15 DOWNTO 0); BEGIN fsme : fsm PORT MAP(run, reset, clk, code(9 DOWNTO 0), done, multSel, Rs(0),Rs(1),Rs(2),Rs(3),Rs(4),Rs(5),Rs(6),Rs(7), As, Gs, ALUs, IRSets); -- Problem : Duplicate declaration alue : alu PORT MAP(clk, ALUs, As , multSel, goToGs, overflow); -- Problem : Duplicate declaration memory : for i in 0 to 7 GENERATE shiftregG : shiftregG (Generic : 15) PORT MAP(clk, rst, Rs(i), multSel, Routs(i)); END GENERATE memory; END ARCHITECTURE behavior;
gpl-3.0
MonsieurOenologue/Paprotto
composants_fonctionnels/faGTest.vhd
1
694
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY testfaG IS END ENTITY testfaG; Architecture Test OF testfaG IS COMPONENT faG IS GENERIC(N : POSITIVE := 8); PORT( A,B : IN std_logic_vector(N-1 DOWNTO 0); Cin : IN std_logic; S : OUT std_logic_vector(N-1 DOWNTO 0); Cout : OUT std_logic ); END COMPONENT; SIGNAL s_A, s_B, s_S : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL s_Cin, s_Cout : STD_LOGIC; BEGIN testfaG : faG GENERIC MAP(16) PORT MAP(s_A, s_B, '0', s_S, s_Cout); ProcessSimulation : PROCESS BEGIN s_A <= "1000000000000001"; s_B <= "1000000000000010"; WAIT FOR 40 ns; WAIT; END PROCESS ProcessSimulation; END Test;
gpl-3.0
MonsieurOenologue/Paprotto
IRegister.vhd
1
540
--Libraries imports library ieee; use ieee.std_logic_1164.all; --Entity declaration ENTITY IRegister IS generic (N:integer := 16); --16 for a 16bits processor PORT ( clk : IN std_logic; IRSet : IN std_logic; D : IN std_logic_vector(9 downto 0); Q : OUT std_logic_vector(9 downto 0) ); END IRegister; --Architecture behavior ARCHITECTURE behavior OF IRegister IS BEGIN -- Just a register without the clock part PROCESS(IRset, Q) BEGIN if IRset = '1' then Q <= D; end if; END PROCESS; END ARCHITECTURE;
gpl-3.0
iamllama/EE2020
ee2020.ip_user_files/ipstatic/hdl/c_mux_bit_v12_0_vh_rfs.vhd
1
239688
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qx5u3GeQMwdS8C0bVa86MqCmF68T2+o/PMDL4QXo61Fsy92pCj+zIuHKjMm6YJA13yUHXVA3OURW A8zSCii8tA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RfEJ2iCejE/f65GGhmSRttZ72TbxEHIiw+E5S3Hm7TEGAMqPq2VlBzUu+KxVZueAnZ7k//HImmOn 6KCxWspsRIuPjvhglOVRpwjiX9dR8ubMca1Y6eI8/Pld7NTWhiuyLuRtr40Wa/H0tV469Q3k0Tlh lL2l6PJi3R3MiPtINS4= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ra1r3YjfH0udHiw3txKcrfSPq5pu64Nv9MM+ecwCfUu0UiTsTQv7jmiwqizkmsJP/g24eZR4+3cP 9vyyjs7IolTM9bzAHoPzaenzQnNztCzzAuvJZ/eHk8N5HVucXqZu7Xh5tAVu96APx1vYFBRVbTjV 6mJGG1b09ffFTJYMoQU= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LH/lWfPsrsEqdAA4Tl481liNmffJPbUbkLy5fRqsQg96IoW7oqkmxjscnT7eCIXC4oXboY9y352X cMLqXTzhxdT2MzT7FCPSZ8f+9sTEW3ptiF/23gN5A+2vkicCxU6jY1ylK9L+5kxy6KB6RGRpVgT4 +O7jK6SaPuJVGkQ9IXkFbNfGIl6G1WeuWviBsbItafys6bgxRbXxz0mSSca/9NEVYNdWPjJQpvx4 +A+iax0g1w8XLrQ4xsnS/K5ReVXTmD0GPTeDIajRZp9yJIQDezTktnYShMuphDYzKf5w4NLLAic3 NiRZAOkasSrb9xwCOaykkrSxvMhDVX+nyE04dg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s14gORNWPPv0CBrpsUP8NuzpPMUgQuh8kHcCH8PO8CdGmrLxL1Qp2pBrnqaTZHwyVYyvaEcYkNSE EXMGc3AOL3icy2O6wYVnzbNkxXK9ccsNticZHzCjtU/Qh7g0NX3eUrvNEfU8fq45K1jGu4ZJ1TGT m2Uetchsujh387GpoZ3xxer3jqva9NLcDARsLQODyfOO/4DhiCJFRJtWzdug3wWwvpXcGlnfBvzM ngV+2dzSMzHfFJ8PNoDR/+Na6hCCy8DyV8z90PriMzbPDYab4FsdgXnIE37Z9ruJTJT9YtU5zSqU Kf+Jh4MS1umhKncTJRmQIJhx9T1y04ICbaJIkQ== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eQ44NFH2y5nhd5hi4UT7F5Sf9TD3u3OueADq8DHi3MJOTVcmlh5caRk59ap6qxutkP/ZLB3wXS+G kOLanELF21aXRrhKP4h8pdOw1mSjRXSi/SdaNUIVR9zCkrNSVr2uLAvA3/l5fu6HqiU3yAcgzJXu ggMYcbL+p8cC9Cj7vOXXWy8Vb9sXFTlse+iKB4zrWTgE2L7AqVP1NfYainLqp6SPKDl+pq+noU6+ hJ9gRlfwyMmixR/QWe/q9iN/FrN0nVGa3RaJXxukn9LZBaZDGjzKfOdVP+8dU5+KlsdM45/N1jq2 KOPQXHf3a9WJPxWk4aZ4u4O78tvMJzpXdjbdRw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 175296) `protect data_block T5ilmq4IKgaRXylTdABhpx2DCPNX/MqFoTlJZC/gLj07YPXFo6h0PaxB9Q4jaCBRBm6moYq2OKFe pGywlUbaXoat+GONXFI6sfeBUzjTjT2BDs3m5rmcGiaMai7fRBCf5R0SmGanw28JQCrKV/Wz46v+ 51k+Ib1KCK4ajhq8/jMnCdJD1Al3bxkJCB7ALdwb6W2nIN2ToAapEJY4CTKNSaAtfhfI0s2W5mr0 hviv4TJgf8sh0Jx09mQ+WvE1Ea+9r3mrbn3ECDPRU5EP70kG+FKRmcMEnkJ/vBuCdtMn+KrejjAb CPDDHhV9WqQXJsMqktQrZaomVwDYaB8yy5cd5FxbcLHfKn4pmI4vQUhG1YkV59ZFzD93flEzTgC6 ZSTzH5jrz7fHLY/fb4zdWvSvMTJxg5bO4nFGzn6AK/dzWjDUALptMNObOoYyEgU87fQweN1IgPC0 1Z5V62ZftjLR0HjV1zxrXl9ClmRbSDG1vWYaQtKNfB5gZSn/M3vvVaeAhZ28GCZimjCyJkR6LUAk zhHSqYZvhwG6WGzYPwJ018IpIo/yP+w5ISc07XzcHfdUjZR+tP/HHwxHnyva8+LFFbjk9COwTXF2 7CW1K+saKEZsjHPWWpUuAQQRcr9SyzcJVdkSVDZ9HA0GxpDy1XWPCSXvvWb7sQ0j6putaP1CD7/3 PRPdWQ38rEpNvVqmraLYkXsAgncZnPdBMRCftEFPGCodPT0O2LyI4thKCnAylVrldRIBbXBQ/jjp o7vx6lCmCKQducc5BotNHiAySyshcQX869+8YwOXSQEKu3/9TnJWhZUVA2qrjadDH+gr2UNgz8gv ZIVt1QAhAAds8NeopyocLtMxl3mCY9kQIvc665UtO8g7ul1LM38cjkISasPmSjcVGfDNsa9dOVWy Z1xpJLLWG8ZRzh6LGIY07douv7hoguIY3Mp2hY9lunePkeiUyGIlnf6vt2JvsAXxO1IHIOH+FDux cf3sbq3BD031n2oygKOY7MF5QveAZT+Fl/RN5Nz2CY5GpvYMm7zMKF6cRT3ZyaitHywgcN2L1Bga Ocp9cxomug2GvN/QHHRX7pDh8oKAMBmd8bbnzqe/SSliDCJDj7+evXfzPRlRgmFbRGBVruc1lZnS fVc3zPjO0LHsMVQgWEojCCviR41FLobTCQr/vh4Hn91Hs/ewqFzaJwVqJoBUs0Tplq3CY4e6dnYF YMaV9bv2uRjEVTDctWPA6v8NK9kRKPuoiskHw/YeOBaDO2CP58+kbRHTMoHmCDH2utVdrlLVCmhV J4iUNGOSoUu50b+ZDV7AYx6+HdOs33nJi61Aw1VNsX8Qet/DB7owNHB3EvfMRvL3x6cOPaI6U2rw rL+dAPcBy7PK77Or20C26oz8OiEMzilQtij3YVOHpEELcd//oyZtIWug0iZ8cY7/qqRV7knQ2QKQ YOP2ir8tQ60Uz7dfCdYAWDFCOJkno9PW14wjIqG9Q/5hrbaNgjVfOcIQ2pbGLD6j6aoED9sjbaRQ PwVV7877cI9RvWNaqeMYVjJOAWYtiCfo27Ay/kNnZz+Wo4NbRhoOelcN0cqIub5hvb1C82AM3Yle pzL549kEXQ8MOqJZ0cPaWAqXPIi0d3TYm6cNuJBgKIgxdEtzQCIWImrysy7vXZrJ46FhIjT5qgn3 uX4ZHAOXj0ZbLImKo2w0FUbP6NfZni3tfp7Ssuz7lujNttBUYjSgZFSaQe8qOz6UgoJ0be9yDZh3 0VNhVDyoDEUrAhtAGmkaFDjQUiTp3N+AgollAWd4crJyTsAwLFe04Jv3Aayvnv7PW+Up6/CSglrI CyMqh0VedJNHe/Rc0pRRrwFsKi7YMo64j7gLvml2g6m0cMnltCUcEktnPTsRO5Vxn5ndpApw9MZA Z7b2ygvzL0ovWfj1JwTaw4+zh59zXu1XCMPXGpimo5hkhRC3fzYQEYTw7iwDthu5yHryS3+IzCsq BfkqaDy7VxnvQGbeX3NlIwfv4a/tos2OivnX8tsSs8QejbE++0N8WjpALsb2ipwdEk+CjpKwwHa+ BDAHJlgXzKOQ4AAd1Q8FgeZ2WaX+UPUgTkOikoHUb4h16ZMF/OFNT4dS0ca1DQ7W/FDefgG5sAkx llerIjR/OkviKJ9MOw7yUzgIG6DcdnThHQ3x3MBglS50gJf9dyC9iw9cx3eFWiYffQGLpVmljKiL M6yQBRZ4IH3AfwRrlJkby+yJftFQvfLbx3N46wZ45cGv/kr/O2j1tebzKpBqO4RQ/QWSamOV4u3z /TihFF3eJfT0DZjTIWDIZdXVXhFtPkXtlo1HwxtZD/4dsJv10DFXSb23ZFE5rUWpyMEtiNrTbdSn L93zcV9nP2+dOvBcoKfoMH+0Oe3FUAi/UrEy/3OK3mKSN4Tc38Gi7925x3/sslBtqEomvEQkiygb MY16gWtKarBnySCw/4wyBa0K+WykCxab23rYGKQqhiCW/qWYsemaXRIDavIAFIstYNck+aDD3f46 Ab7WEwDilMRLGLcjq2M3EdUt/fpX91iZJwQozCcpzFsUbLarDnwTHFwbqN+CHoX9/+SZLcPeZLZW XtbX8eMVFBOe9iecJlAVn6X9uCtRBG7og5lyj2xcrqlJK3FBuB0IIOB/1RVhsGOPqTXQOVtGRjFS LYGEq5Gzovf2N63WqGMW05Ddf0lG0b6wEbbL+F8p8g+450MYnk9nLDkzhHfi8Zyr/F2R4DDEm8sU pBlAxdmcYTJJ7PX4TzXz8p/GKcsdz8QaJ9xOAuwTRZJ8CtnlHCNflG/R3Vvf0fpQ7Uhin8h1hG0F j42lMZE13Yfh0o75yP7mQFcMuGdaSVR8G8JFqms3mr8H1nRTNLd1SGQLFatlAgFo5kPuUeD8yG0j 7YOb6l4YRKMCNulkBYb7VxrOABh9Kf8orNqD5p6Oj6vSm6LJ6+EOCisNmEOnXQ5cY8k0HH30DCXi 5sMkHceChTyRDCTv2qVAPFqCxsgRs/c4L4Ra8ZD1df+LIKW0KMzuzZBP3lAp94dVjc7s4nsuacQk v/PphJ2SOwYITy/xQuNyEmZlkkf0Uvg8rOHjnov38TstYRSX0AdW+E8aCiwguWq+glxqHVm1jHiB aFNkFYnw/4fGlz4MpzLxMi5EpfECpMAylrigycfo93cm8NRQoq9k9BNdpJx7osTuQMgnVT+hFACV 66jhjPLLTgIsLv7M1bSb8jsk7IRoWu97XYodwHIDPTEiU3FMV2mtX26xXKheM29Jf7EfbgUwkt7j W4Jeao/4rzr33djpz7dkxhiiNDcHngeIYZmvlKOgYoKLosET0pSjwPahAjFh4uXM+pnezgFyf2vW Kpa9/YcvXEvwZBngr/fFe49tUboF/DN5jz7waHedewUoGfgdR9k7uv7s+vCYhuCSUCQaLr3o4F9G ITwsSYhHnc7tfeOYlBWch21kirV8bcdzls7KwFCxjA/XtfSSkS3QqVpyJfkma0UQ9OZHFog4jTTg 12NOqOw1rlOj4emKPrbEEVjZQ9+65GqxOxOKl4npzLc0TVdIYQ2KZPeCN57SDew0t7YhA4d7+bY+ sJrTw0fbnutpPeeF5ZN73UfugV77twpsMiNiDb3lC3Cyo/XKFU1lW87pohmHNns5u2XTsgLxMK++ SJ8NM+UVwhWIz8uCo2esIqYirREJHfolsdI9YFjuL4NoDAH4XF4TK7H3jdDQ/8m7D9KLnr52z5aL oeKqUPvejglS81lEfQM9JyHeqe25fvJUN4u1KBiloyU3K/6s4T+qqUVUu88PVKTrc1K6nGEEX9w+ Vi9Tyn2+vxetoGGzS3oCcty1AfXehfQ2z5kFAusj2mnoBWdiNLDeBY1dN/DE2nFIu8ZvSlPl8yR+ 9uTV+BLtDr4URiGLu94lXb4JwwvXjVP/rgku4K26IxOlwIH7zxno6X4Vx70VfauIxxPGD5w3LI1x YHUQvF898Fxtph5H6VSAPnrhFj0Ek0e7e5h7fdo8ANVA3DVY6j+7xjswIQdNIFzJQwtTg42ysIMS i2Oxb0SAW8uCfonM86ouE3xf/lZrH82gsOPXKQGoJLETzKp1f6XBVddDV5FBjUfn/k7INtcBNV0x XbHuv+1+AtNSaSh+HCsI3NkO8XOw5r0UYUCF8aRBJssFr4Ci4lxlg6Nn7u9RBxN16ho1xBF2UQRe yXNTLbPgXslwZqKjNFx/ryfWqfCbXdUodQg2AS6UWKADiL8lj6a1oaj3Qirue1MHbdQnCuUDoN/b zfaSr9bPYjNJ04GOZuNx3e5CX3frSqzwtlJCVt9NMIX3rg8s47RN9UR9bLeSO4HwUtwsBdtP71hE pyf8FE5IoPYtaFQBBQIWXcWaSSmjrMKUN9JX61/6qqtqw4mo87vGNjaXHdJI2JoYeB5CIiQYunvR JRYmiveHowPpqOb6UlqQn9x0K6hesmBwNZ9mcaqx0TifLYlrC6fzxbG2FugCTsvWMofsz7VliMLC RJMyjq++kWmqChLKTVTOyvlKRxGTXnKlFkTPKfIJr6sZ9ll/+pJ2I7JCI1SDiV1NHgSResjtU4Pu Vw36SIVe6Jsj6EZxOtHQ40BggnUt8UncHIKeumhpCJHp/WLhBZL5zhPQ4Crb7vAKO+rx113ioAzW GUflYVDZ5FXQiutk/j4e8Y9bgYkyM0kt5FftJUzc9YvrDZHvWszbERfWF4sH3ibFgvKMwxoDMo32 JgI5XbKyTQYpnA+JGKxm+XyeOfV6EIp6qOulPLGbqGTe3qsoLpQAlndfm8WAGh5BZzluhihuowg1 OWJgja4RXFUhZuzDS6UK9AdeqoDftIHSzPdjMwvXE8dZr6lUsYWHl8RmLpZzpTwVX7KbmVDmTnzi UHTGdZ+GDDpJlGv6tjXuWM+Sm2mZO0ERNksPtQ7SKdC0FeivjtHqEY1sKJG9Q/pf7RMTxbKKmw0W VjXah/yZDjZAHV9klI1SmFVGIVyRnPE4LMMSpiuXD+icKoevzzTVinKsb2uqMx+WHOxiIYmh9twO MBYE/NteNWaHPT0+wUNIQoQgDJ7NlgBN07bZpTsdYjHyO7ELVYjSCLMBRv/TrMY5XvPkjSy6e914 yZRGQBPOGchaSQVgLgQGVXccJnBuxHVkpqnz09nQf8hd+tcvz0D4jHkjWPGev5eoAD1OjcYMYvKu 42nghuu1/qbDQ1FO82IM8daOKPsdjzjvwOcXoM8aQwnMz/qUn6yxU5Ef7r0jh3hxvdHuAD0ZtPFt KCYcYmyItomeQ6o4EUtLznTEL+WyfHouWZLLu2fs7zK8p2z8fReWnZpgATVW5zE57b5Z84SXrUBM F4L+hAH3yR8xjEbMrgUmjG7EJhLV2s5TKBTdMkwHG2WmTIwRr/kAULCPQ4KSGFR3T6SHkc6ewVD3 IEcpGU/n0FgnfvuFkFk2nOlhpFiHc5KCXejyqeIGq9KZG7rAh+M/Bm0cjgkw8i0YDeO88tS0Fhyh jtOhNVsXMTrG3inFlzps0dHmRL7Lvzka9XkFMJeU40sJB19h88+/hBZUce3vBFw98ys9Odd9A2TX SloHCwUrtNW0ddanZuAr+c+hEniE4CtWGGAyOmdQOp8wZRnKg/vTO9qBxJuG6UTzXRZB52lC2fxz v2Axl4qoWFNcibJKm+76OSHzBnlZPBuqzp0ajWyTRM5GsbiNN1a332E07Og+BGizerXCfEVxGz9J 5AFN1PEG2wTRTHFlrhaHPF6HaGsoeKOIo2YiyzM7bXKPCS87X9dIcEr2e5QYrUiGw6o14JRt1Pwq ErVdQG3GIPW+f6zg3lO4f8rp+kv8nKQfJq1nNaa4GJUPXYhBfdTL+C1vj1rbdAfVo3KijqCOLmxU 4Dsl85RzcLvNFGnutG5mO9gz1UtcE9Bp74iUT0e83DBN88E9NCe4GsAebP6A7XeksNcGEC0XfmKz RZ3/o6VRYMC7akDw4IpdoIUfbaNQxMLNL1rvI3raehvPp/XucYUbUV9t46sjYd3XTDVzu3OR9lOU +l97cWqVyLSxHd0wCwE1JwJEIrTyOHboc6ZUfqry72VlkSLeJD7szPGZH5dgFGA3YS8E3innQmGr EKFznVtOQjWm3bkR4ONqdmaPQUT486Lr4gluY2bDqhCGsNXHUGiTQaQMUsqJrVBqNqU44BvFz80v 5Neko5AOIdN3oNnRkai3jOuvIDzr7UwYZgXZ+1Ws+Lip5h8LNI0B43yT7IXahq1MHI39xuBCT0lJ Fsp/2OMPIcLBlu3bKHVW+TrysYv4yvsqzxrMy1uVtuJ8FwC9o0GWxZW15oq1+AdZj7Em4BgfLpqS Jecr0hymVr5hbiBaeKp9o+JZwtXZdxn1pigEpU28IXRE+bZFIAFWbfOGzb8egz4qm/4juSyDmtxL QLQAFTPcpJVgkYo4lLePl8988NN+yIRCy1igk2FYiu0lbO5AQ9US+MPGLtl7sPyaUGwqUrFY84nW D2xuuaTPPBI+jGlbrjlHP8zzdRShHP9P8LUumqzGq8ShWUXQ3lgnMgSj8/HF3fh9+0lO8xxj2UKx tkHvUXuW2ZKHNsP2ptrXWV8L4YN/f28VlZNAkp5aZwl9/jxe8sozYxpIxQgfEw6tFMNEM0phnpRW hIhPSgTMhHyPETjVcbeNZDOsAdo25s50g32Y1YANDDfgN2kvM/D/vYdVaN+y3m1kViukNevO3E/s 12gcUCP8Cjs/qR4uiBxXY931rlROgkUSHK7z1mH3cSCpVQRzn3JsHDbnJ8Mqi8ph1NqCyj419kYi GDsREpbk5kyrDkoDphVMZwL4paWgUkwA3oProRbttqsl1Mn/yXQQB8ri4kqDxC2oOO1CIyeKgIVB Ka/yYCb3ykrMrinoucZGRo7yuhHhVgk9AfTQSuwPgapM+tcc3AwGThKLzRKxA5NSHrRSORQW73P4 6wkDN8E8zqQdjcWUCvH1a/GiqJrSaIH9sV/4lL0jbtfvFKsiSWEBholFcJ+/HNaqzipCWS/RvqUA hM+WnNu205jx+log//r6PYuBo1UKj18UAyKVUhIeyfIiV444BtG/bxpi+Nv9rT4+YRQOlDa1Kl8C Roh+7CwbClZ6zMvMiby+bhXkyuPACcV74Yajaz9zLDHLtZiRbL+KBrG2D1vlmi+EtXYlv7Md8IJu 1UJfSP6Zimj7dG9+tLnmpamTd6jiDS+0HQw5RxCxkFGSkCe3O1MScQwHshQdzgj8XyPK9yT7ceMu 6nM2cCkLU1VKwp+iR2rQNL4Uke3eiQ0QA9ZQEgawk4V33oExXRsc8TNeS9lL+GcyIzy+xAyYGTr0 44s+kGiHacLlr1sqKm6a5k/yy2v7i8hC9ZA6jkmAxxVoHeH8GxE4xMcjO3ys3CDav3AMR6WPfPTO x1vcuSJvssXrbyNa40wU3CTxPUOCkqFrBKsoap4G33OkUzDTm2L0tnW5xXoNK4WJt9yNgrZuyzlr 7cW69w2exMTLKHEPWW917L6hfhclvPHUs03bVMSiWCPobJNt+T/v7B4C1liW4BPie9JZhILVy845 ZdXCFitX1r5IRYtkpx8kjxLyQ1CiYTjVEzXva8s7nTtT/BOmMqxIHHGAByS7ZvZBYll93OlZyRTw 8+CCCGxPNmMWYLjHxDPKQg28Q7LGVpUI2UGRJoyaR1RP7EDa/+8xft7O8/7JGsn+n1tM8cIchrAh 4ACMrS7Aw0FScJrEvsdhIIszz+gLOFC7dtRKTVhd8eWjppdRlNk4rxa6LEHX0pltvn4a2XbPK8MZ Xda/KzXU1EY0sLCEqiLZZBvbnHrVq6DCsGL6PoJXjgNmim/DooJ+9lM48hGcnsFJnNmMWu5eGDgD 3/pXnP6hODl/He8PE5BPz61JIUpdVCfdCNKt0aJSjIea4/hoVvwgAK1oPj2xskaig/sDx80cLWev RJWbmp8GHD/ki+AK3GDg7a3OYuqibC52or6g2lv9+Qqf0eJrFpKQaCTdaeSBV6PgvZCiAbrkqydA Y4JMeKMEThTE+VwhNF+UF4W/70pn/QNPBjzskhOC6CULgq5biz2ehVYEaBpoKw/gKf5T+LmefwKJ E6c7ZePZUQ+qyGyCQtaAch8MA/GmD5RpU/CIiwVQmJv4xxMwlzQUAGHzIInaS0XcMbDjh0BN7u91 xYC5qErIhTFPU/oJFunnDby5FEgnwUhCgYjoijYw2YjF7shj1M4SXMIq3GcMMUBp6oPoA6Scaxjn LpbQzmaHyNhfN8cdB21BcoEK6yf2BPBI+Rbd9ljc/JDO05ijB3zUylyzI9qcwoV2yQ0xHn5eSsm3 Uuw3Mc6aM1VhVaiDIVrbgK4nkEexLcr/I22AmKjyXVWbJezo3RrXe3DDTGMiC/PtTpk4cbTuiMbl HVtg2tu68MiKMgHfDWAEzga0JB7YgUuu9z47zGfLLXYd67ubEavtr5VVdEzSK40iU3m9mHuMclpM zcybdjREfFkOnrpuuc9Bp9ftB332l2pbFDM4UFSV4kHXBqvVzrN2uIzrUJN2+A5dH+ycDswOZyDQ hmcKHk7kuhBckWjV+Xeq2QyNEJsB+Wy4SQJRWott3bPG2skMF+j3/3zJ6KzJZkT8Gz7bYCjkmahe 2gxL6A+X7kzZ1MBZoq7BS8eTEEX5UAQ4VBOkae942mnX3huUaKWEaSOqU2otlnMD+jaSHlwADPG4 nQo+67acWfLkO7Dfia4crIk8G7N+zuDa3cvt1ymZIyFdFG/1jELelYTsP62wsv1MvoqDhfx8Htm7 Uge/jgov85rkwIhgMMN4OfedrxLNAUJQ2w5lIRq6sb5rPPRCAK5g+jm0riLPwPI3SM+//bhE3GRF yyjBpAGGmdeRjqHTVH4odqxVM43S17p4gCjgd5cVhoPhO7W0jGF8ROAe+V6Sj22tSKAAZYbY88Gp ios4tC3vXMNdbWM9odYw0jXgWZzppB/tPGHffIJGCrtlyDsLsXlnMiFonVd2ehgAiP5eW7eUta+A CwGbvqI7/AB/NkP9fB/DMyAQw9gatdHUfsSPrIEnvrTUab+DVbZyyjKi64fWOs5iEGYXq/17xOwy OJvWnIHDfVmxBQnqogX8GJiiIp+NUOaC626fw1dZq6PR+jpqN6m1yztCqtttKNteC5c7J0+zsUzN 1v384uahrUZwLT0XdP+m9bUMZ5uK1YdHVOFH1S0oevSJd+jNZS6XizcuFdbKgcz3XHorL8Rr5EtC Sr6DYWzb7exKfFd7P6w0IHvRDz7BeziSgrB3RCnDRiTkONBOniNv+0VvLk4IVPGIaOJrHSJXpIn3 ijT1lcSohjzJXhIBI2bVV3Uo6dlvy036wI4r0Z606TVwkSHwt3zcoWv2edfGI0Eb+ijaKzKJp9K8 ze7QIAivx2y+qh4ff/DdBh/a9g0kFfLRraLmYo4527v5jjLkee1hhckSryvoE+dmVZUpMD4zktOx 5fjsE3yY1vzjj8UFIT564Y9aMOdtL5cQ94ovmg7sMSRRn1mh7l5ohTTuxF0jiCbRd+EycvSTPe4c IL9vhb3//aOLCFoFsnFPqIS0UQ8Yh6qS7x6N+YF3npyu+pDRDAjaGk6pmJdDC3OzURA367dcg5TE 8iPON7jBOtMqty5GKgggPLEhU4qL9UrZa1+rysDaMseGfO+auuFeFWgdAcmioV6uObph+f5pLi0n JN2EYGzyITKY3dEDmBPAO1bkcmnI5oRG/PY6g3iCwhsbg2J7oUXgeZj3Whh7M8ZzCGHJDFBREXhp 6w5U5lyH0aygsrhan620H/brC0pa2ZMgfYNna0TqMMoVfYaC8pP9WWRdxpwj1txCu+rS9D8q38Y/ J3xVwfGGiU/YgINv3UonYLkypiWT6YywS0w3/ZBYOv88tcXWEM1J035Faddywn+LhVZIpjrl92Kz Buq6fp3/KL7smBinW0uFfuZ/FautBtkw3weYaGucgjEaRBHaVNb0zVS3GONpYDRcXR4coF/eG89N +TDTBN+GWkgiMsAA3SF/uYbomvzkVH+IgJyIMFzY3bZxom1hMmGsoD72fxwXb/2h43EAy6F+JYP/ XbnR3ZjgI6MXpaUpq8bJpfdlscKmSYmgyvHQIAQ7OtnmsIR+ocUUy/Fe4IaoQz/4WQ+WRbijQGzO lg2ty4occOfF2CznsbM+OKvL16zaHgdXS28v1AX/t5x/tkxbdgCda2njT54m06Y4tD7SHpQdGrOH YJe4AecPNDCrdk+oTVPu2cIAvu4g/EYQ9Vk9lFIJZCgL9wXqj7m1ZBuHAEtT+h0PWVqaf6VYWnal ZQXHEUBf2ybm+Y8EVeedH+pGMiMWHAyiYS6f8pt8bqiVXYPXIAd35Ca4n0U3DVBgABICfpnXaxYZ fxNluqNbeZ1GGUdISTNYofBtK9DYPYHqHGo1LbPbjbp0JLjZeyUqCxZ9mS/B57VDCpK58sYRe/ex 4zkbRQznfYrAkRjzJbvbc58eJmQcmRo1D5ySAwp8p10orOu65Tcw7D9tr832DbNOVsVRlZMDG5So nrIemQ8YmQYjR1TVg6IwAvpeDc0uraSGAs+0x4B1gpHr7vINRuzO8zbAkZHwXU2BFLizG2R2pXCV 4oefDW1TT0I0YyreIMLfzixu5DnrgELqxR72fF/O8s1NWAwYaugT6RMr1rO+n5PRkgDS2m32rwUd axNmzP5j6OnW23jbRz/MQ04iZ9xWOd973ntn8V+/aw65dG4MhVcTFyDfIOA8uIid06EloW+PGj3b JnbdBCnikWVGifSpsmkunx7wwQKq7/q+TQ/ZlS2R4aVSA9f4DHTlh+QGunZWdGwnfSfyEFuyu+DE CAOfbTVxqQ/J7pEo0BWfBMQ6orz0FWLqfDNMr3mdl5MELS134q3Fv9H0weoN1vct+MCB79UlzPqE UjRj3MIlFRxNvK11vPqfXeFO5y+rym7fAuYOogC4UrEXW30y/m4supXE05BXcCIoHf3pJ8AeM8H+ i60mAUnPtsrzurterdH/5+TbfdNZKSCEWR2KknTsiN+sf6ibPSs+M68AsQPgKUa+cZzL7WkdIogf np6t8zXhLmyaNIE48V6HFrBT1c7mx16zVVtUqCvMeghzEYPo2OHbHrKF0MLZ1ZIbPZNa8/IMdwWo /tS97XZBqmq5IRP8KQw7jVhdOAJ0FMTGjanXtNRILRwGEeb4vfLSNYj3J6gtZ0YqjdfS46bEMqWB 3JRTBqKa/UeFaMq8KlhhaIcA/CIqayUqPUTtVZWwd+KmhPPPEmP67TZ56Z3VTIrAK73idBtivp4W iT/JPPJKwWJU8t/dzpl8oB16FOKmpaePWQrDYbAlwUWKqLMpd9GMPXZCrQJc9P1ZrGzsFf5B0e98 CvdbE/zD/C1SJLHp3CjalvH5WTGG4xAA0mENJPbQNVTkl+1xo80/FcJKEfEj3S0JU86Fm9IAWQkj J+2smijpAUs/HAA07f9fdidyAyooTX6Er0DNEmCXqh+rgEcyhIeigO0tcSYcsyYfm1y9URcCxWh6 A7POfqeYFKOKho2tWnpAQpBZwXhUbFh/tndlvw5It/gocSsmZGKAmVZeZGTVFYEP02KYhm2tRrOx HDzuRzngo+6/OrGQyn7qMfyMDYRHort1/HFA/0qilcTe9KAfYK8L9iShH+TCV4enaLNp/da1dHw5 gTw6QMzyjAhPJB55jBrcO0dQ5pjdl2Yv7P4HKW0TI+k2BIdyt28Jv+eVR+lBgNQLeKJs+Y2HhXZ9 7jth38xj4YBsSCtHrOT9hIM4VzJb3ehqJxAi4ep1+OPsSCm1/+YMW2bU+znmTvFTOZaWfbO/lk1+ UmAtw1m9U2rA79DazZJVhJnMW3NsAGULT+s6/xM3tv2gQAcUTJOJWPeiKQNYLyjCdAEBY+9rTseD jYxOixJpnnEnzYMKZWwQ1Juwg9VF2F1yVI5bNANGiDZ1ROLe7R088CcAW0oJGaCCHf2i9FquCdAY akBL2C+Kt50xyTQk0G8qhbveptjlaxqYOM5tPBYGRlN0LX1+BpFN9UddjxG2d2hy8jx7frk4+CE1 X1yIUmyOVlUvfk28lIkZRiwGbfXcRstvVlxKudfZEJACiQqUluRCY1rIZdQpQ7u51WMTUB2kL3+/ ArZlwRq+y8o2giFMujueEHdVRW9xXK9nMFliLhNl/PKVU+DbV+DE1l8YUlFrWwpeultkrnwU3rnW qXxZmjKoU0sltw2qcKyt4dIMpXxG/pQN/aZVsF3Pn9SIJtkEpOiH+nJiBcDCGlvNIYhA+meo8sDX x+k2c2c0e8oOGOWiqd5HDwOilgys+vtwHwwW0H7XjgtSERNWp42Qn38jEr8E+iy51ESWUdZRG7Pf gEvNLBsh35+rdJefJNu0rWaE7cluCbeUUID+niLxHhRpqwsigIk0+nFj6gVkYns7kmoKAOxAQwTR /ea2l5t13jajPGyGGvpxJQEyZ16gdJZ/tRYjRArPeVPIsCs7uxxCA1fTjRKhojH+ECEsm2KOygwj 5ENWqFi6DeL/w3V6wCr7wUbsOKSX+RJb40mjC7cUl4Wmg/Ja6kH4p+6537VIbnHf3rsw1/lurbV9 yjZa4BfgHHmlyssnXrz7PjJe+hWfKGnUwftfwoH1Edj84E4W6PLCmNy7Ad9SinMMd+y1A9Oummuf YjpODKu4QFZtrCuXdCpJNYMQOg3Fr8QVwnzHvUCrVZEd2ROpKv2kfiZGnQEu9lr59YOUAowU6m8q uX5LP7SxF933O8OyGJbhWRh1T5jcwonFjm4/bq1m0R5p6qfLtextaa2pyZJnKbX083LM1O5ID6s5 G9K8BuYGk5AXNqAGvvDHgLwME7csTp0BPBhVUPvI4bBG6UPpBLAM92A+hYpGPNgg2W6wXz3VHEj7 8eSD/UYKeWbPs0qgRlIRYgFA+YtjVqjLtRQm5ut1E6D7UYFdwKw0UrMgvpC0W9aHX4/EhsM6ixyV iuu1S+vL1mOcanS6W5D4vaIq+kgl/q9AuBZR3QXW66pwSUHppTMXfwK8CDToYyS7WY3m6cYOAzud +Eqs/WQUExeeyzbl+IK6kreMRNLn4l5efZfD4rHRoSs73coxF+uZ59IcAq3jsImj9dsacatBZw8u qiULQZzU+iewNp2a9sFSF/MY3jtsAaoYnxSg5zSfiinM1v4B937p0syiJm/ivpUpCv8/r1/1h8ks aHzVVrd0ruDKUWWym4RGILkx2DR+dY5NYm36qaGfq90PTCnY1u3pao+mU4utLfmka2qmLalYxory bECpcTgzi8PEGE0oLaY+uyp5pcIvqye9KCWPa+Tdgn2cVDS+8mrPlav1LRqhqbdy4eHYbZspbkW0 A8ofSNXVOjzIVeHCNjiTfcfqDtVyfOZmYodpol+AVdRvH17feYfuAkHY8872lur025+DXyn6Nybq VeaW8H763B6VsO5KO4+G7Lj/U7t106r2nURWZkLkXwGSUT2Kjam5eJaj8sSU4GovQrZK5aoLE4dv GvHZNxdnvpZv99jNzawsj/LnYH+TB4vy7M6AfcL+bMu5KAx9LNfj3HbSue7AO7f9oPSD0YC699fR V9tBfnsQuzJuhLP8Hsf0cAGMGbvibzpljQyqhgJj3dzRrxjxAABzAetWG0Mk34Fe+qHXMLj8KuQL K3pRTW+uvg/mrchLV16dAbp61u0U7W2bpRJpspb6b+Ae4UjyP8+lWRlf8QLI1lO8csGyxuSrfhqC kcXyWyDOB+CdIuE9mE80wAZOf8YypNNQPQg9a/IigSkMg3NoE6Ka1PNoBkFBBQ2+GkIovpNoZQ38 usePwVIvz6gszN5f+nUyLmxWJ7pwgNsuhl6FschRnqHGa5NCwz3+ELH2IY4N1mk9Lq0szm/2KPH9 VI74yAosRjbFHZ8L8fshXFgQFwZOUjVEWgyZmj1R3JzcY5Yrs/n4DHxcnjQqapoX+Aw/dt4OvF+l wJyF/QEfgrCQkQzu8n+9ARJ2qjfRrD/2U0qHw2/WSKfOCdbc+hBO6Wh9kce6+lZW8fh6YiwTJ6iI aou1+PhH4sVLqKFoDB0/IUAgYsG85aIRlbwDsyzTO+55eWV2uI3c3+0W9WwcUJl9Yx2Hcnhhb0Oh ybKnTu2xH+8/XH68JRJFJ3JFeU1g5nrVvvIKxHU0x0B++qgr4Vcehqei9SUAAW2Kptvyn92caImN j924IK1z6YHT2sZkMCbjogfg0HgMzl9U08Kpk3uT6YXZInAWAgaYWYLzR29DMg0e9DI0G0KLJTwq cTuJ+xMFEGTKrJACc9TD+TTWAiC0D851CjVcq991yIXP12HIWvoG5mlPGJiXLwRweDYmw7MwglGZ zRmG8vUX46T4QWvSPZKkaLTq7BMlsqRsnoesBUBPb+7xA5u+sHo7bkGGJAr/IVywB9+NF6uk9ln+ 31j7+oeBICFkQp5PVijCRImdcZYW7NN9/FSwpVy4M+Stou8c5BxoCLQZytkhomla5iPKo4iXpRzc nT8mRVfH22rbbsMDdUfFN8H7LNxOmGHJ96z25+BCm391Ma6TtpNuu/otEZxsVmZhMMLKMzjKRENW 8lt8w0mP28DYqrt8BWFuj1P8X6Mm8D+ddWQa4VylFIKFkotGdk9vys5V/6C3UaMK4WJUEqXyztF9 yv3Wr1ehhuo+CJX4xcGnfuIX2jEP9zsC20YM8XQCo1HU9nJQFSLlD3rmpOpj5UFPkOitzdmIK/1Y oW/Lfa/qYdXG39EArp3r/2rVY716qWqPcVvjin1n60+fTfxOBqqo+DuhPNe1gdbQMoMpsH+nTZQG T3CWxfVMFP2kCiURdUadBfH5b2ibh9fyysk396DRk3dby7nxOx1Z8ZKkER6yjUWQuyfajYxb6ZFF bB8h968uF7ofdL02Zu0rBuCv5ovg1n0cMeM8qhufq67uEXuwwC76Kc03b1y9CC3BhfNzGsc/d03r wrZJ2eXJvB5+2JCCrDlzO0QwBVu5ojMR9p7HGY6N0GcWHwiKG5q2hKRJUVFJ58mxigEiG/f0k/Pt TEkco7Jv1+6dlJ1swVY4ETwBZ03n6BOc8MNfAdM1P3KUedwarcCMcruTnmdztzBQwC9ukghQwJcU GFekzwtwtSpNnXohvpA8O6u6EgrUCFVKvzGTtAiwkHnZ1qOPgJ0eumM1YYJ8Z53P6GPSTL8Ki/xW EkcXD+9WfoL+H8qo6bEjB8+GBOyLxlN2Rgyz9cWtWTYJRWQX4ZejlVA0zL9N00JmU09TWzr+qoA5 Ed9sfWn+2omuzE/HHVhjYuJC2UhD2lDW3kB1mCQLyTitIRLgGtsnKcfFPpFv81BEtF5CNR/RtchE MnVijzWJVZpQCWB1iSlWZVVY+2TRdf+ul+/ge+r7XuGJbpge06A0O2w3r+dXxPDHxSUWQCbqtVEp nRIZwukRMIJsRIxw533U09ilvDJYzxdrLxLHmH+vbbvpMKKceo0EQkupIoGL4Ue1iEtSq4g+QYKq IhB2dGGO4RB+gqikuisI3m43KS8bvhTLxTep9XAef1yhbiq+RiqdRWO4pPu4VRoeVtbpfx2ZAIyX KJDLvs2fzFN0gKfjW3I5vebXu4rg5FaCz+dO23oos9/YPTSlPiGWx2VDhvaAp/Vuzaruzrsn8Loh B/XyxCcfOJHFrsqYsmX/Wr/8+urKiIR8m+5DyVp2unRBzr9Abl5U8SxNICbzVq9+b+VciutmRISx VnphhvqkCgpYhM9DfKLte8FwnggDEPEdxFVl5aM5cPg951OzY+jH3x5iC/ZCx3+hn8DOJhgbNB17 /l90FXPHLdH3b7rY/8auUWh0sfaZlfp6klJJhmangaVw6iREJrDxS1xQbmKrVlyBA7Sko2xkMm5w sZcIaANgCjpJinS+WJAbGQTCIB+G31bAQJqQGRKwWae/cr6eVsX+/W3NrhtFMp8EubUd2RvXULO3 UCybs4HdAUSPtsTzc2ZozmPa7b5i+ADhkptwMSKhREsGO0JvWhAoS/IZewkYg45M3aqOrKCylwZO GsWpB7pLsATJvACQ4mBJ9t7cmF8emPBAAF6jnTDse+muo6WVLusnBhXz1Quq9gFhb6ehThuL8t71 OoTwkTkOCzhQH1CyMaDo03BJx9HyXz/8N7RmlKrwnQwi5IOCUFHkO0KIwGxuOImIO6vFe0x+ZXoI ZUdSRf4zJtGkJhvOyN2dPKMJKTJwsBefPGYjH8WN+dTrmbxYLYkcY1ltBcL5ESLg5bVdoU4kyANo /5+Q0BY4f7BDXm36zBEVifG+GKAntae3L1dy3WWvzqFUCSAuajfMSTDcQRQnL/EY/MBp1E1ruIF0 +uWu4nLezX5j3wbUnN4I1uXMMOcmUq6ozW2F7cZbD9FqAAqrDwm7YAnzC9b9TYWBuLWAvqZqgyFw 1GBNRUCYvDs1p1sxQpd16xkCFMX+vKzXJ7PRRb7SA+E3mczjkCx9uMoOR67JS4xdtzH/8srII6G9 j3CcoeRM8n51dfFWP83C3Ytsn4uZfxMB+QAxMcoUGckrtVlC7Y8uW08aVuWAkAXfwPWJGZvK5BOn qsZtDtOeePBBE6ojVe0T6wAtX0fYY2OfpWjfq9c97kB7jX1+QXMlYQbgr/XLjhDxS4GN4B0f9Q2n ac2oJXasBt+BYtHUhET9ZX+5rtDcxNFwhSDFtRsE3npKrycbJHd/cftA03xwpYlSTvfpMz0ABDM7 61vQfrnPrrhAMci+bMCCz54kKWgdwq12YRoPLaa4oYOV+3d08n2ntPlenakB3D+lg24fHupLx3gS sFWbkpsTH5E6fJTjja73AiXglac3AnyWHNzlzjwmwY0qIuvK7R+21JY6c5KgI08tHY/ao5XVXBax 2quRsd8JCdgqtYnRLwQ+lEx0yaDCAjzOieS1HbnTxYe8AaXwr7eIxLMzWZ+oEvHtWq8Ia5ETy88S C7fu09/PtOH4YaEergVNPGERDMIpIM4ls95Ye64Znb05qbfq5UZkKJVpAds5HBHiDSzdB1sqi7Mz MK/Jf9BBI9pdQHm3qwsIi+40xy+O8iYnbwfmEvhKJ15Drn9qncRxEeq+vJJ3fKNu5dzi49YdHKCJ n4HfmZvpZh/rwrMOPEvvwe+JOgdqArHxhNa6WiFaQ1WA1wcdMlW/YM222lsSkqD+o2VOMo2Ve7St AesB0y7/AsKOe5DsgqaWmKcncVpPxZygonXfGuCrsGIpFdgARLElfBr07i3ku4L3Agol5bEWQsgJ LPkhCh7+PWriZDVO7+EFMgFTuxBbsX3RGp4Bu61G+zWU3q+2v14/kmzLmBDArPDVu3M0v7QcbraU rJmzDBM97IwfPcILm6+eJigf27kL6EtR+xXpQHftULpKcm9/aB0zK2VMInoWDgK1uMuA1X8LbvhR eHoUjS+6U/O/8lBEnr/2unNtJ2/TlABq+Xj2bZMZdIh1glHm/Xpi3CQOxfx6TbniN1Accds8lHUN uZlBylgo9T9xhS1s/7rXuISVmjAjmnnuRnSCwHXpnyoDU0gmX3sfPIr8g465EBXXr/gLN5U+aybE lB28n+tHyjb+1LNbye5Ig3bZY/SnZEIFLJA4xds39YavSrK4VFw5kmOyn0FejXGqY0Q6Fb23BN/a ++6tzswV6xBiPXd0zitcyWY9pWaCh9qzMsRy5IgJmB2BujK6YLL6dHoINIZ3Jr+9lz0n1Y7A7vDk Vukhl+gaK0Yii8geAHtzwdGbOkUGSJp8SKdxFs6zC2fPetZ1RxGBtZPrsu5xLbgrtytc9mGNqNPF GN/aE6Gr3QT8NO5QHWWlW0+MfroQO3HdgfH+aX5fZTMDHimathA+G1LMSPzDM+0vK6mrctY3uons l7M0SZlA+Ic/meDp8/vJIEEH31e0A3cB9pzXOFkVEUW600gZ06UiLqD48xqJvuhRsffRmzUS/ROi ZG9I3Vh6pgXn20xW3l6LtVRBaQ5ZF5NuGCFqkyh4VegOqSNmKiYA1cTUnVK5A+186Hw+xbrSnIf3 j2VfKfgNkKZaOSR/W3gkNNu5XX4SW/PuhW/CpP4XVHMdyD+Sx+BWrw/PWkVatTBqjqvEs7WwCCxL +7WYTVJpwYSxGbuxitf5nXCdXv1RcFJ8F6FRuoZEDNUCXmH+OmF2TkGAHL/+4NP8X89XeTiB8EUP XeCq5rfHw5HKl0+OPDWFrE+qlCf1+2rKHe33YVHxJOLP02szSrvn4mCB+17iUZH6u9McuMU0kYC9 IUbjb3R9RnFyt+diPys1rMW7Sa6XjEHZNkZD8UkWd42I95uhMlX6lvUMPqmnAtwojT42MBdXWFKG 8ZSU5fPBnUYu/HpI60RElJuE/Mu+Ks+nDWGg6ITkXKHLsLGUoxSbzl+yIw+JPLI3gShgbZv/8gcH heeXWRy8RKxyqhdLReyQN+gcvsVl8v7ZS5pOIOFQh1668kIpzbbk89LmgosFaVppYUyEF47mUI42 mS4DmW6PMD0oGUGErcqPSWug1snQ61gvVngMfMzPrxVbtWK+KVn2Mtiiv+/PuUat7284+u5Q38EN sDSRE/n90m7JMpa+UBZVC8GjqoqX0fdGKbeqnRNWurLXDdqbpuxNKq5bg9RLemsxvU4dlGo4n8ch KpsZUSqQwSw8i4S0bvkQNPGDGVD1Qd9rlwwl4J/mpot7oPIwerHNQRGbaXrs4mO51mYiHiFFhoEY NkCdWJOI8xiBFyaOA2vUCX8kyRJcXlhUb0NoXLHHwr7Z0QSaVwqo6l8eNiIkekUj98oXHc4Te5um BFBbXOgXZ9sQWTwQWmFY/M/MEInlq7zODkxlVDK3JqU0kotk4QKZpIzOydWUFE+dsd5BhXYuTWsT kesWlnFSKCrOTY28E8EorQWZGPXMWaKV//wk60e6CTOT2OQgM103FFLlGsFDn0z7M7hqGfekeTeT SuhAjhUtvq4c10PsYWCSTkPs3KyhjZzliDaaWD7vCnibQLp+JaYOINp2BG76bxIpbzxfYFWVUFRu UaUFI40xAc/bdLMfhZ2uVQx79u7FLn3nJIgKxBsglNH3SKjwB2hfnkVRpX5Cnr57B0UruegADbxj wSeCfSAhjCJ+hZOPXHkRpVq024rhr2WkUfI7JtJ+CEix1XfzmDr9YPahMw1KYD/2EmYIaYsur1z5 PWrcObel4jZmiZnB66moEATE8LFudppAKfCn1plpcQTD51ID/9F8d5QCk+5ABPFLcmf2kVujQx2t EkqKYkXzGIxVa9OmNI//c6pRvcDBRpYq4oA+MoY4oij6/o0f8o3xIW6hjx7XaYrAM2IeDjZWITOv yhoz7xlSzdGuTqipOH40TYAxMyuRmd3Mcc8EWwHzC2rz2GtpxiP08NBPlVYhs/rIvPckQ6aHVzKy LK08oL4cK2BXanwe3cEItgVHTbyGfc8aWnnK9sWCYbnJZx8rYS9nXndz3062mlkMT9kIhUDEdun9 UgEClVTdtUjUmcf77OKRZNJzu4UDCGIIQjH/CqZOE6dkb8hposfbtJ/KXsLW8iUSMVSRw7qRzV6c tHUbd/whhEEjKXf3dPo49wD1ddjREAq4/ax9seHH7gttZgmSTHEZdnGHAT+pEZJcNvDTVCI6utEX cTU+3NfyMznExsAotN5vFyJ3xYdkOoHPBkz18VlJUVHviWtbY1FYihUI6qJE9S4GhX87sqP0iaR8 0njVQ+/hwgmCvibwISBtVHUJENKx8lfUrLVOPb9oKNDrvmOtKTZLD6uvFKwJchSnV4Ye+HfdcH5B MJYjNpWwj0rA/W2577S3EFKM6T5GVwB7+Voos8+479MlpAsDacb8ExHc3XpNKpSrd12i+vksrtyC Pj2yzhA1gq2CYRgKYDKzSoeVHLWuAPkvdsgJwOchSwh3Ab21+F4dsD6aDTKSc8E11JRhQ9rLTPNK zTp8p0wZElwt0VV+6NHE3iB55PF3ADKcz4I442Z+OJ6aNDPbhNrLd962h20tReBZyr/oCrDcQC+Q mv0OX09kxB4pFp1upo/b3F3VmTjnun2p0vqpAO115TRjDZCOxm9zQkOJgCErdTnueyeQ4MoBUTwO inJxlcq63RGI+zh60bUaGBIGOHYod6Y6rNX2gdKIxkG3FisZRrWeBquQB5JgX4ykJgoxJ/9mJBZk mO8VJG2klQuM2mHLLQFcGDx0v6x2uzxKwpTPEnUY0fl7MTIFVPWPWAG7BUGdIX2p/hjG8hFxujiS oCP3AdOcZEafhdLW63O6dNJH19Ax8hVtmCdPq8akOKbu2bGGWxjeoj12TM1DdnsSQMlPkk6v8u91 feT6W5hAb776W+NfaE9DM80Yp0A7XfYISADZZ/gayE9GqLophKVqc0jbDTu4y/V9PTZLTwvhvQVb VIe9JC3l0C8nBfqmVIXsZGxBrbyXOB6rdLuoyWetbGN24iOimnDC9LCGG9mD89FtbXtmPRrcis5s p30vCzqtz4zOJjuj2Ki62bJ3MfmbWJ/QTk7wKq5Z1EY5uTefNm9Vkcg/+5StY0t4F+fXhf9s0TLu PIap13o2nXFpMPoQqrpni/ISz8j5Wb8pmjVu5c3Trc/MxJcdpl3Awj388MG7Vl6hnPKk2crVc0R4 uo0J76RnpRe+uiM7Kx+JEsBHxvv0+OZ622pfi4D1qgaExk7VFqLOHJ6KSaji5P7LNrKjtVRdTSi5 eNxxcucBHPqv+VZFHheFGL8Gq916WORa70vcjO1rrnj2qVt9L8QFA8UzWqNxuOajgGpmFWTLOdj0 uqI2SWKI8SDbzKQp/evCqun4JMLV8B7/nXag1ywTi10VSssW0gIqpw9FQIP8Ty1XJrUeUIJkVZVy Fse9zb37lxBtl9Grlm4U0gnSIfk7E+W0QfLG95jYNgM1eSR1r2hheTHU964NurYaqeozf8W4Ric2 cqm5gjQBhKlzagPE13eyOx78ZEzkJO0HQeg39sOij4pbG+MERuFqE/5uqGCTTx/stBSlIL0y+uxN 7VJJl2fIlP8JOoGLhKHfPezPSQPh4cwOg9Dk6dfKFxJqNvSPzrFKPDGCgD90lV9QOfuO4frrgZys 4kzzg5R0vq5F49L27ljh7qJ4y9a8v5V0CGIAPLHMYTVTiz0kqhpO1SL6u3INs1PR7DN4TGhdXhHA 3EWuAxNBz8qud6lIWVMgmX9lc9qJtOMl+veiIxl7P+1eGxxDp3VJPJvoDaVSkVSyX/cn+WqUteQ7 o+IMiAQFUDVuWqBevc0U8kfIEFH/mNWuWolCkGT36Eo1/9FiJl6wYXdoJFgbuwyU1A3r7iV6rC+S ozIV4HdJQ6+sYS1irT5vc/FsMeZ7tmEOnbrEm258H8uqa48AcRgWur438zPmLxxM7QkAmH30Hwfn n5BEa8+Za6ARq5RxE7Nxdd71F5OHNuSs2/noaYJ/TNcolZZGT6vETz88ioY73Ge0HyGaZ/Hd2SEO OsDLWrx3lxPaFm45q9/aWo/GqkGQ6BOu8M0pJ/ca+jhxpy1yqHrPvEGkkPN+RUMV3WlTYFuvwluk E5j6SaFlJJoF5YptfFeOp1gkwvtpyZ4K3359bihJ3UKUbNGCJV6h+mc1sHRE2Xh1IjRkxoVQJWNe IonL7lqQ/EjH6MKT2HEkTAU7S3p3KB/wvQ7OSAze4UlbyjaGb7U+2zW8d4nQCuMNBKsGUF6tLTPW BAayCSjwJm/QivugPTRMlFgJSR80dv1F2M6x7nCdI9krHXYlwJVjojL9kjCdPd3bEhRJaSIlFGNL O19kVqYdpiUJNb/PSUyYHlwdzGc72CZ83QwAruBJqNtQg8jB2Z3Iqks7mqmAHNPRsP0oipnxBxzs JFwcW35PYcVTtEW6HiUNGjF1j9pol3yQEqNTLYN6nHvvIWutjNW52XfLHW37yk9LHLqamac2DOch AXRLO2dIWFSYoXelCYltoJWgWXhfYlAWdl95K1WTuxsdjXAmkaYDNTeZ/q2rCetKDjaLufTxjXfZ mjrbpyjEruIvLrtyT1UoQnqpEpk/50yM5J4xWpdFZgfeZ2MhQ2Jdnk5hPB6C4GYIMvGeM64CwLVV MzzFn53toqPD6zcgB7Yo4+nAVA8/Xi1byG1R3RY9T01GurjSBdd1x+d5GmtWnQ26JhiDLFEJqefd ZcaCJTM6x2bZYIMeNWViXIC4jfj9w1mFuaBqqNvzPP8UBI+7vG40nNrdat90nE7fegQYYniJmCPF EA7hkWxma8khtDvrIqXP7lZg1cOGmaKFAqf+ToM19dw0Y6TpzFiuzHpMEDFgS2MgZviWizRVeTSb g2jM8S1SSJMYwhipLKpaHK4LujVkXzkc61vWNG0XkPUjuxlnXQ9G+7QbOz2x25m4DIwtSEA8wOka aqj8v2jPjMEC6TD+M8drXzNiMRaw2dBIhV3Qpu3R1NUzCfK8ydtG1OtVjF/nThX+lII7jpOY8luG FYKjRVKoTqgUlRFb02GfZwCIJ7h9GgASPcvEAEDTCuPsyQMLO7WxOIurASQ/QYN9ngnQpq02hcBJ C+3ruyRS+wJ8Nt1pMYrl6alzQQpfRztU9tBFeiFkdd0jPG6pNaJR2PQ0JBkH3vGQRLeT8gLDuUCk 3E932gC+EYgfBI+xi2JMDTo4Xf+Up4xGnXlp/kCod7wqBUFQZLcTLoWuCaKRAQeXwpXaARw28LMD mkN1UoABUXQb+TQgxs1096ppHb6Sl1fUWcSFO6QE5LXojE7GdDa8JxL6s6IPfmaeg5+n8LPO9VGH qrwYApfRpupVd1K4hsYXl6ztgCzAjo4kCEfFVtOLYCVzE88vtnI6KHRC+IwjGqgkU+zeY0ciGHEv YaBIY/H0aHs5NhvOkQlZbIQTQuNqrgv6YiodNVNPk/7WIXd+alU6JeznYVS52Mc2YthHEAiV3lK8 rL97PTqD6mE+b2IihnLaC9S9KIAZIXRKfGjcpNzLkngRzQcDRjuGIIMvTeijx8CIVD/k9TJlst+g qFZScSgxmNxKwDKvwRAhGerk1j+OncpICE2eqnNfJyFltPLXG0xqUVxF4TP8FjGA5zE4GDrA5CCV gip2RzrJgi/KLmWZCNjibh1LqLHSGLKUL0WdPoovPD/JLvNKhxtfSXaEvZoCv4Q8e/rolL4NEUyl 54E8+QNe6PCBUAGTYDExJd8DKXjWND5avoMHeotjomPkSIlAC8D9IzQsoF+1i2sehDMDy2Z2rWUY sgiI3k+fh3+2vjdGOwUaVxPhMH1dhAJNpq7rm0Ffmy6rW/l5XUeVljkwMVSKlAsv78sVsNcNaX/e En2GXG2DyFYjs3HO09n+deN+rq21SYLfElffMiGeBo3OsD99Apo2HaybH8hpsfeFK3bCvCGWS5ps fYVCSQqq67Sz2sZ7RZONvJWZJnm4wBptDaez6SPisCiFEqZgQlxE3yU7EPRJAPsddSOJNg2qQfy4 hJ5BBjNUOTGic8X1AH2HCo136/vbBKjLMmEOXnyxfCs3uKIDFq2Q7QvCv5mE9mVmcJLNo+THbOf7 Gb3JNjfj+l6eVxjDf1C++XD9z7OLs39hNERj8yuWO9Hm1XSRIXZq+bXsqtGaTn9XSGxZd23Va5xV /oARFSDqv8j3H2y25umUczOKdTVUC93pak9MxDcETF+VzUk75qIzrq2apx7rPkfq8QYAzywiRUoW U26BXbMfkiZXo9EHy6pMetJLNxuEpjxFohSlEz6p8qYzw7BXAAn08iqaPVJ6bPKkk4lKhDzaxU6w gCNalNJIDlZuYqMuitzBM5xgtkBBi4TxiYJM9t6ZRat59LT3kmGXK4j8139RoIx45GErFC+SeDIG 07JqYRggMwovtYXNK/6k9MLdQst8b+ouri7N+UE68CpoNiANLuvQZGp2URpmAFUwZBSdu3kIUWK4 jzbEyI9e+esDQwWqgLkWZVhmQtCLK74eMQ3XMJBkdzbiGHNL4bIp4CYMG1LUMLIDWp+/9Toy0MPS 4pXFwvp/cDsJTXRXs51UoOiqQve5uYx4VnveTvL/D6XnCo+TB2VykDb/61apZUFChP08WcDe7uSt /jEkd0k+YjxFp5tjfK0QqwBGmjIOXAymNcIqMO0pfwQ9nRE7S+Vzn/P30BKCSynAs+YqNN8Kzbzb H2RRpK4OEINOBaucwKGc6nQ+hpWXOAtMCv55CBjYopO3f5IdR1abmLQ0ILqlz1k9bGNpwuQA1rLM BhL24OIvfZXaMqE/S5Y2qSzfNxuRrqGJWRckkYjVr0VOkOT8OOxtDkazhua+88bMkU7CeHOfLhjc Eimwd6w8zDMuRpCHG/3Y+pdOiFVblzDGefHUAg1Fi7uHiA9W0zTnUDPjuK4TaYIfS1YnSO7iEdTV VtrLxL3ghqzgbobUtgWYZYl/HqlZNlblB16KWRItFU7fHM1Rx/X9i8IrLVopEvE7pizs+29LXpXp kopo8ujPb0i9Di4oo+Jwe/0bWkuKo7Z+wFdGeweYaVKprCBmZiEEzRay53viGioufG6GFRKO7TKF EUezuCHHWtHvHDLaMxIIwjxe3czfXlJSSfNsBtU4XHZ9d2KahYYBBQAglJGb1mvXVrVM43EMY4/z QIodQ+CuariJRZ5GDJCP6cbTS4DafoWR7F7PwgcDwC23i8M9vjkmvHFtB+Mk/0R4qSuDcy+tXYKl 8PusN6kbENGzxDn3q4lI7H8Q0uIAPiBTnTL0DUEGvgnVQ/LrVwy7NKkdtkXLpXpPjirn8Q6CjWqk vHFZmvHbDxESYLUpAWYdjHKEQsWMeWPmrV8WFplV6dhJ62vXRXq5l1S8LLZRQnbu1rR334/bLLWJ Leha5s5UQ2QztGRQ37kl5Khz+DNbY5nfSWTcq/EF7zjWtnJ4lVV30kyxkiDEwuVpBz+IYcATYCaB xC5hsz8uWndu7PoCOgKJQv1FNLeZGpsLziqa6HQ4B2pBzl+j6HljsahFvTSQgAiOceSinsxwEJhX gbsYhkc3sRYVqoFTIZnR9de7EB4Bekt/Tlaw93T/BpTXq1sXa73Uyyo3KmkO5Uua9jtjJiaz2+lH PGB9C08ZktI7ERSlM+eh34A18TgL30cBE7Zra06XoHBGBpk15KbdD6XP9k7FvveFA9U/UYZNchJa 0NW4UwU6BAQ64Fu0PJAS9cJ/iQT1BB9vrGrUAw4X+w+nE8Bv+UKnTCCiW0STZ6x/ucNjFOdiVSqk C/AbMHH3+4VJFEkngEdcHenQFM8iastm1M67TeB4mLhI7TkR0oevsnqkdi2UkRv0i0FDBwdLRkh3 bP5V0cZcvgT34iuCAzR+1UJCypkguSkb3TBMEOPkl095HG3z5/m0SZqts3kBu92wsdehJWPDi7sP 61rXlYr6/mZEH+UCf2B1RgTWw9y10xnExQtJ4+Xa/5n7GQStAiHiwuK6gXwypCCJ22DdMIHG9RHK HJ64JSc2k7gxD7snKa7JrtxRgP0hyhnl6xcRfYYqi23UO+99B8+S3blzPJnsuMAT8nRoD0Z09yDS 0Lre9B2agAStn/JYVlq8D0kP8gV5DmirIoIBJNQX5NxgsPdlyAOj/el24u8rgQOEUJHfVrYiSaSS OQtjcd+uCpf2Tr19jMT4SwxVoFSf9Ygk0PUY6gvFUxw0/PTZGA7q382Jony7GveUthyIs8ESCEKr lTBJGKboR5ySnZeXIScYXhv6Jbf2TeDyCvWEFe0ccfegWGhwhMo+SUSgwR1uQ0JnFEXX3nPgI0eZ xmdMYAoy4kt3+Cn7RH/bZDBVMx92GLznbfDtV7MjA5s43KqvYPeihJSGaPzbPmXIa9nX+H0Ht5p/ Z4UTMAsF5jMUOskCn7TaDHpfGNpJxITL+1jIGFo7pUYP9YdZkYv7ziiVvSj5BMYLpn0aE8QWXdvP Mn9SyCvxHDCGdEn4yY4DjEMOdvfx7RFDWykHTOtoWsI18yNEC8UkVzJZgmGSNy/FkWhLsMSyw4f+ c+36emmR35tle9eXhfFYb//FppKYBta4KneLg0RrBqtelXGIUFraOSQAqQBE/qpFfaVHGFjwm06b jdYj1rjU2VmkdC6B6cdti2XwxrMnQMx70hItB1ywD3zZhPb+jA2cI8GzEShDovUcLOJC9QRQKWCl bQBwg1/IMzhrg20B8UpCDx9637tkYaZaOlt13P5i2RuF228egdo7cCXi60qkpl2vzoPGMd/Y6cIX jYVCGa0uua1PO+e4mRPAIx7TytxG/MAN4IB2RWp/Z6abka++J1fl1V9xD583xyflwV2n23ApXV+k QVs2eOAYl5nQxI/Q4DdhrcdMzv5eVD/DPsWmh5oIk8ITcMw454z+P0ElF/llNqXfFSylacT+E7/3 i17yCuHiQ7e4LpYOr2MBnMMWYGgmVS/mGLjWpyb6OuyZINHC7FmN/bgl1D33788Wf71OGZeOE8ZK cPZrSZlEIYfcQsHDDwJa1ewYPI8Ie6la0/5GNq6ngTwLXoT4iBAtn43t2hXRFxQ75aQ1617YczWV f5yvE7RGaDDgrfbk0ly7GFhVmXXppXLQErxRzqVf70xpIJsVoIgL2qwUw7ELfiCcrlwIP8qR8vyK qbizgNQfQ5bkbskTXzV2hE/xdMSy3NLKZbX3XkIYKbHZeNW1Xb8ZzWoWfqbLDggzxzc6Jz7+uNGY ajYg/3YWTYVUW7JL4WuqZOwK7zaTypJTnY54QHRye1R7uU43Zx5SRs950YczmB1RgzQ7RPYO6sOw mlObssWkjj7aT3VRFlruAQnJ/uBTHw+d+HIABv9q7lQxtNhHXNZ8F2xgjO/cqjZG9jvJxzJVzwxx ZIQ1GM5F3K61WL3N/TT6ihbtGGxdOqyKK6heJa7CVKyg66W7RRvmo05Iv//gbTFf0L771/6NASZA EzthzHtVn/yghCLdYCs3lJgqta2XDmaiD3LOx6dESVsFJAy1mc2Cgx3oAjivhHyeJTI2e4Z+c31v cdxbyW4bWXld4venBWThEE2GS8PB8yneoCMmcGnWSpdnaRBAj21Pg8xXA32ToKCfaiSe+B8k5ESi y1f4Rp7vwxzepMnfRQV6LDX4d2+XLp+Ta6k4kuV3eh5GJkw6FY6i0HanooIix5PvTxe4+sYVQe85 oPtpV5p9ZXoDX1QePkTX3NzUeTZIvueSosIMxTY8zPivcxw9fdq7dWCwu/PL18FIw3uJtNgF1Hsk coVGiLf8Wlk06kGxpisOuWb8/tb03Tg0j2Ji1mmiD6T3TPAo1Tk20nkEyP1o5ZHVuCHVoblkLRDd tre0NCgVMKRZATFzBwdWKVLMM9E2yFXcDr2zH8GElOHzIQOgfynLegTQFRrizlF1uUSndUyCJwQD /shQ/DOBtjkwjXwr8TyccK0IiwFtVOosd0mwPFFA8eZSdKJZE29xArjji+hH92hPOFFwZcLnzJR7 lUBD3nzMqom4k1e0BUdKTCfbqE1H6XzLYzwFdzjeHL/FIwbqOMrn/GAEXby+17sRVnw6ROi+jveG PqtXj7/y96keLVwluSwDRRq5+a7qZkIBXgh/eSGKtPkhmaALs4jb5HtrfsXS0+YAMqKzlpWQ/b46 5dLP4gDp7yr/6cJkWg7GuTiB7oOshiXijrO8FyLP4bLjDJoH3n3cj2tl3Ai8QYR5gQQGtLvqS9VD ujIHnSLmh5rDRWBAY3N8mXMOcILZqDe6VlGfft08gua8npOyN35aQA4HwuUb9R4fapgKGnMfN9Pd ZgHtkDCexA3ntaXva5qquuP4F6g2vtpE8q1GuMek1Abq27oJ7Rz2Fx4vBIVmBKicLcO5dTuMG8i+ 6I9iA022UT6qjPZSpudrvCOxwE/UIb9hX8MajVp3KBXt+eLgR4q6polr/kBWXUqJDGFkz0GIs4gC TniyFwTEF3GBzJeHSIR+wtO0yXxvBhDk3rM255yqRyc4bybtCwBjnPgWV9py3Y4LToEaLscMKSsK GxQjfRoyuNyU/z96F95ReH0oDt0NIInpXg4hstg+DqqGPyK2C63tfMxCFJovQ+/lZUIdlE2IX2ax JVCUWxQoCJ9ML1iyoodStDv0Uti8yYZloGAZpRdC1B4S3fzv8VIOUkk+HBBRJJxofSWqRb93BYvx DlvwHC3WVsZWrmGLxvgnWqHeeKXhYugdkP+2CgzbWX2bOA6m991IWATc407taH65TZBi7UByhJ3P Aw09aMaueXp8wZvQzM7Ho8d1I63ook3wEEdgE+zfCmiw2W6rmMcplfw6QbI6foU+wLl6voUlIo06 goAiuaYN22oQJk2DKisVjNlJAInZQVYnz4U58iRyn1nEKWdiK610pS4G+71KjflbJrHMJA/VmhSK /aG40j78L3TW1DmnDaPyQ6NDiiS755lvEk7gHi9v1mI/cnzlRzbATpxCqER4dqbVzOIWroqWlh7e 438JI26F6TwQVSe68dMpFVNXwYUHvli2j/1Q6dkk1XY+Yt1Xxd6zJ5Acte9cu7Hxc4hx6amumAmy 9lctyLKSDLFAPQd4x07QzaRZDXiY9hINIPQBexUASyMnKxknaXZxrJ+I8jdm9APpJcxA4NoZsmBh +yAm3TAr8aEERcGatKxC85h5/dsn7iRwH0ErLrc5606IASIYmkyY67/t6zxd3eKhSoGSeVyC5NJu SC8ME5nFbXtwKjuFW9Tos8gjPqoupc/Fr8H5vwcV8DaTcRfAhDRuFT9wmddbtRpOdcAa5TPUld8t tF9MQSIZSkc9cwyYDqzWbJG9G+18vuCe8YKMTj/S+Ivp/OcheCJgxuEi15SNaaRNjoipq42kpfia hmNoHch8FZTWnMftEzlrqD2AN0VgUMBBYcGgGzvmFdZs4dxLPU/QwWsPH5oEMCo+ePn6srA/WeWA 5S1wJKGusdwF6yR05/+Kb/qH+wdV3WlAcqrzONf1qxdV7vD93GCG5/NDKbvvNvREki//hq/V9s+p sBJPtnucjkGJVaX30/jLTY4ykkiIiK+SIXtFASs3WF4OBBTx1ZHk1aEa7l9dxwgkRxWwfHhnUnTg pD4JAwLpoyUHuYWxCWa5Eel0JKagPz2pyRNCUDnc8AraPtCig96vUjTWh8Kj/8tA2qpP9vvKN0Mi LiMvc1BDbF75dVNP81c3xAEw6vjS9UaDm8CLrzuN8WM/iY92+0oZjmOqtME4CvjhHPinQnzjkuKI RgNNONKuirr6VSyX8SkX0heP3b360PwNCbccgINccxXE0TU5ojuJiGVYit4EE1EG2AY/FWK+okc2 T3DQdVAa2hWVEJk8h1vT3NlxlZKlBwMt/wfVXGVawOwxn/UNknl82Le5ZWMNksyD4wo9sQZcJ8Bq I4v/+2G/9p+JNtNKbcJceFMitXq8yCH+AViXDVeLqRuBFPGJhEXr9PO81c6EVuQ+CpQRQVs7LAVG X0iwlZ3Xsyx5eJIPNPLCbyVFtZ2XreA3jXAp9560J+3GFqCi2fYYHovRjgzLZyx0WDWfLM27Xdqw 43iTUq/LKXldY0CRcKzkioyoOLaDP4tcn0HDzPj2rb/fqP89CxDN7Pj6dEhU9TroKLbtaPs65kD2 P7i46E4Vew7sP2mSZuZNejBpDWMD2e+iJS2dvaldOHel2NNPiQuyPLF4GROXoNwBCOjC+gRRkAvs EstLJ6FWr3paA7aaqS5uxQigBTzXtt/VCqbPw2+2HuQ4daFD8wvfGfe68UPZeHXu3Kf8tAyBJBqh dZvXHd+Xl9QpBf6BbmsjB+XgOELm85tehpR680XJdjLaAN4JLOieVohfc0kisNHcpWpFt3zn376+ gxoR9td1AyyHiSkemOQCfhYjmTgq+q9zb5vP+hf/kJ10sm3Gi1QAoKayLSpC3TH6h6sQN0Jk12LR 3u6idTWqOjsoKWyduJYxAx9fN+wiIUfDjqyrj2vrWjrk6WYT1ayqfXYCBNMwFDS5nQTX1Ba1c5+9 NaLIkR5ff4TkceegiQ9sM+sSdd9PsqBGBptYUBBcS0Lus717T2vnm0DFA5giazk5ddnPnfnRIX9c sEpMB0PU34JdAkb2EuA0lhYH7Fy/YDlvASz1GAFgEAT325ZX9QRz2qosC8WoAiq31lWGLRb7NPYS xbO4srTXFVMLR46Z0xkTY82J6ZzLMzhtGwKFd1FFfaQq31s+YiZ55/b20n/k38+aQJAtfA/rZGqg VXn5+ifaiMlC4lKxr0kxJqHMuzmNo6W9uIDukM0v2cO73b/94gsruI1LnBZAMqT8Dj8dKp3crIBy YafUPzjJBkCRBZJ9R9N1PCMlWkygf89334lwkpgZsze4CI0Qjr0lLoZaRtwHIJUdTYX0YL7lIEMK Vr+qTpJH6gb7McdG4L5Nhcu/EysgKfJKY6VsM8SAmMLXOaLw4kOTcb4aYIs017Ih4bcrkdZzId/7 AZ+mMbLCL5YuPe94leq0fj+ezBEEHjOa5sSLZ4NPIBESupmLjQQJXgMekElOG0ESKTce7tPwRX5V d+7Hqxjnzeqgrl5Gp534XhhdCS/+O7SCmTh4T4esqDpQXFsX+G+Gup+2hW/V/dHZCXtAShQvhUz4 pwj15N+tQO3K+ZMhtqWVak+Gpd8u+0ibzZe0XbhhwLyKMrbZBFu66BIE5a/k+cPUcH7JNwUftfgN dmXdnW7jP/Krw8gGQ3fXYADFC1GWkeARQsUT/0LUmUIJWOcOsAXdMI1QOKvWrvpwKJxhIL1RXT+u WHqjBkzTgJpVae4lwZauZ+I7CLJ/20RhGLb4v68/Z7nfOANNQmQYA+fEGP0+zMbQVupYy/Dc3eYh pB4/ZQy1lN2ySGTJYKMW2Nl+fZzM1ZNVVbXR6ElNZ9rxyMbpAAFmkl+l6MyLR9XtIB/33Mbv7goV ydB1PODhD0Xh32YnCNZVYlogGNwqDAO7QpR5ot5jhm7VMhmdREjXZ8XvzEaS0IaQ/3C3NOCazxbD o7bElK6pjt8NWHjfFKf2C1GblpUjrog3yXiwQgOVPcq9qe4ongLjeuzt2zwEHlUAcls2S7Z6SaNN xfrMe/15I8hvVDjAHcB6o5UlNeTK8h9tHT6Gjp5obHHx+ZxFNXMPEC1DyppXT7C1J+zTwB3DBGbR t0lj9rT6oAs7KV5kuqgy4G8iBswEK9UNYBBQB9V8DTrEK5UfNEUj2zX/Q8n6athlIcBWIjwQcJIU 8M5sD3YS7/ni3B2MjaONeHdVDo254D7EDy8HVFxxGevV+irgcgX89hWmdTHSCxHIQn8JBHiJ+fg9 cEC0F3WpTP3n9L5/+0JbDqFN0ZlvyxgbRBmCR6PH+MJpTu7LIWtzQ8DfDSlBsE8vxGVkyJ8Gv94A RwrrZfIvpCqlu+oPG1YpYgG2XCq9P4M9fuToLKJOu9hzoykTRZTbveLzf4Psv/gRNK+KP7xZRqIj yYXY+z4JQWUBcNLBWeuIbEvQkQzPHQ2zSUX5F/YWKV1yptFNIAM6dBzZYr/EdTuzoWQbBmoqIpHD 0QMt2D7/5RCTXZF1Bxet7nFOU6zHpUV+0tHNYbU2u74vx6Onf4DBN/KRjAX1L8vIdgscQ0n9DoI6 DNTUD/ewUuqiuPNmp1+NXcbN9JiVn9azk5lX521tVrYj2Xfum1H8t7sEp5GAYNf+xYifhr7xsHRE Q/CMD8lsedrL+ISmRuxQhlEVm5SvNQlA8UkyOVq8i5Mdslv6FAA6O7oM8dis9WRW/B/VwVmxzWFi 29If9XO75UQkgNEVQlhKu1Ty7AI9TcEZGloQw3uqzRYiJiJQuPvB0+RB1qYUb3KGED7nO/M3/wC/ vrr+kIAyx46A6dIml89zwwS6wQ2yacpG0QiGlo6Ui6+stPoEe9BF67krxUWCXN2oFbV25sSWSfSW D3opNn1gGSwi5VTt94HtoEDtbPZgedFeBAUe8NAm2F5csI3S4cJU0Mpm85QHC5rm2xKxGZTLhdsr TdK4Gwy8uQ+UXjzUyf7yQKjs4WOUWdq79Dc7IzEplGMPsinZKDqXxnviOCKhKfyjQWekrrU8xxGC BRwHniyS54UMjhhfnidL4xD3V62aI1NKl2Pa0Pibf00e/fBNbRC45qsE8TND51YCltwjBMKEPDAL +lvoE+yafB6X0cRaGoSR9GdwsPONmj57IVb1DBpd9LPA/DgvbPN1esOF95yx/XkCvRknBwpkTxzR 5vKsfFBCojbCh3CdrLAxTm9T6gALVXNidYDNwHOK1eVD7qzQ+5EfHF/95eoke4+9HrCzt1vnkwds RITpv44YskHqu9c4Q0TihF5+tzsriNTwClPfszrzevkOkVGmDU2aHfKL36mWAJPIv8hibFHyRGZV +RSf6TeVVFCwpoNrzVcsfUS/6/Jluc+irI6g6i3Ph+G61+0vjhqcWb/bJ7aWtuWseupUOrPE7Qto f2I14mHy6t87zGB+W6O+XWRxjIGyNGGql0rXN48Z9/Nigo0nlCnsvu+Ue1kAj3xA+YwG4YjoLEIq /cKJWIOldjV/CzHhzI2KBOVPLPiKt/o/tHkgQuYj2eNGlxJXrfsuLUziJw3dp2A948NQgqZb2jYx FvX+CctRTfvGdJwaNetBmqK+o/HJVzov18mwU5X5/q9k6WSR61q9i9d33UBxC7NBozbedZQCheYx bZAjipS1cOXMPsg7OI7GGduyPKEzdbphAE1BHrP9TC+Lx4UU/2KoP9F9FYUIJpR8Zfd/udRqPuXV +iSQkgGkiWIOePboG6JE7mw50Iq629PgoBR+U3u3a+VneocIbgAqjdj4Xo2qhJskZYdz/ftwqwyZ ZNIiloAfUb0dWqLmqe4QzKv3rfk8CO5cNwrP3yXXyoOq+bm4LaOkKwSKcrDtr4p7ujH+QMLx/TYl QIyGVoW9v8hulWNkmY6CZ8xDpiPxTdDkGoTyz5eQKxYWbBhjtflgg8nOpZs7pTwHtyXb0Z2CNhva 5vdyOaLoZTVy8ekXz+1FXkQU/PT0GF9zK6h8ZoYH+KQM9Zrh6i2dLDrHB4s+CD6C2mLRYEkjYvI4 trzS8pdBZcMiv1xufI4wUlu3jyIV4fHEC9bTp0dDdVaFnVleDNFgIDMW+O5OhFdJBeD+p87HI82V QATWQ36+vUYPqLpnpwB+ydgGGTFpPUn9APrAr7zJ0+cVY8OFeG06E5pMksSvDutIc4LCUiMOqSJj LTECdW3Q5vL60WUBgEHRpeokR0EvIVOZmOrpaOArn+Q83IqjMLyLoapSEoijPcOb8Y1nxO2aAmgn F23tFAeJQAPxqIN/Jpb53UtwQIcHJAZE2+eeFyM1NxmE9OeE9qtF8HyJO4PgKvxDVX9GbkNdkkMF rWtGWYRmMltSlkCTfHVHmAbhHMBilOTz+xNpGJStO/C+pFsphc2q5wSkYKCZlZmkwCFX/arpnGBE 3PxKAlcEKWOWcYsZKoHcLeuFL6ei4TsSayrNX+R7vqTGtayDh+8BETO+eXDqyMNvnhAoOQNUZhZt X+QEVuBHf+LkmyEFG7H5mLiNXRUkJe/vx5I0v2p7MfY9Xsoif7YkayQZPU77LD6ELIoNDAXh4j11 NFNJRHJGDRJ25ZUwCa5F0GV3p0WXaGcgM+dLw9MyVIZIOJnrPq+NkiL2UfS47094hL1LT/Sdedxy hQ+7z9O+fZ2i/6lp2mT/HNRIrqG1j2lLSsqmZE7Y6Ai9gl4pnXSywAAs0q5VkyqHMF3gotUPB+Id IbVuLBEnZ0ztSpKAywlil7KJAoev35VgHJ7o+f+j4UF/8pTOw/ccEEXmhPajR1rO5DVcdTn4iA8n e2gcgEcks7RYGPNqmvgky3MldIYCd7uF4XvOgK6E/+o0P3nTR0NQBEsRnuPHPWt7Tz30kJKOhO6k lbHMa/4e6fP2ediqZld0Vig/Z9S4F+AOIe5rcKaqf7YebL5+dpYypKeQ5HmMjO7fhMu7jxScy3JE LH3E+mSpf9TS3/qc4bTLIcFocWb15SpKbn1Fw7G32J4KV6ijoff8iAyfsm2MQzlTri303bL5k9Nu /PVXvsdfN++OFhDnKoMWmnSra3V2Uweuknf+QZOYXbLuVc9ZuiiMGGNEhIy9IkJ6947+sEio0Nca wk3Qtni6vgw78xduTWyi32osvIkiLSxlg1URGQJbzptL07V/Qjhze9bKy+1OLF8hRlENfioCo12t PPvMm9FtFKCC/Q9z8oDxWNsvdaVXg+EguT+Xohbjo57/ZrqLMjMd9p/bZZEWlLUxbMV9409lg3Ck ttGAPeFG+bI6Wtfs/IB+1icOaxpUWSeJ/2GBm/vcKHdOrqMyHsvMQ52Qptsd3Vtf/RZxzZHhXo0a +sxo8202EImu+hhn9D3cRTd3BSBViAr0bP5Vv7HT4Akuc5Vwd+SMYNpTEF18ydjhmE6+OSfdI060 gmWsVeiQ3VS2fWsx+xry60lyQ7ax0otK/aYa11iZWKN765+StqFjXnw0d+Ixbz5gsAEVjMHkWJjy sNmAccTNVBywWYSUgUpsa+lYoyfOFhUSVTag9akUOpcVy7vQZyJkb4VxnQdBMDLx8QHOgp02ERt3 Jxprm5avvgYpZB33VAL3XLSWXedR1472//sKRhI4apMjdyXTHCgXp5XKYtXrwl1AhbM3zWTr9CFz 1pd60jDjHeJrk+XGKWLD4U9NMvtpjzhVOKsO/zgyxzO6QBKqC/bltEMLdRF4yTEvKV6U+YT7PDnp JPsxqHIfLfMHaH7xDhaf9jmxkWnA3bHjoMqHzFKEkeIoNO4qqouJGcU15P18AC31jZHcX5TpBDPs BM8my89M0P27WflJC7MGSQ/7yAO5wjA54YztlneamZXzggiKhSWnYyx5FdGbhYyUq2v9FICGatfv p+JxtU/E3cuMXJVLiaHmF3r/EaK+BL42EbFpXdXU3hg8sc9K1zuTrBzP+UFNQc2qGB0FBJBv8wdK MCox29dGQCZAl/LOAm1oCXoVyB3GqxuB3d+5Biv+q3+f2jXIFm8v6mKba03bfad4twWAkJm7UufO TIxtQmZB+ymN2ogIBJ74posL3cRewnqNYgqPXsYOGbTKJgy1cKYPYXWq9zcoh/AOeD3ktiK/lwH/ BdzjplewhVCw/QTkyrp2CEGO6J7adhBaIMwAXhV4YCxRYkIx2v0yckD3hjBJz/VMg+lq9XbTYZb7 IC1o2cf6aQQuzItidVZ+JF0aUbkQQo+ExjomE9gFq07tuj0borpzoij84Qle000vQtbs31zd2A90 gSW3PkNTO7ExsIt7EEz5/VHr176FEbnS5NdmkLlzJ4GL17MZO/TNOK7dVhfGk2NRe+n1P+Zb14My K1LQqAOvqPQWeqBsM6rhhDO2QvDBA1Lhj+27iaA8AmiwVHR6kY1M4jeJC0iStAceCGFYxvIVJIvt WhrT1Im5ksvmBt6ysZEG4v4FGTDpkMvSNhCCboE3V8q2dRyDhTIYvFi8ZIwzJHperrnVWyVUPdaS a4Sw6eTbvMho+MO82FtNzVZxsnxIJ8VZmeNFfZgDCT6T4YS8fbIByEVTW3Bt5pi7yWk5n40IkHyW Q3EDee6IeMg14aSIq7j6HO6PhTiiQ/2natT6HpRA7EkCdbNrIeItMY6cDcDNb1WjTYYn/ZmIa2H/ VCUZiSd1ntbfOca5NmBabHQ7AQeLaXpZUVfOiBC8d+7X+lmpyhYkTiqmAH+Vvg0AO02/Qsh7GDbq K8z62ENc0U2110QI8NDxW38hCiR6pdDPGhOI2N+YHu2wBuCuAr703P+7hcp3vpSk7Fo6Dd4DRxDv Cf6esvWrRhAiGkoXoGeOL9PZ8WVJVHItvVfuJ7xM6w0Yr2mDPl/Q1S9s10/BYD0srL82VpytFBPJ ZyVW5If1Bxg/26AfzsfootGwjiF+o2Jy+lXrhx0igPbWWQXVlODA+LZ66//T6w/fY1Yvrb6yuwn8 G0xOBnwnXpTU4S46FLZUkbCEmDIwQNjEtI7ZpkBNB30dlF+76zcGddBIFiurjvE1rZ9QaibhFJwN J/dTMxTpdrUSDU95/SxHSgoQ2BvLbEzoYIBJR7TN5wgZhByvsQFvVS0PHOoupl3uOiPOIeGQ29oV d8iZO/ywhvEMtrDmoExaMuCeCe9X5+E7MEhiOzPsNMvaDBMzpxT8HqSuDaiXH5V1MxaYbecGKbc6 WmM/eCzxWXfAskca/Kr+7ERQbZofVgTTa4cf4XVYQdLWTcZhTZFQyK1iptWIgMfc8eeku6lLx2W3 v8+u8qAV3ICeCC8kX3eUOLpJKmb+hSLwc8s/mgmJVBRQk6LmbPREp8APpDF+KNxBAvX1g/0HJT36 hA2XXS8dac4H2kZwN/C8OCjlHqtzs7xqV6Hjz1QvC6HQyO7gAossOrzaZFmlaqdLfZqdaYHlSJYR prKlcAVj0PMyCuQEvnKFwauXIKt/8EiWSHJ0xQIglLVY4XFw7GV/bdjG1pH4Lt1YRNqtS5NF+Qkl EcfcfAgg9RfaN/1/Pk0zRy8n8HamEoSob4OxmHjdpJfTjwwlk+tPr1TM20izV9qJi+s5Z17g4kpF 9pWAcOsXiqmD10yfR8vt8uHyxfZyCXFVqfBYBxmFNbDbKyumxkPQGEYMQiXbGPYyiZOMhIBPn9Vx 1r2IRkZGCexMxg+HOYLiIVk9sJ7wUHSej5+FeEzODSTP4k6TK+eM5K8OIwqElU8qGOUfIEIIEmPT KmpvWSCzOs5vgJrR/TgjGfktPVBy+VVjlkTwbpyXrFUWucyQC9Zav1IHXSgOYZ2NlfJ8vUd2S1FD YgyQWOm3vmYRR8FK0Fzoxt1LY4r4cTe8o2NDVQRmVqvPW2mQPdzOKiUzdseAiUwdUThh436EUV+/ 2gDisL9iuoCufXeU1UE/GnEH/cu5eY1uCxyTx0ioqPxmYigQF2E40W+iAF/yilNBampOPonUVa5Y LyLMuarMRQTMzFBRZyLNRlhPOk2TwMC8gqCRbdSWD7K3MY9owFHCDAEpYEAjuwZ/7lh2bQ3Z1gnW vPSdD0oZvFjDB6axM3W4BNowY2T3rGsdJ9XHCl4DbfiD+ycj/erxZ88eRmHjr7arjFd46gRqxtJS hZQPNcVoB0ZSwaOfdHzzN/IZ2osollk0054TwAPP6/NXxPh8MUNYDKpDE+Mdg1s+u4k8vj4ZmTV8 y1pVUFQTrlBTf60fF4QNCC4H40Q9HqEJKR2uIkfvy4dL6A3edAsz+zh4u+FK0uAW1Mml6psn1Ra6 xPhZ+oPTyw/db+/MED+GTptpYi/nwGrltwDLhqimOxepMKUeKlw5UmTzgijozmggWeQc1XIOg2T6 cvP8Z0i38h1PjvgnIcPK0isKHUGMraSNAoqHxKJG+eATAIqDnH52kTVJ4WqzNKFv6mGQ7SP5/pW4 wvjVEtwFALTHuo3NPf6FknJ+Th39v46YSlNkXX3AMzWnLReHjG7OyOYWbw2hlH9+chSwSUPLinRX rhIejZrMiIR3CSw75LdeVdlKSF/IKVCrHkx5XbqEVxRMTZPHGAjJS+ENxSUOFrsJA7Y+4oGD84Kg nPJv3sYmjiB4z6xUOutXrEB9hGlDU8YW1k0zP5BdZnDgQeKgS2AHBd4vPJv7O4hXy4TyCpVsjfa9 5Ip0hSaxJUEOgjnsl4ptssq6VTatk1NLHNxj1FDnuzhfq3weSkVTX8baJ2mq5prsfZ+qYPdzVEZE oNxwTE4v4TokgAlRAuiMlPRgtnp9R7T5RNGYpT0dchr/tsWWfYTVrxdUeMYJ9PDQA1eyJgDk7kXZ d6mZk6bplP3EvMziwH9VDxXJ3J5zZ5sT87YdQk0nAhUAITtbLTnGMehUURCkkcUXZL5xUfKBEwt+ 5dLU1lDgTmRwpnDhYIwr/9etM4dYi34exwbRqVljhvO3D4w+ZZ1ktC5GgJHU8P4T2l5ou/SsDXPD PprNuPv/9cdPrmHqXpZrydSRWJwSvUp74r1f7cPFxgLNpiT+3E9753enx0+ZXbt4+XHOF+6kwCMI tBSrIT1gkg4E5bwiRjs6wIaAmQHqgxFg9fGGbJ4qLL/1jQQZgfHqI8bpPz+pGePLiDJH0C2RkzWf JBGX94s4MqhB+cQKud3QQjOGVnE/ZGMVY4JseWpH+eo8Idb2sNlaC2GAOODj9fLBeToaVC5Ussjt CDG0l2XsW7rjqVv41HQ+64N2xpwCqJRd9/5PDBFB24qRpd828eGNM/TYUhai4lA1Vmvo5SycJO+E xvd79Yb91AevRWNok5z6B0Xx6QbWpmXxy+PXzSNGqetL8IVst34xY9OoHEajJLWJX523aseYpOgd 05PqPy7Hi7C2f58SPr0ky4Lm59xOU4LSLkjiR8z0PbKGmUMydqRbvdnXwVlxuHQWNRt2eKdjOnSY ztBjC0EjjV65n5SwPLmLdrXUTX7tXESNM4HT0wSzLGSDbmJD3/gScOFbJG/gUTqZpklc2i9jZ1PE oaUq/Y5G6AMx4TdyuajmlEoHvPjfVxPUIUW+LGC7iSIcqwnqGgEyguFowcbYGa33Wqk2JnsjSOAs Okk4Dxakl6Mv070S2HN8Zccz2Xoeu6bG0cyNzqmtEk0e9LXG2y6jORjloemrhMPOrldGfog+V5Vd a5ity6k9TaqCLLzLCoZVQhzptPOMGBro0s2cwmzEWo6vNNn7ZDFBsilkPqEF/EzMIP9FzaxXc6MA ptsEKTz+gibi5LrfzPlFrsymqVNNZXrXR7Kmqn7qoX9/URO4HQGr457Vc2RorqdqqQWiPkfCJ7Xv sSZsYd3sJeynxQQLJIZ4zO4cr/QwjstiRtjfyYdeZzstbs3xAjU8qhs2tRL0QocDXTPnfLJAsOfo 0KMrCjO1OrgMr+NtyVyeyo+Y2PBsrDhnMlipbfolOmY0tsF0XWAMUdVUynEN+vftYP5WD7B7fkr1 AWrDioGId6wHJfQo60DIn+t6qfv2UoRLVnzMcy98utSJxG5t//LOAmCeSkauXKva2Q/L0GU9M3oW M+OhNkVy+7dWxZHkkLRDZYOrBQ1qIeFAwwqAai0mxbWdtKdD+lFOiFCO0lMbsfYNOc2cbqc6NzXD ELKZlQwqF7HkWFLF8+iAi+/MBlll3axad7MLn0bRg/eTLXRCr8xl6i+/u0ll+PvowJhXLh6Tfqfp axjiAWUJbqeoFUx7vC18qm6jV48kI1ERfzJ6SRdQpmgOt18JK+DIAvfnOFB0EfX4tJNXQqBJ6jIz pVrCAro5u88pf8RChfNKNF7LqJGVGLls9THPlKxCUmPiD3hNQKdvRcWpm3SHRHAqeJoT3fpgP/HA 0nA9pyq7pxcPFRCEYoBzkWMreYV5oi2/ZL9oRexjURutl7hHpqAME1BwXFJt0M6WQNHELG1veqbc UddtqkYICdGroFPykz0rOXbZR+4mPfQsGta8UW/gH1UfKUkDfIUdEe80izG/o5aPJJ9mBG2N+FMj 5kDCCRAAI4zD/W9vFo/s4DOxuMQNLHRMu3nfdymP4P5dSnnE5lxE9aXR68bU3IeDnf5Qfe8fMQEY 8WiuGXhT/N68d2lRUXdP/3VznzbAWwmw2/OGWVdGWbdGKRronitvpbv/HCMFyTqSDKSmFiPgqVGS VRc6vyDZydKUfLWqrZBtEM65yeBDkV3xBhMg7RY31aCN7GLsn18JdxBHi0R/KFkvMGUyTFcwCifD bf1MAcEVp2ypgSZ1uzYf+4MiSl85hhDnmKSXe2pR/AoQob/cKyknWZdxZWz7x0d8d7x/hOz+VI0d VYXXcWImkuVdZShzIUOhz3W8Lj3H04lqicohuLiOZclVnjlDVRbBws3sHkefP29i/h1cCAP3Ni1u ZObgjbn8vVu7LXte5RKcmOEsmf3DO/LcBhWnAhKmbwGx3p9ChWzXqO0UR18q5Xl9fK108961k1f7 4olQJtZ0LJ/iMQax8XnlPv9dCmrvAhZH3sHpxVXqxCmC6X+1+HX1GZexd3XXWv/qvjIoQVXDAtOi K/cXYVoEqCne1O+0w6vFQNFE0AzBKDnJd3iLdysGQiWKJKOh3EVm1Rv/kY3d2UDg1g8rq+z4Uino 5JjJDVaMFOQSrTxr37cRNALi8TfCTwxxe5W73BAdtQDxARMNfknq8uzo3DynelLPD2nIrUBkz5td MOEqYAqoNBXFSHSVqH1t+FmYvsZ9vA0RhoDtXm9PtCabMZ/Ag9DLvDA/qmGJ11uU2rBh4VW/kH9y VhMcsky6kuUhbIKnpRiWeTKvp0M4HqHjaoFnPwZCXSucHksYzFq3WiMWIzvZxgFy7BdTFJ8ceob9 dQI+g5dHfMY6KcdZmNyNwhZcpYGD0LeREPpqGfLAnbP7FBOff8YQ3q5PdXQJMAkW4ESwWmKRHrhg /wdO8I/ctaCamQNF250hntHfTxLIBIPSHZ1WWp3wti6XexQPIPwgEpQWoAoMKMRnXWeL6Sd2gGY3 jIhBl1/ZxiWISsoUya3RS6wZpbGGyUUMH/0bZ/q/XEQvHas9DUYUXlggReT0pumrYzTZXRaHT/x+ LG/CFfYFjwEmEhDrZdRe5IGDky/vyNlq9n2Ctlre8s0khzPHDx4kgzOvNQoGDNzc5/ucLNnTctk2 +HHwjBCwZG2YsgMeEAc8qwwAVKdRL7ftkWH1m8zq7u2BIhZP8lcOAvKcuVQ3IUFE2jgvvGV4DXsX KlNLgs5kjJZboTnpHhHAACIMiQAXCRpkmtlg5vofsHj5cwb3v7pAhmerL/kKYewTFrQHj2uEN4bL +QFGAnSPl5OQXt5icoNKnksFU6wUvnVbNDm9yz1UnifAQDiemIB/OybDYB15zc0yZpS51Hr/pQ23 zfmmKwOGNEZRe0YkStyPbgm2qXJoZL4uVnaevtS7cuRhI2BPJvCz5VccRJ5LD9dighWxpODO97L8 pstP4XPIyDf1r/LDfZdiezTADYS6DElIU1MWHJfjanO1erbonb/t0cxxbNk2pAnd5SSZPBuMUv9V o5SZCT9bSWvXUckgWrh1gd4Os4OZWT37SBvKBBzqzNwr9nDjhMUzWpUAm4HzdIX6maBlSTIhlEa1 KGaPBrwVyHCVKG/HRHKdHhWjQXonECafu9yuOp+5wsmnEhtBtS01HXcRRZAH8mZiVKBl6YJBTOBX vVc9/Ls29FgedJFb8dYkPLjJgF9QS7nBVVHL7yFAa+XwosQhmOCBjuFiEyVCVYyXcmMYtMilRSPs Ls2uWtslDwdc+JpZHkepQrZA3rUFJLysjGK354ECDTVLRMOvKzX81lDghkcBSyAKjrGaCuVYWsMw xXRUYw3alR90AiReSyw0ocyuWyqV/C4qJp0uBvdH0OayM3+J3P/jHHwCJ4SCZgTvVFa7j9su2b0t ptZvaz4fz46S2h7568J/3mSgO6lCERWjELuF02AFjftpuJOT0blyIRjF4cDknbnipdpYulpqHcG2 MsoPC9as2UyYiMIkf0GrBS3Pouv/k3ayfKtCS9rW3I/AD6j9exADU8HJwFW+b0cvaUpziVymZIpn Y0VLm+yo5+tiPGrHhfrE7/57/NttRGLoGSu7CdZlfEwWzAQUmnZ5UHdzjD1iBycAVEv1DKjEkplx fHdQ8kALFStNz/UZHM4z8c0lLEnp7qisBdzq9biZInTD2zAR+Tje5zXYtCjXbr8fbKK/hmQDghE5 N979Irqemegshz56m29vcEx7DGvwi4ziLsvjzPUKNDX3tBnxM8UlrZmBvq7Hl5MRhnzFTgd3dZc3 UOkIlKen2mAI8YgDO3FNlbxD/HOgEdaL/e8W3AeD9LRoKv1O7XAqKuOb8Uf0nPOFcTE6pEJNZhtJ fy0VOFJ8a6ec5Z6oxOjWFXegw7Zrp0o/iB8ta93eGdbahxmJHE3eHByLzjE72ts/XcpcN0K/QOMr TdzgGlvRxk2dUzgincO5cYBGPXTaaQ3rfVP2yn6QjPAJTTd5mPXwFz1ssV9wW9uffVeFTfjBZd9Z vKTAIWCJdKhZITFJEPz3Nb2tgNPDYlTWnnnyiR4Oc/dke+lOfBrE2NkGzMMoHah0U5W6YWLDN+nw HYMVtPYlTNqTS1M5X7Ui2JdFwHX3D7csNif7KEjfk7aOoPhcVp7yeVISnQGkWDEM1wjGjMs3082O 4H/dyeBizC4l35q+GcSXONuJIMk0EXRwEcmOlOjWvV1PWsBGy2a2mW73RuIQ8eRecuL+n35lkglD N2Piz6FUhzAPyp+/zb8uiX4MqQxNymQtNaqH83vmmcbMEigk1qrq+bmG3/XF0CWxIP1JJPkVVVsV AkkSx+8KCOAP5oz8saJKJ1l3pQaOj7tIICvrayYjO+AP0ns0o5c+JbwfQcvLYM/RVV+GRiIqkFGf klN2FPJBM5mMXpsWzJ7ipw9rqpuBCKpzSgY0YbI9NsHkvTi+aPE8yOpCOAPmt3mBGRr3RcCVLLu1 xBhMXgj6wRF4GExMMeYaBRw8nr6lj9hTs8m+hQ/3435iLyDtFquBinRxqzFgqFVFv9NdF3fS1fxI pgdkUS0AqlMLBcQDH1Sp6g8PJ+4qN8q+7IovSnK9o/dX0tpdrwA3ozjWMntsqxsx1qiOKP8jWLef ktvkxyOTKAXkeTovnmjPhYhIySHauLpW/XN/9PnP46/738NuvVDM45+claHq5n/xyGuYMocySWbK d6acq6nljQxYnMZhFDOpCHQy6yQbskcajVXW3MjLFCDGitO6XnurljBJbHYk5vx8+h/VIyiUGWbn BY7hy4GLAo9+Pd0aZLQbBVhteKu0eJMo0a4nnJgfgu0XKpAzZBwln534w/tAQV2p0SihZAQLvF/2 +f8q5AbRXH49SSGiTa4DaH8oEkltgJrPQnkuGIhIJMi/T0PdEslA29EkFjmvJQsYykmCUL5MymxX EtwBGyyYiygwwDkFEidCL6ecXG9762h4P8J4fC/zEsLlMb0RVGad1u7u+DBYe+5CuegJ62QpsZlH k7GgvUeGuq4sIUtH8peEVVKTms/40xaed8pWq8O0oOm3FS1R1tC/HOu2+rqs/yZx1qCd2BWaXimJ Yi6QIDvUJqaO6ngRB9pqYpfXQKiksscUxuvbWVLJv3IRmkxxRQ7JNhAHg3DBl4EssDjFHYjCi8AO 09Cf2ywBcMr+WYYXr3qdhdRwJSDfhHoTxMeR5YcWOXZcOiJaz9Z/9PnaF2qFgdamsY7gq8KQMhy3 +P+qK+2l4goO6TBVdosYUX5XVAaVoWPhgoWmeYNppPOheN4bDHjtZH8RjoHo/D79jWUE+aRdA7r9 D9fdhShDTAXKO99fAuKYNGUeRZWDYl9n5NLVKzQhl4clpV3srDVa2QBrdKBoL6CClVUysVzn6IfJ ENArhUKlkpPaVUHJrQNo9YLbjhXOCLsfMFxBzb6rGgkhx9DEvsp0JORtZ7F1JKRMhW2DrIxfRwb1 QVrEMrOUQMnBkru//bP1s2VSOGJQveBgrWRgbcsPr+F8h9KEsNlDykp0h9VLk0G+r9AMJObcYXX6 UZUV66KwERmVEtIdz/fX9PQdf2lLG5HlHa2M7IvvOA3cNA/dE24fKY4+YcQR0CAKtwgera4kAI+c Q2lJ2q8pL8U3h9Kxsc3PToAmgndfKV52uzculfTOjSDa1hSNqJaQGUDZUgog4O/ytShfGE6aO3Pu psIXOx4l1E/vC2cQKu2pxvIsKWpWxS8lZm8DpvfAEUJO51dr4d4S3822Tv+CUfrWFLz3DSHRjuLG S6Nv8SiO8ANviqi9ymcxcq/hzGw8Or0pAMIeXW3NonnNv3nRvNf7w8hT2IiVH+U5QTVYsY4noAQm c/qEQQSr2NAjcrOGdlBFevG4QPCn7FxnWJ8qUniht+l7arD28HPUDwEgtaB7zumU70dCN4CHxRcv lHeFl71z3PW6JFRzyThoIqf5EsB3jyTauG3beFlJzEOhFTJqFgrfEXfxtY5iEDpBtQiuFmzXbPJW /24F5IJ95Ho5b63UUwNjYlpwDTo3tmh9S7LvSsPqTdFOSz08O/KNfBR8MDWgPTs8dLfT4Oc5NLMk 6kWlzbruBtZY8mRRIFB+oVdOUj5ngLD5QXpREewDQUkiiSXJHjLNWMi+6K6lTtViOmdTMwvOza+G 8z1cihl8VEOrVlSdhSauOkbmtUWsylFuXYzcFj9S/S2gj6C83nPoQ6pEQR+esHSIR3yJftykknu1 OJzVz/R8atNbPpoI3SVTP28A+juo9sXinylMmMb5DiYIpqXrPtGm9JUxmmeEcL2ISeNmmR+xjwh2 zAXobg2N66MbxJEL/HsbmItNXrOCH98VXi/RGolj3BS3LJGOnkJ0P6n3gxJ/xfpH154H4n8TQucm noOFrlxskJJ3ZE4an2dgrYjBBAscZjQc5ey+sHVP2FkWvx1R1K1li3DJvlD329XvAoAiuWM72y22 EbcVuAVuSpPVayl6xzb8JNQ3wcsYhpTvIqZGJYdMhm5ul/pUJi4GyMCBPAzdWXLq1mjJKasGurNc VPOsGy2F+LCP3LpdXadt92Gp0NT2qcFVIBPW6U4fLlM3/HW+Vau1v4DxAOCaEDjsEU0dRsOhbRj4 6wmIckAZU7z3s7woE56kMEGf/6C0v3TwtQjobX7+FJRKEqxQKrZVJ6lB/8DhZnMZFVWywfN0j7TW NEsgPgnBs/sJsL3+lSKmXZE4Ly8JWYvOQxtmuCINZ6Gapi/L5x2j0riEvEH4mCUefXfk9obi60av zAsPBcUiP7f5s1TNueLLrFpHonxyuCj5KQOgvrUnBfpfnzx9Yu5tKugNuoOlLaI9hdfOD1g3k6sh C3eML+nclfxySfKnvT1u+4SPCKePpHkPWef6R4qhb5pMPmppGTnsrQ7qD/h92aJkrUUsUJMA9atx 61If5cZ7E39aRIU4Gm89T8Fu5YJpuuLBZa2ZgfPX9xDXbvZEr7sPEK8JQ1i5GbLEysdUOCrUymzc P1CryItB4zamg06sPdCIAcLQHXs7+3D08s8X+P16lBTRb2VMP6FMfNWmT8eirc9qZt0545mz49R0 qUo9ry6dgz8GU539A8c/AOV0Ol9LQW//n1WgtnNTi/dR3kGDCfAv27Fwk9rXImB6Jj5hluMePrbl +qebPB6Yp1GOtawNcu+l9N8jlz9FZEkCfz7fjkuvxf6prfxAN97cUqtBIUB+mMVV1XX8L4TV7j9t yo/Ekcq4ZbYyghE6RzGY16oWbP+DScm5KJk6vyozQqWb2jf9bfc4qPefM7ppGH+s1F7FEGZ2BHBi Ybr4q7uXMlwDkxw/om9O57L6hCdt7/loNgaCuRStZ2KzHvcjHqVAKwBKKigx13OglPP8TK3TLTVI kCrQMQZrD+lQ/q86nbVdMVQA3Rf60/1xon5ZJxCxAIZ1nko4P2W7KcJFKLhXvQKdFqko68jqCcjr jCW4uvhFjWlEkKD00HZJig6ptb9U+xJhKykwHXWad930k+ra1sntFjTP0RvGoeKtBFv0ClkIwyih E6eJudxV5oxqBD+yQIpgOi1OKuwDR9EkNeP+QyUDna9JhJqX4oPnB1IdsTykegd4yg7RxP7aBL6R p9+oIAp1IHX6h+kccSzzOT8o6inYJUqA2y0eynjLHj4UAAmepeIMXmZAZna0IlwA2ANtStRBgkt/ sG/LYNvAjzbAK7N6i2A4XlE0CTesGamhSkeOuB+lkxGvCkWxkCz15tnVF/DNIZjHcmc0gK95w2V0 ni86Zvy1hE7R4eTo7IDQ/jXk7Xgllg2bl3MJZTjOPQFER0l8lpgRPaTHXpzHNBcmE9eI1iwDNg9z Jnk5RtsHsTBMZVBuOI9siCzDYD8A/nELX/TGuF/YrboVCh2VAvZSlsFx9jAd+k91o1hSiZEwd3ce CgtTJ6zPfCny2Z5iRXTSxWaICqR1A4VvsWi7ohjarIhPjr0qHijFsPn4u63yEi5rornxlp+kTpcd na7JDP799T7Vg9csfe8rMMUnPbPNhS0VpHZuEARNfGF4sZrUsQTEC+kUYG2jAgkONaBdRRsp7ym6 7PNexrrH/0KChwndwP8k2A/u3VrDRRErvC12eEVWjQPjonL0rY+i1qIr6Bflqfp9MzFrzIPcD2z7 x2BeFKRxOXiV2j6Y5YgXuhb/tVdZjTlO1TwVeObAB8yBHw3lv6L5NSxf1Y9gMtFTEZFSaku5TOr0 mj+7QA39WYvzPyMrl+i3S6EVOAoHtkQ6pgEm8ToKpTzslI9dCybY92on3gXwRBSku8Td2R4oOvmE o//cXtYNyrMlLNOV9krDJRzEuq4+n2kr0/y3/5Ia9DzMT35wGYLXJD1SKSbQIpYJ3z185EeUSwT9 g6cJrGITDkBUjxDSjviB3rSdVVwriBZ+mmzQvHZYs4auhgnF6hPn/Dr0oH+L59uAjHUhOhUvzAMu AQPLn4aMoLowlCalQruokYS8FBvvn0YUerWlGQAJj+wu+zNnjWumPKE1VEyKjRyoAQgvrMg8wlaG 9LKcu/qlDEk1goKaR2DLtI1onKQT404KWSRXegp/3bhGYKo89h2nT7jLaLkdP5659XCVkBOADF8y yiDbnNC9ioelq9vSYAZOLovTXZLoENVVlSu42kYv/AodDBZCrauCP3MRDvmpbrl2VCiUOEnzEWFl XtSKfvwT0Z6q4QRnuZkrw4HixFojRjE74SMe4V0Aw+r2HNyh37kDDAP0U/2E+WjBg/AV/FDWCeS9 ClE63URwJOkGrIzdUi20VWArswRWWVsvyM2jciLdlmFc6PkeV7FtY1jvBYE3OSQmUCdQ73QHLPcw JIY+HueKYEdUtVruKDWErNGtyFCFQrWuflxpoXJH0F8Kto4Ke0q7Ez29BI+Nk+AtUb5XxGRU17BN jPFfc2PzisvisrJwD6OrHnpM7Cd3Y6WSKV1ym6XJgu3D1dSsTKMqI8UEGUF9ECcKZfUpD2u03BqJ te+C9r4VQwBagqrGyMnPK1naEtCVcr1a2Roa53JZpc+XsMxYZs8S3eUcypZx+IirP2rtNVGP/30x 8+zEz5RfGnOa5iUZ0y+aQtx8GLDB9iDE0W/9h8gCzvI2hKXlE32Q2Q1E+DzC7wvk443QcWH6/jwp aDLE2BMY1CoGCmmL6mN9qqTocR/9p1aHbD/kXlUfOZ6H6ie4b352LMu4RqjHdVFjz18qcDn9uesA PwdGNnnga/dHMhW/PvBjdbvBDTdmfSm2c92UGd2KC4vWrIEFK1KA4uggjc09LSngPUfVE2orETCd l90QQSt1Ncj9na6bSlNBgihpQLZvBX2fOrVZEbyB1ytoIRe3t+HFsPCLP0OmST+gia4WUcT5cW5E YcWC/uDGdp0ed+ae4ebAbB2aNNiGhWKKoCNeWxgtDpS+sjIOvO5+x/wyqM4bTeHI1Es1Gn7JQ7uP 7GWCJkjkzQigpE+4fQ+ZmOPig9SdJeSCJR/eOyFWvEB5sQFHxR/7B2so6WC46d/vk1fB0ePy2IFl f+T7qkOUSDUh3m/DTzG+TPlLwc7Wglc1ixR8OAmWG0pDeokLbJT6IYr121tDS4K2QrlUEvGfjU7P hdBRrVqxAiyqMPoVHUCx9n+WlJz5hVkIkc/0SrNnrVuKs2ozOxmhdBweZPRvOZ/vwK9g4peInGwH npmlKeaQobOp8BO3JTw7l4qmaPU8tq69oSs0E4UqHjWrNMNNSb6GM1xk76z0puN73xnPB1SuhrBe mAVCMEQwLURRUO4fvh/I5WIyByJa3m1FX6n4P8ObOh9dB2z2p5yFvdnn9obX8HUlQHTeLwFvJqNX qDPSIjw9oALuJltrtW0Md7RrifjF0SevAnELwoH4YkLAQeWvQRSTzxpMs9Lv4qS1f5o3UDe4qiL1 Mm6+aab5r/wZANI24KbMXugAUjpGoJOLsLTqAW9ezkgV4gMt2OdBiTr71Sj7dJ3MjmhYwYw9GswM xWjEGuXsvMNJf0fqH25XBj7hb3x9FrJK8/WMQKMCFEpQw5mwXaX+8jjbdZ5JC3RlQaR68cIiuq0R /bOO6OQKjnyoXN0sGBZqYAML3DlvKoE2IPrvI4EYdZlnsvuJcKI6bZ/k5y/dxl25OlGg+PP/KYAL vvuuG6FRP5Ujk26Hk3B86Pe5mLMg0YHRjpaB9+TtKNgXWHaqOfYNvxBRm/dlCt9S9XlB48xTBwG2 4NAVZbOczGr79lRkU8ojXBGp/NJIZ/BRJbKbyZwZddWbyPWl2+p9cP8AosMvUX7jScv+TymT5TQJ WTUtofHHdYME3ZCdfJ/iN8jpQM7lnOhYYDSlaWgug1Bn/l/8Samq4N9XN9YSH2vArJWxOMS7I5iI OtBoW7KJEYXISrjEsP1vsG4ifYEK4l66LWkAopgn8Ron2WpxnJbqWL9oRV0DIGINGWx3/pXTMpsM rbC4MJ5YCjCKCrismKcvi/6u3Aa3jAbFAPshBQHMpd04gY8nW/7FdqH4ngJLkmhWbG2CLoWAqJQr 4jIzClUlCduSe3VKjIdSmIZBoyNSDxJ4gdw1MWu4n7blgwrmtuTLAlXC6Ck7WzT4i+rQ5ei/XFIp 1s1FbVqwBEJrd15lsXFlH8dziUi0b19/HjsoQwwium7d9r36vS+vdPQ2c/66agkHSJ815YIbJTAf 7jJ65yMaZdaSzZiz/Si/7QVqF9uGMhbLlC7Chpz7J8+nP3ULmmGU2RVyyIdiUvstXgs87H1jJT2l vz+fLjUd15IF092iVRJWKXhXFdZ+Hq0xUAOJgfNyfhdI3GkSjng83tANZGEa2qLTmQDjaRyj2/sj 54kL6NWZrb3PCdP2QddWt3bm3zltCEOC/s8tbhM3If5N+hmSsXmrJVBqpeK4neYw1filAdsa0/OA O0L20wxSu+8aRugl6aHW+/7yNAbJFlKbbqTGTgbkpO7DAMkWPm+GxDrQcRI5bXVjxs4C+M9Kc9AQ NZ5HJxh/kIo4V4S64F02FN3w8sBN20P/CGH4kqhdapKMiAzNGG/OBIIxfhzCZv1Jt9P2y5DvrK12 LbuMiwBim99YbM6knAO+FwoKL/t34zL7bzXdQxPC58A8vPIblCMI2H6mqyebiu221Ip1DswYtwwN LDsAZqeMgQQV6Na/mzAbI61r4QTTEy6qytWhbxFzOcQhg+kU4KvtMXOm8qbDDT/Z7SM0nGBM/i4e OoS9CWFWItbQKSOpeBddWEVEe0f8oSjAOi/o2ef0VtIAaDXnbNnpMLmzstwXXNyBfCF2EahZ9Wz3 rICRHTw0NYs+vRlJFmWE9Q3oaiXA//3ouVBNnzj+cFvJe6HMiqI90EsTiKyiEyxTf9Nnfp2TkdG3 v/ox6v0XI3yfGcIQvayWfM3IwIoAXlLAZmaL+bfVU97gqscf+dKvmoRdVp+oS3aPSAEN1Pf4jB+6 KrOa+ui+Bca57IwgX2QnvmKRLmiOnzL+Fai5wjELDYt48vDwuTcCRMjACbriGMkIZUrqNYrK2Dw9 qCGXx2SZG8rvjt4Iy0Hr4dKezY2vHBeg/AlsTyoQcPJQyceVTLKFfpff3/lvYRS+MgDLqdKd/JCI y+P9ebCItbsOlKLGJnbsoif7nOBDqyjfYvQu1C/A7nHAuiO0lfVtV+FHtxPJqw4d/KjSDQaqeNA5 ulJ9JwBePYXNUAzaH93snfc/eMcWsBiY17rYR3+G29VkMAOspdJzKclN+5ONRRWtEPj1rjAVQNly DmQxpO14TrQKbdlvLxYVc+31rh+a6yDxwpG3fuOwtw3Cc4nKjYZ4qWtRjTdKyPQpIgRMttoAGygi dpE86yfFpEoKi/LSgdw7XqUP1kfrn/Pj/KE5sn1wuXzaMWu0jcVFBdJsUvRQd+bGSGlhEFCwYkFz r6JHca7baJnpvSn78pNXdlrl6nrzIni+AzRf6a3d5FvTD9bzxWFCCXB1BFsqE/Nofl/+zfa6fckO YdF6VRmYtSbA3kV1aDSGJ6d56rCxBSC7O8qGnC410mZ4Z95VZMr8uy57cI/u6xNkOxeMryFVgKTl /3vb3kOSLOEL2VsEruLheRVnKCK0kZVcxXi9NnyEm7KRC+B1QC4sksPJnxI21a3Up5+HEmV7DCRZ PZbQOwcYj/X1tu8reQTZmDKf9catI0SHP9A92WRPlG6mqhhGv/olTgD1cmoP3QOkAc91G+vG/HfQ seoLAJxsSzwuS7iKbgxSS8D4E2K9moHQ9pT5T0dJylFy9PNiRai3EeHBsNVNgJefCeV4j5NNEDdG 6LJxXXZBZx0u89EWU8XhRXuD+7U8rMjV1zRXjPOXFvm0QtQNJzgoFzCtbuOMBgoe8dJR+GeTZA3z urV+QnkBgyNveihT6KIVs/WY4HZGpFNyUBHTXMh5CirFVLYKJN61Fw8gzIi/Q3vXdYSbkIRbVBaV f9KiA6uAAbg6e8/ICMyUoIvUwZeJZU8+yYCc5nUD9PfSC+Y2chJ9qxM28Ycv0JKg+I9X/D6HKzWY C4Gr/DE4laHCJjmdF/rXr6M4qyRI4rg+oFqWjEX7XorKAyNnxClg6BdZ0m8F2wL0F3ixYjLkx226 vXsGVq+tUZV9YjmkBqUQgAaQnXgtluIUJcZ/t3PLxSqgy3D+VJGScqD9pUo74YTyJnRDfE0HjRDl UgAgZGY7HLKcMR5QXvJRLy9SzfZdLJhAplUVZUwEI08ttFnHqFIR7esWMIQTxVMCZTXthjHzZAxb vq4oTOhWFv2rjz9mOUmG3IPMqy3wbdVt/J6V7QhATDh8IrxKqv1AITMJk+ChAgGDhj+5SewVImSz 7zic6vT1XrydHEJXWbamQp/gM/SVqzHkSOEA36J9u9AGGn8mOiPwo4eFsXH/7AzU8RCYXLQS6RGs 7FNgnGIQpeWPFVPBCzQG9LoT/05wgisNzMVckSJ/I63A/id1s9MGbq4ZrKN2ywETNGvmJAQ2f+ap oYFv/Mhu/laxt+muYxIIv7/WdTOjRYOBHl/SOPcEhx61dKrQ3E0gDm0uzh3BTXyNpxnwtP2kB95d 9kYdsy/EzstfMaoXfMEG3kdh9JJQzddCAdi+wglzvNGoWj6kXvSZS52krdqWrzpJvcJsaOLh3G8n sdjGVDCXc2LlzIzsb6bN1IGa29Hdeb1inBUU0AwJwZXcZOueN21Jsmo7pWTV9IVLLHBFstUkAtPW Sy1tuQy93iN9y2xIil6u+0ycP+2iH/rDp1W3goUOtOKAxUfPsqpta+85PuGL4642d8yJKgHKk4lG JzFgy9b2JKlBURx8rgEOGQUfstU3FDwgSP7O9jWwAhvW9Hb81WdwPqU+Jn5mFCZbX9KbtWCbwziU 1kMzBNNnJVkluYNpAxd+g2Q4CdXstB7y+eWEDoZUSWv7N03ipWby31VaPyDpCPlJpNHl/rklE0An M/lLiY3f4fdtvwpmra/WDbonQCuCOg85IjtlMrlGfIRMgfR2dws4hYTk59m/Ptu56nXaF3Ss+Wo6 /1WyBTC7ZofVbgIU2h8Tayv/RyyHme3NyV4ST/LhXNVOEebL7urwjOMgH+GZkQF8XiLvMILHIImP +Fyilt9I8mlm7zNpVODG6veASikMK+MuDvEIQcxHH7hXpUnCaieZoPSlcfJ/jwks9ZCTZucmTuAR 4qc2cYVsVjRxC25W4Q5ixBmkbPKUatqzWZEimxM7yDIi1yWlIH5hZpe35INPeRpl8VkSBrsc4xOw bgoILI/OI29eQljHRdDV/60m8gWNDWXyy0ultOUo8fsWMNDXHdXC8Kaj/LY/CX2WhvHt8Ui4KrhC JhxREHLewlhtSj5KpjrWqEmHIYHB9BrFDfUy/VngawVdS1sP2fBP5c+BhcJYprfDf8EeYId6wRd3 plS03qLbnOUeECLSn3qmG0AbXDpLdGpIbgWrl/TUQzRlMZu4DC8rLlBtr2vPsPiMC2W8dicz0W3a cvMceUP6QcJ+3XbPV+8KwjDGbVT4WxNl+bV2204nnIrfi1ZoU71614yzTgWd2wKGMCdQzl1A3K9S DfYn+Q406/9f3mgYqw6aabQDn3Qda28wzIfiWO06JoQbP8jeQLSzzGXRr+14Tkrt/OtEB9AUtUZc tVTOdfSvSbJUErQmQ/RhEAXugyGGpEBAIGTBc3C/F/QaDzGJJs9ZhokJxOKdQad0wP1+mws0aSwQ ++3sb/dKscTb6fJJv8MEdTLMNlZD/RjV5Vxpgw1eEEhQBguJHue/nKmsh4XtS2tA88Wn4mETv2aS bIACCMGSlNH+9Uud7jkDytN6DXt6lt8KAP41xEeQnkNR5qJoeWAaLdlQgieKuIcJWN2mwjBxCXCN iySIY34Cp4Z8NJz90Yhhb14k9KRF2gw65t8ay74B2C8JgbTJmAR564hlclntU5Nz1mJK52TqKw+y 7x122OJqeOCjgjV/2BahCdx9kf96VuZGg4MxyZ6efpbHhDs8oPXM47qh7aOqJSqBgXICuwZsO4EQ IV2FOXZMoX6UvzcdtkdxIZS/4S81i2/yXoSjmKF5FriPv8gs0hR472VDUUbn7o8RFBoNUmLZ1HMJ EtBxsmkGV/UidzYSEALAlv1HcxcIGDObf5kVYAkzaBYJ+l7IfycWdHxL06a6N2qBrVtJF8K5CS74 P9/d5LuOLpl1g9x+XsUbgzuimJuiVWNEZmJNWUK/Ambuv2cmHfuQwUoRmd0f2XeFc2PSCje0b5vh j05U1G6KBKCL4C3tUYySYQTIPAwERo8UgrfJOBagdQ/pB0n9QnOkLuYF65dwWc+GSFbf92fwkf0C Ydfypl4XJplGRNHi+a/eFTuishc07ryahr0bciGL9D5uz7rtxksLHzdLsg1ezh5M8qWDV15Byza5 Zlg5t06Ha1y6M+qLdAn5bTlNC/XaGAq/b2f5hxNt1jpjQDXLY26cmc7Wa5Hqo5LzAkiY1ZjiJczV 3hWyuewriy9us9PRt7+X1h2gN0LLj0FFqkRjwOXE+Bbaf+7Xs9hdf1UBahuuTXTwLitJMgbcRoQx cxDwGUxGyXUA37mBtdZvYv3GmvM2rt8GZEN+x01CUwM8gs/7bhaG89vr1mp+T4F9eh+pnsYxNVB1 ggEg+IZRunu63mapWx6oHJ+8MLtd+8MMawHm6Y3UZ7OUr8Y8gz4LyuN+0sM+k6hJZjK/3FlHWMXL ZO8P2EK7T5vdVIJp7yrONoovXH/Mv9Y3IPfUfGUsD5nwg+kUyBKVy3pxG3tp7aZaxXR6ZlQaaEs1 FGMbr16KoAYLvL/9VKXU/1KCTE1ggxgTaJ2rRQ/P3XnD4gPc+4dYEspyyHcq+bvHbx0G5eHs0kP0 lY6xpoYKW1QHuaCBYLms5oCdFnbVjtzwI2hgrswzPnBew+3yTVK+n9WXmGOmC8idHt2WiIUVCMVe yzL+UAkAFLk6PzuZU38rA3inYbj/tfcC47sa1a4UZPP30EYcUGP9l8tk7n4Fu3zYts9GGT2Y8WDj gTTy08w0OuE8jFjoiK8bxsHzUHXjVxXTbEs9vm/lfM92Nnenjx3KD1uvsKsHt6qp+4bgBX2d6T0C Ki46LpNRDnvfLrq2r6m6whtxyQf2tPIycV9RZJSJHbS+jobXaQ7nwnjMXgZU0gH5UGuWuXsdD8ls 9Vy6tUWqjfOvPM4BWrbx7TgoEYYsxjFgJ+6vW3RCI9FgQ54yJFBW9o7pL7maebVn7LGR6d1ZR1+6 1k3lCM36zfxbRpY3towxZJrypf/spI17WwJtM1eQvASFrkwaJVgOn+ExztY1aDIJqTeel1o+aR0+ Aerq68MvrmsBPtOPYVyucFs7e52PF/Sg/dj5dIQQZlK9Y+3MOIvSkD2DV54lSaeem4mpcu7/eVMk FCw5ttkJjHGzbqqcMZHSKvovCAGMfjq+DgdZuJo1rZHw7gYk+7x1wKr2CJpZTJy9Wqzv7kQhQk/Q Cu4+ibRxJXajVsbXxNDvDBeGY52hKEsh9WNM0V/HlD6PclAltrj1HO+eLoGsMAMB3wSMSrfjQ1Gf qlE3B4XvRIOv5+/MBFUSZz63+2GVM9yz50Nv/tGNBqThF3rxGOXhpnI7OnR/A5rW9UheGzgpkwkE GD9EbJTBykwbJfUgKRoRfAa/lObI37q4/7DbXpMjmSm4q6d0FIbe/Egj1Doy2A1Z2WjA5En7rzTt 8eaE4Q4vmulUijM/VRfK6QtYndF1xIUYRYYyRezW35JM7AfncE19h/T1PhPpJUhGLpJZH5ywSA3P pvrHJmeowG0fkc+mhLKwoPF1g5OrJDDiZOIeeMVjFRJfAoLlulQCUMCRoNCyyQdAhcyC+jQmMxHQ nOpa/JsRZo9GAeS8OQRU08EAZ9TwYzUlI7wgPRZZ0HDgpRj7kofpDfRMgvwO3yLBbqlHLH2CX/JJ l6v+H+Hg8Zeayf0GqOvmAM2IooApka62VmjMIHzZjvwtm3/UtDUzoGaheFqpCNtt1hdmEGfV3sYE 7Nz2qOTvuRWGqaY1SNV1krnG2XJWBYWjhJlsm9rFM62tsx47IMGE7BHgJmSeYYMhRiihgFpu/Q4j CTvtepWnoFQvntaDP9z3FbzUzgbJixy2HAdf36HH7Q6FUL4Bzt3Pc8CivRmov8LNhBJ6Mk4/g1cP tMUxd9u61FaXjbzj8rik6mu8S8L2jWQ8e09euvvpALhLd8H7I3MhzLx39JrP3m7xSZ+BRVl9ZWSN FmtoGGeHi0yHJHYUBvXMBGwlLVt2fX0rXkJ4pUgMfYD0N8I3vVEPUVPNpR0ZBA3iRa3U0eZ8eeoT ZXqft+6YZV5yEOwbhmhBrUYcJOzIf5stUMgF3jEVZGQVH+KNgT7dHUaIetnLFbp7o/5OmFvjty7U 3BVAFn6HrSwOENy6fiHwBt4J7HZx4s07VeBB1ncxln8CX5mpqFTwYzHPdxDWnCpMq6IhqspgY3ib YPnOFaKhplB9FylnIoV+1jp42rcA91ixp7OGHI0Ak5feJtnPJuZIKMzyetBxK5bq8BjU08/ruawv Y59cHoH79hjvdTe5cmMBnxk4Dvoay3Lk2ziASOFOSvtfDsLI+JQz9PivazBYuP8+4t80W5oVdrB+ RTvu+knUYA7KZzMNhTB3SiXeCQT+f4wnEJJDwpJaJi1h1IPvHOFgif0Iqklgc4ubeFTQ7g89crEt 0SFyleJzw2doQ4pbldNFHQIzhWIUVtSXG1dYDsv5kCxTw51IY/WQbqNNcpcwI0I7x+wsXJFbgrDs du7m1IF88zdDldd+NB8Eqygxh6wKRiLFImd1Su5ErmEpOc7ILSRRnFvZxMbM3maHeJAAY3yLGHOe Ss3WYUvaxwDX3cfbkQWeDZ96bJXAOjmSkIoS7Lu3gXnkPN1Bka+evVPJZJXPZefCLKYfFOqt4AXK IOKGsjdueplfnKp6rOcbO2XFhDMUekmVwQ/GNMcdd4nNsu05qT4nClge+HWSyz4fm+JYWL0IiXkb qRLoYMEND9RN+lGA108NeCYtdSYgTNcL15dgpT4ZUkbSYz8bsTf7C8CaHjohvyWBJkGnqrXbyDko ySOw8uKHBNAqoTjFgpwLmsvKrPGue6cgmaYFPG1ZhCoMPf3hRkrV1iMC+vCAY9QhOwCF+YrhBuqD bssg6AZYWZu5GTw+RsZZop8xno4l8P18wB91SGgz8QTLlv45ZywMKSlCHWWb4epDyPpDf4tdOwhh UJQdkP/IoZuuEIWHUoeA7ybNCaajoBCYYV7Z8eAmCjfb3XulUvN8T5zhgrnf9M6hnOONnzZtpH1j k9JeJooDn/zgg4juFh8b7eIYBxQUoGSwDwGXMqQ0GxyAE9uKQXF0OvatKGpoCd+Di3zf1i9MfrmL lQqqlF3E7CyKZSsvpifaCocVgvJo54CFYHhhFhk5FlTChSfQwRXjm53kVEh5oA8iESxSzTBGinGh p0Q/8v0OkpRnawoRhYrmORq5tEqEr0qgujfhoXsPHz57AEQ75u7V8PRLK2MEqDfd9KqlMVfeOrNj H5zFIKHIGK183vErGKkhKWi0gXG0Myo4t1F1szmuLZgNyXbiL5Yt2d+30dzVn6pvjoFmNPwxeUUO P7n2cmXz4uZIEPOHQX94kQY04+xqv1Iqq2G+wJipIuQ2I1AGmxjM2XQpoSjJOtaJl+5WKR+F+e+7 ORpuMYo8+5HQafyf8LRswdOXZPIpVTUdPZDLMPXQ45UoZqpQv6q6rJst0KEmJyrN5jHZu2nTDsMD fPmcMH5jyF7rotgxhYYxklqNdHSjVKONZS+hPKBEx1y4724D6FTn1IhE4C3jz4FXlrW5i+qA0zzJ 7gaFr0sHAzlXRvX8Xjr9N+SXKWFejzfqYs2tOE07D8DB3Pr9FtVxfnNZ8aXCm9a0eCrLdKZtny0r d5OXexDLP1swnkafOSHeDsy/xychy6kNj6wxpcNvkpKn9muPOK8wtMBWKAgWIK6qeiFJr/vhwcWb lqJ+Wjb1MLTUpE91dPwionE8f76WQHhPk3UkEQYLyr0vawTjvNclDU8YQq2OV6gtkRHM6+da2uh+ aZz/ySFJt+46znWm6tsF29z1Ti1rawLWVPkQi9Ks14EP1DWfzGV2bB4potu1zkQw5rYPIPBaGcEM mfk52pTe0StdfBUv8DTXSoqJxMWn1lpBCdyylJIXmGuV6JAFPCQFmHmWk81tEYRFc5bNMsAFzZcx 8HSFukMxddl67caGufm4mPb1bfrOsI/FpOPD0I3JiKlMbKYiWZnJZjhB8nTuHqzDGBXpbzStLk8Y vz2MyAbIzIQN+P3Vn1l9Cos6e+ajhn7BQ/edHSgiE9PTIXLLGIyRI5FQxnsMAK+GLnIQR+zD4ae5 kY74qw1rJXol6FGowqIfLouFFcTFarw7Yu9JA34pfpGeDbEKORVwe1T5Cj8yPJMbb+/gpZsFmA2V skuSC/BrXD8YeLUKA8FJeF0UpL1WyBXtYxu28n1SvP9Xes2kbx/j5H4K7zYq0SS29hXiSZqNhJ/3 mjZ6avkypIde2v+uQde5NtsAH2YekqJz35fUp8Syl9k6FC9TXyMbKPMwhCX9uuZs4Xr/yrSSbE/2 20+z82AJeGo7OMTLoRo1Z/oI+xZdLQPn1pdD9VhuXUD2bdCNW+Xip4pH1gAkLC0hInYiQG8vdDgh KW+Bsz8fZUsSf91SBI6VWDYtk6bjpW5nNmKrUuKt1JW0CfUMDDgk2vX/thRU98TnZWkZbyIB/Elw etsD2Vt0kBPnfVa8df11SDIUI/Ou06NUI+keqil69cljazS0SBpqyT5R5QskjnD38CnFE5CiRZ0k drHCo4o/zY5uDW8dc7upzJySWju0C/LUBriw2Tp91NJKoDyNwTulP7gb6keqrwU/HPxDJp1rKsPI IcGSxCH99Nh78XqRQYPZTXJgGxlcpPD3Dq4gfHUZ//CeO+QdKGa07GrHoPz11AcDdbplisZPGGlP koghdLghVndOa4EpaG9KmCEhqGHnfOF5gbESTR5nJ9ivQ0D2IQyxJk8D5Rl5JWLg5He4G4pqoVfH gv7fDC8m9A33kK6SGuzZW7yw0LVb7g8nbDa4sKHW2AtUMYf8ufZ8wDhmgESAhq+ITFlET8EKhx/I RU6EgVqhp6IAeLTgLGst6ZnMQUQc+3bn0eHXf/DFCRG5q9sytsFLb22rc194OrV/4GHHui6ydQHd L9Kr+S2vR3WHH0D3Pzc8zLM3DOl0rKAuD/ZM9G97q1iKKIJY19p4GmujEw3KeUvgq8SgScN/OBpr 6uNVrggKQ39ZT7xfood7bDld9ycUaTw4IuCy1tLvpRUdimJTp7sXYycPEnQyCO3b5FGlj4rhs4LG QcTrtpg3LUXWkFIZM4I/YvIYqkUt7ehB31dYmJnUCj9fLxHg4z4Wx3P/Ds0/LR6H/keD2S9sY/C7 Iwq32bUJguxfKH+Qrat3GVW/4GnOsxjmlCc9Bewz0sybCwzPvLDSsNaISxm9FPtQpzoCWzbw9jVb vZelxVkrwJSz+C6TC9zKu2zyjEn/h5JRbm9Pr/qBvL2oCNjejo4N/fiFXxkCTfnXjUF6wVZTMknq uRgiBpS8IKtzWymtHOT1ZHvgjj08qxvZCJ095VkNOhqLeqVd5PzT2FpkzfPOrHmSpH40jt6lh9I7 ABPEK8pQq+rEiXGsbxhw16Y3x+p2FrOFavwGpHQXaSadNVO6+ViT63t8gk2jBK+xIxveK2rEZ3gf 5FY9f/WzQQbCxbMpnJHTSsjCgOk4Fy/cMFnWexxmyXOL3bl4X9tvFuQ+R6NEGCjDJocm/FgS+zjm 9j15qK8tW3mW+mw0J5Bo0BS5KORjFUkIiLmrjrCJMYZ7ag5+P0T5UQsl56lFtfLG5azwjXz9LjWh rhFzmsaDnal8jSuDcbJ3ZXNdd0ZqsUiLtU857swtVWGQ87NmBWLWozux/QU7q2Pg+4BnzsYQzTIX +XziQQL+C+ymxU3WIqgUspw1t8PMhTlz4qAEwUiywmohBZZbw4GwcoaYQEyPWgKW70pWFB2H5VmW hh9F6YYRLBHYmqvxhKY4PT66mSGUoaUL2SiHSBiWvs9cX+OadBwrzqQewROg5+aaxatsd5/rJGK+ RLl+qr8lasF9i08z2VERo/Qrm3W67K/cWSO6Kq/HgjHxadDIm827xedS0usMRCC4Uzvdnn/8xxuw CXkuqnrvbCCXuqvo/32Pc7F5HPwvyRFXIzTe9Arh/oLBjTL2Yj5AVIRF6jdrR5Rjm2SmQSbm3rmB jEWIBokUN5wKi2CMpYO7k2+YogsRxexWigQQFO7UgWq1XCyD63WCbO50in0FK3MK/rrNDvE9ncMg /oxRr5lEcEZ7y14Dzz/5xAB20oaKy3dtRNEdsdqJsQyzWqFBY3ExjNoQ8QIrpZxailQ+MsvBIsnm YkXoqr29V+XFP3g96S2Xc0NOttPsBJnjvkoJFtnP39M9fe2+A2gfLLn2XkD3/fouFvxSv9anFgiQ 4yrDWSbX4YNeRtjWeFmvdBgVZDdZ1Y3G8rHCEj2YQYxrDwumroWymhII96Z695goqx3t9rGwKZa+ e79MGiDGUV0lwfOoxt3V8sTQk2HFH4kYR1iXMNH2oYXKgt9mJ9/m0hm8Khw+oTJv0GXwzVFaded3 llD79YXbyyx1v6K4lG5xEG7Mq7gomS9Wu7zxvxilIAg5Okq0hAop2CPXViUzdEWGTHEq8pU4tc9V 29MCaQHyIppxq+X6qPAxoAwhGKoUv7QxYMtzizAyVVVm25y1kI33dm5ecl4ucnDBYTY2jB6DgiDo DChfOVH++tKotZF/zso4YGP4Ew9uCWN3w48U8q3x7icI+FKfv0UnnTlCBSHAinDJKMvMsPYqS356 R32lcRcpEViBWw8NeOxcbgKCpTnWm1KxMalowEDxVxmBVe8H4f3KYGXZJE0ZgT5+7EdRrY3Z2ycd W8u0VIOnd44QaHJU2ZNOL8/TzGr0KI2ziU67YPdCbohSXrWBGMvQdcJYQ/CRBvXXo3w6pxnhFzbj a73q6Tru1U0ILAbSo3ljsoiCxj+a3oftZlR/JmUrnEXdNuiwcOv0dzS+LW5eIAssWR9dlnpkbygZ 7ZWna1vdplX9nh7rdrQ++0ywOBgEASTC09LH2pwp/UVK5XQ3zGh2LZ1p54sI7R5pQpO8dr/1PxyW X+IPFuIK6fHlraON+eo28aPb8aqH/T1qC26epBJeLMxkqKLlBFTciO2UDcLVhCNSxbilfe1vfqnw jlpOC4S2nvCCP+y0bymiiRiqqLGMZ8S56ixuxiPn6bDWqI8uQDmBL+RfhEpjoUb6tDy+p2DIPEko FnBSIfXpx02ItCA8G2WAn98h0fHPAsZSpj9A09i1EgGwJqVu7vyjhOsG5v84bFoCwqhAfS1NuaUK LxySfoDC1FNbkydWHbpu7k/gjQ+25IlwVRC98r4FYtZP5VrFNJR6oQOMK0SAOchSPQXzh8xgZ4/2 zKne1+7P5d55Y036a+e07SRMCiuTMqVgQdPGwDbXmzNqOuWXpKPLkyNwdf9lMEZBdhFyxGS2o2Tu kdypAQ6yu6DDwloMuXdyeGY+1SW3FoDX7eeJQtr4JAvMsOHyqMJAFFrWAkpP+LaySgEW4wXO1EkU k39DSg9F3ebhTOJkJWdBUSINFfyOe6r7AZivE/c9QMJya/GAfzyuyskf3Ivy5yN2Af1M3WMp6Sme NVOEtLmynuPaojhZY0Z25R4uYlCYdlij+33j129F45cx3vYMg1S1Bnw5WVy8pTY6nQBC/vD1TJaP Rb8tuClBULrz6tIPLenaztHnkYPM33/gd2urbWeVvTzmQuUP3E943SW969+o6uXz6vWj1yFKHm30 CmtwuN8FNPAb/lsm5W3zybtpKiAqytOkVJlSjSJz+9nVMsdS+FRDGDymJHaQJgZpQU6zUUBLASBn Cg5mfZ/CiYh+LjHgy+2qWAc304fSgtELsgvw0WX8bn1g9BgqzLvUS8KeQRzx3pMrYKM6kC0kPgOs e7FD7ue4XKSmdEdP20sAlW/EwTtmekQy7MNl4VcnKFagr+x73XBJSY4iZJyK6gvAyR8LlngtUjEX +CZZ4UBu3AQo2go9fO8xIEqo5pbVOrxkc6AFM9xlwQ7+YQqz61xKvDjS+udGEepzrqeLAF046Ao/ WvZppFRqsWuRxbfrHgU/93bUSCtmBboqsUBCHY+sRAOO+dD4sLef0znJhx1Eq4bslFKn1udRUtkf cLoUn1wbMpVUzSBCtWhCODbUd18NH8W5qND3rIPKzct52yAyEHk2Oq87ha4tQrSwHGKcVXCfLTwa kXoLD1UAu4ONnmkgJ31FfjUVyQ1JRDAHOlQD7/uKGPNTc5JB5h00YfSHemGxEB+Zwlq4E7NB2fgK c7+eLUpif7HD992yvD+T++Tmx3KleFYpLIu+6R2/PR/WeF68W/BnUvVIf6C5t1aAU9aqIyQJuy6f ODdpBjyIqgYv93BzgoDyMJBPhHH/CaQ/8CTM96ACDsq4z+MdmlgcJ4PSijhsxgigRr8ODAifVGIQ 6rwzA18/+x/sP2fDIOy3E4vGCIGLrCxy/E4pPUa3C4Q2MsygH6u2njkWhAVug/K8ZYv/zQpcLjxK 7cBrKgIOU/RJnxtY1dy/z+CK3iHHucv8xko9mwV/tW/fDoW2LCg45yjjthjRwb9g5WRJmnPTWqFo EL+8/alTbTBiNSEzMmq1tDB9jpk9oUSB1g6278xuEOoDAEaOLVD0CwU7z8qT3cBvnhawd0nodWG1 uPuc5hm8Ben42N2Gi0LB1hzD8728z9IhYjXNzUTc46fIELXALHzLgJAaFOShE06ZdM9D70pAg5vQ G1E3vFOpLsolZWiumu6/o6yh/2rKstW3zpGfE49PabeZnnqZDodn9sOhRDl6EFmV42vA8rtGYBc2 nNwNDZyaluqM6lkdGszszxiteRyNd8SXJstWBj5WQd5EGQEfIYZIJI1r7Rx5gCTZAwJuVG28Oxnr tlEYkKG+cjbyknKE3ipA2yv4lWTscvMboiqU00G/ZcEqarr2Pq2tYUbgLumTSREDn+JMK60/CDzE AikzLR5AxUp5gVzgCw8NJvg1vQB73E5MqXOHwhANrxbqbrVAEx0aFCXJ7mHNGTtiyc1lhwY02g6T ZpAmvjeCV+mIjm+9lppWYpUh2yNYptOvfxuL0titeT9aobvRoTrrtg5SRHjVeZOvOQLlBcnGOIcm BmOqKQbEBgcG4slEWxRwwiZJ8WQhzPbszenuIbdkzuvKbPvYX+sHqaeZa0roxVp4tLJwhjPDo3Ke gZGs0NEz040fcnRi3QhDHfFP/Mmpfvy/sDoLPOfG//cvY+r6MmdLZenGgK0r1bslgqqvg+Lsve0n iz2c4+40EycbN+y/r/3UYoycJJbC4zu/MsE9pG2HE21qo0AheL/cO/4TSqRh+EvRKQw8ZtQjfGQk kctfEL20ZoOfKPmC8feayiHj9xbYI+EmleeHOEMthkDRiqSbb1J0AYKP90gb9q98OzaXbKH+In1C FhL0dvwl/Zp+rVl8UYGeWaYHv9qkUC8sqTr5CNgvhzqUtGKQgEva2INswOg5s9vUI0OdbhLyBvVG r+cwhDTWuTZ3K/Mj1Lnx78XOFdbAHS2QjlXH+8HdEKVLRowQ9GwX/w8wl9F2k/hU6pKESZnG/mS4 c6/wYGV3xLpA3/ATIUCAwbKc8J58dFhbv1thodXXynnY7b4b7ite574dhlgw51pUu1cTKDd9sWE+ CYKx8pmgkYSxSKWSQpfKa73VIo8Ap1+ELB9TxQVIPRq9bXg+HNG5/GhuXMywXpsdcTanJZ+X9JXP RZR+qoT3n1PQ9riZIjh9/01PQfaYTUf/zxuAf1Goa5VLbnrFLWEmaelnOCjoGw5RxFOZx1A/8bfC PE7ay2WlJKucy8Hg1s+tYAFQrs1HyiG0k+4/4Xhlhxp4ZN7jVvlanp7B0HOIThF1t7XvssGHWQkp 1JVRjlFSsz7Tg765K2L1XMgE+eQHF1a1sD5jNiD6CM3iNMpmokBZAiEU+6e+79zg+nUsMMTJm2p8 tS9XCPR8b2fvxfG6FxabFJinzx3TtatsVyL5cMShEx3ZoIhG/PZm8dyZ+GPLsL24U0XUUsvghvqR RygNeg4Rn2ii4AD5iJ6fv8TV0UsgSEhwCqoak0M28r4Bw8vPkyVJWbxuxIF3bB1SVFrnPtyhW5Sm /5dmuoS1dxR2OwsvBtTBa25oIqXaTuN94dzqi8yyS1iTQ5E3WSeLclCIHRqGA5566AFpdmGvdWjw Gz7r0zQE6Q0AXPQsrqZ+GBe8eLPnkYryi1pWZ82N1lKaqgQc48GM0m+fKavUfjRDLecunhJkB5rO XZPUaQk40xhMxxIQdAwr2aikvyF9hpyxobVgrRYAYzMogWmRwTl5j49TED17RbsEtNPrBpWuxViO 0kK+D/NtYnCJgzzSP3wqaSFlbLGblohepiMS4S9qEs9Nq1KS8i/+iPPe+sPUfCXlcUUOmYgWBg9+ NzhRqTECtPFF8h+ySRgyeN2jfwjcT87/5bO+HrjThuUeK41QIzU8yFk369wSCL7jLwGHfXLQBaKW 25w1a2WYtEfiKfVbPYw3KS/G+U8v7YzMuSQywDTJJcO3Vv8DVc8FebrKhBo9xPgS06aLeDXiRfZd QFxx47dUs+t6kpQi6ZjBXCbdNREF/3Dlh7zIeacwqiJryOyU0hKlldXKyhPt9IxUadHXfnjsT6CR 1RDqQPO0H5mFhz5cygZN7vFVNCEzE0jhV/yIdLrcHeRudUwKBOBDBGSt2HSQIf1LnVNYuP+wEdUu v/jmUnTJDe1zQdzJiqsMMQxN5F50Fom3E6E+a2w7j8lCW4+NDlWI8Y0KfhkNOns1n2xu1UsyevBr T0k5eBSPmWbSo1mWa6iFp9M8P6VMxFuEroUXeQzAusg6jIWAQF6mrZUermJBnFBrsZUQGcwIxlxZ /VGrB9M/8OflRuJ7bsPIZhFAJ7hWdmu5DLB2QwZh/mXZk4HMHUCPs3UbncJzlc6WAmFciAhT2Gku eWpl3YMEnK0opszIwFbvyFR2ZdCbRi60OIqmm1eQXUw+jFiGQltxHm8OW1L+xuEYO2HmU1Wh0NEQ vqwWTdM+xBl7CNSNsL0OB9htyItG81schynO85M0pVYeR0wfgNTRNgc5Vx5vFAhJolXk96FlYWuV is+wk3QW4S3KdDNrXw+jvk9nBVI4W6SYmpdZRWH4eggo4Bn7FHPE7ysx6Il7JZkjBoupc9nF/E7K K+DuIg65DXMf5CSRh73j+vI2TufDw/5SvQ71Xuu2DH70JOvPuXCxOfd1SbP+RxwTOu2CbahW1BMb xSvhPtznKw47CqlD+Gq1zXTjPb/Lz4vSQuNfODEPN43G6gWfEldy/AtPPflwi2ptG1D6eDWu6yf/ UUi6ydj2tH8n0P7JkBmR1KkSQDRkayZKMKc7zN7ARvmX9Hs5JSl735hN/3MJOLoFBam4CgXjKUrC UkQ0daMni5ydhG+3L4uRLlgQWvpZVY7joUeZeZlRUSUXuuD5H1Ok1Kyl6mQ7cCWVIqxPQ1MW4vuu GkCGDjhc/CtLXK1+saCqOyfYYIYfj8+cfNW9lINoY4XNsotwqpsY0/62FocwGU1dmIZq7VEWlxLA NMD0n7KL653otErOS1tWaN8fiaTC4gbKpQS/U9M8rEFUQhbNexP7630AG8BSkRbT0zcdyyRGTQp3 fGrL0R5imgMiLPSuIRjLERgGaZWY6hmsaILK2I3F0tTJBp83dc53FFJmm4vlMl99/0I5OeMWD84K U9Eom4AXGHhqPHgmd9RZ1qEpqmAa/qilj4Xv6g7OT4wbTPhp8bVr2kFMMZW+bEbtKv9RKrMpNVD6 2lpjbpvzVN/RG/PV8NVA8X91Df4nQlvm1c47vWMJNeBi2HgSIPzNkYJ4ALUk6Dr9OS/A07q4jlm1 EtcGGTMVeQK5Y3HwzMu3EpIgn9R1URzmyH7s+QK3CF05V7u6iqBkJ55iz++py6Y7ni51ruj+IwzG Tw2k1zbFzBQtn8ECw2atUqhKZRIYnrusRgx/bg1+Z+HKQq9YoapEI0wD3XXBMYf66AUhF3DWIKr1 Z0Ro4na5c4givYMWXbkSS5O7PnlOvTfwSE4PVqdAYfuL8tAgi+b3H439uSlcDyxK1GPzZTVWk1cj 9BL2kb9iheLPkGQ+9/yWUyrKNpjwBoijTVo1C38f9jxvrruAnbiVOd+5DHdUzzd1sLA5C0fTA+yd cYtSoeDOlZXBDgVyqVk7kgRKGX2ack7+gotrOOKcrUYja2hdsKlkeqzehCIzAPeQ62x92SDGiMlr nwoD/UTj0q04kHWKf+KSgKdQVSORg70b+78FrjviaeEUnKYYy1cf2mwj1p8SU0b4uVTUAMw/07Vo +uW2jDs6iHdVB7ZcdEgAWzDx3OPsA5I9pr90MdTGLauGnXg1F6mKmANLOtuskmwB51W+xdg2qii6 MytWMGL66qDIj0OC9x1V6g0cE3A/F9MZkjyJwPZOQpeNuVs6xyQ2mf17GhONtvRW/BvRcEK4+NIO ya5Yo2RJWYjkogQ52mFUbgOpldQIuqILyInA6yClvcreknpRymGFsmE0W+m476gRyetjSV8/VXTz LLImiS2O5EeNkXZFG/G0XAq4NLUlZ2DXwhCkvkgSAp60LcmDnXFRIQi15iLo9n5n32d9xWg2D2t3 dujlfw0jtIAF3WluQM1vXIhEcMu43pLsklG2aw4mqID+1JYLsMM9DF9oVdzuWVQKTOYyIeRBFxs5 5RoTJ0ZBYZdepP6+DH3lSxxDXdeX7J30XBZ3tGGzcFca3FoFH8Vxz0PUMkXq+5kuIvAch/l4kvtR cGR6XhsGHhsC1dodSnhBthoA36wyL6KVbEbzk+cCEuUTAKGmJCr+T6QYM+bKMO+ar01HucyqVron eT9vR3nlDVMRQMn/C4Ssgqw7nb1IZmhrW0MYI0a5b29NwqnGzJLxZdV8YeItgmQpK+aCvvYVz10J fxYFlYowgMlo0t9Ct+Upqn2svtcdzq9twApAselxCuDk4U8U6cufz3UC962+yTFiI3Y2YIvWyMjw DZYcnyxfiL/8LeVvMkRPmImKVt46gugAZSZAEM3msqdS6y0rk+doVPEmxXHQjaoPB/K6JyRPcObs TAF+dUyUsKrn17cRTE9BM46/2HgIXcjFX+iY7hdzu9zfmVXmNPXG2HOK60yqGQ3e641e/n5ETqq8 /0YnR560lH72nMo5eCwCdQb6d5d47uIGKCMtjbIo59zr0fBatEjCnXaxIB8M7E5v3nEuIUkAQdsm aFxWWeKCj5K+LrZ9sUxZno2BSOFRcQASkdiysKBQWeAAxVoZX7iFzvd0OlW8KH7iAoTnsZyqM+U7 QiNSkj1Rc8uBykf0izeRZUfJiVrD4G6swlr97pxcvWmFiSSi2SxP0HgiIthTC/HrtqZ1foauKJqP DFfUYV0I3Myy2czYkqlBxc3jSyqWTi+IZx1mHLI0cwNRaljW5UECmttghpBVg+xT8MYdLNHYcGWH iUAkLesmqZNf90RbeGerbSmxDRTovNF3UhfVJobRzHYQAEAB0i2FmmkZg8/hU4jJdDllLFQ3oRZj jO1NBUDUIg7ZhOqJ8ffsi2QLiJuxiJjXNlUhYVg/FMkFFERd2JBvFZ/ZapUyt85gQiGjz/n84oXN mR3f9IbYfbbzf2jCwAX1vMF4yyaf5BIV2ZvTfIhxPkPGcbtJHyrtHWW49+g3Bi4ukktX7op8x7xN LUWHnGSGCLv7O9XtMr4zlnMCl26XnBfwIs3BKWrT1TEGI10jnDl34i3JrTTbXyPaJTWMLckEuxS5 VDP2017rJ8IsvlXRr671AtBel0/JSW2mR3eWR30kZFqhBIxnurn28oE4LPEl+n4OhqmuLAs/bUb8 23ISA+OAZ6M42i/Boq0JdpzUuvHhJZgail8xNlJEY+WZn+SjUwZq4JD0hCQ39S1iLsrzdWjSENP3 4ZrGGPtrh3Fs1jkftcYeyJg5Kne85aL6YUKTLyAtIYe/2mALI/GsLAZ/w3RnmsnuFmKqgvM4Vzhq c7Mnytx72Vdz0Y0tQ7eaDxSfVVdkuwXx9JT8Xi/3DzPTl5ojAnWeWGeJ610A+nLJ7TRALnEQ5i5R KByp/jL1DSUbjCC/5L3uPXGkr6KwhUNrZsHYR2mwE2EYnxUklABwtDDVdo07Qy8KjQxtW6paTT2s Cc9TK3LYZQFUhSXYd/OgJYQZXith+vi4sXnum2yGUq2qHMOLTgKTJvZeGtOADcdp8IJjZ9zsb195 blnZnS+FSiqqrJQz0FBj1kd7UQx8Y5YpM7MhbWBqs6Czfpc22G/vIPGp82OfBwxEwZtlAttwH79f hE/h9DC33MeNync3WvRvTWE+TuL9Tj+9O/qmK4WuOtkIV2t3GLcsH4YMwafpDJpMl+9EdDAg0UKY 8MUfs1uTWkbIwX3UGIJ5V6NRmN7iQEWdRr9Qkh35dhB2JDG1J3kRsWHqkDSEnr68KCzuzt9nmUbX 9X7DUQXroLHnBVPwZ+4qMqkFQ4MW71sdePetG+tedKh9B5HyXWOo7wENeZJ/c5ewmOWa5a+WbM58 Dx6FDG56srK5PMeci2HV06fxUjIf9KzV4/UewCcbbXQqq5HvIBiXEhcA0vjh/h+edXfe/imNps7l qwzlAx93SHgkyiK3UY0qRyJdQYO1Ye+BBP7FoZApuhdF3LytnlZ1Hz7XtyAVvQ92y/Tu14zYvtsT nAt6RLhDzn9hDsVr7oTRcA5JTZS4O2d/juRf158x2MurS7bQB5voDTS6l0yHXn1keau/ukBZxn2Q TjKfR3sLOcg52lnOUzSSiViwtr/J2VMrZ0WK9aURVhFI4W+0dJFI9n/8l/A40Os8WfXViaMpUbTP b0QGOyVWXqq549EKR/UwSwBim1OpBnIiHDqu6kftdS/if5Tp6ej3P0xRjsddL5OaERIzOKJVMuch KuGk8Ubw+DbTCwUuwEgMPWKiB6xlIV9yMgcZkpN95qdCNQMpa+MJ4dwZjs7gsCLi6JP+0RP7HXdt c9ab4Lm4Qu+qEhVYVJDTYPj+nFZ4ZDpuHgpkVa4EPKWB8wpKziBgVbGiCMt2js/qBCslJXRN+pu/ AaxonVnF3eNAoH1kdih8OQCFIIJxvV/4I+mtALLOgbYfLTRQXX23rNoG7+Jl3vD41J01qsJRkt1I CLsmw3F/G0DDEaHPRDorvw9n/2/SGZtC8aixUTv32yC4kIJF3PNp2gozjfDtlrlE2SZJ8FEaqLyu k663ZmhW9XaxTnZOSiH2htLPWxSRi/rQzkUOUuWqnGrReFaeX/fr+l6bkSm2xGwV6hYBys5gugMv FAEKR9rYKp2u8RGDSSnx9GsCpTAFydKgoXpJhapVlxrhJNgGhXjoYhcb9D/VlcW6XuusgE307cLQ lyQSLylejBPrjHz6B7QYY/8hobMp5SVvygA1nI+j101CU0Bj758iMrAzhDAT27GfR4mCfkZh9gvJ ZLQkZZ7lPsXqwjo/zekGoLqMvRBfjSYP0NmN5w4/Oxc47tRK/W9nMs2OYmUDNf6WD/0TlcarxHmB EAwngsUvY3y495ReYBLWDrkocoeAuSKGIpL+dBm/qt32Ep+Nyaso97AV5XoI9vzX+Z11IPAXwTv1 OFXembOG9ioHVOBaRxjT5lqZBVK1cFFkJwbKZ9rS6GPA3/zxhH1w+a6IH/Ma/gvoF3kws1LiIlBU aGf2+tP5f02WkYhwftrbpxAYctB5z8mfl9SvBEwCayxGicw7bxfkFy4geQ6nTghVjTnxqZiQVWiD MDMcI3BzBPeWPKsRSmx+daXHPnV7yHOG6yRaVR0NRbaiX1VkFGE7UumNmVQ6l+dT6jB24W/bD4tQ CXFwJyDCAfRnZZp7W57OERWQVXT0VF9WnCddrTa12h6QJOl/a80Ipe8U76k/h4Ar0sbZHfj7GF3G mFIt1qIICE5zwrdn8tvGEf8bd58crBZm+hc4apXERJeM1priWPFHfQPwiSCy56IsfjrnMHb532/8 SkdPLZ3R9sSx66pbX5plwZ12FOq2pdxaLb9qA3sgJMFMvhWiCEo10aUPYfiycI4LB2rM8aLVAK4D YBADtUZFtqX0S7f14BBNSYLWU3Cf6/LL0i6SN2i1GdHEHFUKqPOCM+09kXp6kWKZvgRyO2g+dB4R b65a54+bODVwCK+CV6uQLW+kvmCvO7KNPd3T0sAJ37xx6xXvVTpwwu4t9oZRoJE+hmsg/h9U0REn tRWfHE7zgcV8oxJ2DDpiFddeFQ2sjTJ+rxocWLVhn/ZBpjOiAAhqSIWZoHC1EGFtkGLyrFo4sz2g gfKgQJfJS9NE3oE3dW29uCc7VIC46KyVaG9wxlA987EGIiDLMwALXA2v08KZeEA/4z42EVV+9neY +AqaFalihD8nxZOGf6V7looadiL+Zrn2PmiP57VRSRfteBevRu0xglejO0+ZzdPwlCWpIZlHB72a uGFrG9JkxgDTRnju0aW8bwolLILfyKuS2y0s4xCvpAoEjYA7yCXoVzr2IrezV96BrKtxIA4BDQFh IIcoePF/igVYOMzqTrXyz7AITI/XwD+7vPoPIG0rovJ9VWfttd+H3h2j0OCV28zTvut7zrEqitot N0r7ONf0+OVRbX1Me72mmPm6NB89DG6y5qzoYayu/0b5fKXa/4o2fJS16y1Y+qlD9SFXUGzzwhmD 3VcXhLZQ2AYI5jVHGk7JnR+pjTOVoWtJyvlYdEcANNa8FMviFCXxuGqkV5sleYQRiC34XFCwtZyp zwTGrhtoYmCbifkb52nvWIGHw4TWTxuA+636UUOTuqG5AkpT7/AVlIlhzPOqlCalPO2OP6oa0Y6i bbvxUn6D0ioXKt/CM3dMMcd3KP5cdSrvCFh1ZLAnnNIQb8Kpc+IUW03EgtxwZOtqrglcVzvKLbEB 6kEZC+DMlVRIISeqXFQP5Pkbi6xfJ9m5yT5PRPhd3tneI7JBNiJ5xlYRRKszyoFb3w2j58Y6kTCg 3mWpA5NH16YpyvCHHVuKlLw7m0RlDsIhKpWozvHZlaeZbJfahEejztdRwZRvOqX+CuKX/g1VAMgI 4ZTlxkjlSAm4ZnPn2hUXq3fOAhMSp62a6SmY21yeHS4y3hnrTXVhH4BJ0p+UemlHnTxadBqQ3r6y ruu/0Uk3w9f78Mqse4Bog+mV+m+cfUVWacS+GZOTwbq6TxpmwvTpAXAOZSzcNFpotI+8hgPsDAA+ a7UZSYTw4ab/udGQcFcAllHQ+mZ3M4YO2CZIM3+zAH0u8QgS1nH6+ezsYUT0v8ylGfo2ye5lE1F8 NNrC9WeRHCcbIwYUBoF3X2cNvsD2OCWeSuJ2b9VYs4JVfTG7qTbYyCxuMqrf/WYy91cWTJ4LuXa+ LIuSVsGrnvIKZOwxvN1NmCVtdZghRFXU7sub/FqJuxgFBHHCA/wF+fiot6D0IBNoOtSlOXdSDO53 2vCFGuMbky+P4H+nkxkBSnCYv6QIlhNyN6nRLuzQZ1sMQgYnArn77xBl6UjcRTXpr9Rh3OwSI70Q CZomw2hjXPs/ii8dFPSmH+AVeYZOFuz9K0npffg2WUJU7xf2EG/ObpIZ/Pt21Y3vaDT0VzejOkVI t6WxSpZyHPgX08Y/OkmgJoPuGaQ1+AZLSJuslHggRtZg7SH+9A/UdfBZ8sAC8FLMJ3pH7C58SOv7 uTWv3ZYdjCxly3b6Dd0pGd97Njl5yzptCsbI5onB9P4dWp6YNGbOpOzBZAmKXTka5+dAAtD7D+tO awmdq54K2X1crqx+RAnJ25rwaTm+VZcfihyVSwq1rU6hWggqVlLVlsAj7/pTX/eBN0md6HnyFH33 WQoDs4S/fvXARe+z1JvfniknxSWTLtFnoeoz564Pg45xj3ggh9dvAyKyrY85uMhWRa+wmzUIoTK0 Jh+XUifLjis710mEV3ieNPAPowNnya/3Ah4nAqM25S1YKRauW4mgvfVasrrqXUHunrVj8nt1QakE XfypAPgS1ALj+Vr9DSOWIN+VAHL2pAdSfZwA+U9Oq/ygBPvFaBpYVQ+zpk1pCdG4uQXzqvXdo9S4 P4TiIwlDPjVadAcvtt1me0rBm9R5F7/RP9Rcrk8nRHubhLVcsxLo5D0gEYNdU4PgtjlZPClqXQvW 3TgBcQ/VKLfaQf/fOvnaI3XrD/3QagnsWey4kpzyak6WfOq16Zle3tLSkXSD/bG0C/C5DjZ/t2zx OAcmb8EnyE3kmIHv1gZadNEmDTT2mZihYie07F+kuFY25gB+Cw3mEJkrLIE8GeNSoh82bOeEZgnb XZnM6kv1v1FrqHF2yMDVvVwk5p+eerIph4SgzsVTCShcVjAKONOixCL6Bvzw0yohLgCxndWESAau 5R8Gt04GvdV8gsFfFl8hWpLVd3QKJWFegpAEhPEGjMdsw5wxWaA+WbbqXOmKfHL4ngPBq5PssXKX JNdtrSSrqdfnPxiTrfqUHXE4pRJzOLgdZcPHXHNO/dUE6AZfbaeQOifcy0Q5Cfp9zs9KNu0IJwmE cY6d095osH70wryuIFaoIzqAiY9qop/HqnatwoaMYxe0FoRICr9ksk4YUq21SQsplU4LZhGNFOKM mvkWpsvYzCnQl15Dd97Myftxz1My06IPyI9/lj78s51o29pOYfOl++vtSohS7oMuAjLm84BODu0U 8Vq3AVy3MX0vzX9XneBVBmRHpKnSq7Rmk4x6cQmWUD1Jcj6op+waFyVPD7FfcsWpk/JT3xVGg6Oi Vr62EW968LgyyRCPN+WubEYaY+WF769JERoY3IlpMEcoDJmEhsyj0mofL322UAPK9yFSH5JwEeHe geR34G+nwpep8mA0PVVSwh0MmT7p5Fbu1OTTChpGwpwY2Z1i+QSwY46BiC+ln8+HZT+UAjiDRyph D5uQMjl1mZWQZz989pKTPeu23/krFcITrLR/up+O2tPWT+xSDtlVO4wxevrxze0If4ea/G0JHFVp nHYoONu7pKTCanIM6D5JE0h14LdwppmciSgTuWetxht3JRKgXDLpmWvfkVONL39Ir2OwzTSd9saD U1h+FMFzhF9olnpOgbNA0fc9VfHBsJ9yXovc/l7Fg38ZzbsxTDZqinBd+z0GxHTRTTBKJW2tSacZ 58PCnngnJB29eWxbxu3DclgzQTHQyaGbUwUdEhVxATqmj+iq0lbkd1CikVyX6CfaSkqvXh5ioOxP VUz/Qg4c8ZFPj16pnTu/n0/37X1b8iYdCNTSi1gw5ZwQ6Z5re4b2BIMn7Pcs3cTyKIsWeTG3ns9q QsG+Tq/rTu+nZIqfcA709TAKgZGQw+49GHK+Woeg2MlLEQKXlUVzdYg8GYzD5pdcWfIayNmwuL57 fqOgfbEo0hOf6HmRzqjKg4lFtdYuFG83X0wvGFHWkzDdvHrKt40Z8xvVCQ/63w4KqKbLrTtNkfn4 zpWnpdMVEyKIna0R7fwh9lpBRXJtQRmbqCo/Q6/yobrFVnKz+pmQL3PdwTT6P6rhgpDJnx+IRWZU jbJcgTH3L9VW8mUcZBd0Wb7co0+wojHtt1+zYWqpiBN3SwYqCSZrAFMiPzO1FGPvb5rx7V7HXkGr Zyus7BGfYYxB2aUKvM604xgYrD2xgu8E0kMtLeeUH2uEd6ATHw1KYAgACtzreLDVGzHR/6MwJEsD 1cFFRh7oDhYeI+8kuG3A+h3M7cYVDu8uhqH0mvx+/5dbTTuwOHrhPQ93cCH1xKEqQUmnwZiX0GDl Znbky+qrBfticX74CfJC8+nL/yFAhJfr/tCmEyt/7EDcO4qdwoEdiavOk4XQ4Qur0ub0jgWDAk/T 1WtjsZcJNCXcHP2mFShJlopcfUqr4CSHHJlZPj+zVELztAgHW5hCLg9tlzSqoqioJT+xcStnA8Mr iIuUyHnmSAD/44grwa6nZc9cMaGC8aCLRlPp9P8HAYlTq2HbRI/mJLOunZSGnWQd+kG0Wf07efrn deMgRPNboTTxf4ChwHp1XD8WiEm+SG0wJeIFWGFqzpDx04J0qaKQlEoj+6YvlMukgsKYHHBmDlyE /ZRAOE5/DB+Av1ZC2DlwMEVE7DX07vtPE8Nqjqb0QToGZYAPDBZXwIJGFTXPSowFrLUH1nkxk+fu iTaQSFXHGBS6SFeZwX9IOuZnhx5daMMLYQ3pCJ8UEd8cOwbDT6xnOQHCRHLUV1TBqVi/pGJ3O9rt tKp61NT1jKHxPahSLgiUDvq09RG/G9b8QrybMmWBSZm6egWR93ixKdwk6Lt/KeusTPAxbmS8iZc7 tI8CWzNtKMivsiHlmF2wZMb+7qKY+nLLQJ48xxZx0zSr8fx7HURYAw5KH/KSK3osUc1vw9upe3I7 dTaTKUVmu+30D2GQybUqj9yZ38Ym5ZYOCxKTbdLFVXQoUb8aTHCb0rikQ2MeoIQVOoI9/he+z1mC 79VoSB+363bxgYKYt9uACn85BhrDvLUmet37PWOms7RXwYBFlm5BMeZeIFjAPUrMvXJAr+HCBCrW e0QJ44/61of6eMIegDT+oprmUEoodFyb+UgQfmqr5k/jtIuiWp3LRC9QMT0wBeJCp79X9i8e3UxA mPq9AF0852+nOFdrFdQHt49sxAp6jaEkB1hfXCBPCBy/HkbHucoQh91iHJwnYKxEWlFPZpiINS1M GX5oKJRva6vvFu7lSyEH8IOgOHXthSme7r0ASM/uuaX+vy49K6GEFWKYm7CGLfqE4COBlPiJhXS5 1PIWnA4M1tRVn4/NqCrl61/shgZEWJAB4FCv2PgQ1QwjZucOhgP62KGvz32iyMcA0jEXoPdtnNEq yGe0qHbWZQ9TYnkg/qoqVvAAWrBAvfLV0SeVN5RXLzGBeKKnw3nEERGRXwnrS8IVP9RCUS9WJ2+t vlw6FLMdGyF0lYGdKMlx/rW0RIuylqxpRhngPai8mJzgTh1sDnXzJ4vs889TsExes2PWzjSmudW8 rHoIiR4gOJ1i/DH0tbY4j6y8BFQHZgdrnIHgB8u1J9guoBLCR2OtzZGkjmuMrZ0eiT2YNPO4X43s GxaWTrOpm5Fm7IcZeZXlsggWuSlOVdLy9G3ZJII2aGnL2QDuA0eto05MpqFwBaVaQE9BlTU2jqPp s00vShbcjgXQYkZaObozsAcHe28EyA7MrARDze5ft5Ht9Dxx3ttQ1sJ20/QobdYWlMCgXntHipv3 flq9c4XWXugNubiV+StvXIikUcw/d4Oa+wfgOJby9Kcv6d+gMxce+s6JBRwoYOqzDe8N6rNt+dWn 4mwEaUudLB0kxyalPd6i7bExRBCxW9ARtd3xxb5qNFaFVtsn1YXcFbOkPi+N8s2GfjjBzlIpCN/C ZfDo/GUaEpefcM41kK0i67/XYVtt1sJnJeGQeuvWYAa8nqTf2BwzXYBXjC2FN0R4QC1ovNkr962O 6MksLQuyOUvIfkFHSecSt1dKkRyGbjA/MEH1Efyqz3deCCEmm2goGCw3wCaHT2jODmi32Q1U6+xR 96w53sU6JJ8/iVW+JHc+riChbRbynKG3ZIQSIycimvzfcmYmhydAOVDOdNvMv/RpVVmGl/8r5E15 mIsvagLiZ48X1rSpnWsJrlNfOZ5pzveeCKbSdSrjMvuOwkUusLH2UaDLEe0gLu1FvcDVyW73mOtu MPudFMisY9JsfcUQqUrIPXZDaAVrfGBSJrd6BGaIceV7Tpss2uRR/3op/IBWc/qXr4Fnv16c8NXb QohMLL7yndMBzlej+vHrFq1O9CDuOiLBd8KGjzF7+KQrz+4um9R5jVBm1XTKnEX9Aa0BMxSc9sBt z5PqZ5XbBP9nwIyDu0WNTcMRfZeATTwaqld7UNwTFdipTfGvjlWoA9Amzz2k06RvakywEFYdowaN kJbIH23sn3yIpcwoMFdmX9BPgPXxHBO4hE5G9btNqZ/mnDSpSDjo9tbnESYs1s3TYUZQ/C+9N70S 3f1Gk0+jSLpFy4ymVWwCNAxBkwCMH8XaRpG51LJnfJB0pxiL/Q4UJ+Vmc+2FV9dDit4kVQGbtY5e 0wrK0HiSPSTAAUwU2YS0eYx9SwAT2Ja8hcOMyxm/EZyVudRtS0Gb3f7PMB96W/aTkL6vYeyxbPKv JPzYYh5Qxl/lkonN1LG5t0zSeVwTavfNIssyur6NAeGEzVlDWVdKtvOm9fPqbAigTI2NYayXzjT6 bV5U2hjiL1YhLx4OrrefBX1q6EAujhChMasCOMs7cGgkEbZlAdFF5NQuL7u4KcJg1Q+40nRNThTk qgIHrfzgObw7+agfUrJRoDBA7QNQz6gkdvci9rmTCuxyIUhQ/Nh7dQa/Ryhh/OsjhzyNzlW+UTIj SdiiAZ26Kwdxa3yOQCmou6ruS87J5VHsvpIpulwWMKq3pLMUYn6VKOD3IYayDrNnkLx6KLwN6Klt Cl9OewxyiBc6Fe2HRfQP6dP+HwDEHJuZxPKqQiQVgxBBF4cQJGpXM3JNaqP43WW5QulK60tRzM0L +bL90wAuJOyjsw3vpyF1Zim8+fgfHdU7ryZm0F3lMyV1ZaE9z5zl7YNhQCgki9QfcNLc25UGuZVp OgClPhAbznUAOKtRVncFKtdjXO3lKIYzPL7YWkcWzxAmXaoPr5mbCs3cqLcPGeydhx/0Ozd/EV5o iwdRIPMsd8OH0Y9BoXcRHgmjLJflV0imkAT8dfQwv0HZFUw7gTAiUiHZTzl7+DnfSXuQz7rcOzrk vqmjI54lF3JgKbTCfrY6hP/Jbo5zWEJUDVeiZHNU/EuKpwakzpb9ouOLCvxdPJ7cz41m2wuWse+r DhB3mJsf8D2BlVQylWVW2TVOl7nLjfC7XnxGn8d8zmA8G7ypN/Bs8fDpyIS7/+9zfk5MW9ZLtGdC 9sCh6jFyUN8fpK/pGEjS2BEp9GM4N2kGPGOsdZHROuaNqGVG2Eoi4NzgM4BrvVwXjYoHtPn0or0f KqfyuosOp4FDVoHMm6NPbVCPUFBiDqTyVPScPGGJSxU0SoPc+q8N4OJhWHz8H2ReXzrAcBdqPBri Kr2srfsRJbB26GKujSIWHSNt8TLL0v0lvrfrOffwZFD35PVN5nR7vN7jkOd7cawRIW62ZLQuktZg RFZHOBDhVFGLRTEkNkwMfkCOxtFXur8NzZ4UHw5XpFqAm18hG3CkvWk0uxq61Lehloj3DS1USuDa f6U5aBdQu+HcI4irGSI0Icz6sYriAkagJkkSe7rjdSKezzZ4qI8HFNli1ZzRTlwDEs5oOqDjasX9 rJCuYlmZYChnhrhXxd2F8COjPnnuewkGy2mhti/4oSgyDGaAbX/hmdJlgqnTXqIgLVgLSEkeZBKv uQIUtXN1ermJNEg4uRalooIWHp1AAy9C8QyPLExX9yya8Wd/WklYnF2V8emnez0TwBAQtY2/ia9+ ZFxEqTdW0cCwpaOGUpLxCbjBMF7J3332mQPmiBYxQMmDNjpvO8wprf90YC+bobo54Q659Goaw9ZS LgV9awyYWVzXEDce2cfJ5WSKzw0LIJ64g9VmLP4L8ztotENo607dCujUN33v4ulw7y4P+iz4s27j UThJP2C91QxwMmy9VCiyYH6DM7etMZwRHRozaf5uxnpFC9Ka2LbRVIvCNL+4G2oD6UxKleyK920z 33PhX0P+f7zyLcsi+JM2F9sHIBXv62aHDPaAcAS0jzp7qMOEW5OdnYRzCPbKSRbqTOqRpWL8UeI/ ilTzncwE+mfpzu0e1eLus4NLQ3eqNl1fwdVPJ4eWe+8QwYvrRd066LlEsuXijszSHTJIJXZQsbqV Kl3y/9PyojGXPR338pbq3uJL83ptxSYG+KcUaN+0uh6tQ1rZpg20S2giboQRWFak+19TKn74XSW4 /dx/tq9dHD+HBnrkMhaGnJYimDo3UZsoZy+TrMmxc2FK3RBxL4X3JOQciOkgtQNUdb4bWQqj/kLI M6XM81TbN5JT+2L2KZeN4M9cJcxGEkAWk8/8xPxcYmbX9foTjwx8ey8oMB/oEZ92UsPIew1uq8I2 K8UgUTNjshsUmdrWWOesUd+BfuOdGrneaPoVrk9f/2V3CIbnVCek8bA2Pm5G5/HVenkxOw6vZpLp sMqnGRH6hfBOZpZe1oN2pcJ7XG5Z9sbgf/vZJMsQYEh0tnxCABAUV6LK0L5z7GCpgS1KLusglIKB JmATFDXf6duw4YCP4YZtdfWsq2pEGUWYxAoSH+uih5YN2PHpWZjYi/WYtaTSy4RTNbkFtVXBpN5k yuWfTpmCjV8n/Pqeo2kDtNkUUjBN6nxcC+dU2ga7huzSdfegzPM/KbKgodmUyyRWnSzBaqdOCv3z qrdox60If5xQKRYwgHf53ZPXBBxURkz/yw/Jh4CRDVts3VNMT0gdQoOOTvIarnuL6G0EGPnoQAyn EvYNrR9ePMFClVYZi4ZUqvVAOrAwbuugR9hlUseDZbTMvD2O/sGDFqKTG/pDV1dlVTRpjfV3sh+2 Km0sQyw7AHJ8BYFKn9cdfAFEWX/3r2EIAaG4onTQmq2BEhOH0dYI6jh/gzD7QnKSY1VwbMlhDc1d hhKK5RXVtCGMk7nH9gjs30Urk8ImVPlNowpEhRNWj3AMWja6EiYefOG1IcmyCykx9QfEkpHoAJd2 G7h6nYACJeJ5vyw9BvUkMIJW+zu+k9WX5Txiak8vk88bbUTmFLGPLuETxFDC67qqsruQ1IQf1t4H 7i9vwFzM807M4Cc7VxIIRFUcD9W4QsOptbFoAQvzfRXdMxKXQVR4AwNcQGREw1wBJ06YYe4RnBeZ YGmZE4qU9b4fNDInFoS93GHqcFktG/O3p9tmLe94QZi905ayFBeIX6QI0Mjz78oNejEKwxQsLzj7 gPL580tqRcfzA5WItIPjt52zXEzHZV5uHVJDEe0DzEq6/reC4CZO00w6qfg4Uced0HxNS1qzzJuX 1MsS3oS1U6ic2duyPNXjy7BnYdgQL0cCOmxZ8yebnQj9HpVtbEdBt0zbcNHcmwnUhxVxaFaH/49l AJ7oBA041iGgFWEGgV9v+3zfYvCx4LoGBSZJZWIYrs0XFbpMCDQ89Bwr1uajZyioUAcGBsbTzVUx 3ihDl78X2FKOhJRPXozqU72dynLzhboawod11Fpcj0cxKCN8FvupPYCBwDRchHSEqUsN4ex7tThm WawReozbktXGQKrAVZHJzVz32yXTG/cKzegt0d3F5S6rBUtn+/JEXTj/O05xS7Ar1cLoPbQqb0Rv +8u/8JlkBa2zMVmhG9M1FnjFKUyZH7heg4ro0ssXs8OQAJu9psgefCr1qQuS7h/nqPxRAH3JjR5N cu3bjkVVmvipnmqkxn8TbmkA82k5hX5hP0WLyZuRy9LtJKUHo7T4BbPtzCz+wX2nP9qdLZp+OOhM fcUNKup0xM7Ayl/XzN3TFKaL4GUceXoZiWLC42j87S5uNzzxGygJVk/e+jluXXWCBOCQgz+yglIy qFxh0C7zKLpQE9R3sNZGM9UtHamhPZ1C7XtQprMOekzAFROCczS22h9Oe7LU1YMahoAAAJrRls/o 9VtUCGvtOHyMDdyRNeZOSXYk9ZerE8unAh5ZJBuhwAYhYz+JZ7Wh84yhERtE2hMnfpmCJ/V3EqHp a91BuLHK4H+8a21wBx5/vYV2dOg8R4q0b+wWDtUbj9Ul7tVWcSia3pVanxy0sY2gZlCEeOGck+Wy f54SKQ3P1FJTrRnpqbG5qRJAj+wqKe2Y9XDz3wBdHWqVvjSAR0+29aP0rQdvaohWo52UrV3IZlrZ dF4HfJbsSP7+udnDKvBu/gZp73s5ovgwAKXohSyS4iiaRNkVZ03OwDJPrO/w4exB+FQ1Wgirgf1m Wy1IcUJvL31oHY82m92ciZhmFj/hBFfEX9S9qa8CNXAvblyS1x5+6yCN0wx9s5v7d52Na86XU4Ng w0ZHNVGqcBySNlmfgW3WmcpHA8pETu4lTXL/fryZwHurcsH43YQm63DzxwaImCKe/aCubSqiVjtY 5SMWUb6qJ4bchby37IuAVl1X8X5EEkg1NBLKnwY4ASsOEHFJ3eJwOS/yFFSVr5sJ4zRIIxPGxYJA gazGfnTTPEw9E80ze4/k2QHzuZJBhUWWZg5/vTL+yXcAE9BLhbFLxUkQzyaoFN/PXK/LEr+8YvE5 hQwKtZ0s2wkvUIksidTHIpvjHUk0nMlmPxoDeQvxiyA2Fwkmc0/+OBhWtikGBt7k51iXmbe60vsw L7C29iQPvK/7vV6KPdlJtHYb0WbyPjR4xK0n4g/Ug2fkspDfsPnmtUCzvOYv6qYjecKDBBUTAzSL K2kskjfreRO3xg20naiGt+I6Z58+P2Yxb8UjSY7zWlOx/tVUPKgBtRmn/ujW+9e9wKqPQpoOFC2C u4X9kAazTQRCNG5FDvQlVjGCSSyDkk7UUHHhAkABfYL5IwQ986yw8pTHr/bDpzjpWF0BCYArY0Ia X7htUlfO/AvXzLLbagF3Fh18hSrn24sLXjghp1bin4Yq5yr0wIJTxEIjkEG7pjeU4KZysMZK6Szx FHf8dUuID9KhQttNsz6gGCGASkLBHF620FCeJbjIvrpbwSedBR+Dn8yKGTTjPcKeX3hBXoK9g2Gi t1v20ojEckSxnBCHa6fUPkaYmYOPy0FL3jAFCGas0474x8N8uyojx+b3bsNC/9HTIx0H92BVaipc d/v0LwzChK9Lwda/8XSp0BEec1lk/YC5nHV0SaKU+27A556liK/Gk40P7mkz30zlo0YE4vUQFdb0 VKdRab4kEGESmQ+6p4Nwzm+QouMDmzW/DBeavN3lKe0cZx8BLV0R3X8YcZ6WXEcw5QuZesUYwPjf dn7Kz5/lQLGWGuGF2JPZZ90R0WS8IuWyhC1OVTfNmeiG4EYMFSFCieVubnAEJY9VH9EAx49iesQN 1myiCHBsUKwVZTuwHz8uAfa+Hdff4RPQMKPdAq2x3xYfmYQi+y8s4UqKLR+pgDYQDaB4jmzvX8w2 qfiUMT4b6l0UA9Zat5tLFXOPGL88DZm9Hm/KcQwWnSqBMMYBy2+drSMmcl9C+p5fQUHstx09JW6f IO391BP/bcUZLmqjZc3AtW/uk6phheaJMEOf8PFwiHSognL72Nfi25PBz6mKOZtZbx7N3ZwRV0OH QdigVpCGjLiv27DQhFhWoZmuvCGkq+ffgEvjW3/skc12NMUkZoPOxWdy2veBAEvAukcbmLxEIkuS /Yf4zw1sefTQnIl4e4zxo694BFnpc1U/U39Ox/tfZsIQguHBQ4XqMTwrKiFZEy78tLrWOyxgtJnu P59+qqkD0e5KwBInNRZSr/a/ZFZI42GYFVkydYLEzNYCYe+feRsj0jVXqlLkrlm+Lv+s8Fl/OwGZ EfhGcfw976SXYxpbICRAbU4U0QffVHALBtF7rVy7Op3pCCzfSRfTkdvn53RbaTwiWF4or+jIpWaU wQTUVE1iRYV3j1XuQVtoYbUpyNCXHpofPQf3vehGec4+phBRBsIAXrr7x2Znm4O0XDXUF/PH2rKl G/9okX453BIinRBo0GJ4uz+yWvV/D24UOqmfQEXdR0W5r/xjOaoT+FQdOqEbiRt+N52UAKa6tTPK 0Udy6qnojWtNR8VrW8kVDNNV45wU+vfAY0pNbpVfcA5lDwNmLGNy8klQop9bo77fo2qVhzTaCUpC rdVj3bAHwbLAiKUnKU1Q2Zaqy7+jlSwK4g/VATaxRjqs9AQW6xbHYDx7oy4/G4ygDYl2BhH4eKmZ WEus8mqNW9B3Y9d8wqzGlzt/dkD47kYuKjv8JcHOZ7bTmjDfdADCIRXz3IJSjjlc3bYbqsE3Mmu+ TZdBZ4rTcsDdhI+Gx9r4Cuj+Nj3FROzttrw9ZD0JHTv0Nd97BD9BVSDpaTD1Un/Ip42VJvSpAjmq rvOVm+7nCmfclLXy6tVg3U/FiP15UOye1OyPpNC/d5vZ7Ltc5ryxbZnuA1pYqVnrdJbJ0dpMGjxn I0SurX6A5RZVAG7g8iOdNgzX5JEe4S1BxA2S+qUIr3yelO9eaxZb+LNBZatxnw1ARdVtscGVuFSP XqAy9oUG07U192EWF6wymh03My7oAFfS1RZPpnDqTB4Tg6izPhuBS9iFadXTr/SGO9RVCrWDMZJW vsUk2tDABmKel4hx5ZeqHoyPouSb37l9X85n3C7r095476fFU2ULoOLE5m5H+OEAi/cyEed5JHiy quolv6PgbrEByaJGeWnZyJgmckkmUl4QOj49A9sz5s19EfFEGo9MrHoN4pCOzICbS3Cs68gVe/wN CgiSVkE1RY7J4D8Fg+pIETYpU/pjs5Fd+NOmpe5ZPWFEZzOifUEg/YFZnaXJhdSb3XHBoPRPr9UB 3dSbG6CcmCswnPHcmCbwaodG/2+rr5C6u0SBKHBqxYcbWVHb/gZnNUf+wKQ29sbsCVKIJ3isGVNw lbw89tAV459eItHPFBE5cXbhX0Hn27Wf36E13sngs74MlNKLTXNwyEfR55BVmQMFgopzOrfPvpW1 fSOJ5C/SJ2hwG2FyVlaRwrc23nGzkSA5qLzhZqpb1eSf3HRUxu2Mac+KqPMrYwy8DqxRQPOIeWQv DxS7TAwwoMX9uTue4WXpfZy9wb9K/rXZZKHgY5H3pZXKcX7RKs2DCgqZTqOH6whiEpWe3kYI43ig VNsFOqpEub1HBnC8MfrLGeV5ZzqBGhQHvUegEiMDQapEwgqhEEmROrKGyqew8VEWM4EXg0ZH+esI ClOqrM7kRpOads8lz77HoTxEmcrj4+Mg53dHPv+EkXeTTH3xCUyx470kMsyi4SC+OQxKEyteK25S n3rjPcku7MVzu5BiqkZ+HtH5jtIrZC15NiNtzEbByH1J6AkJXHIucBWsYLz7Nsh4bfYMBAhnr2U8 MgmiDGURxjsIGimgM4z3J2LJzS8g73YatUY8RvOybj00C3305L+vo+v71jrWXC3KdGGbswDW/H8c k2+jVhO/fyClhoV2GtHDaDnEcEbYfmHI1OhVnVKU4r/El9TXHwvkXmWikJp/l2fQsb8yVS5sCwLN +szThSS4wL+S6HTGNUg7tVfZppJBpD1w/XrOY3BFRBbcbwKW0yon21nzAIyLOqcH+bMjPI06Hx8b lQ/yYCiU7gtJjDSoXioLEjPrZ1DYurRVHzH3Vk2+HeYf2OQa8HrJ0sITTNEGwL74mHp0EM6bA2N9 t3qVWo1EQt0iGQjsRe7FDSYiOALzcn7AIvDXKWYyoKuYP8KwpttSsb7dZwsnR6YRLqaCCM/TTgaY m2GrLORLzNrVtmk973cwzXWBQxwlRD2EgWH4JOumnRe5ENWR6Baf+ngeu7wVWahpJ9BO9brM8UOC /wCm6Ky4T2XeDci8bJNlx6vj4IDvmpCac7trcHKC4KDdfUWc9hYNNdXroRhVjeZIvEyC7GGu/eeq 3E6i4nIoU3j0Zc8bN8GtzXeKx6j4IkelwOP7ocmKfcWnqI32uKRPn69tPMKMyFxBZ5FYtCwBFDKT NI7IlFuE2wTQk/HOx7vxkWCfuTQW69fYFIVWeyy/Aop+fvKtT8xGmU48CjO2s+eATjvrD9pYAUF4 BvNAnn+yBXU7VvQPhhR6LXwgkXBw7fkk/kfEUrGNh6bndK+fXnoaKuJ0byUa0q2K8th0wb7G+O3a qoFFOQQsslA/41xuLXoN6hog7BMWILwUBZIGyoQj2dFypR5f9FIQPfsAEEDT1YSwgO8M3L3RApmS McAdA3hm5MRdUof0qOU+klccJ1GZYz/cgSiVRLHPUonX2xs1PqxNYy10QE2AdxvJ+qGj9BCsTBEu KJKY3RTGlEEoTgvndsH/J9kytCLeo223gD7rrOH+epm7TBy9iw4zG54DHa5CzfTTtnByQ4Hm86BC OSJyTSgGkemTYV7QW5OsjxnYlFyn3xgjSUxGu6ZoZnmsV0/Nqv6Jiwg9ewj1Q2VDwzq+A2jkig9Y ujJpVpl3jlOMT4JtukTg5NGG5XXNVjw4MYR0elz8BKNQBS+nBH9v4ynv9Mle/d2cQGVhhJr12Y6V tSXOI3jcvcw4qUJok4rOuwIMCg4Amiv5tTqlr8hlbFif/ld7WShIdQAILWBrU8FEQoPj+fcj8KvD jnTfV4IB6/TIO3a3ZBTO6pSAXAgzHYyKvk5oIu5t39upi623ValnWeOv/iIvZGwsInOOnGNLmwP6 RlQmUtdKxkb6F1dPoQa+0Q075yhi/SKoC52vd23DFZysJhdnJxoaHl/RwDdbNi/6A8xNm05TrJbY /0UUta4jbzqoTkU3yHvQYoGJpPtd8JQbhfEEPWN/HgprT83HPO9ZUspGA1YCOsziujvD9fhaQkcJ DhPx6qM3ZE75y4cblhCU/2p+EWxkuBCl4RQZyXaA8wt21FKflXUEoXoqi3bntsrVC0eklKar6cW7 kOBvQVGP2waLLXWMW3gePhgAIJx4bnWQfGvFdRB+1zCEwYPpBxcOApkBnbgrgUeG8diBtVW6EN45 lHjDcXrt0Ibi5ttx8AlOauDCqLF4KqAqIW9jKf8ctSNGkQwW3p6UsG8ffr361yNL7iAfEBC0IRrH c26dFUUp1xO/oinNOubPN/9Ax/D9x2BjFde/gXySvQk5JzagCG0szZC0Zt5R0TjvMj9wXZec/I4a ASq1yTJl6F50T5zs9yExeoyGZ4jnB0SdWpoWMAJdclwq0cA+IiGSpb/AWGwWT2fWcFcidMNVtRTf ymloY/6JPXkUqruSb1pvTanMZwvhdOMh3JdBjNV3WaLYRZ14LERTVK9i/4wG1SQY/kESC5M4RsY2 oF4x8sck8xe0viAU2IXO1JnMcaEpFkopEreAEQNB6ER7CVFrR7XebAJzY9GPwOh52sdoK3X6CpEI 6AlHUIz3rvT7x9NL7YONtmuiHWmO1jTBqYYr3YPbBfW6omf3xnlCLhtSKJEC/Q9x2KhIRT24+ng/ yn1YCK/sH8kt1PGDwoHj2lKbp+WrEQcTC1WLEatOQj3OrCa5SRbIfOxZMm6OqZI2yiaK4BaJvwrP KQwG+LxnpHIsszXSc72etdAex0OHueYbywmLdQvAmIUVFd8T29hcu45Q0Ga99a6/AmcUlc62UEYi Oro9rKj07eCKflti6M0UinbfawXSpAWUH9cXuxpzU3hNPMIPrWbTM54vsCGpsHVwaDByHBteLyVx iSA07fJJRiH3cyBmQwYlwybLOyAvVBU3UzJ+HnaF/CCWowI0PK0jvg3f1L/fq8QPim/DGWqoGw8K lG7cG//9EhV76VvN9nHjt+fNGWs7nFYlxi3YMCq94jtpY0Fe9vYV5B1Sdm8/+1UhnE/snI/9lsSY Z82iJ6W/viuoxmW1TydPaIuz450oyCMKshKGekHEJN8rDbBo6+iqOckISvbXY/fd9iKySvMLaI2d 2VqPzwm7wEkm4RSA5Wj8kZejuDbP8R/ytzwcE9NDLwbAfvA8IOhiBDEnsV7wcmfbwcO0ieJ2BmxQ 2M7dtfx4I4NqzDf0C/WyWJZ7jaXzMCJPmkKmjSrPPkG7BkjOBQdx/rMNgPdpEQ90Nd3CZxGTmDj0 tPgysfuFal31601+gx7fiv/WjwjIB2BDhEfiV/6IFxZPf3B2RS5vpDBJnayUJqk0ViOlaoZlY1Aw gMRAjqgwq/oCDKb6rqMrgU1v40jFwwqUyV7IHpbHBxvSNbGRzE+L2QrkeWA1m3hvG8SPZUEDFotS qBhhQjpZdHRFjbG/qjDKOQBgTHRmtHnNPn5WbDLUThAuXot0BRsjQ3gFeN/Kpe9j3ChELoIX7RHc TsTEHB0HmYHpLKR/OWrcgFzYLinIfn4BOFj/Gnk0MfJtlIsmd/aBHZJRgLc0FH3VBJQN5AqiUMq+ 19y2H9Y3vN1sOird1UAD5DsyMGo6Gnsb8GorM51L6xYizXmTkdA43uI+JXT4hEZ1xmb2kOn6npvO ChDkc4aONAzWu1fiLTcdrh00pesTwinYczfNfP76koU1S54sQsQxbgMLl6RcCND6m9zcazhV2vWu NqjYgOGfBya2RsZSpOG8tqrqp5YbjRPu3WcmbMDkEYZLj9Ud9jLPstuvdfpeimJEmpNSSmDZZB5/ XDDmSK3HJVo1WGDTTM8EuQS+2NafRTx3eH6OkTZ5RO0xrbJCM5CfMeFQT0o2ayVW6iU5/P6uItUR rrC5mvTsYAHJhtLjJSyK3LZaASCND7paA8cS1G8Z3wA6AZvbM6NA3JQGrZOb1NkZ1FtQODxUxFk6 e4u8WFuZ7QZi1MW+vt3pGsk79QHNpXfNml9y4/oHqJhr9N/f4egYoRf2s8x7XkRWpSve+FcdwjGq /vmDwoNAxOtsZkLn76DhDBj4tL27Nf0tMu0CyrRjHm2Ree43l84JDvKrZL4dSq1H7xxUngEboTuk xANA0T2KCiJP+7m35PqqvV4CWCPYKuFKD/ImWI+VTbS/tHRtU4s0P29YxbOZ7h8pR6r6LNavObGX 24+Wl+6VlOyteJEl3fFReZqUXWwX9XGVWLgakiGrdLBCGtpKJMJ6HVfbg1qP22akai+s6FN9hkAy m7hLyLayhWKQmZrDMT1lx8B4UNYp9pCUYKoTezVMYmzaDC0jPn5nXCdKUCisMC3mAPzzOv3ZYgMR ruQqYOPNbTRKysHhNcR9V/aImUybGY1Ycj2hD6kqvTRy1k4dhR3cjInIuxwZUItR13GJ6J/+tgY3 wMqYKEjXCJ6FmTdciv54eChkFfPx8GIwXYardA9wKSjRpyVm1UzPO4kVa0LYnfi7BpHvTYBDqlkO e+I4Jrz+cdQ8U45KDutyb2YQUfXVNTlZcIQ/JqJQjXbzUbCNV0Li1XzkSm03XpO4B0FBHBhRDFp6 KPIsXVQg8K+IQwVhfyQ0Per7+2CrflLPyiQOzeM3n8CvjmfOj51f9n60w8LXAwgpWaJ8tUJXLveQ f/g2IwnlD2NU+K5Dbr1HNz7z4qS3Cr+orxULZxUN3c9/3O+8vea+fr58WgbZc7ay/Av5SRBTP/zM 73QgZRu9dD588OLHrVi0MrpUkvWhKRT9zglhuGZwZxpowptfm9ZBhySERhr0rk4ziePzigb6zId9 3gzexLApOYvw2Xv7W+eJMOTMOvDQOLwFZxZNkTnMPqZWvtXe0wpcTEnWj46ruZIUdSUL0jJUv6qI 6tAi5TcG7Q01kYGH3qjaVsJ3UHIrC8l/npDISiJY9lOxRbOLKPf1VI0eYjIWlFWek/vbdG9YbTux kx/FZ7CSK6j0bORNfuc9paxMdgddw3lt/0HLMCL05uS5JEckXTxKDD8CMXt93UBgS5pyFMQPoTeT Gvdn5xKHiwQ9NwOkFFfJbx8Hjoky3GdW4GBwUUExpcNyjCQe4UUBaXE6eoZHNkzo8cV2rQ0aq2ab zXQvbASXjur77JavJm3RpZA+jajUQ2B1Uz61424FTkFT36ikBtu3VAKJ7Cz4hG8WyLjjJsLM2Fms UslTi0I8hS/0FIuts05xxuWHq7i1S8H/o25wTbEt/SAxibGqXENlrBeHt42VYzNKy+Q0OKGXUurI 5O+qn2vb0vGTyM4sbPezm12g2pHmSCeBdRQa3F8AP7KlVbP8v46C0OTCTkpCzzZUabSNz2gUJium IGpBAYdZOnyszk7z7fkdFf7f0sNAqMcNAId1ShA0V3CJ+3mpNdIAibQgZt2cIh+eDtEgapbex6EO M2goX7IkmASxTgNqUviUlWLiuzjt4RUkVKwZp4g3o4Vu+O6z+6AS5nbblyDtc1Qe+9fr4IYDLDKV m2nRzSIUGQAsZ0/rawzh9JlplXKsE61mBWeVh5O/tk6aHIMXe0ckIUUxuiJL5LFhA6WdmXGs4fgF 6DqMCGYRYhgI60kVhAjWk7nTe5IU7hcWRSMDoRbuxtGuDz9koWDpXJ92oSa7S/K68t4V2dpVVtAg yrOaXBlgBMZaR/zti6kdMk87A2v7e1MufjS0UJJ4fYQMXa/xSmwzFWZ9XURKumYBgC4V31M0Hp6N va3FyBq/J3MW8xIM9udLG3zXhvVeoNnNA5OKyz6lU5RD+B3N7qdLOoIEq2d1Eq0rN3TEh/5ieiqX URlWxqfoe+gdqUYQrehrt24vmslKL98XcbkSYfLj5wSS/nnbdgq1wBtivGz3eL/NY+Ea7h8Odfpt 6In2EMpNBQXMiXiS/IEktM+QFNRKhC7t6qeY4P8pZEiKPY7RPeXAEfxhNEWh+sHz9lYRysYOibAP /m9Y0vhx64u9WRmYbOKI0V9Dq7oLETBPbDTrTFnL6go7/gtr/cQ5d0HZR5vZlkTMRFwClXqbhCpv Kj1rut44YwI49WcFemGu6Lo1UFcf6YTsEolsdAM6L/52W+eAf+c45Z275aOGpu+LvqU9qCbowyV1 cVgwPaFuVfKdxMhJI9PGXE8sL3L6j31PcBIigtM61RbLrYAPfLOHWMx2x5UY/b8lcTQWcytYbQdl dyoSEuT1O0y0OkTz7XbrpJxv9I77Zff7K7qRwp4VTKo+PciqLF17jvw0mHxqK2vARa36CYuacOKU 8P5d7l95yG3QnXbykq47PF6MSTIAbpmyq+o4G8U5tJJQkMWRkkatebqO8KaPxNu7hewQ7CY9J/r3 rMnB3eIH4pUmFpTPSEgYmjwfjdOl6OPhu6yQLKtIgEbXdqfy2vVm/aqt9+UFH65lV12SHtcW3R4F m/lUr72KI2eK8/aXaJ74z4E/EjZIFa4up0FJ3ezxc+uI4HRwR08HAVlOmmbUcf0lDeXr5jmmAKjM gUqXxlHOSETiyOGpehPzXXAMI8Prx9HL6Pjc5mmt6Y4N/jnyzq+CWH7rZGq2e14p0qKpg3vV43Ql e2Ue0yXVJem7ktKXscVzcleWzD4wCOGNbbfNDxluZhaAmHhEhEDJj/hnVRwq1lDgLdWI12JiwUNt gxonE5Cl2guM/rwPBZOq1rp7UaRfiJvNq5kXD5Ig1AN72T+CqfeOmCsOY6fZmwPWk+F9NQfTs50O 1MQhv+OgI0tXBiNqwX2z1kQKoP8DnaRU8V5aNGJO+BhvcrU9QVMrRfViGUJ5sbfp+cgN5ydgNjEp tObXa/3mGNurtCWwLfLzsqeIlkptH4nkAq9BdlIZy2vk1Dg3Ok6CTzLgRsirgR9+mAaqBEMFK0Eh hmRgyEg7BfvJs4rH9rBcIkpHde+JKdK2l598wjqDwZ6dCQP4Y3DlEfyk2lOGhgdL7TK4uvCmDnOI mM8z82YnZ6wbazGuueCdLJP673O3sQpqsXfjkuFno/cW3+wzAMS7p0a0ArigVzE+v/XD71qrs1av PSuuiYOUfCmBB+Yx7ACEoAp+ALqwzWYqtJRj7OHBN0RbuWicglHhMkhWDkYoRy1uzKmXuydPcMFq jV2nhqrDTSgMlKJlEeO0x5CLCWYPjpHRXU4GtNypxQcOXiAaACbDvqdbLrfqyoujlPUztCNre4QM SXdbwf0oItwUKiF0jksZkeh7V/outLTj7FSRvLEJEu3w2Z3jpW9KUhg3/Ubi9FMq0f3cKdavG1LH QVu4eEupJpSexjUnvv1uF7oiSNduk+a+3NFbNnXiKiXgDwI6zJ7F0k6NMtT04YF+E9El5tiK7Nvd IrhewUPaM045TXxG74f1EhVemiuAjTh6Zuyh2q8cnti5efM+GCBg1YPONI2xM4SDW250G/Y3X/2P rhG7ZVb+gRAei1q32OqS6JQFQPj6LYeHRpQsnamwQe9DaqFNWjKx6eyXSbnidOR12te/10kMkARq erY5TXSp+rmtaKyJ7IRvu+NAdeYElirbRxyaXnmP6rewmJfARgOw8rqt+1qiUs2k9YqoV1aE79XC ErWTyC5am24zFQ1uxoBgB50hEmB0LSUkQhvkx0Oo5j6F4qWL7IktvLLokRnqy4P+6uFrwdWzjWtq 0WONtMw/sONj0MPb3tM7cZ/fsBGQf22JbmvOgSv8JB+FvDlSFEnkTsdnwC2nv00M+HO8zpoEGyJb qCJp+EhUWWmLiF5JOikcsF5XsEDQl+7qrdDOwipiZ3y4ldMhW8fbwPhT6eZlxtKK4sT1wV6zGrjQ NRRo/bKNoYzqrssFTcI7srlc9uRzsfDEJaBWeRYbKVkY/k/CaqcBny6cHt9j4MH2YIy8//ENKEc8 kDtSaCxtRvNLFJDBG55FuguEX/ayohRBUl1ZyKeo22+UrV2kW3ybGNbaBsIWgzO0TZd80qJcTvgG BI436vtu7Xw66YgmI42cHUCYwH3+KHi0hLMNIzT9lQdOQZLdEY9f2KNY8nPQr83zHeOz5kcnH9OW H/POJxkiCPcy/z73gR8qFjY7G8gBTXYmekotCwos9JNuMtGEbiilstgzt3Bk5A4Axaz17b1Ye0tL 2p18xHxwe4HgSqjLHr8O0Jn1OzJp41xdfs2fWObCmmc5sTrEDo18jylB9Mq0V5BMkKDoHwTUP0gF BzHppeeV7tSF8D8wQCWNNRGJSA+vKMAVZfchcW2xxCUp5grelVNvdCkjg107XuwecXE+adi9lMJJ wBTkigOEes5RgqpypxQir50aLHmoj61Mn6oMfU0P6dezd2Ejr9r3pBmXauAsR0la1yLoOR5LTIBO 2TC7gKEKkxPralrVwagoRrbrrlUGLWe00SQInKiFr083h+XXiAqJ0NgAtkKeuh2r8W36PHK8SmGb wVIR2+eVNE1hB6m2RU2hR67sjWfZZkRs8KrUM29Z3V+kUrDU1QSKodkuf+D+Cy51hC+Kzx5D7r4K JeROLyo2TlHQ6DMhEEaTaHqVRhp0ZuCS70nyI/Ge/w6Noka4Wa36/AqWl4B8AhI3vskf56yob+HC v2nR8DBAcVOEtNRgWeyMVQjKdfhBnRh3fsXFEdINeIh9hsHGgx4/CvYBPybxRaIdXMdFh2KFG3OT iNYhIzR4abPLKLceFnFxfrTL8F7JdKKeKJB4ZAK6Xul2U7lObmU9ZwM65BNcQT6x/BdMimfapZYw yXqU5CyBaLYlPxTNUD8In7Xm7xSJ57p0SIpeMIWycMnchBs+KV5ZfPOtpXtYAtIBKfgaSLNHVqYI +aDj2MwDXxHa5kIOO1TWm2tdSBb7agPk494bqVugAkQ3TUgKS4ziS3O6abGbA2zDR7JKHAoO6SNQ JCplrxQzKWUtTSJvKMoT6IPDdqkAfWqDaHLvF+oBB9cLNHaAwXzyzVdkyf0Ta0Qkz/rdmN2haZXW 144GrwaycSLTgfFGc05lR2IbEyMF/n8lL3Xh8qezaJT3UrgoYUqRPqCHVfdTUdA+D1wD6PZAiD2E Zi9BJDmo/NRvciktm9fKy9TqFx80HvnAe8vac2CufrWEz/FbyuXuRuFEn8ZnIH5IU6vnks0ePbLc FBvdHtuhZ1OLcTfYaIJVkVzlAzSx2UXDfsbvxV72eJODxepWM33MDXZA6FaZyfB5/7+zCGLlR+AB hJZFMJbCw6P4E3H9WNFZiNBDoVAFGIILIBeXv/gm7hrJVmh6kCBS1XzbKyJcYl4rNCRI1hf5kndr 297x2XuP+BmncA/+V4NY6ADo/0LxS3gjqKimjioxmU7UUPGuRuTNEaqQLrJn5FAbnlbO84xeL6vg CKIjJJdKjxam9n1jrqmDkKVQY6z53YPOeV9GzHcSeUw9nBalCd/ni9lQNVSWBeHxzJgxtRd183Yx dxfDP3PoXg6X+VxOT41PCLbEQoLhNmNaBYcoLhglpGuMBqq0XSV/SKGc6o/qegfpOAB9zi6aMj1b q94bbsg/a8vNo/9tQQ6BC/M8TFb+z2BwHczTFa2Nvt8L1FQ586RImh3GANZ9SufPhn4bSfzcsLxn PWBw13edX/6SywmZxoq3gzE8oW2EYs6p+w4XTNnuh2c0Fa0zxkIuIPmlzW4LHUxXWDuLjSZQe/4+ 4oXx72wkvxvRTTBC5kPyzZcqbXQFuW7GasQR1Kw/nJAKmnxv8XTaQjBT9DWaO8rYljqRHBLhndTQ 6hLQgn7uticOInt/kKvvfBm9iCS1zndLQ13QnqtRxLfYEn1bShVU43ttaalMxPVVB/KYV7xsm/9j S2mF7zUGSFLPfnBYmXZVaL1UneP0a1O9Yc3gg3S+vU/XNDx430s2gLDv/CaeVoVZJtJ8LjBDrUm6 S7CKc407IvN6VcntBj2/Pm824fyF1foDnC1WnK7WR+weTrrSwrINiIoylkrLPADais/1QDLz37Y9 aieB7CNLDr32xtpjNMeK8wB7ZafdG5EDDBgyClCj+KcANTK7Rk3M1Q3GmXWYNxLaZGSREymGxJhO YM4tfGiyovFNk0YfnJ4hLuOLTn7WGAk1u0PVBeSK41m9WYbNDU1TEp0LOEpgp4Tz+PKi7PSklwDf Hm11UFE+nr2xKBzKlg+jnVrtpdbErc9yngLSolopUS2c6m5ZV2OZPPvQ7BnNJ60kRhPOXAURFM6b 3yyIG64oI+rNLTXN0ZOqfOExFY3kmtGKn8PLEXCr8YDP3D4TdJaxJFFz3Tri69Vwh4KbB/a5SfgA Cg6FREkWz+c0v+YsnOVm6Gap18ywmvgTazfgU/JUiew8W/Pb+CQwur8NjpjzcrQNzJA9U4uefvrZ wN8cgcmK2nBU7BlsSdk6f/JOqI4qAHvQVYgilW0Od5xZQSV7c3dm2Su59ZL5BiVl/am14qfNMMHW s9ccZBoF/ghLVSNCThEEYNd0lZYLkSkz7oSFhOsWMVcNnKKyUHAIZFPnLuE403Nu0tkNR3JmNFzN nIV6by68KvjfJ/fenabdXB09JJZbx6LhfhulgoSvmZa+6XpU6hadMYeBZuvTDd5A68HLWLFN1dOG zWx0GLCp0wof/lTIouXBJu4sbVe1ka6uy6EN5SAksWw+4wiM3U1oX1ZgECkGC5/XJSjyCE49IC9g 1Szn9/fXaGu3K7NC7Zwm79Xtwly3b9j1DYxIQx0EHJ03oy9LaLb4//K3EjuBYez9u7Kw2pV1VzpR XxIfrk9HXzChgeCb7kR3s/qsssHsqzRU/j/dvehbNXeko3ZBACtiiXRmwQ7vAu0nWCASBWh87Qpv /HlYTXQGtgY3MmPednP5pP1duuzzEEVwagtBeHKUpyHY5sjf1iU7HbqtnMl3YsWuNE6rlAY/9wrb iO5eFKOhR4DxvkgNR5tISU+AppE0w7vbBXwCkYcoBdVA5YlOWYopz+5p7hkK3dWiwaQwByg8YWYz 4EJcin8SFYHS9w7155lyjAkKZy1d3lTesCcYlGoIn77bXDw+MzLSmBFLEG8hmsf+KQqjvvahPbYw LDVxTIVEhydMdZF7CAv6lamdR+x2qRT8DLM5oLnoyRAf+0HrKDcqKuhbu8zZyyRp0KYCeS+BTFmb +0lCQG9+HqbgmbXx+df6acUqWh/p8nKAye4QIGv4akzxVNyZeIMlqr6eXVTK30HQe5Nwbml7KOff DQSzjosN6f63Z/UhW2jti3tjvaDPuDFyynVpuSdadF/cCXZyhadIgSsdcBalVQT9prk9uyUgqcOk iMe9D8YxH9Etjr5zb4bb8n5J1n+IahT2xeaUVCODh6cK2BJKpV3si9LOhyfqFc33ZbYMiee2/1p+ KsZI1/zYWLH0WD8vbi+O7MXNQixgFJVmlwNCUZ4tcoiX6iIjLE/Aj2RvvsV4fI5lKnfoJRP08cwn 8UE36x9GleDNcN6uNnxGJDpdiLTorOnePMgdoGT5A13F4kgyr5/uEkVj8sImPPeMnxnWmmidGyug pQKXmT1yA/AQrmRm95n+KSa6woCUSsDUgpCO2o9CpPHZkm1p1cyjpAkFghLRC+7y0DnWUSEdGfVb 2n8Q4JLGTdxA6U0x/M+cUU1XT41BAIfhpuNVZ2LRtZ1DJKDZ9ZdSh1CrRlqO+ld8Mgzn3JRdxF4I iBOr5r89x8P7IK8IK2cCViTYthUU+CdNF9YQD/luVx7WMPwsHuecJZctCttRf6C6f6GesGP9fKRj c9eIa4Q9RTFON7hv2qYF/ZvKlcq8fVQl2Xsxvo3+vgJv9QKkV8vuoHZP83EMH1fW/xQ2UXbAVeom XDfenll9tJOHI0+HkqRz96DFRnqwdJqOdlmbfG3UksrzkdDtdWDgWt+3zN36M0FJVU40J8jENWFx Xmz8lftWr3Z/in0tPqNVwdd5lHTPNuWbbmQyf4ouO+xV1XEoi6dMafiRdAn5PH0Tuse/LDBfS/r5 DnkkFhAlbriS92IJsHvjFYYIilpkXFLiOXGPwtZmtpSrUDvjnpfs8YEBCMp741YgyJsM2waLNSvD Q+4DaZCu7fyBsHcht7UTMqk8spxIEgLrjEijlNZFp0chbik6UOcAUUQqrvKSEcoqOWQWgTnrKiZu ekrq6T1Wj91UgHFJq6TdQqnHY3XStV/jG50YlCFCsh2OGPj1t/UHk642f+Gz4kd/dLUgHI5IQC7T J1X8/P6UoSFCxJ6WkksNLfCS4tonnJnHVwKCOFIXwpRcETBV047WpQvwrOgIfYs+nQ+B1NvMZAJh Q0ttrHJbV+s+2kcbPo7zB+gjuXWjaREQIhMKaDrh7eIss586FbCEefyKIFD+zTQHAt31d2ilfO2u PezzOmhU/Jub7cCkxhRPERH43WUzy4ax0Fk0evIe2vG2CScQl0zaaW6zhZdOPikpAqE9hENrPtce a2CjQZDB+3qGQ8xTwOXM3kPB2032Huoqb+T+cIp1BR7CHP7Imjg4IlsNblJidu24XGTM3X4nDjQU xW7FJ1XhAQwiyIm9S9aTs7gKLdr3bXgxJ2SyH6P79x4Aaw11KVVXDfTVlRQlO7GfhAI/DcK+x9Dc YQx6SH1Q4B3fQvlj8EN5GmoD/FpD8NOebIQnfAIVrJsqP+a7AWyckZqhtrEJ5PbtydJXtQWaU120 /1EF48eMhjGP92yi7wzYeqL2tg2QXG8tvFpRIfB6lPOpnQdh/XTNOyvn8i9MM9KK4Ubgurn0GaQT cW6pIeFlwwkktBf7/tZNG0m0QZ8d8SseqjNxg+RrWpH8CMBZG/MExaAmratP/+nS2iSU3A1xAwin h1TCSnhlgPcvR/Dq7+9t9jnf4Zoartl6P3TGgrfAjMKShL35H6ah9qqIgZ/ud1fwUfE6MFAnzKPy EyS2NRDNvmMygR/Dfvkt8Kbf1L74f62bHOmOh7xQ993Vh9MoBVcRY4v2aa92SGNiXiKguuQY8jhr aUXnP3RoaZOP7jkVFFzEomfZiObCdmFsSCXym7HVln6MKCuO0Jc5ftAbUCgGPFh0SmMNRsdvelTJ V6rw5hZsxueBUMT2hb1Cw3EeHQZJgqTo1gSGe7dv4etFPH0VhPSp960K0S7jIGdbGTuP0thXhGnR rCkfU4iWNJOpWUYLyPJtSNc84XCJtCmWOJ64fkbrMyaEpO9sSkjz6WkNY/cwf0IWz4Q3dEGNjA/3 C0xtjY5rUH0OpBGz4lLZo1EV8z+yQZrfObfZL63SlaOJBLeJu8LJNdt5JMSNf26IVDlzMiXQdf/j P93fJmp3h0kURHw0JH7wb8VRzIiVKirBZWZiEkC/81GL2TsE+fP7EHF1TtuGyCRGtCbx616fuiUO aEbCY9zlc6kmAFM9MRyn4dD1duOZoXE8rQzNs0HfIfTz7egz+HyKQozGAB5Aw2dc23QE4fzoVAB3 6p9l49pz4HSgyd/x/OHTzDwy/il+04V8KgDAxJQKq/vTeJJf+4K3vF7WtZ9mKBUBfp+vGt0/sLLw s5MKQ04UPi3aZ1bcquptTJZXyacX71VWwUIPzggaqd7Fq80ygahShnjY3sqD6QZoy62HF5qKy+cU evkKXshFyKki1uRfww8p/e+WrX5mdPQTSLeZ7DgTvfqn3wNskQIVM9NYUp25HBBPhSs8duUAbpKk ty3Vunwnm+4yGamiI2z9WaDz6gI/NTJRWbq1vhfo06GA/TKqLwzeYQ5FvOGdTg2zYZnsZX7K4zUT G3QhT6flexTWXrsIVHiP727nlPMXWoVHeXK1roDOqUsiIkqoYdfWu4VX/34pSEWeP1znijSAqfBx eJsdDQmIDeLDtygTneKplk8i8HH84n9vCeUCEN3wELxBpwYK9Yq4dLHDx3jJ8ado7PQkRlqEWcvK lRyuamzaPcAqno6HE97Y4q2rSSMxhN1cYuc8+av68sKzBoqpTzpVACMFQMNxLkvYfKP14aeKOzea v309ZNTLpa0pjIPK6Liy68wm40+9aJCJKwu2t+QHNjqFPXOT2py4PO81/h54XWUoZ/AYeJIGT2E2 EDrhnCJnuYKDxUU36d3n6fmm/A1R73vZ3oRFXcA+HZWQxMTuMYPo81NMECV7O6fSOhB9Ja2jUGW4 DK4zHE36xLaX/NkEUEo8QkpCbql95EOFc0iarOQ3a/l/D1t8m3M5fAd4xeaC+L3b8QBjHczIe9cC 57QQdUpICCXlONLSSzXxQ6e3kFXhIun+OSD4yF7jjyUr/ztjwX6XJ/RgvBQsIqYVHoZWIsoyktxp U4EhDRHAdDvN4LE3blRevojA8eNa/1xz/InPZ1br5ejdfT0qHGdta5R8ZOfP6W9ZhTUCOvKqem5w YOjXbWLd9RbJ05O74sawtcLTYsNSploUBRxqT7PphAPuJcRVQTJsebHjDrbAnjAI3jaU/nhd8K5v oL302/O4KMf6W1CfomQDs+6XGg5qdPQrKVqHm9PPjmirqCeZDhfERraxe1zIcuDfjVrXCqLc6ig6 abbCFUKEcAV3GRl+7NR1yc+EsR1sbHrrM0zTZkRHnp6ylxvK3tUDCSH2lyCattPZ0mVLW0NqeNlS 7uhM58hL+TeQm+y2SArbn+pfov3EWlQKYNcVhY62IOWx+Vmt6md5UXxMSJq5InuB0kj1G6GSrJ8C VzHx3+YBec5aAQ0Tplb81gtdKEwI+FI5JZohc0Xo4J2zJAdT5Mp1bAfotTbWztBqhKB9X+DJ7Oy8 yR4yAty0AnlaFmsPTB7qlD8bcKsjd8/6ojNJdUFBt5kST/d6PzivOMZZyDajoeqoRbG2q8qrjqsd 16hZztiCLZ7xUnfmw6b9NQbBE7MZnIQtvtT480FXVHDGCLGo6G6rrfDv7uOp26knY5yV5CERC8f5 y+39sne5s3yIAlbHysUV/xfo4Yt7/11w2dp0DSteF46BY8po3NEIoGj9YGlokFwmWc8M1/h9CqE6 CPOYy9GOnLHGcLLfIfHN5NVOJ/GoZqmrPY1l6f7w2fLjt4Nml2PPwT16v9WaXA7cKQtyMdiuMFIO ZYTw5z+OlzX2sNhL7kTDIEa4GloFsjJcmNAUrlD3f3ukSGf/M2sejfuDw0qtHootvrd1JRz7ax3b ijH3hedr2XshbvYMMh8lKZR09PA51l76Uvoj6UGo/t+UdXR2AZNGhH0TcECDoFgQM+ZmRI8H601Z 53vDxwogoTgp8Cy+gAytW613aYXuYuCES0tYH1JEqM3TWSjMpzgRPhP9hcPK+pX1f4Bl4ALOX+CC rXY0W94VNMLC4edHn6NWlKwyFEP4F5RViQgkNlZoxAty2ClLFRHNUSmpoFWWz0G895j8v+B3T9CQ tU54ZmPT01gqmNPPOgrnK7Sf63hObaixhsE7iWIsXv5TOlQzJqgc0eYzV+cp4K0x0K2HgcutJ+/k 5YjDFImaTZSg1GK4gumOcRLSd8sTxNbusYKnf+6XYn69tjj5qLjFf0aUWnmKI2iSBgnw/XMItoFC CeiD0Y4s64rwUwS+dMx5ZuW28lSqg7LanMQ0kzAjvkzZnPNFqaX7AoJXDNARkzUxpCOK+LFts6X1 ZbmpBP4KKQ8pCUsAuVvg95Qka/ZPMzld5ht7tBF/qA13yiQRk4Z08HIKEls82VlG4q3hHg+RKBB6 TrZld61yVBz8VBoyF3jYcQ/xtZB8wbnMEdK2GkYBBBd+29C/HGDPLz+P1Ecgf/YqSTg1t/vZimWR GyIsgjOBWrWZugCJpAc7fEzWb5OcyxbOg2uDsEEnX3B/7F4/HLnD2oBCz79ms7Q1IR48K5RrhgEv Kna+1Ma+c9BU7eMGspOUTjCKtAw2BIX9K4C49mObrKA3bIuZnY5Vyt9Jlwj9b71C0d2EYC4WiLcP s063aPgCtmku1kyOmldcpckkoot5eWzeOYMmEXDe3w2kMpW+CkOJggB7WPz6AjyfAb9U/OWdPqaS DNur2eWo8TsS9cqx6xN8h5YV/Zku5veIqANb8MzC0zjoysoc4Rx2UPiwm/S9cqSyQ+3oAtRZYl10 /VfrcPi70GsenNkYhsSe10LL3wCrmzPC56/awxHcSUyWAjLdn5WvSx6kU3203XvJeX2EVO51C0mA 5908YXAHeh7M5/jZ1UZ88jRzRSxztvPenbSlYzoa71BPsg9q00OC7DQj4f7cm/a7GcfPsME2/ksh SsVByOoKVqe460+DzRVcLUXT2Fd010nG/XOp4skBxpKqRQJqG2/Wr59tE5CQHCIblELJ8twiEi68 z/kSj5ZeFbsUayv7xa7wQ2/mxDVSIycZE/FLgyigKSBPxOMigRmqSzwE7JKyhirwF/A1TZ3q1AGJ XIGYLtwlDL72TziWL9amlVuDq6tHppKG75aIxawS1kdDwGB/VX521nsnMbeosnZcWliAWDbgP0da g3tMqIjHa8nHfhrBK2j9POICPphh8jLM8pDWGOP9RMRWyERz8KFI0x2M+DWSERNQd3L2+o5PNjPz wpLFZaDP/J5zTtVDTEBxjdclAlftLVpGN0MwGv2fbf5xq6lNerrTJZh7nExsgrDbEwwGYsgmeMR9 j/rbRLbuVMotV6Ew8HTbOfhai/XcOl/pNXM7A8JxiVC0xfxZE4G74YgjDisVVp8BB3pZOkH31Bc/ xsucbMoGFJQM7H2LgoF3tjWScehTHBJUC48kjVnR1PL22QJnWtcAix/AsDkQYKJNUjuHVBdVhLRM SIw6OZQnwOD9pmzeglVk5r7Ekr/Pg/XJrL1XF9V/e/Bvvpph9yrf6Lj8+o1SJ4c6A0bt9xNqgcbU V+RnaHqyEBDocMTdaQqGCexFQt4tBoXadRIz2phmIVXupDPWz5lkHs1yYs1ym1erzL/EdVuU4JhJ a1grkuHr/U6GJEswHDy0W92hLDRKibPntztZhyBeDFoIJwbOPAKb7Mk594KLqz3NsV0G+koEwk3/ rS9fCZWLKflBUJui8+pCTp3E9zRa1UccIXyazw2SO/VzDKwhEqDPXI0kDv+UErR8RVLDz1HsEXhx D/cmhkRcvV708JZpjUcsTtzVvjXP41GW9sQcPP11tnoTzzCsvNs4PJqUJWVD9Xh0EcRS9Eqh/PAu Cd/4zpRCqFkkN2soZyPZ4nOe9P5fpgThtFhKNOh5Af+mAEZkhl4WZ5n1Bh2INR22D53o4Qg+rVSQ GzcMyiJ80vBDvXodb+PGYF3fezCN/DHYb0sEWMWXQEuS0ThTgOZNphREwS0ZPNEiUWQHZlVvfvBc fms9xXD/zwmlzpAHvy6G1+kR4llMahsi2cUsAZIpuUmEU3RXiLXp7bStxv1MGZrAlopS1VW5tEXd qdD/4hqeLXhe0JTn3bBdbysnZlAyxAofkdWIVBb2cJOnC69xa7aPRsKowqNblZpVcVRmzzJ7cCCz qLDLr0oVaZ/W5pBx9ljjHDIVwxoINVK+rdvqDFeNJtcNW0aREIn96k+lXtVRM4atUbx2/2AEHBPW wp4lMhcU1iysczaYc+UTc8uaCz1aQImLfh3AAulcJV0v45agaLd0Swv93lWwfTHMgI4V+8sYxR+m dTswvVFw7GzTlrXni7U6QXOwXZHUEMxIB5R60SZcxUKtmA04M7BtRzdoaA75Jtwoj2eQXVn/M8FE 1pXBQbgUKgWC90a5m+TYQxhFwhVaFauqdyEOus3D9SBadj/dKnJYZJ+O1MCQ+VId+Iv5jKINQW8B zbqbu5DRLGrNWJPBibboE0AEolFh7WTh0EWm39GEmsoqxuN1UoYppYGJeKr0zvVKZYdFE0wgFmxR y37Vb3imsCNwtUnEKuobv/T9OhSlPQ88hmvyJZ08JChqPcVOOhhi7ciu9Y6neRHIQcVHbWL1BfXZ U3wTlOl3zkHi0N4x8VWBbasuccxGRNHYQnDwthM6Ht8MqaYi/bSbQrDBeABB+rPX+CNFq5R0Xma/ blFXjppqUy+cRwlc9NPdW8B1mDFVFKu1t0s1k3bVST2fnC1//bTIxXctpIpx7GXT6/hWoFNRQtrM XjhtuhYCMAVF5nnowDrQC9HcHIUNeLEGd7UIiAynRNolZRYonWvUZdDYdU6wAPwgnGHHdIJLAF5v dyxveDSpdAWXKzw4gvnc8XKCttY2qQvSE6XDm3dEL8yqpxAOGhnT1y2VaSiQ8+9vdsA9R9sNlBKr XY51W9hY9ctcS+T7Nz2oXNtvXiGtYDtG3P0oHWbGN3jRquaJxwtvvZe1CCTU9dj8izwalUQeqLqw qr3Pa7oeIHoj5bOXm3iYJS9lkZg5jMwYG7mnzddLvy4wasIgiyP5voKJ1oCx35IX8bCP0IHl03RY OwZftx42l/U96RzNXj3/GqCnhFJWboRgVimcjSm/xIbhCUde3WrQ3+2IOrc/4rXWvgpEU0dxru1d i6EspJ79YCaCltbsyYHQLh3ZDJQaaBCS8FSpsOgjp1O1dJ2lkiYrw1o02VA8VqngxXJyc9tjrEbi Rlj/lFB5WNfs3TqXHQmSf6oMKeJOhpjDVReNk7m8T+kfm1pMLeEHbHJxeW9qINV7oGxEPpqcUOmE R1Wm6Tc3CvBUqDu6ruLJfGED9B04OPaUtC2yBj6EfXk6IZ116KQPfk42ya0Jg3gW5nZMJSUT/RbK Gcf0vktb7fOjbp1ao87FJGTFneoowfVSKBfrwG9SS15jw2dNeoUZw5LvGw9UpZ5G6apT055bmJkY WSdf6NXHHlcnokhgTpWHAiRU3PjAc3ZuuzHyd4sOJqG1ERpRYStfx1ccKEjW6XnKhADyPOXGAyMF sMI6xRRsrkUxv7B55kUqNF2t7jn9HePRjlkRnocArYo3ALWBLqN1SN1SI9wJoi76fjjNzbOraexJ c3SszfS48byRkzZyr1StnSANX3UZKnLAYbLIpZ/hKV/uDiIIcv3lL6nDD6HgU+WccTdxSPirzbQz go1YOMG0QokoSi3VdX9v4du88994eogMT7e/6b6A/2e/whxogVCh8teGMhORz//+uovj+RUf95// GWTfffDjnAd+JXJ2o2SGVsFqNMi2GzcIKwC9LMfABuecCeHGQ4a9WPLBWEv3/WSFMCs0bqBl1Yv/ 6QNDm0orHiN+tzevHDlbVIZFaPO5eC23wlf+DCkXpTak3Eyz63jvNOvhxlp2HH/FPz6hE1DlEMJE WrKssWDSimzeLTkik+VlnnFG+8tfU4+dmaFp0ObZuKR842Vl22J42x0H47/gU0UgLRaaIW/hhwQJ Us9XiUw76GRu+BByw7krwRkh7nT9Rh9UC5Vb+jOyfopzHzNAKa1rnJ0YZFyU8DRZGPlBcnvmV0Kp GFLDJSvEPNvfuFxZr3yUUODLbfspwuK1ZfPekbTQ0tkpJEblv4ITxouGvlbx7UfkQ3SDDhJ0ZAGv nkGbC+ISyVhPR2FWUvUMm2mVs8nV2ec3ONaIfJxNbIXnLvqrm/FDbfsyoQeCH0Tkb8YYpNxeOXi7 dm6f9icjO4gG+uCDaUxNRR3mWY0z8LJco8Pe5X7CzKSSHOXdapKG7Yqh6EodjcHb0tO0HUl3bWj4 lVoOZfw7Bkiua7AD9KyolVLpJmPCmVmbaiKXgAiDpnAldaSEnVLqVPyvHsbcZ7sxH7Q1mVCH3TZz NT95zjY5fiuPfqFSQUncnHI+pEnuzw9CLv28ahhAhgfL1I+KdtLkf17K8pWdUpj6/wjqUnObHJQL Edr2RUEMq6Co93KgOHw9lP2PGuvqOW81aiLZdI99Yf6SQtdDy/xxAFM/Y1zH4sw5xGtbqoBH2sVm 2qiaL55/tiegQvrUNOIbcRg3Z3ZMaMqw1IOGjthXbvgUhCnzHNKl2+aula7tW5yve7vyKMd74+IM DxNrYlGmNS6a6IZfNyu2IxJFMdJTnJweFQuyGBa5Dyfxwa8HTxX37wJoePtTgFombEEnxFgBrjhQ kOwci9vovjOwYUddw1qDe/Wja5d7TM2TBWt0w85HcUMel6o9RuXp1LLoXDFfRfvg0SZMNB2Od7nK 3B4XSiQNtHbCizY4oIYr2mTZMwytQNiyhmwCeUKJJFS7muu6GORtNSsgZDuOvOo6ZE1I0TyiX3bT 6e1raOmoT0VcSYqOPcFJo7x2+IofzHRJAD0nfhFTynq/Z98TnZN80hIrEyrcWUXf1e650vlN6JxC dncBtTFbIV5PFZbJBX7rjuGnG9D1cW355YQKKuaeJNcR51bVx+YREkU507Lnxl2XQTtYMUUeC/KN GdvnSBZl4bylGu8YsLpRmNtlDmfHJ5MEzfGTdoW35AVDoUK92lNVXq4tWLG9XBWSIntVLWMkuuqN D4HO/2/zRkveEMIxZtJEcbI1g9y0jlxVxO1otmniUU4Rg3Ia4RvlT9ltKG3hSRPDX8uL7wM37bLe /8lV3dDrGBrpR9kZpt9JDnL6RhPllXisXgrHFG7HTxXB0jcgRmLvzs0Th1vJxb3hNQwl0nYGGqAv vr/FPNjyNrJS0Jq54k3vBrLiKBB4oheFCVPfveAAUgnYBasvK15d2Megh3eGpCMy2VezvMD3crqD ZM/K94a/QtswDar/WbDk4EnSyFsRIGf0KfAMujN4LLamK1rwX9qbH5FgzjjbsLZRtA2K0HrHI1iH UAS+sIg5la1WliWpxb63zeTVx7ZD/tKvthmIHYzGyxyraPA7tlD8wUy14KhlUpMGTot/cT4kLxQa Yo/sbkV+dmcBIpvDWNlatrJwMvuWfRKIybqdtp4uZ5+JimBMPRr8uBM2NA8NUZkFXRIn8NFpOXHP q2Tq7Mq9ytf/hyz/Kmdf4i1cYDNw1DM5v8H03tdm7y03W8hibmCmmicxrq6QuDmgJ7dPkm/8qjga ajMtvwU0KhpnL/2AWtAH6GUhY6PfyObQ9+9MS3kT0Jaav/Q9idkiJQzGNvdkJRu61T9R06kDvtZc Cs2SJfw9NeN84AbaELhknelpxmUAEUFwanAM4EZSLUTO0u1AVN2cCM7pnRhELupGN/akWq8Jk08T bCZLVXBgcbMZyUYOoZLp1ExPVySXv3L3x1ay6czXxb94fh0gBcBi3395DVolpJh1BRO1YqxZTY34 3nCRzXFBoAYJ/agOwWgQ5aR2cYGpIZvlcwy2Rnmwc7Pxt4TBIDyunMqSJnPUW7EU4xuEw2TKBy6z bqkds5fU4KNOVOp+GH7EGvwEPVVOGu6Y3/JH/OsUqFKWsjCg23ERk1k3SrfwCZSrbjvDdw4wSqoL rHHNdUqsrQaOYMSmyLMM5+6Cm7txSdxYLTt1IS+3keitJgzbWHWzIP7bEag9UOhQAIwNgLLwv9Xx pU99I9ENYgOCowcX7CU380q/UlLkMvX+ZaZMM/luI1RhYSpdWGbrL112XNTcdbjAIpAF2kUbcRGB eXsBJH8Tdr8SIv0LKZpcTq4ZtotOjmOnD1zlNWB5cEFyj3CAnheiJokDDlKlEu/mg5QjdYw3zFiS ZmBmnLzDTfOXmJT+6FPsfehrgABfCtbhLN13FRM3lBYGPIFBtaO+zJ80FezS+4hEIVsvJ6EMg377 3lcy3IkX8ASgrEfg1M8amPZ/lSlDtLtrT9svNpordX8nZRj6wIFUxV0FlcWH2QZZhwURNsrw6Z8J 8evTp/pn92FvzRm11Qu5WCeNvUS+e18nMMI17+H/6nntY5wv/cs2rrfVpu0jHG2KNtHMfh11W7B/ GeZEF47sl5Vbr3jSe/cMhbgyD50RkAgsfHwmCHa7xyJXyuRvpiKeZ6p0pVfOawSnIWnLOjKAtDeJ cGqjRGTToblCNsmpnz3NwjeeAyDdCdQA/aQtWK/WOqyIQmpnKxkuFACmc5E+6PxROJm8b8D9vAZI IyeFSvOXYAhpfLjT7NcK6Rz3Zwu02b6LoxyqpEnXpAVoLtT+xMAQqinHe8xhL7pjYTtdwqhyUeyW +i7F+LYT7BKaBD0tODWJTPKMXK5N+N2ETfpXhtpG9PhuWuCDCxh9FDyW37XVcaXlphp1P0wNr1hJ oOHOq67cH0qyCwuBfryWNxmwqctGM3v9426jkJEqADHgeV12SVuI0iMCErGosMXgsHrRT9tMQG0W LRAomkBatOLgp/5Y3oGt4/WyJ58Hu0GEE7ID5IstRt8+Ffo8LZJtBTw7MLMUu7dxUPiVY2QAZldS cm9crrLw9VoU085WWy3PpH1c2uYKOLxdwGyAUYUvS7llpZ2medElDHP4CtKdXGePU+QGnPf7KbF4 dchYhePoV89g4aBbpdOAJZ/XIrrS6cm8C73j71Lgm05GSSxdLAUycZLR5jdifYUtZtiu8p3EsI6/ /cI1ajGe+ryIkVSHUFEzpYd9QLlZkw7ZvO/xBwsw4f/NQchkWV0f8Yil551QxoV03XSxIUClWNgv 38qkXd5683IwpG/6HsReUK9kkP7D587QVOucY7gqtp5HLU3NkLarFkNehN1B9WTcY20W5Q0xkLu/ 1GNO9qVP08z+uoXhvDsPCJB4SvLIZHtlffYpc66q47xgJsohcX6ut4BSX9k978q8FvZBtxKsJIhL LMqua7eh2b8BhnKmq8Uwl6XPh3F2x2szXNBhHkuiZ9OvutbhnW7SfnmBlvviMiTLxCQgB+HAoLu9 B4Czp5929pEZpTK5L32IYZxaRwr+dEG+rpqNp1E/gyGNgjTNOhUslYIBG2awIQgxtt+ywUG2ZrPl I0z+ymgzi1QTNrirAWJd6sM+wmbP35CNyEG5xHSwdL99+maamQ2K16kYFL9doaX4YigLwFEEW34p D+MWp8kE//TLK1ULmbpVoB5ZQvUGUVOddGQII7tRo8UK3rDobyCsfnBTPBKBp2vMQnc/+R/QMoI+ F5JP7WeaIk1p3vuVyPGMzonpG8LahuRNE1IGjiyu1ISTK01Gyg+fwyeKT0Y/oosvwrrNhD6liiT7 FoNmbRU3UAKyEr33ce2Is0UC0Q1sMASv3xhmAgKMvf40nRZFUnPGsvOLJX2NnXVlU2nTnFgjKXm0 nYBaSzY4+zp6ZvyiQNGAMym7FMAwOSJyCK3DMX/5X2sPBwkZOWVlPtCd+qf4du8q6GU/sJrEzKY/ 8uRbAf1nBQDRMkk/b/ix/T+4WVII7IdLeOVQhGOTK6k3xopkI6ggMRZxKChleMoeIbpuZjhuxLmf oLCNAwW8Pwpli8709f/lH6x5L9oDQt/7x4OTewhDRavKTDqk71ZP8UdiErRdJYP01fP0vVcAh9PC al92BsSNAobEjK/0fdpNvVjhMt/dRaGNOsRgznLpcX2W7+FgyB2/w3VFSZUOFrfS4WwSsCZwodyV AsqVhXun6Ktr7y7B3CMXIuW19CUwdpfDtuRccX1Cgv1egopwxb4BqWuuCBFPZvwAs8m/eyRU45e3 70svGcE4vT/O3gRyccQVZHhvfI7W1J8bEu53dmvMPxZfmCcn97P2kMvwykQcInxAuN6E0L6kkJ+X XjBEcFQwGX4AGLaJhMzBNcD2iEncCL5uxBw75viHJyq0VoVK6Kvyn6zhdcRML4aFmLNdVJ7QfWAL 2dY3Ze4niE8tS4T59l/9ZCbNityKPEocJi4Ij2SxaLKWfxABlUWvc//fW3tnuiaAstSYDCbQ3owN B0VubHdJt4VQVuy5C5q6INBKkwPx/vlz9HPOrNubSDuLxkXz3uQdhjcXg+DGS2GUR5iTJCYjxAES yri1RiZMd81oP7Mmsuov3AalPkVst1+RBTmysIc90JKetjihBmUAeV4L8EZT3tIQ4wl60SJDnCNo H7j+lyp4ys9xUiwSouEfTlwzXsiu+m/esLBpqeKSNk2jbbipog3eZZDfABaMd/ibVFongTGN/r48 0t+F7+L6Ax5gXd2qNa7UAYn4GrUA/xDx5Fn/VksJUbox5Zn6kiRV+iJnavSHDUi3/A4kcsbHHLvP PXcQbwlaxBlBNwwbY6/eJzKD/t9lY3dz2+/o6IJg+XclMqNdi/fLGzXXjAdemHI8BuOKlIC8BH2+ 9wGtm0N0sCsFyYFJm5utn3xqNHlZu5Jw2eH+g9NUroP1KxJqfef/kiI2EmBnhTgnP2mmYpltS1Ti wGfv3hlJTdpgNS6Ngjp9THsQKwiqkll7gIS4ArgLlBkDJo3ClYRnrBkN3grxPbS+tNxglCSlOLMB orMBnLeLBQeYW4Y9pHRiT+I1Tqu2RSjl61I+oGsLPXgw9NFaKA4e8nYpsD1OJDvmPoBfSXOIRy+N fiTszE9eDY/Y/oZue0wbDrYFQQvcFpfHpi4ljbZ2PSgKCpf7x7hjJN22r57kC9ANBSEuMmLr5dRk ewIJL9vNogfQnj0PljquxYjdfAoWfjTy2Jpjw2SBMG+obDo+qd/PPvHcZKPPs6tM1MpzXGgwym/M eM8UUyEZ54DYQsFZHuLdViI2qkBF9bOHPkFPzSob8KeybzzoZIcnf999dtcMXW9VxRuUfXkned2N cK57hFstIFeby2bwErcYwtNPIjuu0gRlaTMIPMCBPcyFcOPOCnzbEitvs9qGLMaBkSFBRVQQgMeJ HplxwqvW9kPyMn43eP6Ooo4dA2wLHU6L+YX2ztu4F8dJ8XDq8Kq2j7xU3XwneUteS+IUW7w+Lx/k LoDwNizccdsD2gb9b/53raLBlgaKK746nhlORzR1QlVQ6cpDcLBCY/aoAdA7sFE0+JMXasEBhOWr m6msBGt6wYCbt5nn5LY2swDd40XI+XqVKxkfwbKdogSZdpoN3apKHsWlJpFFdqSvxe2/7z8c3eG4 WoRIwp0F3a0rAh26uXOWOv61FCh4KRPGaKWHvs2/JZjuXOHgLQy8mA36AOJDUGczryrnf2DyqqYj My1KqtAhXjARDY9lCc3kCz7Uevw6B6CZY8F22hNBdtuYuHGgtZEgcmlKpvVkBgwgA1omV+jhcHuQ /SoEv6zcAFx5TlWmLTbWD46PI68tqpCwaTi5Gkbc3jp6213WKjU/LiA3b4RaHWKzNKWZ8iptBI8F jzJeH98VuG66FHPCXqygYr54r+KZgJZbHtKFhkaoDdZN2TK13qk1ooGMUZ7Y0Whg67RmggX8H2aN x3AOgtJNt2JQowFnvQfIMI/cJbRMW2OeXkvBTQc1MKoJ7Q+w8eDEg3o1fsuMULkc6sJrY59ag/sr 8UDKnXw5TXMX1N7o9tzHpHxGvAx+BrEHToEK0QblJNobbJd0jgBWERp1xhJLM78xDVZaSu4sR9Hi 1rXKCX8BQ+gDWGhOdO+PYjOGObHORF6yUnR9oQ9q9u3WDl931JXnZeJRKF7dyxinNRDcI8Onuwby RMHwa07qfTVvU+6eHPUetpcatsDaUwENHVsH91ACGF5KdKyR4SvzN21mqCXZpbq/zzRg0L6X4303 3uM8hPCoCY47xlDx7Pf8lYO/7rhi4MT34LGNY4hySO70yySrcSNKXfouzfrmo7QMR03WyutZ7Sp1 79q9uu0HE5z1EU1Oq9qrraeW2cyDy48ja9V5nRy7GdvLBdFXB57RYSFX6nwWoV3WdQuhDlW+Xnzi dV9dzhTgJE12evqS+rf7tRv7Ub7VXysz8GVaejnu4x/rqCM/supyIRFXvDj6QrV6tBxY1yoR0cbF P7pa90iHsb8Id2KxTvpO4VrKErnb4CEs5dhec+kxCn4/U7dH/7tNYNu2mT11ma4vkrgd6sCBtHej LdWVDz2mPkELG3cqc6pMSysxYGuuS0T/qoeMdLbqGqWJncG0hg27Krn2fY8wMxOr1K3wTFGERqdc 2WNLHyGGnjNq4xY8ZCXQ3NVF+b32p60Vi8s9Pr9eLT4r7B05E7VTjo9DXYCmobVZIdmM5HGkTf8T U9U4zRn3wH/mQbeAeXLMonSXFiESVAfI2fbUvpLZ99kYeWudJxinWjn+EcWS1L3dWySbc4IkS4tT TG5lWq3bjjvBVt14tMErQcYPwFrKXJdAITMYD6M7hOqo3ftmw6goELufXx0ZmjYcWpOYddRnM/wR ZB3uzYVV94VJ4DFwn3393zgwLmj9gNzzQAvoCvXphGZbI2fBzdnd1e5vOYv3v77Y5IrEph4mcp4S 4AGrVdaSFr0QfS9ZkwDkmHANKchiBJXSdphwsuJd4iBW8v81bT1KazCwzqvS7cJbkzgROEOdPtbe XHnEbV2C0bBfSDhqNro7XBIfW9Mu4YvTK8Kx8+4/69OJO1wOV0IALRztW1gShPu59SJeJ2mwUSWU vcjluC+a5EjvRtJvWbIjVyfTjEWf2NP2JX+o5fJ12FFirrJtlkNESDpaykLiiGBfWOx/XJzkM0WW yKvb39+MUos/Kukvjb35y121IzlKMYRlLCY7aHjNalaXXkF5Sr6SWAGVKXXUyj1Hr6DLc9z2eqnC aVVWLwED0NSWHFjcrzD1gFuKCHKSg3RdV4IJj75+W6MSXQTncuaDQWFSlv0qFe2AGfHYEVT/M+8t TZ+uDam+ldGP5inQpEljzD5AQosorHp8yidOBopmPVIHNabQdNHxkBmAFrN98yQKcTiSTTkYBurB Fj3kFBv6qBoANmvKkaDUK7WktufIwj6mK2Xw9JPLGZR29r+fYX76hLA8fOw8x0GouCj7tl/eu8MC FM8PiiYBC8c2ANhlprfWGMer2k+MhwSBZzsBcKzgAf5xBpnBDU0UGg6nTZ3kffdSHC8q0JtDVxoN 3NBZNL7f2z05oQbUKHWsnhZCSiCP8Ul2SQMW+hqUqZ9Dzvo6qZUk9ZJslUuQuB8s8LBuW3O0MTNg 4Ku3eSJjkASSOndaLJLkYBCJEJ4B9Im3untZ2iYOrzdDGkSC93r83EIOi6o++gx7cg4XePL92NGs zTpupcyiXJeGfYsEtGXqxIBcXT5TCJ83j6bNo9lIC7zskmjs9VFlkek1lTzPbgFh4WXZG4GnE3Dj CCsDqv6BQX+EQWd3Qd3AL497JQOl6+Ki5DfMut+io4Rje+oJVny3Z1JXp/U1PGsaw4giK9xjQDZ8 6l/EUUGFPX9xZcoIOBq2HNjJzR0EK3v1M9FteDhbXt6LLD8ep+f6DtMaI2axSU+p9afYHfL4/kZV uXpGzE2jtTYCQasKqtRl0+SRbtLGtK4BtAXYKEQNReDz8Bs1iGrttWd8NpJXDOxfjQ+goZ04BLoE GvbQkhKbgefL8BI5SaxXR1glS+pVQ3S3LU+gxnDwXiwuzf7JMmsyR3UkDB2BLLfmG1pykcvhpEJV l7olv8OMXZm0bjcgvELm+0MfBXYBnZ636plUtFaFegvU9XGkaRmN4ZwbXrYK8xnD82UeB43MnBfd MjbnlCa6VCGTOucIvXRB7f3NaRBD7szPqEUmK8uTquR7N/q1dgNLXECXAsGI/fkvEgnWWO5CN105 7AQ5y56H5prnbn4BODzgJt8dSbF0N/+XBOTc1S6p7lgISsXMg+9qnRK0MGrUsZ8zlV/X+3+O0REV ffeRvv1zGiK2mDDqGySs/uG58LI/yem9kUPsjrAghR5duHZjZAQHHn/d6yEFbd0V72uCJqp4NkHX PGOfEu0k9yHD5V6F1egvwNt/j6DF+bPzzFQ+9voHgHvYi7z4BjFo0fh1D2NhXzAvy3GG8NuIBT6q EYW9tuvzA5j3SOXaN9jP6QS14RgM4ZkIIj5x/5oEV/6buY0KMD4rHpldOoEr0I8G9WoECpKQRYim hYLuaILBQqgetdPT+cqKpoZVuuuqc5RDjmnh8yWwVQowwaHPxpDws5h7GjSe+OdxzyjfyKkXfCbP YPUPOJE0rs7+pmn2gk7r294+o7NrPEO0H+M2ARynZHfNOS+KJw2JXfqL/NJXIfie0evk7KFofScD 4u51O5IdRYCCKkN9u4bjNbqSW73HKBEvlFc8jF5BmzS+zrYwUyKgCvJkxg2vAsdoK0FsAla7Kotc zCgeEgE2DdqxwFX51Xpg7g/OvBH1FMv+s/HI8SSL88ZpjiqC5ze9eIyN6c9vQ6AYJgb+ZNDPIFTf 7LKAnim+HNQksT0/DGtm78U6bgcbhQujCpUqC00DAKpljv7spXoz0jwgbsNtLLhSYQwDvqhdotgm GDMb/U84YP3O3+rI8gNNr7ZMhfACN14xHeEmTszhqETc8yL94vGN8aZ0UK8YdMtRZTL/0iLfa2Te BPyHP9MhVNokvIolF9CdPseu7EKxanAEkdKcXC406T1Xe3u1dPdiugN21SqN7B7PAf3D/kvv7/iK 9GlKPf6rX2P1jvrOQYkHT+w1+VvJRVJvknJYgIr4k8wbUk1O3qlDDIBe6wo6IfCDiQ8V1Iq10WSP Y3ugBK6AFlwGAdXMaNV5UjOHvVzfC37KpiURiKdA1sfK0vH+iNV02StCvj8YK+ya7mOnw+FM0lcw 8818yhUIvSQtUb32GWktdqTNLyarP5TV8NOSR17L9dEXBr9K4cUEJXDCtxZEDI4sAUO1YXEYXPkc EnvNE92TQ05jW+1xFE8uIECx6j7GdCCbWKLiQhWmbnR6+9ZMKw0VBQCA473iKhAO2soBwFFgu+wU m5E9qhdiP5iegwdXXcZHofN1f1ye8FU67PIzFMfdIxNaOcx10gkEG3cA8YXcC9V0QgYeTAT7HEuu AEaQavodkdQzBurCeaE38oXkLbFpRtmo3xa5yrlqtLKRuMzcEyDapbc/y2CliukfLUwYfx8zFP3z CLK4s1ev5nXgnGGutyZ9wVSUerr4wnYOBiAz3kG6ftRbUnxUVdws5GD/Xw4QvrNog45sWL42v/wm 4ikV+1yTOaCpR4ni7jug0lBE7H+us3281hTgmVtCBedi5GQy5qJUwCgf7DbeXYnVmJ7mSdy//dX6 55+w1gsH549ejc7JXp3YcB99Io3IGPJ5fEqNB7ew4pNoPvMMreYL/sWoFkjSNcrrTZxmemy+XGnf J5V+NG+A82LTfgKyPO4pezUfwWXGnjyeC6dgZKuU4pbOmMO5IfcJMo98/nJJ9W+WrkMLHhOWm03k /e+eOPly5P48bpn3RklVbeZ8f7VLJ75EbdnD7Pm82S3FroaH3B1RH1fp5wVmLrG9TmjzAm86n8Lk 0ieDq6+++XlqaiJXqLimcXmpTY3wFQaRIKHZCJI6Im9oRV06H0xomN9p7yFztVfSI/kpPNYw00zu onL+yPiOy2VUrUdygcivmAxlPVCsWsrrtD12xKV8PKKBu9SnPf/P+uQsCTS94LNWB2Yj4mfl1S+9 yuN2XFkFfHKIyW6L4nlSOP1vhrgscH+ugLcZmiXS7JaKH37in9xho6KV6b0PHlcvYn2/r2JKN8Ba awBCH1szqASpoE5C71UwYW4nbyfOx8ABPQY8x+PvCL+/BCQy/6sUf8wR1AKICx/M8Kx5NhVHzMwe E49piGEfQVS1Ca/UNjUM8LjKhWXqDeWCk8gbMBNvQsjHAmt/qFutyiCy0FSXxYy1sT8HpE7oPw6v +Es7j3FGw2CsI/u4gM9Qm5/cKkYH1xiUkfuoJUE4F9K8KFOS5oR/XBs7cgmlEddXDxG3IbHiUMIT gTLjOu7sof/dqNXJK9dWeFgWeHL4s8BiV+iWG2omKn1Yifl5R6AnjYge5l20Hj2o0L2hDaHAJ072 wXiCMhV89WwadJ+//61NRW2mNqUw39dGyZs/0IW27JHBOGRiR9LrILSIN9i7fK2WiBNfXWiXhgXv naPKB8VCprCYeHLc6F7JeKQW9wF9E+oxks0DA7h3iFnAbsmGKB0r+csRPIWejLpV8C4eVVr04+Hb Nyv0X2Fn3oH/7VtX9Hpwleda6nc0Bo27g/YQbCJ8qqFxBd1sdQ/G0eFTOdl6aq9XIaSC9kZR4mR6 aAzU6fqyTqGqcIfiXJ+0Z6SJ5USLGoetGwAsfiseHavKYK+j+hjBXDSaiwbOViFdN8WlCUzzYL/m shjY9xp07eeTG+d0/gZDIc9c1vVxfMGYb9SLtB6WcaFtzw0XstVQY+ZDjfZLXYIdiJRL3uEafuqb 7PD3A9vrH23FBb9LxRT/lldSoInVvhwsQQyxz4AberELJ7wXXpBRNUljOn0Pwy8QvlrUijJ50w8m RJOj6oV3J+U28wwCkBqZwihyE4PLd9un8W85nlfuk6HlJdRPYdjdjsa290m+qlUfINc/9ELqTrAs EIWPDVpLt51sehyvxamwSqZIhblwGD90/yWCPUzMnQyDCpCgq/8F2mU37ukoaoIBeO6DAZfahwgj XDBNYpmkpj3NBufiwbZcL36ymXYokD7qC25R4a0ojBuPmqwEkA/74ytkUiEXHmr6mvICdDabuD23 DWQ6qMzkSqbayxlYNNIzyyIjirMltu+uzhuWRzdjImcxGEjQ5lA5tUL9I6Y42G+W35q2d5jgLCuS K/DRzoEKGyo4OtVL7Jbn8+tXCuAssMZn4JQX8bEfCUUht75CuVLG+w1U3HhQ4HstRqTZLe6hzOnk crAidEHlkwsQzcycjVue6Teyj7VD+7Cf/tU0P7Gw9rMqX00kSJnoyO5GA4iO5iVBkawjGv2hc85s Ruf4oeyHwPXeQsI+qSLTnNt0I5jqiA/NbJSDi9P9uYwYOj9GQT/8Rpf8LDkTZKiiLZYr2FgDIdc9 86CiQAMzirEGQ7taBmdDP2OdeM5GNA0EEHXv5etGlnJi7VYdRDPx8idgz7/z0Rx/bmbxN3yaGPWh aQiNClI61O6MSR6v7eKnoAZvXH4/+uJREAvZgvUoivWCti2y1K/KQjXC4x8UDvlEu3uD47PFOmxK wvPslU1weWCCXsDv/PGDbdu6q+v4DpMR6d7O0xldJItUgiFJS6AlZU2nNOFbjcy/xFQ8emZdgbmi c5QB5BKwWXZASHZS7gZF/5wVyiaDFuAQzGlDDF+Gxkvo4EI734ZRD0TRQZ/tysx/5lTOO/K3LqHw mJAaS5rNKgInUHCWx5qZ3NEgcy5q8C/Sfr+wsbG/HEEfJjel0mkh9f9OoJhJp2Lu+P18miX+9io8 9HZhQ4NHRuMDo7Q2nGVbCq5FxjSZKnzIPvfR5dQH7Ks8C4BuI7L6MrhMF6NkpYygSd/3ktg6IWiU l5PGDxoPNW2yeUisxBwQH+CVvfUGdXFlB/aiECycF/bd42y2+7vVDeV+O1/SfhhZuzxNaXeU3s7l KoTmCRup/LG+miWxqHBzkCjj8+y+CUDox54ix6wjCEBAkUKlZ1wQLAQtyQSbj4ce/FF5qv4kWPT4 i66lOf8LNiIYyvFlbGADUVTLBkHEpWsfwet3CskBev32CmSOknW0kpZp4HzrtoR9VxD0OCPcjdoU r8H74JIEcBSBvILgrkhMOZs6JfOKHmVo8424tje149DdLVZYxY7yLiNwBNNmtnMFRqkMM6YrJ5wJ DAOAwpn/z2d+KAeIGgKUj/QYuMgKOE/8BIpnJ97RRnNCMk9Y4wY4Os60MWgH1Av3fmcTGhHOe2yk u7fTEPza9iTfhSbA3fcwiofg8aBOAhPJrCv5yzpjdi9Mis8oJ0mFGzpHFjJIwWRtFdJasNjjh3j5 vcjlnoq+Imk0c2w87SJH3Xo+zs/0r9GvI9uODtK03OzC4Jvhc8RFhPD741FJ/pwGr80Mdsl/dfOn 9LCmb8ADsnIM4dtprS0b0Wozy7xTdTySxTR0jve0etiLKwrx42TMHOh/GmFZrXILXWZ/IugbqnNE SuhT1pykA8Ni6tSN1fhdAZoe7urz8AJJw5ReNTcLBx6WJrlfMVzNDXpdGA2z8Hc1puhrvl5bmAqu VLkRL7BeaRoVNnLWSAUMFDtY1hWVBW2dtSn/r7uic7WM6QrSDq3h6U7B0oTmbIUoNlBR45atywvO Fm//WdBtHF7jYmcJ7qV354AyJgfZ80Ik0EYdF5Jkp+8bomzmdRnJSls9VkTRJDb9e3KEAts70x9F OLeM3mXHilCoRmgREeHrSdk8G1HC7Tw6y5EUgxjwffBnpDoxNrvDZ+GAixHZ0vdYx5t5kBHEcMRL YACgpSIpaai4yJUsFdBjgKyB8EctZJKS7mNu7L7nnbmM3c9ai+9WqLurNzFziUKqUJjORmRLrEFF PR3IJZ7GKkDBZKW0i/8JoZLwKEgF4HBE5zTVRgB5R/gIC8GDFnU9c/r07AHFi0CzFxsKwle1VZ/2 b6QfpqqFCMfnRX0pAWcPUdzlxoalAuKV8TWSFG+T/9u3NinAwrqcTxu2U1fR1gQf4G7Q16ML5kL8 VCSH0HDDfBLIX4psq67aBfYTcH4f8plBYeVk+ZrbcBKdNVep2ojmuSZSUUKWTYR9B7r7fD0veAKN 6UpQlQFMELXG3dH/o6EsDQD13OWfyoaseB+sdzHiu+wzepwszuaRgFega72QuUW8dl1jLSmo8mX4 NlZ8SwAEnFzXIQ1bL0WCQ/oyJfpvhbNIQEZCLGMX64kdoT0yD+kqfFqsOd/yJ+neirpA5v//xLQf jdshfjeftT72DW2FQXvaaIGLXPq/sPP0dMBk8yAUgnV94mujGPQDscLxRDblBd9Dpj/ikpqRz9vd mxkoT+EXYBHRtDbP0eei7mOxPCatm9cwcH3hhb5BX1pRhKn5wSeHAcEdtgxZdDpu5EW+pS32GElZ WS8IH8cwIRXKm154lykFqXOxkF1xr4CQamD70DYZEjNRfpCXaoc9HxDW9XqXOhUr2y0aOBMgQSZ6 wduv4xPqfOTTK/mQRDrhd7bsnMcF7Vu09mYbaUbOWdS5zWRYkpfmIV66pMmtGNjPyyiUebVEFA50 d8841xdyb2jhsCZ9LXMZXujTq0vozvkrpUfHi+Xyr8X7Uu+D44t2feLQZtCKpjk7Or8Ngz9On4JR 8kd0Zyh30rqY8+N8BSwzb6TewZ/EkkXVW/VegZcd3dqvx6cF/wsVagIr128DXLaf15izKR7Tw8FF 805RIIZWS95tYK6o+7bIXTShRIpR820PozNcEVZcucG3XzNKKt87u33IkgmxNHV/cSg2o9MB49QL 1zP9CGgtQateYxWeat4uYngQWwS4BfMVDGlsIJZgm3Oj4YWNhc4BIbDzJPrmMLVTrnJl2Ps6bb57 cR6hvp72tt6dqCa8O7dP/5+twhcte+DAxGso1ZyGOifeB7CMnjUeJzuBp0Mc87evQ/l7XywaSriu 6TghFK3G125tKhHnZWGoS9c09KK5cAILT1l8xJhBpVZI5/WJ4D85wXAY2QRHcYtmVLfaWBXo945s er3VeJWWl5Is4iJycYoaGG97m8Wt2lAwm6bP4ceh/Ob4AKkt0b/K3zln+bOnBu75pEEfGsE5J+m3 i9UpOi7i4cXQ4Mb+s/P+J+ZKJIKk/5K2DsYSL77WAOTBhcvL2ExQ9Wxz6wfET62MN9zYePBM3ap/ ASNMZpKh4nfMXeQ6dO2Gu7XdybqqiTMHrFLcsZDkpohfjPC+n6JVJflWaLxUaZXUltbVR1NGFu45 Oxk3mgYvoJftcdh1134FKdj43sKE614c26Qg0kVeN9veQAQNkQgyASqH0UEeIx3davuqLsXI83mi I4y7I6W0LvjfLAYNAlGbCnQg0xWhEEE4dtrqjCKPJjVLZ29EEK9qCur1eARhvEBVof+jKcTf53tK C2nXT7XezC/sKNM4mmeihVb6r34grWbhVwFnhAK1EHcC4C7fWtGA3Kp5HyabzWbOPrGdMybtkGUh 1zDiEZmj+aCTFDvKtUfHKRru3U/t6SNY6LKnC0RvD1hpa9uyW/7yG0keZmDZgDERPGYgUkogMqOS z7k+RTX7nV+sT6X3N81r8U05NmNIRI33RTluNsUXOIg86wjQKe/cqbWoHPq1dVM0TmEuTKkzpas6 vouerG8izzZFlD+/G/tzzu5QxUR1MyLV3Kt1n33aPTJayFY3EcyU4blNnVCohgXhiRMf8NziWrxe gpMR13B3rz5Vst991uBvp1fH2m9nb528vVpIYDwYLCE3gSVRJ0QxxMt3FOQJr7KnDu4W5pD0fHaU ymugudczZli0v7TxdwrT9SldWvvfXxt+Qxxe0EzToyo+2wAy3gKFz26f8uXo+tplcaDYmn3RpyU+ s4E831KqG4hAIV+IRBRIKs/pifm7WMCVWYDFBjbsXMKtq/GCwJPmjdsw7nU1bwp9VvCNJBftHDwk mp82a0e500VPDUwgrHm4wTXiQW43yfSHRUuhVDg0VsqeuORkLPumhftf4VVCgQr51+hXGjUO4mfW 2J9aKPli61fqnFE4HYEMCtbp3rGLqmCTjbZViV5BB9UFtBZ9I04EZyaUrKYDsuby/TqwbrgEOZAo 3JxZaWCuckxlx1eZdA+E9t+J5mHg25k4iUMgz7pNH2tW1ZkDofr1Novs/T12Xcd1qIhysNodmgf8 BgvhZB5uWoJ90LFPNZFKwNUjTtGH9w0ZuQeCdyyqUzCX026fMkPyrD4w6b9WBGNnM/cp1ifeg1G8 H1bQRROfyYtM79iSxwIDmjgSpure+ox62VmyLafhKLt11Lcj3JMIFBPsQkBPlqPAcbHm4DXxw/Bz qHt/8BfdC+RFikT5J17f4PGpFrQuN3CN7GecGFmyI5F5wClVqGBlOaHPq81AqqTYMz4M6dkG+6jJ od9blktPCZXogkQuKVLKehJRcNxypw0fEfp2XbTVojbSoqudHVUpJm3ucEa63rJMfuD6dQePX2BJ g+uF8Nmn4Hc8NCDkSggHcgbNlZWwix+nRl+dZRTyVA1IiDTH3R9bUiaBijxGbnA5QZOif5f7BqnJ kFltRu+Edgrdp1BchRn/8ki2VSpZPpXZW+r2uy8KcrR1OMdwGL5XUQeBEZJtBuegbgyOjg3i7wE4 hYcFSkalqpZ+Zzxg7k3Be/034sCUANqNRKNVTKHTFKiKnAQ5FsaOeHPDGTv3U/77IbXrOl1uhfvo 57MxxGxmAcQH6hB4b9LiHnpT/0npxqJYTXPzg27Cmtcv0pxpoVc31CfeTlaysWUtwDf5KNJvaEF4 E6YsJFhdf/rj28eXqpC7ncwSfHvX5NOYFH0HOBo++AlWcYS2HHrsBmUrmOaEwtDjZFkiJJULw2P2 9llUz1a37EzwVeb7FNlG3XDc9zKYwa4n3Lj+TuP1PVxQ8V7W8k+32964FVBkmo+DpqyQpithpTsx +d2/KV4SMRiiIj45BHL7QP4LxhkFXwhnS8AjVinGqsooLi/qLwWtkugMS+S3YCj3tceXkWPfWdHa /Sy1fny4cjGmSzSMa9Lv4wcKZjLyB5kLKeX0qZvtUljsPT9toCJLDdnhN1d9/8Kh7QaEge905d0v j0z49uLGU6/vhotTaWK26BCZvy4KOtwDc+ApeA7R208pJ+6bJ4nQlGBpUOGr+jGSPp9EUq62oHv1 AVFHr4LY8g6Ll+CgHKKWN58Rv7ZTa4fRDZreD8i06zsrfYBR+3/yFyYx7VAGHOPPvwBTWjCVwtEG IUm/EeiebhhzPF9iqGXoyNHOGGRceBzTTQUHLgFMQB998mmXxq8Y3bh/OyWPBvbv3QevLCmPB6Ep QTTHTuPH5pgL3sbvPipx44EneHSq7rmzvSrTCOJE+u3taxBjkwqUl++sYF3HOaXrW6wlpgvZ1Uxz eJzq1kc4NXBHXJ4BqMivMliMsE+plnUAZOZCx18qmvdDKwbLk22K7QHsCv4UK13MAYLRW+XSHfin 62ylXDUMbEPRVLL1T6bs5PdRFKS75Q1t5zQ8Pr4QVNNZdQ2o7YHJTFd//YqGbFTZa0CTkrXTibZJ LzXkCUsiVsYY6DH46VmI81E4BMcZg+5vYh+uZs5/XRYPNCSDitJ89iNjsEeZXMcXx7uG8IPbf1ve YtVgTuRuoZi2/XK7B1k29ooU5Fed8WGzBHB1WwqLjVtgLQ1C0xvN0aBRuzLljtEtqOA7fg00y5HB ZP6OWcHAzo8cWq5UVfA/J+5LJy3uMQsW8mPyhvZaWWDt3+u5RZazDyj7OyEyevkXEdMZt1/y//bB q8hJ2hD/97dkXMfbwTcA15QFC/mIB5qnN4pkEqHbv1xC8F6FzN92Qz2ApnL1zVizttjqrLtdQNDr EhVRYPkqiH0py51gLG+w++tv7veSg9r5yaDwNnzGZlxNTSmgSR0Pq7g2gfeHpOEp29Hu0Pf2mEOl +o5/adbjIe6nc3OpH+41GSBgDLsXTCG9N+akCJJfj+txMffakwDB/ZpQ6CcLpMFif/Kw5Z1kuhP5 3VLZK/dOHJOr9SktL+hLdVZPtKY//VUt5qWBBSS9CDDv5T7pHipVvQMWhId9Fj6XHwMvum/7M1od XzyZojV9/RcmFuiuMC5tY5bNKqyLHHxaaz0auTwJSKmaMalQbNyKUXTZVbVzy+XVAzJr/AqS4Nbz nublR0kqlKgk/IvF810/dhRdPCqAcP2l2Fy3BzfNuI1gmHNeGzIbEmoX2zeW6CBax3q+1XyHYU9C 9uNRITHeXzh02ur233PB8ovx/V89ZwbT4TWhrR1euzpmdDXVAXCMg0JychJ4UV4ZTQBBxfv/85a+ F5fVSfqcl8+nYe0Zi45oaGOp+gNQ/vSxce8Jstqddz0OtYcjAdshBKs4tWloYRo04LrFRONyzoir gI+zdIM5uAqI71bbFy/5PEU9oONWAXen5K6DCFmSFZEsRnj0D/0BaF3irPcG7uIBd5MUoT8G3xtT FBBfdetrnHJNVmtQ+YygMwnE7aUwr7KPDCYCVX/XEWO57Fk3h09YOT+j5SqDTGUSmZWi3t/VWM6n xG9Ka6IXiwDDXoEa703yqdzRFJ2fVL+1dqlIYxye8I75UHCztE1eva5Z7+19VjAoPEX4Eqn/25fb idPKzi49xcF35WkqvIyMekMv4xrINofq1suIfkzTBn0S2POKCJV5C3Kyjus4C+kaLcKDhq6M3725 26khbMQeQaz/NIe0zpC/JA/8j8IwIU/+VVNjoNrtzQGkCdJF+w8/C11c7ytpF1UWjqs9C5eq+RYs bbNDgmX7aTYPMDVmYhOE9Sx8nUr5YsrF+ofmzU+mYM62fNgpY12URkujt+LUH7XlwGFTyW5rHvX2 VN2tWDxvSvfIl1ywKOOjj9jxoUVR9PfxiH487yvuvzEgmNRnCkn7BHx8NDvhUfEXYCHxj2JkwL7X ihPUxL6AVneD6svOxvotmUO0TjTtcsnDgrraXBHxwlhrct0xu8guwllMBg2vT2cNOVzFYWYFbclk sIE9Wf6jXMsddhDeJI5uFL0oVPni0h+T1antZirMD1tpaVeFDnfbyQWSY991nz08rJb5017E6vb8 YRGB3mU6szvnmO+pAw9tDtFxF1AGA+Mpuj+1XOILwAgdun7w2YcxeWx8lEfmRluXtZaqEGErlyR5 cMiYplplXHoP3zeej3Zk3K3gNkv/2ta/W76D/+YUgd8l/IpEjhfXsaD/IIlHUZWIyBOCy/bbD5mA GFZG+oEjr2K11vp2gSwVciXIQVgLpCMxTl89nxCA71Pd3/VjvEtOzAHoTLaHgaRpLyLsBdc8G+z/ 8QTQ0C37TZKtf+wEDIC0PWJ3Gwg5Yhpes83wP6lgCSPN+96hXAZ6PCIZiqowqIsN6LpPNCDS8f9s Pqy0VFoFn++o4ehDDh8Toa/Bhr70D002o/PR4Y1Cml9qL3UBZ5DgAlmhEV09FMQYqi7gxct2Kbg/ fO4e19H9Y+cGAyuHZm6PAY8wxhVgHWK6IhgkoIQBU/J5Jyjajx0shoEEpI+Iq6aMSIQItxle4yK1 HNxFsQzhXMmx7UbUTxYYaFPXO9sSGA4dAij6dn1aeYuA3gL90w4OIaETf//ACCc4TgYpr7f9OS7L nCaY59Z3mTqsOtEkbveRtWNpVtwUt21I1xyo9jBPaQHZ3zrj/xC8zjUw3RTQ/HDQBp6jUQgkTmB7 8y9bdKeE8kUDk6oS66R82s8Qcqy7EhP5Ny6/oqBTVLEUfr0tMKzmGKOqy+8mCCGxxBvjZab8szsX yGEIIVfJl4vgYA/nQjZkujUlsVH41uyhHhfp15rMXC+e1uOA0VM9nsxaJNJKh+IUqY8p05K+Ugya eUBgA6Zxd4I9bi4C2WjFHJjsS6MRDlWtcBNikn+w2tqUR7DG+/ZfQGpjXqLyPvd8KX2EbkPt27e9 VjW0IFxos7hw7Q0n4VZNk2945q4qk7n0ZVxdZ0Hkv5SKd5BrO4VYDFACxG0k5bzN19RzzkxFMlOy MhA/TtnyZFfaRJc/winsRcGs9bslVSP6dK+pvlWNt/Px0RXzmWrHh2G1ISLmLJnEywRMQFULkjD6 OsrKY8ySoaeI6dBxgxvpwSXSu1QrIAihs8DcF7rSi+/wLVdugYAFu5xKgJlxwnsgnaC745UsJLdc 1nYXFkNh2XYJ1ANt1Za+aGJhyJK7dRwZX1pbJCeG9cAH4sxaxghO7MbPZIcET2rNiTkVufP2Jj+E e9yCuAosEIJtus5XUrr5Bb5BscKzP3Sje4JXY24RMjZ7peTpDTzb+O2DR0O6ZtT0LOO3VMGvisKg c3kWUD6UO0U7orktSVSSsEzwecrnCDwzo1qqYtLyxYGWaINgU8W/gcRjM7EgJtt8ZhmKIoZNgdLd PSNxNQ4jrafF3VJJCD5J1hvYGhfR3i76vYC4XTHc46lE3A1mVDKXCaVJvG9tAtE6/kcx0la/Fdfq Uvg8gor0AMw+T4yZV+41QbMOgLMrfutwStijSeJQevrbnQn3kdlZtR8SFkkn6GYukdr6RESbrHzp kfu8DRiW1SpXcPSuD76sIed5rJPLeD1l4CBWusJneDsR9zBIIotp8Zmusc2YfwChOTTPqoUBb4er nlbxatNSbmosf1/E3+I54O1H9E+bneaWBT+wd6snQ+CnLL49k2l5N9g8abVHsXEjdgBucam751RC pEz2Ui/jPcuefqz9uKjmIwNoLiDl2zsUEZQJ/4GCK+TR96FyunNwBd/A4iMc4kDI9YNj1VLIZZYq VOreA7k3jvLlG00vbbiHD0OO1Hw0sIwp06TMeqn1bsglCvaqU0IVd+mezk0IeZayY3xh/TQLOfNv 8zHB1MoWHXzv5o9oe+5iPJErVDiOfoS4ALPphbImJyZM4T+WbmHR7hW+lXX09/W0Be4nQmlhxUz5 J6vqppUOUYWCxdbG8popwHeMhSfTezurzD1EJBY/lPZt2yZZ+x0h9mT/nvfCGo/kmF4gwmBPcbks McS0e/bQVTP9nH9O14IVcA/pvbEdGAgjInzgCzjGgGXGzFzibSeGIsYYpq01Vsfu1ISmhv4YDSzG SqD230cbZTCz1cChZyarsWFKB51W+pbnLWGIAHxyj9i3qsWnUcEtrZ9nC5/xoLUUrdMDIEPxptu6 rHhiirqPbr7H+kHC1J6qIJ7Z8a82fJASMA0oDQtsphxIKXH/pBx+eNrXPHFc/zxWLMdgsbpuEPcC Q6bW+ScYgBu1jM1KQkvURrSM3HORCur1px+bMkgKOvpnWZx5QDb0+SBKY174kVbgM4v03sOUs6v/ w6tpERFdKHGAm+j93nSRDN87RVUgENIYJYLtGZ9rTCbGDJk0eNpKiyZL6/yPLAlOyRhl3u6hBJt3 Kqfj4kmsP6xa2xbBPhYcrDmQ5T0meBwa3em1ujvZSnrwkotHMbQg3Qo7soO8UYKMzWBe6MI4+U96 g19t84WOyjEiLcgCh414zFBfvRWRfLcnzgcpvsi8Llu9NRLyfub19GmGV3/gQglDOHm4NdeF/uWo zd0zSp00hVTei8LcPCUL8tMmEg6H7USrRqXPEscYnbJrKF9INwfN9yL8xpdNqYW4Df57q/N+Nbi0 c7V4yeSvowT5u5egqpxFJxop+x1ZEObsuKsIcSpFyvhez+ap3novEhPcEbbAqA805oP5WKR0Cl+U m0c1jdmJ2MME4hylFXeMa+lobtZtVLtBZWq8rLyE567RQPDXHwn6RDKY5HvKCyEscp5TB1Z7rLTS G029N74+H3JtJImADb4hvmuXJa/hAqqpoSSqjdYpUR5DSBwlkAUhEYAAExWQJ3WyQ80Ixsdwb4LO puYclQZHOEnw9a1P1gxcMXo3daDjgj34gEnMjHK4ajyp4zj37zdau58gOEhHkGSBvHhtKgJnG0kb MrsbQ3cS7KjmQEw+FXvAy7e9idt4QJX9rNIlxLcx4Iay0/HJizanvAQ43lp9ix7uH8Ss6Rl+hbqI Vw6U/dIfMdnOSWB3UI8yL3E80zmQ4h0QB/1zyOuxJEFOG8A5RuqvFDOmg1rCwg2bM5q+yvb+S1Vt DeyiH81RDPQ06LRLdrJki3zQX/951K2+gxNRy1rY/UqqbUASq3WTKjaL92NyNx9Uz0Tl1QCHG17g rcbYpvW4yBURSTA6OO1AcFYe3VbBvK+8DdzswlrVuQJjvpW2WpkcTsr5GAW9nPTiAzWeoF5C2aYe cwDMGRylOUmQ9IAPx/RBFwRvLX6k0UdTgfjh1zmNCjItWSwNhZXWDXKTjCO5qzm00nDwqKfjFhSN /Ku7i1K5lEkMUouHvpynMV64oqY99w9zZAnbVIcqTh4T47VCQ4x/E7cDGE/9z/cxtvPqbTqAHY6I r3oC4wT6jkj7vLhL7s+TOiMIEGfnWckCu/SFhJLYGzgbQbnXfG28RN0G+m1X8SqPoC468RUUl+ti uZh0Fx5Xl8GA7oBRoWUyGyF4Fr5M7njNUaTJolulqUINVFG/W8iZDInByZwFEOYVNbBdFLDW7gkq 45+M+Vwpicua3ci7etu93VpbX0VRgKb7MzEOR6nwlm6hG94Pxf1PjaVknHdjObflt7mgJGsVw2LU 7YHo+TrFXi6tmsaB1uLsFcsdS0cfzZWOGx3BBBA9+MuFB/+l5+nbU7cCx/C7KXEYuMPn0oKe3KzV KJOemGUlHPbPvq5uQKASC7//BLqFP3VhkPG3ShTV3qAL1LWy98AxbQsz6AjE/syjt823NaM7r4My jiQ7Q1VkAIvDg9rkk3Ctlj8C3dI7KHCb3HjfepcOAa6Vys3cEvaXzzedhI4AGfhfPKRGoTRr66zH HBjmoUXFE9wP3pd3KSv4gOlQ11W1m+PULzEfu0vBZrVjbqGrAoUZxRXv0+Utgj45+Y/EZQBj/lNO tD4fmMX4YCd5hRHTJPkyoagSUbViKE5zsTmVqs1kuHxyJrG1oWznFiVgV9FdMjCKzr/Je8CVhNxO lDovKPsRnDpTKrqAHO+TAwlZbTKe+w8QOXLliq7a3mMstkVh8CPY0t/6dRBA8TkgVUZcDM0a0Nrp QfRJjxEYTM8J0ozP7KodCQUXZWqDRjlb/QhjW3kGz4nzZdP5L+IgeK/z+j5N7I1wQ5cpWM59qYlw Klu80cSR5ZOPs8J4JKKJehs0v/G+YhzuoS984fgDHoAuQlApZ65uLp/3E+1hRruTDnRHEOgidWpc rjEmfGOyqepAA/waKhT1TdfMYeFbsLbnyXExsAI3Fhace2GhucRfGSLTuuqwYy/MBZEiJ9KVr2m4 Ja6RkOQWBmyxjh2DNavWfiomlKz8Cu6D8lzQnAb9JzqBR6VDCSK4fNjIu/JXauMEE92k8O0ZwXRE k70c8Fc2T2w9enqEYbB93NmSlAr8xCLL/0N5wVunaCb+KtaZLt+4DqtJdMM6wUU2RfmUvNnx+1v4 X+hk49Gjl7bioWAxPOfhJDRLraBzEYqbYfTAr/w90/zpWzPPTFEMdqeBd7IlFulrMORmG0OOuJo7 bKRUKoU813X9K+zoLv3ctOKzBONROhLS1VzTMTbBOL/Fza8mBVLb6uiESi40Wx+Hnfdfe/04jaKA R0ZqwK3rTFbjOQn5q/HfQkPyb3R/e+sz93DMSAPzg95Yl1wVT0r66+ot17HWPaqVWkZ0uqAIwNma lapPCRykdrDNTVzp/TBBhM/8WI+YwrerAU5C9Q+nfQdHyGBeF6owbADthG7HFwZQnb4i2BO5lBTL membXjl1whU6p9msseYCEP2R9un+UAE5oS4QJySwbFZD4W5EpaFplQPLe1H4uxsoVQ+0osdGX6GF E6IObiEJL87qHe/LB0bt3/GONFW/ougFYmizb/3gtdxyVul149qRvH+Xvnmvkd4kaeWnq1rEfy5V n3aB91k03pWcCXnf57YrPwpWtWYVL9+Z+zXESPGCYcYwvwjZHNqcg8hmUfOCJjufNnsLc3wUg1as vpfGX5uWRd13Fsn7kOeZuRyaUzcL8N8NoQ3KNx8U1Xic/jocdg07eO3EO28ZBl5vLtjMvtSYpkzj bU/Xep+UdXxgBYjtDZW5nrSoQueCWNYEf9HKbEpCpEc0yHpnj6VeiWSUpPfzzxCl8Jzj+TZjCxrm 2EzmtZKKcPppOFZ9ffnB28k42G+I5Fj2hIkq+IUlGV+VSjFHDAp753VwND5h1w7X5INFrNCAdGnC 8z1L4iUTCGHbAnFEVr8xwIaHJYOo/JyyfTqchmWB/km6+rVEe3cHnfMEAPy0CpvfvaJ+YfncnBgq 8PfP2bl0S71qusrh15E3JI/9h/1ujnuq318pKi/9uex64p5ZK8E5gX2t32J5bWFVqG4dhn9sM3r3 jYqRFW+ZAo0eblXbsi2hc8MxQxvV8hvIZHmh0AX9+80AaaPVoO+ig6k8Y3K4uzT4fg0gqQ7NkExs vgfbEAjqSHG7odUoA+6b4OJXT+hG1Y33CkLOXAnZoYCxw2Ya1vboXmpUc6h/M/OHAcHsnPKOAbMb N+0P3Y3Ihx18HOlYzIfBfILFwqcCrZhcFegB1DQllDrOq527nVbwc36lH0tY8GYPn+eWCUaZ9+hD ANhNWFUK8cYj86X7TrEAR12RIWsr1YJK8ZjDK9alRnajQwNfjXKfye2nlV9fxFVZFIJ68BDeeOoX Zqrfw2fxRGaJG88Z8elamtx2nb5yN/Zbkrm2jdzrwav3SDJXGI3u9jolTEul+nFm4sADiqKP1yOt YS9IxggNcxMVEvAGAWSjgX+fPRjyvwjWf7p/guAvn87FuTzGUce0iHs4NP2i8FSxZ5pYcj/Tnqld q083pt9zAWLrz6Qj6z0qW1HFuUCPypRkuZ1MZceuDMpIK45soH79gXlGl0Ke3P8nX2R/cG1rM0+b IpQrBIfQlMghiur/8wpaZuifmdtrFMnXubPKvXMk2l6tsfaPFzDFvcOFzNqpLG22DilUTJ9T9tGt CjNQCiUc6Z7AeU7SeUd9Wvu/r70Kwy5CgW73o6eYGuDt9q8vQBBs7cHpgrS7Duku3DZj9x+rK8mq QcpRDwetFpGB6cZ//gySdtFfMPiNz89yUsnA/gC8lSW6GLCIFQNRtC/6SPZBdVCmanzReyKHJ/td KRm++JbYvdhU3r4Dw9Jfk+oEIN5v96wr++U/kQfF2WisFFkPU7ZQ3D/MyDNOu5IvP/54pZPAakng /0PeSQi6KmwjWgox0txKBNsXMnE65+d62yoXBDQlH/VX9bD+NeY2Os2QR3X3evD6Aqwi3j7JBNz+ DByHdQY3gfoiYiBH5Gpoy5POXa3lcHDnSeL+g4ckroYs992CYv/6Bhr/D8psbZg9+JUABud4KPuw g2O3sl7i4RwOaZQOyc7NCeT9yGU37XoAor6v2KbSryzRjE2clUclt22LzJzn6/HwS2gAw+ueTiWR Sq5glS8D2cOlgvbY5/SXcz0A9FE6zg1DMqkji0vvQr/795n+2a+U85RWFZKZb7qAt9pBNJWqAzLO y1dtp1LLh0t0kZsAZQh1Zm54wvouAjKhO3GLxXi9aDgqpeeNzkLWOOQdubQ9q1L4Yk5vlPEVpVrx KAnyJRrnfZ51nL9GoPufDHpq+lnp3hdQuePTN9ORkjYyVayLTopP17X9XiIMpZtHXBMn4nTsvChv fZSLH710hqI5il/VWlZx8zSigpmE1bSb3ZPIdC0A2a4SaWdLCBTDfIKg1n27RZDdDKq+kqcUk6us Ov79KFvcVN+tTtw1HcAObALI5oJ/qyrBSTKNhgPhdhUaRdC5KFj1jrHV4J53MlIt8neevCqxVjKL 7sojf1ir9yK0szdheqiUUfq7wQ55UKnnRWj571B3N2DsfLZfly18fYJHyQrqtsC+bfIzHBk6vrHD qO1VHLXk1wUPKPRP+DYp6mUH91dtNnH0vyuDKeTpUYMfo7O+TdM9lewPoNJO7YwGxDMqoVN89N47 SuLdPf1h6dsZZT/t6t8kcT1U8fogKSZlquVU1ZuEMTSUK1Kfy9wOShD4MQO2qvI4w1iNBCx0dWGr 4/2/PgPNtU+A9Lz88MhY4z5gq8/mWuzusorKS1WcDqMabc+DSc3xC8BMoSvZKWYEuriRqRzI0NmE hV3JhfyoZuOP7wyei1YRJ2CawQ7C6FEWRDKJo83CmLsRfNlI4XPwz6hsQZwbFMnR1ivbbbnatuJx JtS73TH7MAwETy6WUCTcih9ph7gnNvUCBPOhwm+W5jnKedL08LDSya9KQ2v/xYpR//7px7xr6izY bGU6sEg3Nbh2GV4DYuxjvdGGp8QhLQdKG1hdrlquBsG1K5eBiA8ZZRE5m2R4EkwhOuX9JWZ2HHn3 GirggrZwCiCqradcwrQGTMzTEAzYVC08VTbsZv9pA+Ii6X5wBe0kTp6EqL+HUODv29oWzw9e69/n Q3xnRUcO7DT1RhGMSyLXGzUCMNcQhzQ2MI7uHrzG/3V8PKaiMdiOQTuTCoG/89a/OtC/MHV+Ltgd xech33Kal4KuHXCkNUnnorwmBwO3FMx+lH9aCtEwr646FS6Qfw4PIkMA1+zO58vdZd/ba0Hs6i1E Obh+9eh7MeN24By7n1Bd1sBYt6WCSWKLfu0Gx7QWijXIzUlV5xymaMAsYP0kF3Vg+u9gRjH3hahZ w0rKZAzAO4YzX5J2xLJQ68sNUU2vK/Zunwg67D/WImLCY3lbJdhfUHZlEzgm+IsyzIuF1gpPWrdm O/0rj+icxEUSBBknF9gRvuWOYt/bSjz4pC2X+FthKT7A88/mBt5cjJC4FcD/GyCEPCDAHsz6EG1Y KZzl+fAWHzgtj3WfY5n+bZ5iDLL6cUZ32+5DLUmwL5e56S7QJY5IpzcJr/XYFhHUbrZ5K7sb0fmN bKbHhfkzIXp527sETAQgNfuF9ywFXJ2aLF1DZ//mqXcdW27x4YmN0ol/3uGmfZCPm1ZVncR7cHYp ASNvQY/0xd8kRsJT7EY+ofgWeIgkn21vxSHZAxjDTTmDaC8AoAMoc4o1vR5waezgislU9oqgwjo+ WRx3rPrn60lbx36HBDy2j9n//9EWarRdM4WOOrdWuJ6DirCpzvVKCkgU7XrtFyi34cUOvMHaX82h 4hAi2BIJdwR+DyrSpz/LefaYcwj1UGEqJKU8QLQs+49bBNK9zkJvTiO3UaLdlD4lxrxWRJB+q3pG KtwxQ6SdIf7Yr50H6w9YH0HinOCihfrIpiqb2kSy9JtvpoAkHC6lijhGBHIKrngfp7ig5Ph5TZYA 1gWvy+jRgEs2Sb1mNLB1MhtirBGIcoq8LQiAnGU0qA7dkFVFP5/tBtQ9Gm/bVjDR2Kev7VtS/aE5 dag3x9C2Sl1ozpld/mHxhJuieiBcdhGH5w+rc/ICc9pQ89lWD71AUf2nQbSCsWnsm1KXqbFh0oVE o7Xk4IrN7EMXpjUNUe/0oTlvm9+KacSzxHRD+wFtvThRX5XWZAWS24KRH6yuMfyvDuUnbkLXOAv+ tIpckmiTxwYPIfNbvZh4P89Aom9KnkQAgUAzTF20KgeQH/JSDwgdHbEaSeeqEicxA5pATiOvL509 BddKGREk/F9Hnt/13YLMyKxbY80akbscVdTYywTfiEROV3NdM7CWXc/4/UAv1UxRyyLxu0Pth+fG w2N3wkmaK1YJkg8KFbvllfr826LHbmhr13xkgNOfz7cBUjy8CQpsOSFPOnNBmrzH503OlTtVLPeW VT1tVTs/5n2TqApSWv/ibFCEWXTXyPG8UyOrlucyTYvOC+0VtneNMKndYwk73tyBs5OIw6tJRiSV bCdi2thhcAOGssOpQbFKe4vLoYGTqlTAAPxQzgbEBCtP6DZ8RNXNYHp5byYYxm0x4/UTcco5NLSA /AQs8fy4YvozzYNgqkSVHPU7R/eDuVogLoRS1UZENQ0AukxVsvFpWKd4CERTQNEOidVXqNeNt1TN rKKJVbDax4Ep6UqtFPn1VSpXXt8olcuQ8M7aCRpRM1S0StKIfy2qrv0KnAcPxXKHGbn6haRuLATP Np00HMEcJuv3Rj6z2wETeJ5d8a2t5J7+2qFc7CFiUJ95SwhUklpQZQuY4bwtXIW//5M4oWLBJ4C+ Bsk03xN7hmorcktSLBkBzuNeUKJpL0vzSCjX8Yq4wmNd+mc2L0y++z/NpQR1WW0krERdPHGI3QjU lnxMZwolfA71pa8pUO8yhyBlga1ObRUpHdYap8E67ZQ83Jx8WgPSvm8zhxerLZk3PhmXE/tMF3mP 9wsyJLKL6U/8C2qkMiX82AmXZPsUVxeNwaOhYq4U/Ywoubz8pH/YCaQybc9WfiwQdKR7dmKgHd2O nlyYx6Tl+Hcc8BQNWz9wvlBEo0dxBe24CS5wq/VQTq6aiK4bdFfMxJzadfTtYr/xb8tRKbcNuMpD widraNfmXiTBkKbEzWgw0cZMbfKBSPnV4u8maQEzNfrCw8iTWS8b0e/BtB1EFcor3AnsbLHaBWqQ WRRjE7tAmqOmuIPZvx6deSgMfZ2m37lC6271KoDAMU+6yQJ0HLbzgF7vCao26vgN9DPB3vgFzUrg kUbjMmeZFLa9QI0F/jUnFArl8o3Yt51ewGq6CzBTZhaz5oVo6HTJVuXW0UhYoqRJ57VAHbE6dlBN GpVYLDj8UZHi+pQCU3mRMOV8KIlnjuNfuFZB/RotUhnLJXY8w+GJIa1xogKeRqBt3GsaNix4fEZ4 ald2dtBX219ykNQ6BG/AEziKa/tPgXPpAfsU+8NGvspF0Bc1KKf32z4BVuec417rjpd5CvOi6rtI A6HxvxeY0fDFr0HPZkKnOfQ3bRlQw5pM/sczmYQYwcZ8OKwbr1QtRsbKGiL91zo5mdXVf/qyqeH/ 3p8ccWr2XT3SZ/fQvoQg6+H4IoWNwKbOiOvXCaZlLgcLUFS+VcmgFaoFLGrhgKGIOscvk6vNV1Lw O4CYdYRomUTpNY+8yI8aKHqipKxEKzH0lDK23nieUikM0764sbVhKv+9YM8INwM6uHWo8vHl7Uwv vhPEjayyVn9Pv9t/mo6NPXVGXCjRU7CjsbaDLcR0rrbOIC2kzQITZB3ZaaY1NERdqSd4M/A9RlLP gHfs3PG7O37IB5ixZHnCuMg8o/dRvCxeOeqCIg5tK8jMPIK5H7fJtsVTDYEtELFQXhmk6BseE5wz K0fBIhZba7EVb9XJc91j7d4u0iqLULcJU2mkAiU37d3VfQINA0P4UnSroFm2uWQWa+yLFeCDF3/C 533tFZmy98r1oH9wlIYoGy9Y3XtgvZTLDqO7LyfKCxySoF7Suitd/RiHCar8CdyPkwHa9Wbk2SzS Mo1O+PXDOiVzxjt5WuQVpoBJojGENQGBocbe+cHo4ACMr6w3Erg6tGyh1xqvOImPWVzZqWWFty5G 6SQyUFVw+t0KJ27mP32MCSKHoU3YX1PxRJTZuIMjoSwGIzQfL6ORVIcn1EektzqVK0zq4efeikMF MF1Zb5r3IAVoVicxk2WRl8zQJ8eZByDubbbOOYic10dSY4Xto7EWYWfay5t85SDpU0ScR7NhRLCt CRHuH0VfAdTaktr79R1wazZGswCR+iwpSAZpwyOpGOlwykUVuXnvuc6N1oNxeyte7xbd++QINbnQ gWUZqbq7TNK0eXwBGr6jgsSVrUOHuOTE7nOJGkfGpd0lrga1d7KtsP5s+SKY5sxzV8gti3W8UNa5 Zb0YMb/D9HOZrl2Bfxi0U47YU4FCIAtIod5OlMXp8BoOA9RzbiLloWReIR9ULoYB8wbcm/QkmAe8 VPFJVonthKz4pZZulZvP6HgD2xJpGLRz8gutNfWrHNfEZldyPKJ/GRY1eiGwsVHBvX7QY4b4dgg1 CkikZfC/H8kf4qmK/IOnbixn+ZDn7C5GiqEbZQ0UNtWWrucI/ev7S2SIZJM95+M5CT2kHDLZ0FOc e5KhObDhLQ1RncdAdb9HEa0mUTjHeB4YvAk6cSrIH9NG+EkgrR4RCNQU8ZemL7OGhbE7zcIFC8tw U649Riy69J0rAF73ygh7XvbiTYEL3C4QajLCpCvoP4QFuHPIye73mpTe9tXktmUx3qEbnkWxZKeo oemFJymX9YxpeBD0zVeTyPI+XPtuPkraEnymwiskVbqETBR4rPkZy61VDgr3qrZyfY2UMvssQ6a5 uPbj31P0uwQWpdNL7BGLnnLtOL6YRnaDsD03C3plIbIe211uH9HQjDWSjShOIwygNX+Eq4JNM8q7 /y0FAkN8ab5fJoP176Vzvn9qTdUuI5PWxj9LVibVv1lvQycwoax4kzzaJzwihD8G+TsDGQ7pYTye 7fxWFqde2Oomu9jqLXFNq7A2m9LE2P96DH4+Q/9pukZTH7dfF6sPQ2KZZWW9tgN+14JPA/TX2Jbu RyfkqF6iaSDfiwu9zVjvMiSgQkM4l5t+Mx1kOK09g0l6SsVVsbKRIplKOWOxpZBvU0zee/g0C7e1 1lSxj4q9sUkky53tkKS4VjP73/vmTD36ansIvt4DvdP+7n/rOREszl7oTnC7L/69A1icGs9K6c2c wVlT2ALkU4HZvE9R9pvVR9K32CYLfGvXfC4jwhKAJxS7jzTXWOKje/4ZfYiP7m9gRjppH8uH4RO2 2gayP3tsBAUsB3rQgDjKkfE0AYskioUxH3iKQ6J/VdHKe2N8pTKPLNSD/+XY9ECnIcHoFE+zo5+O nyKRWsWN0dYD7p01W5/UCre4t2Lj5OTHPMWi2JPOA1l5xYNZBk6WHDfFkvuYIjxUxFMu+XmvaLCV yCNPAPdJhtSmIbLPh9QFqXPhHPB4SUu3ZcdcNqPhh63X8KBD29vVzTg4urMXReA9CL6lQWwGQdXK qrYofdDy7FIy+1Q4UjeltAL2NCa7Xscw3nIgiQwWuNoInKFCJU78bA04FqFQlTyJPGvYoiJ7N/1Y aGtoXAZFdYfY9i0oO9G0A9sIn2B70Ax4d8qulKA6imxmAZJ2yOPk7cTztZaFQrXFBqHS+nIWXinP 9NKuGnrwIwM6eEFNn4+eYXYPT4chKf8l2UYL+lwoXt1GyaegOIHsucX6mdhlWPAAUzEPituADL29 HtZmmF5QEuwDEBKIdWrzT3uxfd208WeT/ZnpvtX+Hwn2pjEO1f87hm6y2MWxxyERl5n5iyevP0Mt FEqjVVloHhvIkeNPiPmo2qB7PQLA7XtLNKjapJAS03LLAulZKLWyGISHsivnyTdrw4pcBuiioBH2 DJ3zklbGhNyE6wC7sQpD6xKqfYF5HC7rw7I9pk6Eoew1bo00p0ikjrXtV+KyCrp/H96rVo8P1UwY AWFteuaZyEU5HadhxW3xDio6PKtrVAAgH3W7mh8XScG3xUNAQDLrmuXrf/xiNME5jT672SnrUhAb hdc51qBzFGSgImxywxk/QFBY9s/e97FC0BE9iM0cdTitMF90oxnZkup3FLKVjAN8CxRy4TJzXtWS 2ZBcx8RX4SsfP5kI2/tfHel5C//BWyOm7Iw9WQzO24Ixk5s0fylXWafpRHinO3U+U26jrpoDDPA0 SoAumSG/yjrZhZYcrtKyN6GPH1xDEJGHtJgko7gFFhmNxzrtgPBjFaUiVuQ45wv85/7pgl4iALZJ NPviWxQm1yGzhAqk46ldIAqouh9aDYo9UqSja2Mz0ylzuy5rxU6ZemzTvZyZR3Mi5kNCC2wLPjhv 21lQA9HIkV0OM3RelYwPmnfpL5IjBnpxfMvEvGkQ+Tc6tEREyDUEmxKPdXzsBkFoburt6p2FoAgJ jbFhoxbietrZ6jWt+8Apj/eGClpqwcMY1/7gW4II8wKZ20TYs1yZT38bHATG56NQt77NVpZlqkdQ rSAy1TujLkcUQZB0+mbNEnQebZtZ4p6MR4MhbpW3p1Bu/14ztKXzd93c2okh7ocgknChXFmjlfEf 49qaHJWfDo2R1tVFCgZOTfIIKW+AMM5bN2dK0kScS/XjVg/g/d8zSS+HG+XVGpdYbvZzGTrqyu/d t93cLrXLTZIMrihM7jioksJx5n6udjGrYqWJ/XT3wxmWRMMELFvtk9mzfwXTJF4PPtTrvjK5DONK F2KnUSGEAP6I5sb8RmqLimKGsf6utOqmRjAZ5SKsSXddAgTlrcAts1h3cSNaTPLGijovGTtugLS5 ZtTdkt34srOqoDR3qO8/b8pZxhwDcEEhkjmTqDU9Q/l6F3jzPqNr45Y4VhFe5gSiUca3gmRaVYsx ZY2rL1/oJMAl/652uOKxNBIzV/qO14wuVZ0MYKmXv4ObuzgsBA5OPhypq/Gg8itSJ6LzwpCDoUwy ZIj6IpwO6+vcCg8ifCKOcD/bI33U1FTOEReNpNAv05ky11H9aP3m5Cc1zmoBx5SPWYoTNKyX+4zc dJzmXUZI1uvxVW6b3lw6GJ99wunrVSbvsAPMWObPqBD1fAmvO9cXytEwnnZAr7o24md0OR1ABOtP BacffsYN+tMXknJsiTpNs7sxD1pH4aDAciINAGQGZ5N8FtUV3Ic9766vpCsc6RBY6itQlAiBOgeF fLk0oKgvoMSuOg4GC5Rv00xseS4JCyQ7AorcfLuiK7qxj9V4JKK6/v4jPz0+7qnKU0UcUsvYIXHG a7B0bJMeyB3M+FrUjRxqr6ETJlcF/FTtYxK8AmcCVwyOXvjol0CpuRd9d2HzEBAT3+4zHEop5XDw t03EhBwdLjQDahJ6dg/kdH01QOjfTYy5OQRPBgP391wj+KLnfA4VdEi5FXKcWDeSg2eNkJ5Z1zsp byU44FJ8vxIjA+OkQKkAv0qw5cNWQL/p09kGipE9gnb9S9OVs9Amk8nXqRyvci47R5SzuZVa1G33 nhhKbaN+6gEIqtyJ7JN8flyxgNFDPKygDyFcps827Ik1cw3iCR7LiniAe7ztbmPRt0NttqvmCLbC AxeTM6LvFkUeRpTKG5jmLpqk4YZlQay86WuCQbVfnEvsgkJcXHg60VZBBxIORxvCLG2Mcq8/vE7B XTBRUitUv+g4p8pGat9eax/UKwsrEdKfAy5TTxub8X6fWdpHKrNPiEWP0Qg5myxELXHZZ+ghC041 NoT4xh3P4IMIKZi4gPCM9rN2qemczFOZKMeUdxEI6UWRuhYHTP9dz/6bLy7HIi5ra8llRBIsaSQH 3oQo1b/ewQKxsSJof4ZuYer9aPwFML1jPFC5an+5r0DyeVy6/U60MfE2oTVOy5GgXA9IlX7BSaG+ HHocwRRb31DT8boI1OfmTiaJonnODMQHVSFaM41L6vluioY+PT3Qds86RQUJszeA0U6CN0HDInzG WLiKa0LcoDYJz0uHFzKFIsvQPHK3NS2C6zi0Ea0WlucMRRnTEKE++uMqgM/iyBdoO70zpYQDbxZc rfb/QyWTOLtYKZgdMpPhzeeAV2RH16gF5vVmKhgM3gpPxml/W7b8YgFA9b3pT2eCkufS4WH/IDgP 1cN6VynGus11tx+zJuJTJcNK4VvKZSOyCB2Po01RoSUL9X5A3TuV2B8qbRbyq3u8lvH5S6y5OIpy 5XnvD3aE1kumfTYr296qm4LNAb1xjZxL4lAZA8fKm6bheYMLelwQ9zA063oSgYmUg2ryQUV+6I3S J4MRSICSX8TAFTkpDu2dl6zPalUIk2G+xv87KAPH2j1v8LzadvR6upVJC8xMH9c9NDHx5g46rjdM m3YDisWx+FLKv8gQcOpanzj/pdjbYw2EGnCYJ66ZRae3UFqBfu17/ZgQCNOgsJvbfvpFP98EEHBQ G+qxnuWDLcGglN3C8N7/k3whPxc9/XFwS9lTRoQzDxylPJqJ6QBxg9LzIl095CGBSRiTYYRqZ3wx rqrmBe6XxcghjsFDIMi8IsuZd9CGmMjKuxi99+ky4M9ZSlT28D3LTTi1M0dOjCAIFq5ql/mgjHaP WXlZq1o27T3k/fk3voOpPBKKwWtzOEYFTpe8RzVgGDlb7jMOS3esDl8tC26JIxSEnr8jnttNf4Nb c2x5J65Yy/ZH+Uz0tVJ06GQZsk7EUx5omoTDj/I7oHPKd/3Z5rKbl9YpIQoDJAhmFHU/AMRbr/c9 e/TWD4dQvCktWUybwyg2VwNe+WQkrPpqyF2t8QxMKWK2RHRuQO7u5HQTMeqxTsMy9cno7aCJflNo Dp/KhUcG8Uv68sjL8B+QkM4sqRDTugM9LaCPUX8I5uO4aorj5+FPNaXGRUb5sCSbzoSuEWAupZtl GGodQEyKpJd3vzplbsIJP3rF4b3wvYB59CLze5WbfnlkNdB8SaqOWV8L0mw9uPSzMqSaOui4yoX8 3+a67mP7YQxA08uP1TvC0qRjUbaF6FjtgjQVALWci+dw3K0eEXd5nKmVoOmfJGq/tqNQLV8BqvEF Chl1IAhqu6O+L8G6fyjSipHSfTVh0sRb4O7NCa4I9uhR3foflGfjrzgaKRyR2sEnO5TLDWgq/pgv M86s+3QhsId+1q41oSksnJcuhPzEGh1sWhtHn/S3W7JOUa3bJK9Hlea2SRH0mc0BBfze0OHJTNwD 6b/meSztXapqUZzGqBhv0Kp2SpvBHnt3P0UuIQCd2J3cUFTH4L/zF+ymsmSBFR4To5Q2MFR6ANxH yofIGoaIIhaj0HNxpwiWk0afzQtdjpawrDm8csvM3x/Z6yFMMXyyrycgW/BEx/DF++duaLdxSfLD fpAbjKSA0Pno1VJ9NSMGutwcOkfNj03zmStpRvrF8Kv0l27Pw2muEGgoG6abKnxtp9oblm/3ZQCP Hs8EiiB9o2x4x92I8hVmm5knTsJf1z/FIGKdYERSNBfupxIUIEQIU9uPFtuKGd5mNjwQlFpXf38R rXqG4nJlt2skFiVquToKJwwmVo5XK/RsBvmY4dP8wLCwT7I0WQjZ4Kz+EcVj3zZWmLKT0MKTjoVU 65XIJ0jBjNobRLdwffabYnVqOcPUILJORANrA1l+OP67i2mzP674GKBPHATghunFcIKSdWMJHVKD D7RyiCcHjsYSExnmDKizEqqx3dhhnT+HfzFIgbYa2yfRtx4A84x/GQrSf7ULAtK/XL808Yfwpm+w F8zh8JigJNtE9xCs+S2kzfRCEzmezefAyb/zXNxExnZacU9LutC0qnZQINHfREUEOFD8EnYbhv+l cdr4BTETbPmYeueLyRQqfKpLJqahrcB2VDnPLnct9571Voz0GOGhV1veVnvd3FkXkK8+IQodbGQe F+ndXufSPZi0YDIaauU860rhf6q+c0rQuMZ/df6jy4ZbaKLW4skbcAYURbQm88Uh4JaQmaSew7uJ buSpTq/TRE+273YsNrygCYtEAtnKIaZLPRSok5WUYtETxYoqO/XxHx9Vn13CFrvqxADO7o3Eo8G9 bI+19yTqrj24ERs01LFYpOamyLkTeyHGZ++r8Jsx4tgZVDKDskH3TowZdGIWlAJ5CE5UPz/Ez78J 4kkjTziOeNtX1XnxNttvUvjROhJXjZecs4lMXte+CTT0NN0nrlHZ3z43jHJFoAnJNKx0cfBMkwvf K/nHk+VK0xAMuzVVIb5AKHOpCeUJPjZZN2igAdpIix598Kvc5BxYsU14h3YopSy3alfc8G8VUMBz obS/3LwOASh6XdnNQjD/6q+bE3Q0VsUvRuXiETirZJCgZJW8CTuoqVSeRzZ33ZrXh1D9nuTaqpC1 VKQFWdjHcU0b4XyQIu6KJ1BTFZv+0E69J/W9KaZ9wQSpbFy3OzoQ6yklBjl7tsrt7EUYvvIohkUf GKpuw+lXMIlkEuIGQzkLx3VZu+GqVaCLte+bGNMxZ8ZjOe3MHqC3lJ/P/hYY5EUKGzG/NQQJWW3J axR9UIjBakWe2TK2HXDKq5uPgJy8Cyv78cCsDVZQDtESJ22GQhJ5xKO0q4RknpiRPfJ0dWh80x0i O5+tzj8zWXGrWgvBNWPu+2qFvI1ttbGfcqsSpSarjqICg0Tr5HRT2ypioLDIk7D6mT8i1QTtbbAl rOcXIOtUsZkNuDQJnq8LAP1qy5wfLRzy2KfbLmpUGz3KIwLGT3GkWTzuvCtckeBUheYammaTGHvp Tl7atbQ0ZyRN+ChrCSSGG200c4s/8jxl2zOtJ/3w/DTXANmANKbUi0EhN27ZepKyuEZE7nuxii4V jhY1xD0MO8/GUXpTbFhZdTTfTqYj8v4ejXazSWpT0OpY8rKbsKPb1Ksl4hkq39pXZprT2W4Ob1nB ZRgSWHCYUzbD5s7oV3ry/u8ucsWkjdZCQOaI6rd/3DdNe7VgqLIuYUvQG70TpFx9jjYn/s4Lx6J0 9mYMhPireiDtPY1K4joM05sm9aEhtRL0PqYv3G3KI5bnDIkuGhDgpLO+rCOEkByVML4QciUIqI2u DbBgEqXjA9ymK30U7tTqsHNzpNOczF8zCMcgWtkTmEmZ6qOn+CIrsWv0fQKfmU6YdJhWO91KjNaW atzUIX7Tt5FHApOc/guIESeGzo6jc72pbDFtmHc980fYxXCdfdVjyfWLZJWJc1Ckcvqbs5Fk9G8R IeTmQNhy8rxuO1TZ6zTL7i5mUjUMfhzEIUeOn0hW2j/1dj8VNiimj9sceeVuz3AxfTMr7OsofeiZ AgyJjBhW5hO0d4E3zcV3Vfc4diLIbPaGJ+48OIUQh5Va1HD1t59yChSLnKUWXpHBLg5piNeYLx7C ireXsq4+O5718Y7Rods1Phk0UAOHBDHge9do+Y7bmxDgXAOpYU5I09Nj1IdkrhpzEmyQcFCbGJOn 4k/YKzJM696UnhpPmVcQfvmPZj87aJRIBLgT8xwXnaVLkBAZrpE6z7KY0iMhfo/f+xMJlngC7pl6 fRgGllCZhRuTXuikaX2XxdFzSY2xfRcKquGFT8TR5FXKAA5osw0nUi9sHDeiSMGctqGz47aaC2Q6 NWpXy1JAnygMs+c3dXQE4mAdiUg8sxi9CEgIjIcX06rzRpJIePmUhNYGSFq74odB7P0rbKOBas3J Nww11+qKyy9YEWuwy24mvQWAt7I4hH5ygOW1uAFO8E5PI7KMNqGZPfUNvDqVzb9jNsGOjZiScJ5g VZvlPOUwP7cdDHoIxHlkHk9fh3XPT2C8ROyHZ627W7i+aLGJqzyUDEt3YLXJKZpwrO7wYGwnG8aR ZM7MdYswBtDOAHebpvwmwIvLyrmoyRex3szKEuhab9OY7eNGqAI6w+POzDnRR4W2W0tLm4/AvOv/ JgS1DEZ5xEuSsTuJ01aXYwaJepudU1+a926uFyxMkNuJ0a6B/iejaZ+r6zULTNc7UChqw7Gt0mae J6zv7WhO+mT1CuK9iDeX8FIIQgRKYbNwJK0pcvCf6NxI1AgiVi1IqumkT04M8klQKdCfCdZAyGYE lTUqu+x6XoWouyXcunYWzobrjnnj0OQV+PUINgWRp2Bsq326xrwPp+ZmNIRj7SbsyB2h3DYq58Kd DyL6FvLnZsqhMjMxaPFbWMFM+WT4+rAfH0dUaT/XvdAQF4k1u7jgXG6f/5hxRcjhFaR1TmnYkHRq bQuEeq+HfelKNury081N2gfiAwkEA3lg5yjSxWR//tqyNJq0ZaPLp5ETXrWzEn+JemPue1EOrJvw Jss9Ih7yl5kgcwc26MzHhVbiMWVJIPWGK/yCbLx5mV31dPlQVcfn+01++BfDJwbUP81YTb5rlpQ7 M0W3sH+CQ07aSsTVsAuD+J09i1w5VuCHdktNs8F6z8QxHGmL4T+giMPSrSQIStzyCvtfumrM+F+T kXExlrjpuGa0KXb8CEJvIsikWzb27ZyfC55+rwi4juLMyb1uAIXlXMqOVbgsUURBRpXeKBW//CaB T/ib1/Wq8WPWBgR6mMAXzMJsV+SJP64SHFY6Sh3Esq9XKTl+P68+7CUONOmEZ68RV4a2+ZYZh2t0 5HWEJ8IoKy2AIX+95AvGPyq7s50fXlhNqplE3ugM9PeFsMGn8zhBijG7Er9yMli9OBMwWKAb5MdW BhrmZKNl0sLR5agDpK9DfWo41VGWNngmSWcXYDDEH2jJShufoY2Tz3YDabU2yIyZ87jSvj+nSuuQ bZn2JNuBrLS6CgNms4AIy9neYSBZ7XQdkaTzrPYesI9wXY6bWvGBbewsj8GOogAqYDk7KpLkJQGU f9BuSdjFMe8O9awgurEbPtoo5cjoPkO8Mgb/XB1KQvq8zZ5RsQfMlSxaLXTGuxwsS6MhBMm0LKtN vOqGwKCLLNSgEPfi+dY4oq0akgMtwFg0IUTBJShmAlKKm07ghfzIcD0djxxZ5aviSY0VfpUUNmcK +DkibLRHCl1Io/PP4DkcNjjAl6PVudRElRKtXfmP2WWi7xooEbtfOdNw1INj0VNwJinjjbh3heZF wvV7N2knTbByceqqtm5h93tSxx0bTswiUEnP8Q+LvtgAbaY6/O5sErzcqd+Z/3P0JaaYqfbb6hsZ /jD11IjpCyL8PoSWRbWnYCc7ZskfS3B0arlrqabau5aCqJDkkf1raJdbr9wL+/V/R2Dz4L/I5cU5 qAc3+xWuXWXeehckeg1lJ0XkfbwPAIIJFnHGF2/l9qUBkMF5VNshG1AGXD9FcCO3h7ZLvBsb3fNY KFr3TcpxDIXC7K/rAWTFP1Yp6bVDwb/HRb0gPXhXsx757pkLQI2+0k3+RuFyStomEk7JG814rIqB 3HgIfn761nq9YeSRg9jpu6nbDMyrGBz+CNOAho+wM47771uLSclT5glfVKkkICiKsD2YuhkWTErG 6HvEpq6k6rxo/5PoaysGPLRL2/9kriZMeE0yZKbEkKvl8YdTWilAKmz6W0apZV/TzRJLWzV5haXf dNxgfIlc2PBD9UG5sfsNN2NDbHSoglTJAPx6tYixbMHV0RhNNzDHwn96Dxc/R03Gyeb56S0P3Wlw UyrHbgDSPC3TnhBVMNu24WTsYc6UsyKqoeStBD7Qofw7nAmhNLQPuBju2THW1Ax8TXKmlGWzl+zD IH5SfKR8MQUDVwqwtuI5z29nIubQ9Bh9fVjVkOAu/VdIXxJKyHcD839f29wxpivGcFSs/eTHRXkS 4WYc4KDa4fbTwpdpI13g6ZwrrSpGWXxo1e1JPBeKbynyF0O9tnTkXUhVVe08VCd0fw1V+K4YlRzB XDhVLJii0bRc2Ps7Yfpewq/863pCu/nDFQBU0A72dTAWFBTZNf/9cAyjHJ/sK7UyBEaQbkuAr1G3 B0yhUhM+SRAWbC/1jTbEhR5IVHs0W+3sB6e+WwlNtBycQuecGby2MbihvrySrHfeN5kLs1SLvVQO Y8gUGkAGkIKRPjRTawxDSdcL9N/lSDeNQYy+Krd+e66DvPq7vr+VMmFezgXJtNgSnws3hzYbzsUE xOHSbx1JcLjbIYK1Ll/nu6JJb00WSCf4djYnnb991d4eXnH8LSGZkJxkWDn9LBeMWojwlApbbvOg GSNrJYYsFKMOMVFr7QDRmdxYY0KsM+FaunrRemV4D3snP7FosSpDJJlJkFYgXHW9ODBkjSSx2SdJ eeoy4lZ++c6yevIUl9hhFr4IxmvAFniktVzd7RneNctIm7Bsnb4bEXsxvueaz2FGGP5X8EG1ZD5o ETwhnvXpc8wmb/yE4DLxL5cCw0sdiGIXRTmKSkvazbNo/Ser0z48Lj8DJi2UYgl900oFNbWOjKsl qITqo75c2z2PhixaHxOqHeIZqEbYq+3klgk8HqcIJ4uIKKiCQzrhT6TBPkUrJcWjcYicPgV9OyVw AxfQrbIhPxCQote4+z7RgAJ58o4J35KUJUMfKXTwuTyT4FvChGSbXno/Xt7qrhOaCZ1xibrDQr1I DLT+u53OiDpCODbVvQMAsTiDfaHBvaxp2kkroBSHtxHTeUwJiYKeO+2AcHTVec4llGGdCqARR72j dVkdJGzYte0V9HZUVgjlkwqlrdyGxrT0jeZciQB/E8PbyGiQsjm7V/Oz9ZVjMub7QPR5SDV913Ns 0Xs92wiL6kpLe5lx9hBbD/ZXvO+V0dmkH8Rr5cyN8QkHVDaBhb8/umsaSDowWyQVac9wnSQcog/3 +8bamqGa1t23leSloDr3RuqFXBfoKqi27ZNwrtbDG5UKfVHyUsrpjsFQhDQ8ciHmn6lTzegwfimz HFA7yaIQUfC2g1ODAZ8splAlgUcwaeQN+v7Q8ktbnfZOQayZbQQEG53+zIwr+0bPdJKKIrC2370t a+xZKPbD5EapHgvf55D0tf51cguOB1QB5IfNTq/eLKp/zcI37Xpi4d8ih9tzxOwFfOQqjwYC9dvr ZNI8+A0gp2icU5/Knp4ISAopbgxOOqM2Pj4A/UakrHOfYjlnkCT21+p48y5lbD8PIjFtJsKC+MIx 30LrnwF2zSAsF3O1D1tk1hgzQ4ZTzhs8YqrGO3GYybh1kStib4Wcu/C4TbDfds2oPMRfLO9JuOOL EbZo0WQZ9Zkl8lXzZ/FeK3daoswFfUjlozbjgc79LOu7+gf5c3fS9Pw1kW0UpQei2E3PdTfLqO4e TYfSlwnUKrmmQYY17GlDdkK6V8reGKLKtslW/+zDvSAGLHoERtNBvCCuPUFOKcqLeuScX9NxESRX 1yx8Gt1UBQsTRu6eRoHAZJ0fwvpyXv7lu24gBGYqF5ECjg0Gjyjh0vlpK0nvytYIXfDqFHmLHZPI L3ezaIrZTmLwjiS+S7hRQyQQqdVb9MFZdrBovmVMPhKaCuhkV3OdqLhRO9Vx/F2mZRvb7z079ZbA xVyDcFlHNiQpK2h1DGAa86YZH0Ga8uU3lkeV3QyJY11HB7EbAEo0U/ir4+co3uvepdgyfvKr8m51 q1pKZYcogjfZxkrr4Wr78LHo0mWd0g5vjpVJ+4xnvrCGNoXh+OgEJKcD/vywLKFBLINr68gPJpha sJ06yJSk/WXJH7VxP7hVuZZFXnSsobS7eYe2eFz1qC9BOfql7IFpwtC+NG7EFbqP4yp0HfOjR2Xv CDHzhaeJ2mfqZdDtPWXJt57eHOvUq/+Hrq6C2WLa8rZ5mxEc3muTmyrFVJl3p76St8/CaKgwsCe6 d6Qiy66sH70QTUnyYwlRzMkTDRQbifouuKieUn97c5YPg7V267/6y9Q4U+K0VbNhas3IL67yQkj2 zWe68eoFkeUvrmjTw8tyqioW65qUAf+LiWAH2e9RNH2Gs2IRQ7Kwocbtzq9JK0MrhpB16iUTaiR3 moV6ysi8TO0A1dRbvaocHoLKfT6zTp1xuPAZrpe866Uq7awciDL6TKWpm46w5fid9IghqF2OAAQE fB31dfXhtEHCJDIvQkSRYVt3NGsj/L8cAju5Sups8FUEItrn+yL422siFOfeCEEM82IE+xaoUclJ K5IsBufRPp7LIgw5f6/7liTfObP+oSStP250BBCKnl9d4ysAKVlbzyifSpkR7TB12jOdTgZMbFYB seyVeOm9iVd/+FgTl7OO3yL6RumVdCIgA+VXovATqmzvef7QQvGqrK/Xdczb6WK4MlNGFQ7Lv5Da Ls7UgrfzK0vzpbV8d264ZSVtCHaORw+aPoHy/fx+9s7f/9ODu3mcdLtMExvTSYcHezO1hTgGgSbi YQNuqyWtzKmXclornBrGdUqY/82NoWkshzCOtNKEmS9EbS00W4ySPrYrlgD+sq2egzg+NNMNTva8 d4pw3COnxAnO3IY9mX0dUrxrtUbNMRL6gVCC3b5QaiE/HBf+SEE1bgshBMCWddl3jKtv+MEvOn7I Ai3BfDfz8RvxF4iuOEHMFZ+GLJRZYxdRdHgSnU+UmyNyaI79xGMTZkHh6OHoZSEYBNdOjUwR2B6Q C5PyH5PW3ZDryw0cCKHSTuslA3+O2Iw0J1GXLBA8d44AOveR4Qkv5qB9Y3s674DUmZpKXs0wkHyB 7pNVcYkb5iL2FZCZNCrtUTimW9waTPcOFshm+An7mUNSHd7Xkk4zZ+VYqZPood0Pg6P4G2amnhby +ur7xpY78ZvoxCqnITw8eA6MN4AftMqUUs22yAW4ScbbacqkHx+qGRqAWCHIHU3nqG94GRQnCH16 z8YhediKtTR060b6IfjVfKoNxMG37sbdIbipWrhl31uU3Puk/mo2FyzslMztV+cjGARTjLIy8dQM 7z4Mc/ZaWKN5bb8ffhUEkNc3Gncv2VBt2Qts+J5xb37o6hKBhByIbKGh80eW4yf8iC1hW70yQb9v vFSyF8TWUuD1uYjx8e38TSwRSSUlc371WYZCnMp8e6jHckoQ6UP7cT9eEZ8k8ZrFZ2xcz+G9ecHS 1p3e1E18uTxvSdyif+wtpaNsiW32gdsZJr7tj1eQoCr38mLTlKhS2nwMd0m0dEb4cF576F2UL/nK yBwvlgDKJ/OIxL7mpABirluXIagPSAsFndVfCQw9RH0l9RHehGaViMJBUArDFDfX7IskPhBAFvnU Er3NRIxYNk8UMpq0DMjnijzUPAJA+RADSfBRah1uMQyLgdstFQnWYWPai8jo6Qslz59gw8924Hw/ NSS2SEMv8WImg779jqjEwIRWcKi5bJBTc/lumK84vK18VV65Vxuux8BTReqZ1CcOFOtQktauSik2 7uJpiGa13hTN/L6ZXyKXWO9SiPVQTDkZ2zIriWIsYFIS1jBDcnSM+f0Ph26NHAyTcPvs65XDrW8n lNf27wl/NctN7OjMVqlirmR7KHvu4MWjCYdViCz6pZaoAo8ajWqnGrqFtKzol7QYSNBtkHyixbsU +ePIDARXV6baGlEMmv9bQmh7F7tV8glcUuQYZ9ajLYVCDaAJ7aFhw2Ep8lI6+2+HpFllG041lTPz FbsQ/mbD/MgMzlavCm5eiGVVbg4fiALdyjs5CLO/M6oUMoZPptuLeFK/jYNVI3ryq1nKkgZJ6KDE 3weAGmKTegjyTQUm74Zv4ynrfF45nA614uOaGQPUW4S9YmXFuKukfpcKhMtsysQinOrKnABcMCrq qKaAnRa+Y4gKASYBVSGwPOIjuC+7shJf+wxEAvuJPvyCeHUd2+Aev03DtiBlyM6ltgpcnKtbRc2P kD6U4gLIQ2rObSdvclNzVGdl5H9R+QYmXDpDfsbNYK9GVJm53JR2Kczst1vllGMCGgu2EuY0JqrW ICPersiLUSmhj/8t/UPSX4IXHAYLoVh+8NKqhqDusP1qqR7WRKXHcKO+Cq7hrlwkvIuqddjwbX9E WGIimw3bK2/f4C8KR8GlG2IE0+UaKOpI5Sdpg8rOIdbT08KK8EDcqhk24VAg9Srf0iDX7hBz1i+q Ocv8QwF2a99GJJds91vWzbDInmRE+gtvhP3oQxWjVChaPjUHaocAIc2RNU41Ow687bXjMYeuXLm3 dV5MKT6AAMrWPlC/iO7hqH3bNFBocZTG9LhRzI7l9DnaM9j1l1nb2gKaIN1tuJuyF5AiMpuZI0SV uj4G8jGWQYFhn/SVxhHrln7s00mNBfIy/iXzJi0oZqbc7zqYfrzec2PSi6dMDYlQA2AAmicoqr8+ KXC0n36Rkeh9CxR4fzJ+K3NzETvjxiZUtr2Y2KDUpqDRdbHIRQ3uQ/e+4ijKdlZ+GTVUgblN/B+2 PV19ni10Ho9GoyJBcKr0N7GkdEL4bEY4lPymCw0Ca7KZBA53vFWQSeuGtybpwOVkIeVm3zZ0dFSL W3dczVWu+L/qQ59gt3uaTtj7wr/UbX1qmvaQY65gP+RPUIpg/DzaksTyI54eeLj258AbnXIfcL2I hK+aiBBGHs1xkj2j2KRos96qNvz4tlbDqlB1jxWQWU4p5aWDlayNevmE7d+ldNbgBA4TqQAUDYnE yMcoeb9DtLUgiii0kIVDvN0g+F0woGdzkIYODhvEZGHbZc0hK57SnHi0zGjlbddWIscqUO86XtdZ lYAk6Hfr02+M52G+sSVKPiWMTXNZFbwHxq+HVycLu9SAXALPTeTKCdRo18pTpO1stuydVwzpidPt 6TbJQsoYuux2dNfiKP9PTUOETqXgOokGF9hOmJ64ACgiyMT1de5mInZtgmWo09womrwjAeQRph7x 4Z5+dMMxxwY2b+PbF0BsCoOPW1oBhPUO+NVE1kM6gRBqwLaVgtMXUlunU6/y3JoJ815P/NvKiLCp 8qe9WKnAevuYsItPgphpLyoQ1v+K5YYMrbr+GZHA/iD6VawDHVmHZZDh1G8+lQYux270thp5yrV4 SCjrshMtzQm4qFrSXMN+VBEJ+Ba9Hz4942HRAaC2IJM6PR1dHpBz5jPXOTYsEq61CoIprrN7LJdx AEPSWWGtRpGcAMShRJ7mbe4HcluFOeydFE3ZpCcLmlLFYnkXdpuhXn83cUaWJaPGMkmk07LrsWJN JNPEgOqd2OCAz+3NBNNtv84dFQ9dyfhYE0+3M6zBD2PzMNv2lvAy2Znq8K9VClhL65b8Oa1nDJhS hWXPmrQuLzQRpujgzjgilNKxjYssIPYbkpSQPoWkznASAYOivpJH+BAZZGHNBPk41tIUB5ekM+8h hpzQp3NEl4Rx6RPJ4wlNa6Z4VbwnxMHfbRAMqThXB4iIUxfGPG+la3QsRtvsduNc/mlIPCFT1vlV sH1Bg/uf4+VaCADmVNx6yDHSbhvqNsesk/CXbAk8oq7FQgknb9Tg/P/LIAJDOLvLtACxASgcpUKs MeTppGQVvRET8++UcKrTImNPEjvHRJbPa7NKMSB1J0rrs2EgRNWyNNBAOU0TmmmWjUmwOupQTn1u M5m3NcBMtN3X8xs7UYOJySWtTPSfLLrpWdiohkS0i6SY6inokQqBC/Rfdifu5lW8pRTwUsfMpIHm NGUewAWzBij+/KT4CSx44B3P+/euur7zP4W5o5n5tIRLAnlB4KGDNg7vcGizWMOmciJ53h+qCyUQ TPtVJwcAsURr8/FxbINrn0O9sc+lEDNuOQ4ZE+A+CBiZpjW8vKByOXowdq9dR0Wg00hgj937GwUy bF0yG8OqQc3Z5TvZyJ1dIUAerSOPgBM62SiM/X1w9jUbhywZrFDqB8fX8/pANGHcM8WUe7I1UgUZ 2+/DH6zNVEBOB7Q3LZE3jBgf/qnkncI8/XR3zsqYaiZK3Xb4PbOulckBiKlzUQNZ1uc9i1SoQ72u BRzHNFTWcjgsrCJT98YOBjbsAXVoCU4Sn7K5CHGCv1//8a7PxUlKl6vpDOqh2LVJ/1O1GELECFAr 4P9eezESH0eekIkXo/Ak2yQF3ahgnOwNG6puYirmh6Q8j+BvOPG+vhth2k00sumidP/A5BAE+eC4 RmqnWVmaAOt959Kj8DxFemB7MCtv6WecxOiIPib35aN5gnSdRyL4uJiGDfRdimm0iw/g23sw+FNf LtSVSJCvzJ3jCpTteGJiJFCZM0K/zqmCRadwOt795M5uf0HCl/nV5AVwNtp1NKqDhT/ZX/VjrpYR Wqk3n6GvsHTtEhIo1rIgULN7Nw5/oaGGywHRvLhfsfkM1TcN2p6DYZScW1/NQ64wORThFIsqBD9v pqAk++QHd9AEtsZsG/AUHwagBwoGKGH3qL/vdB3OtT1FFcGEgIk6RKpdHpgUn5X4qvB38fBWVC8X 9Axh5ZRlCJz2wBSi0YiqeBio8e+7UwQkgSYfOGhaA29nVBezJGOfAoer+0Fh5fsOhqBjx3cxvX1h W/KVW+Q6ObHglDSTsxN1mCeRbhGtkaI3m8B+FclXw0UiEYwErrYamMjxHeNOUp8t1FEwrSkg3Ibk SfpIjkGApTrUCWn88VKDmD3l1xyrlH7AAh48ubn/us24s3gIWLFOxlt9ih5h+pGUYnPMOQHkg2pJ AScL8h6LU1vik+r7dortq70LdGGmXmncBH9eKimdxPo0To0sgiYChjrrpLGsHb6Q7g2aDZMG5xvy JAW93yxdpjbh/EmXVi3mEIdMwF+OS63jOqXo8O3n27M40ZscsOzv/MKPyd99sGiQ8SXdYO2drZpL yFfn8rNf0Zooen8izDzTi5UJGi2YHX8x4J6dn0x89tLglcwn6mPipcSXAS4qBBwWIK3WhEkN6+Oo QXIPWVDIp+rM1tXtdjgwRK/PHf0UY1x1yMgKJEd0cycO0K5fu5h2dgAEdTx6UqvdcFS0kjKsPsEC IPGP+X6nDiVutoNluAa5a6zfQ4cdGv1e7OeTGSHxiiLcgJZEXwZP93iCshVMLoH/MIvNz43VyLvl BtOWunP3N7VA9GNKIfQSGlE0N8lAKbKe3hWk9e1q4VuCQq8iPpW5raCwrcd/9uShryWvyzznXo1e NwpK1tPKml80Y9Wg83eKLhq/Loh0/je2ahAIAyLZjl2AkAa1iiTW8gOv+JWbYcDYy8kcdDli0b6/ Tpz8gXh8W4nXs9aTcYziWh0fwTozjFxgL2UVFZsz3FT/vdbj80DEJ07vXuYl3RTRCiguh2B0QVvE uUZ97NS5Eeve2EIPiwT8daReOa79WenhvfVw06swORdc0tSfkx0IEWCn9lwsnh/Xv10y9qIClVxx 6Xcv/Y/96J+JCq17gIeuTEzqox0hvvPC4uqwXCLPWKVYXPZPu/GJaJj7jdJqcwHypbWar058urFj g453Qp7hdTkqLtdWajGKovqOd3yOS7R18w7yPcIlpsoFgrJCFKqHk/QiY3aE5l0drdGnsp8KQSWx O4XVmbabdSQonXG3G9rF3LpEyYJBqBBulGqgq6w8UJyAzHX0D6RQioDqdH8agOLY7EBnc/r2ZvhG qbSQEZvh5GxBQk7E8o5dOdd1qj3Da61gGKzWqf5JR6sINC/5uHQzk+GxPKO+mFNn4CnuEDAhCBZQ h7BVYZlfJkwud0WzEcEF0VuGPwC+oCM3tbC3yyFWGeXd0jX8krTH9QAWqYDTPqI8OyeTYKW0JQux kBGqYc7KHO1+iKg3hKb7rfRtW3/ayQQVtMlODsAP54jpxt5TPz7dne8a6wsb4TK5ogSQ25T5uqA2 NkK0yJMjGEu5JHzn0EkE35uLgJDZFA3D9Lb4hTDVs+VhM7/ovniKpFEr7l+bgwZm/pX7SHNP+xSx JAgY/EsS2F9leV5OgfaVYbfyvDJV8U3yRFbeW9xVh3LBEmeULXbYCHq2Dvo5+FYj0TV10tZiKyda D2Y0/Qu1mpQNC+tvoQ1xNON4bp6qTOvZ73JXYYmARofu4QOA35bFVR1aOwtiLzVed7HbV2cpWIln 9oPfn1BOO594p40Wwuqt+WVJWTTW/dObAHIX2gwjNoCt+IDZVMeWDKFC5vc1frVBS2Rj+qRdFRBA Yn3VK032wGF4Tv8vjA6d5Au8iZ2tqOcul8hJdL1zm284fdJ2bWzOwqwP/H+5EPBkAXWgcmn0mVIg 9gQzc6/zdS6taeLGpG8YSK4PrWBuo5wbWPyebX+47Wlkw9ljFqYCaeZ5/Xa/8kXYonQXXBBBZ/XB KfPCkibLHR1Ld2OzSN6Y9JI0sT31XYjg85iZAJvdyGAlJb6/RZX/AUH+97AChX+eAQWSy9I9y31x 3V/xoUsIcMJ+TGQAccoNzCTRukaNyeY1dsQ/9SxlXuRNVtwhkBk8U5f29+tZDIlsxTEgSlWaM3ix rRmnpfssb+wsqsXqT5+1FyDkv5BEOGiT/utz8wghp5frLjzv5aogHH44AsB8wvXx3rC8yJsMqvTv fe/qyfVwkyUoCXPDZXez5xhpnpXuD7yOl7IpeD+XgyYGAOCW9zxHgbwBxxJpvE7ZyN6eRUAR3Pgf MtI13HkHtpGJq4g6oTqvQua3RAQFl8U4e2stIEqwdwQIwXFRStyU9P6f/DYrPA12LS4s7B57KjBZ xlC2Zmhnjhgr2in1tB3Uk9gX4e0pMseW15e753mtj0uPAh2QImMJZA0lO1vDtCp84QxVN03NURnS U4YQ6N1/AZjZv/25WTCYhNt5psQhlbo8fhv6j78l+5QFVgXq9zQCNPf/lElN36GyJCFklNTJ49iL hcIz5V9KBfi79fNmXJW0vWvm4OLwxWiLCExabT0vPMzAOvE2vUsyAki8X+M+oTI6HOVxpPK+ZI19 cMa4bqTyvIoxOeqbo3lwU9y1t9n57aJxzwz3PM9Qmcr8t+Z5K5id4TwxoCX9JA8O02N9iZjyxf9u Anz55Pe6mU3pMWW+uHj98Fgn+MDNbvIEx4uICiU5T3CT5XdVvU/JOMdj1ZtdFEeednpILwPnKoDr k9g2B3pGQUZ8FArE2BjJHsqkgIu37Flt9itwkQbZJsa/6B3VhJ4isTX/f8/HWOo7vadjWBPk9E0l K8kSo05eQ//v/nNB8AWfTkNR3YtPyjp3iJ9QSkQDOkTiEtSQGP5jQUmggp1gkTvzqAfLtpYNJ8nT bb6PpHqD99BMJ6ZzWQVeIMWQaNing+blEj5LP2t9Q23Ps/wNEws0XwiKvYhrppW4YIZjZLUZ5n1M gU0wbsc7xd1/OsZm5bnTiYi4Zfx3wY45hiyfHavBsoGIJcNBIuKQ/vVnsZ8MCalpN702gyq2cNA7 i6EIXbPfm5mEDitYypfrzQ7NeYqpHzXI3Uc/t5k0zI5itR99c9/M5LtPfBuYWfG9Vff3Q6z1V6ll XSj9WqHRD8+1CQEwghVylYFfpe6pYdNxp9pMxBEjsgI5Ar9w8W3qcsAa2Mr5+d9zypkypj872fKj IXHP5xw+4EIb4deXKB9eK8IiW616risB/ZK4MvVfvSaD/8EXqHTtUwKbEyRSvCb6Xn8CisVa2Iai 4BfzrqvnxIL0xvA6ag8F58dxzNuSPrxtQim6t7KVAcYLGr8leYXlgWPdPM4zk8qox4woT25MLdUa tOGwMbdV1fypEmXP8mkRaWEbcCHyOkEg10v0jedy5FUSsinlnrRvAXkTGNzPRWiRl4wVrMuKsKfn cMRQfc8sfJanZ4DvWvA0Bp79pTe9o2obcdce9zvQDfrRBmYqUOuuWiinXjNdeWDbOKexkSGu6DuF i613G2QOGKfeTBWUW70GUMTEKcWkCroHA3zAaAsgAydQU8J5cgB1zdZIvKFk/+AmMDDKuTrukX9B fdGTHdrmfX2ABnaQSsq+AdRv6iy8fmDaJlRSrTjn9aDjMYNo2MBfkiNSzUby/RRBqADvTFKirQOk t7uIiC0kxoP+zLMytajOWbolmRxGz9zw5IQNDpW9F/6wD1thMCOhqAcoq7DL+D4pC4SB6AeAW+xw XiGdfb6STI6IFZQ5KY3bg9WeI1uXdXHf69dZgTQfSaEHQWECyhs55T/y/gpQVmnb3rIEh7qwfVCZ 7bsjvc32SwsJY5rCcZ1nEuAyPtFXu7S3BZSvW4VUQuhzQMeVjgJlWP9B7kDF/cQiLT8Z99qSb9GR zyWIvTTz6vbyQEvNG4mDq5DpfFNM+dq72w6JZ/ekXcldQk6vWt1pXas9qIWSqnC+sVKkZg/jAohI 8+X0UPR+Bt5eP6kX4E687JVcyWFlJaNitywVJh53gq5UefPylVf2ID4oIGUfsFkOsInniFaVvlXq CB7eK8WkZoQyQf8mmM4mP9tRt822ZtJD2t2gkLQdZ99uG/BrEI9E5DBIRFGGV7L8810BXLxd2E31 pljq0GUUCZDQNrpr11ZOTnSOQ/v2/FaSkebLZkOzx5gcrXgFfK/hWByaLdgMTJF3em497H+6AY6E hxYsLZrf9cd4hoHqXomJu59vNBN8Qct+E7DpwVX0ENalIsO9fHrCk9nQuwaWghmq4qTpWooVCWBc LQfIddf8xpsWKP54GCSu7Tt/2svGvX9kW9yPcU7rDOq1jwYkRQlqYCar8qtsdZvCeM/bv75Rw8gD rT1b+Gb+RchVZtIwh1s/demx4dJbYvaDb5KTSemI+/lL6n3EWE102fxm9qCWJmESJHxPT8O9naOC 9mUhaM3h27ohwqQyVcjT7TAAw3VU5EY1SwDi+eayhfBkuEUC7y893oKtgCLVwHxvxmMja926Higc olrBBCHDMM+nFYBeQq8JnD0uSAbXkOWVYOhSTsRWTEN2ni/Ic7u4TuH4yI28J50IHxTJ4/1ipmEJ iGj1lbpcE1kQddsBZQBejTZBwGzhnyp6C3Gm8x5d9QYZOeQaarNQujmE2gl49KYhI7AzgHNwPJWF 9nM2WDty33rcVEjo6lrLtV5OSMqU9SOT0gtr/1ae/bs4hWGq9L5bbrmfzOUcLI18ycanwUCc/kx/ jwoDoayQb1OysBW4GP01/AhEHFVVvXIlAjO4k30kINVJexMiohM2R0pyHMzD83pboIIQyh4rCcWL gRD260GZ7tXvOuKM7FO5SIRLu4jCMBADxmSNCKe+jAR0cQ8xWx7ma3dakdarcYyx0LvhAWKpKU0o OX9L2TC0Ujt1emMSZT8G7gRfVpuWE5y2zYDyvFnr3AnZHYJOJKV/JkCTHdaEvrUfSTh6Rx4xa0/L Bm7OY6+WbGkaCp0oMPgjfrhIjtwvZq9fR7+WnIN4tHogUmBvmD/KCPRq7m1DINOrgJfkn9dT8jsq EJeLHNKz9YsjU9e0eS2I/UEqvpNtYo+ABRFi1sprcJe6KYzcGaWg7/FOB3nygxxMdNbrb+CpW+0u mD+EEULwMIFqWBAuPMpUaR37WuS6M3HqJU+CjGZ8Xhj6t3SG9XXOcN5Evv+lvLDo6H9XZHeizjX1 sU+R4ozHFswvFF3gzqmYQycG6xRzSmlBWAFp2VhkdBCc6aQKeWHnddYJi7pZonMO9AHr9OxeZRq6 HxkZBe5AF0PaYmQZcpbU/BCQX6pketHeSMkwEN5mqzZEa0+gVNopPE8q7hlyo9DpPCwj52uDRjc6 pv1F685Mu7YD2QfWaTcivoXbrwcDWNF8l0ct4+tXk62TVoGiTiwgCTNnlHuK20jiqtCr95Q1dlse XV6mjRZnvH+WPRgN6KzdLO/WzbG93i9k8cwkE4mwWu0xhrbTlKILQNzXTmHThbdHuRZiLGZJdVwt yz59MQ/le4pvl81rm/9oT4FBWoDzl9Id+OcqfEQvzrZNvQ5X3hVnn5/YxNOUlEwQBTOFx1BWXQZc +tjuOALlORdPbdBvgdJpgTSIVsc9nPkp27lDnEu70+B0Gbtjv0JE00cjBm7Hm09Z9uDPEKYT8Q32 WjKT0Hm3B27NWAxX3jilZyFXMvfymirrmPCZyW1iZQUSeTm6mCnkI0mAi9mDZLTs4gYQ0y/qmKph UjInU2wwdb8+qllE2xSJ9+PHJoe2cChaHpNewbVXKtQbS8nZDYCeA3zVQY15zsxjeGP/1pLehtKk PQHM7CbvL25HLUdTtsX3OE+ixnnhuugcMyqIzEq+CXKHEHm6/wuWPblPSXhuvg/7QwY3EavFxPsc LQ1dLmmebUZf+pkLmHB6L1FjUQECiorDqjNg08IdidIpZ3x03a4ty0oi/aRFi1eFiEm2p3pBJutu QnQw7Qccdm0hpvoDf+3uVVWEO80Yj5Y+f/gHTfzRVxMqoSiic97tBa+B0665I47H21cJB1wyug9U xtLVUhivbsZjppNOK2JH6fmQe+3HA8HCjJDTYC3+YrDJb+wIuiWYw9g4O0Sn2IfcF/D/7+LbeWcf dtLF06YILZXl6+zn6Osg7k9S+tI24MhTeA05U8Dyg1StEsYxVyMnzNAArAWUQg9FVrtvlApknVQD FM42SxMW5EQC5D60Qe5Wluz1DXvU4ZNXE0RlJa/XTGB/dvoqPXTpjmM7Rgi0YxIEy+sevFpeL0aL eBlpETJ2bZNY/75aY3bE9zfb2PuGOsf4uhjR1rlc0NLMTasGQQ5+GlP3u8DjTJXMM+D8u+pW9Ska gT3qL54r1zG3pPVjHW35mt2N2pUNZQYgF1ZYeHMznCkf1IoPxM8xe6GSPEVLrgkUT5uVMJjPzYTX EGCX6p8vlX/SJTruruJih8iXyZQaYsSLbUHDRyItSHosePGdqzRd86APAEN4lJPNlI2C1RxYVXVZ NultOoC17EcIebNe86LFPsMLDczfy+ZEJ3JCNP/fUg5+c0B+UYQe8Zp+tfSXaxCvfaAfmhGDi/Qm srQZXF9hX9GrZJJhaMmvGfU2V4N/e4l838QKpLSrVRgdWVzaEGfHmQPanJS7Rj+pCS3vudoYtKkB KYMVNAze7ck3K/LpfQeRA5vWeEjT7TLn2Db3/2Pd7rSsa+K5wE2w8fRcRhblT9OKHd2W519O/EYm g1VTdux5iYzpyqEe73iy5IISY3sy4lHqVO8rJVN+5r7jsUjUbi0YU4TVKOfmbnInqybBVlC42AQx f8vECCDBBPqRffRLpnTQrZ3JYbN8Lo+cHHFMwTfUAz7n56O6Awy7tSN91ADSqC+zO+Dkfbip98lf +AkZajSny9XZ7MN2ELPsv6aHVppB0G4jMsBiiVkEUMqfyfwZ4LBjZErrWL3fMHx7eJyEX1jprkcP s5EN9xTkOveCBb8fa/9P4LD7WT2dkby8u0esbE86PFjdjbFGK+GTUR6gLcvMmYj/7L9j7/4Y4Hj6 KRTY8AJhbZvdzxeEZ8PGL/f9XzDyxH/SrmGC/J36VjLKMJAHsubhq/YG9iZDZf58Jz8MtZk768jb /CCfI2Z1XXqZK6odWJIVpRtdJDTVCZR193wLWk56zEQJitWo9o4OAJfuRaOQdYWgAAdcNRzd0tC7 bAN3ZyagwSAQZuw3l5I/gUN23nlYNIEG4QtOjSiaGnG7dREX1/0TejtsJihKth+6L/+rMHdP+NAp IdR6iLpRbcPHA/vTbNJZRfH5iREkyWz+sDmIescHGM71Rzt/mmUNJGEonEa9npxsKEwO+42Ev90f SJzRbBCN46Z2yawJP1xjtXIB1imTVsw5HFoqsJf9Mgi2Huwcc9qKGS4eDRyctkPwT0tCm6xwaBhy L1IgZ+5pcJEKfhBugzbe4NNb0I1V/+g5rIdPZlYpVBTE5Gsm0lxCeSCCeUjgNRR2iMNQPrG5ijfz xS7U51eVQ0kpUvD4+36sOr4bIhcOUytcW13G7smEn0+R89YjGNbL53UYTYvfZhZoBEHKEwwbAqbS I/RoXVvY+iSM6kl6qhDr+ajq76EbhX67U/H05tPKzshwKdPtwNs8EiNBSNl1bIbVQL/VKy0gY0Z7 zV3/SFk/g8P831o1q91vwsV2lKl+nAn8A6G4gwsB2SYDD0wByQQJ2ctoFLDQis4dc/JBkxyN5aTJ +4xtmUaajEH+uErfVeLti/77SQ4oP/IMLkGE8F3xSuPmh/yyisO100lJa9Fa9ZBGeHx0vmrakImU 0cV9K89fvjW5JYgKWXlKfz3tPjkR0dPn98LOKrjhHFIqkX0SWbO/PbU8dHuiz3F1TcS9TcMoQBO3 CHX45RROaEoQax450UsyPQNECCNWaaJyd2oczzjIlewdeYk8e+UvFDABwL5fL5gK1vn34hZMp8pR pzZil7Z/oRJdBWfQzHRDF9zuUpXEJ1Q3b2KQT/UrEdbyksg0q83NXXIwQzO5Ii2P3fNWOpp54Ewx xJHoMSZ3uI+Q7Mx7WJ36lc5F1pyY8eCqWlTo+aZY5tc9C2E7vIfZYT1ZK01mZTdogvh853qpQrgS U6Mmuc433K1PQ9aYsz6NJfjC0imjmVySV/ERht/n+Q9qYJqZ8B0W3LR+e04WxbTU6hFJnrNErWGy pRLkKeR+hMP/NfabhGAbNcymEosq2uiPPFxuVdljobfngQIQEIVJTRnk/B4li9lH0rcMHdez58LJ IRJ+56hJchz3tV9gMGLxFIAs2Xj7U7EkWXfttr17sFQIUUa/rP/OnaiSXjnGUfGl5EczwqBhOCih b/hnE9g6aY6M+xlRSgthpGfWOfagbmc5J1FZ5DoPuBm6/r1IJKS3iG3309G938ikjTwUkkdyP/os WCq4Uym6mj3MFALcxxvT4asEJhMmJIetHabe1jyEQJRo/HYijGqCQ6LTvzKHrERIhY5QT1qxPEOt OlGJQxCUYadzESdQ4qWXg2jg2wfbAO1b/hxyP7gDdPNFYN5ZunDv9s1q32ufI0B1W0X0tsdbzGwq iWaFYTVCdDBVh4FgEZcoQ5MB9cVMrdOEF3Yo8MsI1OEiCO58ARPi9s40zx03yJhYFPSArYiH+6TK /yKg0nkA4ydAeKXyPSQJiEfP5k76nomWZ5vt5lDjeTCSCJxNnRB/MO1pTM9uxYsLKJkiRPqKvpTe 1Gc364DJXvT1bDmAClNZnrn9n/T+/lzerInqpGg5I5QcuEwhRTer4drK2dVZoSQ8+igwP1vlEWNw DSwLYWsTZ/jYuCjPsRT8EtONi45TWg9WyNX7koRS6DCYDNF2j0slP09uCaetzCDNSpIAeF5DPr+L 8Pj0KuKtum5WIz+v4GAyE6rulHGIFzu6xGccJnkHHmBtqG/Yw+/BWg2rZ/heMvOTpN0dIw+V+WYu DUONZJO3SgKpw6J1h5tToKbhHjwI4Nf/xFIrOI5+KGrL4DAAUrsqZJ158FM3OOYe2O+qCNpRSDIC li2ALxk5hzxMDP+5DaJwIBDIchHNna7L2xjIsdrOtxsvr4TpxDrVS8Tl9JPCjMIvb2Fnq6g/j8c3 h5pfbDLFhOZT3FwcGV+sSyr848QRhNuJKCtnFncQLl4w71X0zVk6DBhRRwvDHOx7qDAf4mF30FCq s3+RIKqqJgLX/Z1UTC3I2/bJzNKyNcURrJxP52Sk4wWXhhsfpekp+OIyVZHJZ4Hwf/ZvSUpWY8LL WsxS0/mEoutoKv2tAJaYoaIETKpye/jTsliqqWN5tNS6EqZEDibPQqgLCoYuprtPo3V7DU4Di5Zg ayyW8EBLZFBpKTl4O3EY8hLv88QKXLwGKRNqNbNLmfAsDKEQ7pa+OpfPEc1/ag7K9Nksd7S18aC2 Zf3I1Q7rWJemMA2drodJTUGo37kLdJxNHd7y/578pXkrnt0QIMTntjVTSDSw8fDMP4NYVDS/pjsV 3Fl07LFkIoUsBdK7pVXzMk6Lmu7vxM2ME13OkUNhsGALZd3pnJYKuGYZEIuglPDIHJ0YCrb26vHr w6H9NECZVlf513Jrxg6NlJXF8pR8fTCxMf3hHQBi59KsnlaeBsE+dLR9TYJI1d6figmdimr40Psa SCTOx+ZVQl8ZsPcNf8eV/MJ4xKxRHqRCAL9O/H+IIjyzyLDcMv8d5aLX3p5kRg7cBqOqytSo4Rr1 abWz8RTi2o03zjLq4yagdFbRj7FA9KnLqBOAbvMss+6HNDXs7I8iQlOgy2XvTFIg+r84qgh2fs7t Qc2lsQ6r0Y47qdYg1zG1is9cH+0Wsf15KhRjhVwcz9Av8I/vWIWHZgkArODgi2vKWoqUPIjNA8Ps NTrp9t9edD6RtebWmXlpktKorCX2tpfgqVwXoljdPZfYywJ+xKw8bsksIf+JSCf/E6kjubKqnwK5 iwownmGFaB5twCFReDeyumCeg96t2DsnAsusxSWusEyXsl+Mv8df8CVkGebH7avv6RiyFFsf5KCE cS9GEUgcMwg5R68A0eDgA+Onh5q8qYR0V2hmjP8yEQFLjiadYT9+gSw2wKcZm5hDAwWlzCakQJhJ LHHvhu/Bw545Y2ZUcEz6rbXvgv+F+Y54Z12jLaYaPibKTjExzBsZkJMojcfY9Fqtzz9H/qd8v2tW PyObQkE+PSPqgMETtkQpv1m0n1KTQDhFksH60O1LkvXBxypTipS0NJN+7ZDhGdO/zwfjsBopvxvU vugGfaEdGr7JYD6Oq0Aku/9gQ3jWpfy6K3BrAnPMwmoW5ycwT7/1phAge8AazeNX3f/Sh1Zpr7vt zUpMl3cGUhnWwNhq/0IFS+moDkyQuEBsJzAM8sjkIfqo3USjs3XqQQXFt5me/v6Z03xA0WwNbTuG MEPB2T9zht8SW/O/CoA+MNrLQc5D3yBvrtiPRt1Nd/u+FYNEr47OtMdExcGZNSfI8Z8bT5h/qgqz OdOqVizNbaJs+MGG63SWSttd3eNDE4aLCz65X9IORvrTKQaAt1apYmvmDubUPg5ca3TglUsm0Uij AQGxoO00t4qEMEoeSNt0/fkzJAwgptgDuuXAO9KZm4bHkjAiq00H9pDIfkfesyfg2Cj/WBCXN4Iv I8rIDpJh3X0l098Wp1sR8IOXCh+OrKxkaathIZ7cBNQI6Z0uwb2usrEX/XQ2qbLtIyoe2f73w39R p1Wl2/5nDRfSP5yE3OKAYQEXz7AB4m3DR+LF5t50V+lf25+RhA9QqgwxIo/AgrcwsJpFQheQLs5o PN1Z1Rt0KjgciJ8XP/rkBOqu512bHi4mckVcCPMD6t9SJ0I2imiRuQfegUzq14053z8iYn49P4rF vGEepy/OXpvrMdKPSicTAQjLYD6d29CkZWCUnScG6rFHZUfbfcn5oc8yHvWzFQEiQEoguVdwyfyj mnBmjpm6RTqcAlQlnC6y/jD+SbzSNA+c6i8ocI5tFm+6FIiTtZ5MtcRuc50F6Pl/J92Jj026pF5n NNKtHH/O1PRjG8iqsui0r2wRyNOwic0ogOKdRYZv9my+vp9lG+Exv/gSsLQvK+4kT0kxV3jeYKE/ I8r3O5sXj6wPJKYP/6r1gS42MmVIPYqvKw5abl6gtp5NDUWly516Jol/qFmnx1OTbslNL46toiMW lC7d7r5Flter38Qv7tTHNAbG4vSpmzkAzYwkN8C+Gh5zOJkJXcEZA2qdBIhhN8JvEXzJFpjVlYSe FLfMZ5AHl1RptAVcdYRQaekac4uasvwKiHakQx1y5FnpjxuM1gemt2/4YUVUKRvYNz8Tyikk5UKS sOKAtcpfEJEHkUlqYTo6ur2L1KAFOAefijzJXF8A84vxCu13ov2nhc7OpwocKO+BPIUpzQSwQ8DM yxzI1QzFQc7oRzuJ+KKD4LU99pOxOTCdyJml3BXDJR/0O4Kvn/T25xEoODeKhPqscRshO8Lv0DZF DnZF+gSsv5FfFlyKNy6ymvL4tvDZsm37Kd6UoT7VG0uCzG0RZKX/HC4zksJsZZTz2yc/5hfg2Oq1 fFJkCYs+1zgKqaIUWBLFyVoA6sWDNANeoVF3CmrfnnWzTbK7HO7sYFQqvy4cjgaP8Uif4zrOdy+P +XDWnrvCMsL5Hq1JPQ2vliRSvFhk4AGd6NkLHWJpwqJMJj2xhVn4X2scBwEes+VONlBir8Chk6KR zsomtHbRmAD81L/GdzDjAdRHtc6AiMl2Us0cjcZxROmaBsDRO3F3wONtA+QIcz3Z63VWrcgPGGkJ 3NtPSAoJHPfscEqa9EKUJ0ml+mfydbn1rVWPRc5cwtrncpRjSCjJErkiBLlgiQN7SwuRMWRU8r7t yB3mTakVybAJmGjTFtV9ae2AYZcqyk1e6/BR1x34rBFoZDyIejyMpyp6EqrlFqGzrOUALGb+X5c+ ImmgVuhWvqldxUw1IoK/6npXYwCkVHpREiIpi+rEUI8vNqjWOphKewJ8WWLMLSaACx3d4YGc9WxK XvONn1p2N0zb4ZDc5LJMgD0EwqWRH+7KbhxGC+AL5FyltqtLgJG+lgABGyOB+uy15r6N4itINnYK Zi02vjTIRYZLrGmKwWrRS21Fp6dJp39HN9lp5CPBZElDGRjTlJ3cz3rLJKFX8TA3kMmzrHOVv0yy zwrEDFprkS3zl0ZUFUHcCDSqa6ijclunbUFcgPjAGrBDFN6Vb68yQiWR6saHFNpZdi5K6Pdtx1w8 g/4DOnyqVmEWYfU8TQcHRIRPZn6dtcScj8y+Pv9WmgVc8flLp0yd9Fb2cRBMOlk+MHM3p/L8f/2N Iy2LFrB4N2jqAbrDcRxPpqocwBXK8HNH5fx258x2u0LMiiKepV+GaPxlRM07vdjtuyPLia26lvHh ElOVw+Yy0PD6YmcSV2Jb3097keifQbb0rNDR6FtV2dKXC4/YeXnwHKOipR8EJyMASgp36DFvae7m kBW4B/sBNJ6HEwds0c+50smLdltpLSC9MMnlmCHi7ztJBxfEvsKMtBGN2dSDL9LUdYOF4traWmfb D3kaMHru9ynbromk6Fq8LPJYX0VbKNKFw0o5XFpj09oHS/fgj2tUDBJb5WZ8oqee8JCixP15phHa ZJXBeIw1eo50wr4lbrEy7dTmruZ1YVa6gJTWVVSh2Vh/Kep0qWPxqV0qqL0NO9zwpHCnPioGKeOs xE2ZBl9cfzfuuJCyxQ14i374tuOBTnSiu+Pgn/QJof9y/u1uWyeZiIsqO9w4NdHzBYpW0dWaLY+4 jL/Y/MIAQ3uRLpmV5JlGEVG7TTKkuZ/b3aWBJOGoMAovgp2QDNsydm+FIS7LJKHR7l0Cmo3oJPjP 1uiDl30puDjVfA2xZetFCthS3MRhVC1eFMjIAKVlPh2jKRP/Yn1V9OD3Dhl/ERAvD5jiqPJkQxGA YTT4wU4LWCnarZtIIBuP32saGTsgIMaSByerpYu0lH+sCQ3l/xJ5PJhN/IZfkS0eDepCvQj45H2B qt4CPqpOmeji7GQTkaOWS2i8cDtEWUCiyDrE+f11BDhIlxFfFkENk5o3OIrzF7kRMRqGH3NAZN/b 8aqhS0tJfKloMC6szPbcfAzsMNbZynfYPveX5ktb6vzutrf7EIox61vvY4bRzJjUDE6VGSIlpyTH iKYyoxrGQvtnYBEG6rHTK+BKlgLrpqT/p+D08nqw4NpYJnfx4vDydReSJY20PDi/sbKtBwg9jpI3 Wj5RbnJwmw3pSnM806CDDr/yZUD6Nc/iHdxA6Xl9ptLmXnSvZ2eXKijAVfmEYaoKlSl3S7yL1AUu mL90i/RqJKqCXReVMIqEqcRAApPvKvKAXWhmPH5Ksj5Pu+F9D9mL44oHVXto35Ru25Lh4/qUXxyg KvfgeJQ6FmnNFNGHWD+cWh9zVdEH6JDxw8ITtU6TGOV3yz7Kx8vRtP7AQRZceyGvyjMhp1Z3LV8s mOeKdB4Gj6FFJ+J/nWmYpngemTtiIp1gCsjYjJoFXCP0pFmHhw9AHGac7q6B/pqpTofTX7gRacS8 QHRL6mndI6QoMUdiP03lf/RvrtXWxr7J8UPYxptuK4d7PYjckVbxyTznzI9KTxyD7mylCfPWuvKY RLfdwqWoWpjxZGYo5neyZHlwmZvGlxc7zgslLJ8w0oc+6eRUV3ClPix7ZequCcGOInWwHbNUX262 L/kyrtFLHV0mEDY3uhwIIufN5M0/u3CgAHXzaGFoMkleVcqMZwKTsK+16TjGXNO3atwZXtEgwr1J Cbtu4RQkFOe6lk92vFpFbXZUa9r2nkhr98Qsx6Dhmh24sfFN9SaGZvIRF0ZTiB/Su5u5SrZ91KbN w6oPuGH16lhHJIqrnq6t8K/AOwWYFF0VLKw6eg5mTYdnYK+cpvI5ChhjdHgnmZje+1Io974rF3Zb 6gmuL58XmUPunLRQvy0q0kPAFgpxDm/8mezgabLwZt26nQMfepAI7+OmJlPrS0G0I+s7S+cx41Jo HZmYpYhxan28jGP6t1Hd29/sxGv8vDHNLcbIHckEeiTn60lp4TGCnfBv389FLEgrpa5oBBER5Bi5 rX2HGqArkCdkP1HYmsNw8fjdura3yqVwM78ZebkeLhnS8kazfyvL7CySeLjirHS4m1J0YLj/ZcAJ n+fNz60db/FoOTG9LU1kbIvyiPObESO7Hz2kB7vM0Cs5J9Lr86bCgB7wU/l7Uf+jOKpREuHhZ34s /CIkJXnpz8QUMK4Fk3zBfZKTvUf70CDR/GCMu8v1TrodphSIVwnY4GqMS00jdflk+Qda6fPRmKh3 hyhYes0cuaj1PCPYoL4b3z9ISFAUdu/KgrzyPQd79ato/39HsF88DlLf7/f10MYvCLG1xEPXwRNp KnePi8YE6AhWHq4mNy5/t0NeE0vzjrDFt/H4aOayyPUP/hNHYIbYAOWiSrF5/yaJ0E4mpItgiTDs paxHXnps9se6NsBQZG+Iy7aujYs2RkokUrLUBGeEiMCf/unYUY2/03ysuohMzDSQYcwRsr1qjC2C z8F9k2WMY2eEKcWehiHuLOW6nTAmmZtR0YM9lQ/xXnyaPjFxxdAh4pmaaM8C7LFrh7K00azHE0gV U1+CyTtEbJWQE485XRxtT64lwjBaV2kcGn+AjkJOr+d3Mk4kZ0CsS/7yM4paFzl+jwNK3+/q1vCw nfgkXkpY3MFE+vokMoW5qi90Yx1JfmvbP/Q9BXfleGxNan82llYce6Jd2QCwjPHVteL8TQyJGwkp LNYDoj5R9S49Dx71AAsvcxmexBuRnW/WP054YN2w97A3kBSljRRljsUB5LEvfNhTbj1yFAMRU56x VMU1SQ9376w6y+ZpjDf7lTyi80z6ZAMjxKtZEHQKp9GRMe0rMAp30uuOKAAbV3IZ3X3VdFR3tIFo MpYVAw3Z3jnLpq1CykdESJSTlVyqN73XgXC6jc1uGuX7yf3MG9Y0NuxtZ0MUGUVeCRofWETe4zBn ixUXpxZjcJmBVXY1j1jEimP/npixdxz3nCocwX3nheqZuUHf+z5PGR6oE/GSgQWDiSnmwqy2u5rQ l9HhPZTnvVH4eSAnBNiO0ptzqIK3oNLmK/gpA7Htye/eHBXulw5U47qGe3q6FkIQP1Xw49NMwqhA EsRhzbTo4k/Tr3hJ0gLWYWtuFduxkmL5b+URTDsM3NQzZZ1h2HqVscPGxKJupV39tPvrJ0w3fYfD 3+/ng6GRE3ZkQyz79Omau88qhre4emN/NMQlEX8zqPrFWetYdLUtB3J3b6FEeaLlEPjRnc67rqrW NsgavfQgSBwKI3mtNDJOkPbDJLjbvDWP7FAW6WQJFjn+CONw6FaG/3jlVqfUA5wu5sVAWI6Vp/QF 8rp2VaKZXH0B6mpasjB0le+paVwT2IY4isvOtfndvO3DHJvpdxFfJYZO6vBUHbi5eZIRXbf7j+HN zlyBj1YjYq6TvSoAGWfgT/TB4gHAmaVvabTnraYJnx95HQQYhDZDO/pHugcQnMQ1J0eLxqkz6YQP XNZyDL5P3X0BPfKSpsv2ASeKwS/xWld4K+MQcW2rn8wiMnxXpkSNNaMh1ZteginHlQHdNaDEWmqk DdnQPdwv/ixXCXZFkXFlc9xqQufC7KVr+4V/Zb7NZzAFtl2lnUpazW3NiQMHR1wGHqy72nQSP1cB 0ffAi35npXVjEumSxSMN9lPi5Ftx0f/nwxzG0iGcL1EbvRaw+Mesvj4nDignBJ4IZYuM7dBCU1l+ VjhOOIicer+p7E0E51JVN4u8/MBX7Ir+q9GDpZxWJGsywskCqSkr6+nYuVxMpn26eAGByilRKndl wD5xhMP+pVGwolRUpeKkMOALaGhRrPr7L0ABo8awwlRKSMTxec7bnmEOjefpZJ7tdZOxd1ei/pKs jFlNwrIh4RM2EqQ49pAfvD+tu1GtEQTQWsBdskFs+6FamMSbkPE59S/K1z4Y0l/WYfepQVsTQiKo 5OOOcaG4219lBbqbyC5CbwCCNNvtvLYHKaU/XhkPgrZcnZWAC8Cle9PgLElhSfQNbix+hQ02xJJy EhZfF3qyMmWbmoMT31/dyWtAVt25QDtOlVRmYzx6I/hgbk1XbVqcvUt2MH8vBe/zgTZF7INU/QJb PUitlKG2esTmj+o5xLwegWQFmypG9xFlnPIYaVtfvQdhotIbeCe0oB7CqWb9kXYC5yFOD5sNFi9s AvSkCjlzUmbpFtgYZ+yCz+NpTkxD4nTDsxcQ1rhQB1kfodzVuIEEYXX6mzwcrQ5IWshOk6ssjIwF vcFwnLVC04/N5ZBQalj8yCiAg79N5Ef8Hn+Ij6dcvascEbLENxj7f+MQPW/ps+tTN+CyXKYjXVRZ nkFKi3XI/8Wj5u1nybXz4j9ggodIOrCO4ZY7GsJAg0O6ipVnem/C6StC5jKe3Rkft/v2jxRuBaU9 Cu59aN8vFVCIxGa4YuQFJWAty1l1qYtrZV25HaIoWJgcI89TiFEnX4Yz4Pq0w7XNl3tqptiXRRhk hnheKFlvpBgo1tp8t8PlZ3ht7/wFfts2UabYBV4ITvgv4gpnvXxvc2ZhQhTcdXaJbDjzxlXIyPE3 ObxufcR1tMUqB8QaXjdUldxONF1VAAasTp2g5vwCp1Dz+0S9typZfRy8Yr9iN8+2lDLpp1odglI4 PAFyQjsH2MURvW7iynBsxPvpqK3ZKl+Iyawqt+NWSKBnnzk4XPKqdAYzySV0Cqywfzz4pnTskXH+ ocLKoqEOEodRWYHoQXFpblOBF1dgecU1pbPDZ1yndHTfCiq5wj16mOJFqHHPgbqbrim+8jvOdtpi Y8PUp07MTAdS4+ceMvVhGATlg412YSM5GRLPC0PZGQu9Q/4dhuF6oASx0Fj/y+WDmHd/h9Ereb2m czJlZilIaDKuthoNtlJGMwTeKnG6QVbADaj3RG6ncPaxhoKDL1WwAeHwSewB6MPt8peLH6+znjL6 fi6ouyu20ZPf+Jpw9KH4hsCA2WHjcIiDugWW7W59IYRmdQtptOIcNh6yhxxXA1CSkxgWThRHpzzq 1af9I9Z/vEZQ9ct0DUD4kVHiARWCnPc1DVt3klCf/twnVVPF7KUBhMUCEuEex5CgFECc62cF3YA0 +rI0avFTsf6u3ng3LeeJh3ewBOZG4jNa+/d6Z7OSMIoaPnqQ0CQoUaxH+1vueqxvfb3tjJb4BFDZ B49VGcG8d1CaANAxy30NadThRG8F1yBnYzlgs4ipOPzfLWvM66T+gTj1xoctzzCd1m3N0P1pSZD/ 3ED5utxm7DEiNtt2611OMquyOcgdeBG/lp7gHH2wrynWDIcQISVBYb0usTSkTdXQSgNdVut8yJ48 a0fRzhZcmjLvt1PisVIjJgoiSGuIxfYl494Nkq9zg/nD0v2sbXqdc8VvQ7m6oiMhLUrFcErpRdsc 0brXloGhzSLy4e02g0fattcZVCypkawwNq4D/CYpmxlwsfPTRsMfiM2wuuAYiX3p6cwdm2VDbrtf 8ZbWCCHvdKPUcLWfnDZ+zd/jfmzOZUpK5LrfucKwB/d6XjqHSc8EHbxrwuyX/HyQ+rHWsxLXAH+a lkG9ov+eWsdD8ihENFDD7CLD9hXK2rBkMYgs+WF8dqdJ9x08WHItPCezHdnkCZv9BCbV2qxQZrRz pWgx2FfmL2E1b9clGPSiuInTXDpzzgNQ3ToekXpOWI0UCgzA+eyc3RDTCZwr5NTqagtU+PhqwbBS Mn7jmYmKYPPOqlGnEcZcgJQ0jjjY4o3qZ9FtoLQbxegBmV31AMGonZ5rBaDKe4pNlVJC0feh52j6 lmZ5JNb4FvsS4gibofoUvufgAvh2cCibYyz1kYFegqyGlMEaIHlI70XmoH2ZXS/6pRCbtyYgdDqL Di5bnU32v7BMpGGsu7+dzDsIvVMD7goWkgmwlNz52HKFje9JA8pexe27Ww9yIRXIzy8RqQjPezq5 kGSPVGHatcd5GTWVQUZpeHmq89Z5Ihn9EXLBRVmvGKGiYdLSpGhPg91/rgiT+KtLfQgeSsev5jUs qgMC8YgNU2BoJIADdxSHUG/Aswh197B9M8aIFhgbNXUe5Nfg6vBfhHjICGtg3iXQhH7otl+KeU2P tvyam+DCrs1VYH34WLPWPTTBp5YArVAzsDFZZM6UiNUt3hhKCQwF0j+esXii4O4EBHGuSA7A1nzh KbOy0pAboKtHmos0oUMQM0OVsA957YTs3Xb+ybXp2YRt4YugPJAVdi35wvL29Pi3k39+GsY77x0O QqCrUN/g3JgYB1tJpNk94HZ7vHgvQHcl6B2e0e95HEI2HMx0OeFK438AoCzElCjBw5ASBXRxZQL0 6ICvGpLLS8WV7xJEXBWTyY3JhLKb9QGORMqAy9FLSCsyjUSv9JKrdD1FYb4lllneFrRsNgWkDgaz yhm+4GUruBtzCpKmFr7RyCmbsEPZd2jZRPaPajD8tivE4g6uZ2qzb0uIvT/e8Bf6sSD9NeoqxL9D hs2zbxSX4F1Uxdb0HgSEcbugfHkp2DTKpO/bWEEqaBtm0mr8bX7vl8Ml9f8BEgzWeuTkvw7dfLX8 QlR26QuJnOaZOQYxbzYwLdR4kPPywNhsZuVXLIdTybXJIVvMn+8LN4Mvm3Nmz7VPL7n5egtWdD9q Zja/ENML+yzqomzFOyWZvz+h8MK8i/S4vMNlEQ1j56Gn1M+6RJB3t53jKF569PHa8I56EkJ/lPL/ TBvCgRAqpRKMRSOiGsfrVrdHAesw21KSeTahxc9joFwe0fLJhgyKtlFrIbktH9882WIv3qYNbmbx GpCrtlmVkplqwvfHTCw2phd+aRl3APkW413fazl6C8LKAFAFZT2P7SRKPabrpX+IQCDAMuxvL/2N ikzECYtK5ieiRUscSlAjjfozxSHsYLLr7NI/kCveunmtpTdw5XZAj3dFuiVqGgekx4hVqN+7yyGh 10cU+MBX8bQ0jJg8q75EkCC4PeIhn8mKW/1cJyWmZrinAvtEEa9mpvp1gaMV1C2xsT6jekKyxsGj kLXioAq6Ns/WF5SL0d089gUAK9qxnC376BOKQqX+ZzS49DvhBOgcinz6i+F6xJdg9Q6vYLgjYDmM b1k0eQx70dkWfl5U3jv/7OLoTlh1MLWTIMefN6kJV7vjT5DnjOk8G0z9onWx3HDIYSKW2d0QVRlw 2gcSPNtndQD+Jh65WROpEGqNvsza79clnq/dVvCCa9XZS6RQIwiOOfwGs3O4waCus5arM1yftXNg NixZpp5Mbrkaes06SkmqbqpVh2YD4tZ1nLXMxOJUC6cddlQaX2FoyHyKVdwVk1i6VggNDT05PkyS BwrlF7sbQWYw0wjMgacHvsANtG2oXENQJignRnYvuWJuMys543L1IL3EwZJsLISmznMjuuFlfaEY 29ulruRxFpfxZmQHkT8DNyEIJ3XJtiGhwoFC67CrE0JwCV1ZljAYBSU58IcL6yYTkauyFxUKglqP w4m0y/EAxxswTDfTeQAFZXrh8VQIWT3KTdFMB6JSow3RE2XBxQIyzRZ4xnn5RbVCr5x2lSfZ7k4Z 5CuXMA59fGaLUyySu8ZaakErZ0Lnds5pYWF0+rZ8URURbxckPyn1c0K7WX5I3D//9gQEClyTtDjl Fs+1eoQMgoiKQHrimLJKwir1J4LzjBXnQ4XQZHdF4KV4aVAhCmz/RqWoU9DqT5mPc3lSWGb6JWZ/ QdXGTH/HZHvyU1GK2SE3dnDRU/DwmViQ4eJFv/ptKcHRbSEb60Ed3wx1LGv5uZWGNdt4YnwCs2Hq Ih9B+DMV80WvzQhwtsWj8deKgfyPcUgDOsEbCf0sGG22PCaXptEOVdzAr4JT96Pfj1T7QC/Q0FdX C+b72DLBTby+5mt9HatBW/dMBRRepHJtsqOWi8NeBZVUv+mXx8hDh7OPnSHFypM9yKe1oMkUZ5qp G1d3/sL2EW4iLreHeL8QceArEgFdQYb1v6EHhsPtALYwcRN3U0NQN/5hHBnHBjcR9piJxOv8BvoV c1pVMEfNzRa1aUbJ1ISS8mIuHLGOMbsdqf0Qwir+MDi+5/ad+cjw0A26ZItelo8Xozit8kwTc7eN Zwo2ynzpAT5W5tu14NBql/z6TXC/IQUk38esc2fvUQrRMVVfJDLY48sM8texa/yxCuBi3L6eD8gY xyOF8yB1mJgLkUv9lnaAYunhypRJLcUxBIq3NvuMHGGKKdML7RmyjnOmrHTOK2YgOydjzH8TQf1o AooRicbeNvVB1KgZMM5bLlT/tgaS9Oq96D4+h/oMa0MbqJzcMBDeQcAwQ9vMpYfTtmAtZ7zRQgsu CnV1D2Lwkd6mkrlQNynLbISpN4QfiLNRbIoK9o7alx0unS7H+8vcRw3Qb+FdApRUck/PBo2z6dBI yp5JrwtZN2kKALujenI4QmTdjFiLsjlbxWfqyt51ypWyz5C5SzmqM2EXpJ1E99s3P81XHDj2kqNw Pq/i9xTGP5ppHnAF8djibXXddkcyHNQ+9jiBTH7ij2Ozjwosv6ePuj2Lq/1+O0gnUkODziBZdXVw KEb7joxXoeN6zCEWICFnFTUhUIaqTXUVDlSqMIaMmUEOZttxiSypkOG9U7XUcrkWLB/GzMndDfmP jxg8aMv9HFQ47tHvh+7KhfCVGIA5owea4bTc8F63DlHE4DnIVxLJgno5gul4Ww91iFAwc6wmJSpF bjK+U4T+FvgbThf9+RT8rSkuJ3Qg5j9pP2qVQjbBYIgyl70u2X88yikOByZV4JeJaVyImwlaVmis x4o6MLyUCVsgsj9odIMen3FsCk0LSTGV+3SsF6KSVEf5qXmABOpRwh7OjDWXREsDWixmnIDQJ+eg mxOZW6Ry4qLAtbqNd+RXnnsDo1gXw1DGk+L2WKZ5IXcqd7aG6fUkkh2AVfUcrmmruMiUF6E4GEdW riNv95iBCyV5DIchUz/tj76mfa8+H1wL/6XUeiZpgd4hsK6B2hPgvJDjBSH/pePIm9MuTSrpw+6B AMtNLiGBRmPkYtfW1pm28cTcqe0Xw88ixLjdElPOsmBusU0F4chueRLa1IT1wQqFV2DAY4CwZiUC mfg7MmFmG7+sv5WrZdFKOWLf6m7aF4fiMaseLJo7sUhu14KkjOvaoVwQh4Rgg/xT18qxLLHapRiY nMN75EYi3mVwhpz2l62N/U1bYXsxhMtIjkO/2Jab/N137Gk+DrlEU1vtoPQ2CX+BI1yph3Z6LVsT KmLR5am4ZkHhlKGUOuGpjprzmwgm6lFhGob68Sd/jZC1PvmqAwCFVx1L0DoIK9MemZkF8sJ32w0H SgVQq0ZpLb7peEil2hAaMSBtjcgD5GHLK8ZQzqDGd4JIQTw7ig3sah+0X/81i+nEA80Ry+Xi2/jV TTEZhUc4e9GSUdBI4Jun08FACDd7Y3ssxSML7czHdMWZD12yxiwgMZT1aFlAQZ+zKrKjZss23vzO a2fndwmUL9ReCTDowi5+SAZPDo54iPGXuNBWl0HyxjHXg4xHoQdnuId04HIPwemzu6FqFpV5ZcMR EzOWktExTkjsbq43S0+QyuPl01quxF0FT0gz5316crMY12x9kOyucM8Ua5Fyvmcn1iDGlVDpLnU0 bJ/3ktg6DkgsZ+5+k1FUpfpmN72A03ubfh3zlXa+TD9WVcwSXSE8aei9EoliZhBpa6sqTdVe7XDP lf4S8+YS0QIZlZDY5H22NKL10QxY492NiXfnPVvOxOmV6bIOOUENs+qFk1Q39cdUlUSvlITTmMz9 8sA0kRIju0onZaEybb7cs9uNB6rrIXDDvhiAauKs7e7iliQDsoizvxxo4L7YI8oKMx9xHNIQLVhJ 5cHXscgRx9s1PDGcJI5YywB1Q4tQji5TnXBF1uutr9fRHQzxqbadFjqPQdXM2+TfqjmEOL4aZToc dwRCCJyTwRiidT8aW96Jjn/YRKtPfzKL73Vdeoe4GfWA9AaKgsv3Z5g5UBSn5zO0N5qJhmqo98AN 6fL4snC4HfzeFAn1chRLPRqlp0OLr0h1kivYYgRS1lZSy19lxm27FkEPP/OR7H4tbr5xT7QLIyPt tTS7qQfdsVDSgJpJ63BWwoHCM1hyLFNctnVpwDN4REPJbldaY0AiMVNMqYydWRbM8scM+usZzNkt 4dMkrSltK2zoDV2tHP3YyQBjnJqMTOhZrE3FTxuHVtdHX7JOOvEgzWI8+AnC/Sii2L2gfqgPzSK7 VyGrxXKH8uv5kvyMV2zdJFjSo1vl+LM9tr5HmgC36Ku1E+NxD9pRWOoG8N8uayonjHWpIN9xQVUG GFUrRnjfUGvWUJE5W/9bwTPyet9qg6AMf9d1N65Ba2DRDMKwYPRLhvI/7E368pYTBcQCLqY8Ne6j SQDhr4zPnw3Ty9sov1Bwe4epr07rwQ8ISuoVk1jsAl2cRlO6eDlqO430Kc5FlBe31gT4cbmfCB1N NzzMCA3IIod6lxmVhCGOVhQUulFuBpHFhzi1DGOVT4oyf05pLvO4e8HUHW3IbCzq7lXKYxMGJ+3l N7AMSXgfHTuGjJE34d09sDTfElDFcR8xkwSkl9A7qzQT5d8fD0R1tmlQnHUT8Ak2pEnXEAABqq8t S43SH1rimQHT5ThBCC0ryZbloE1zp2RJQc+HclEeaQUdVxSROzvqa3nB6WnWJwJu2IM7E/1cT853 WsJmmydgQPM0tptJbIbWeZ7iDj8E5NloEANcuIbJQm/xfKgn64h6aRUE5f4gvjtK4+sEm+OUpLa/ G6C3/sWFDGad1Puy1DwFW7h31yfDlDZNdc2ilMEhv2jhGMqTTfoVET5FGylgjLbtEO9NxbRqtvF4 Cj9E5+7a+TdgxROvNPkAhml79PBeuzMhRiguj0eQEkFZgjP4sX9sDM3uKurUgRwcs4rPQjjEu5+P Jmyf8TDgJSovUawnGtRFs46K572biRkRdawnt/oZTzRIEMekuUr0fv2xZcNvQgaOJoIdSM9c2rUF 4ZUIkpkbKL6urg5QtCdWwGyHlqqgGDvKd1isFxVUkCwFaOUIlaM0B1Zjor3rMPzMBDnIidwFZgQb udCkAnO6zT1oyk7dUM/4ju/0nQ9nCseGgxdlrguuykZSC4wdlfAdBvRQ8hESMp+K+NmMNSuC0ayn NwRv/oByZqsspW0ZL2+XPKSlcnCnBQvyv7jHu80drExUmOd9veDUviukP6PnE6n3k3iSoatxJzuV UWJwHOvkwK1ASnvZrbAw+w6ZB3GhcN8+Cc0SjVW7gv8pK4RYbMAR3CbKRupokvL18dhyoCofMI0M 5eROfwroEqup7Ig5tpp02sJU08zlHfbCh35VK/674B5Y4zMtzOHjovrhE9cQ73+oBOx7md7Mn3wC 0PyWEACyjxHm3OhWonnBbYLGWBMZ7ZoKO2a+pRTh9zbSmESD7pZMIoqNHmLd2jAj1L4ryb4N+Y2o yOM1/g1fTgp5XuRnXCDwLUoyY9lZjtYf7Wn1Ul5+C61cMLfk4rZ/XW+I/oLZtOn7q6etWyf4C5KL n/dNror9GJSBksdBXjFX9627u9/AspIJWv95mi9XcfcPBQwZ5TaisBVGJS3LvxMLSK0k6bVtZWzV 1Vvi7B0bQxgHawKVX51VuqXNBNnaxNI8PVP1VZuqHk6gQU7gOvjKdas1QaPzMCFOEXaeFTreRxmz +rqCNJ7Jfj1J4TBXH0ecVXw3KAvwzhVYGlSPY5cVrogY2gt8GLN3M2vSiab2BH2AN13uVXjx7kDH mhFdV/cS5BcHymYpRwRv2utbSyjsxChMp2NIag4M1q+VvmIFlZ3WDpajWvu7+h7ReFK+Hsl4n8kV DIcT7IamngcLi2ZP7jiGgAE4csTFBjqHPZxx/j9q4o0nPgYPurGVZR4vHcVLD8MYBIoAQgvPEJwC CTmkEvYTn2PC4EfKWnjCIDxsujJN8nffNPmQyvoZZMw2VrKDhZtRhJa0WGGKVgJ/872lq+oiVtm/ B1M1JJi7wnt8dGg6Df6/LPqdeNIqn6up0FVBMQpw53aN2hZV4TGdk7xdmMRWbBmtM2UEt/5POO97 XOb5MawpkC2gP7ozjy4uVsr9enTWbFJ2F6LsRCB7VI6W6HaVXR1vTlgAt9Pmbs0o9eBZpBJXRbiC KnWi44TqYJ4cWA8DmDlSLESxLkSwCwiubsXM68tgFdo2Y7EaeUqiuT992lcKrofdtPs0ucu5MgtY xRQcMDczech5EoBVX68Uwg+Mg6FOATpxqsdMpXmxBPsoMxINACDgiaVmXQXK0uiOeylPgNFps3IP MMGcX7mPbPzr1GtwE3TOdMKbXrTaJis6DNHqcIcCRuXwfL4QoWP8nFYkfXcb2U+5rEJpoDXrfu/q 7SJr7pkgDCbY7jCrdEwJ4PWBZiiR9KqOUVA3UvDahXqUBHu0Yth2oz6+2BIRrYiEKT487KxLfgbi cpCFp8Koltphe1pTHYc6MLMBmIx6vFPStIyuiBvRh1/LeZB86DmVoD3oRaLoj/pXSEV/WER4aDVw YLLjukIK7+r7y77zU4TyGpFo3lXYHVdr0YXtxD3dI+9tVTAmjIGlsuLRK1JP0W7+rYaFA0fQV3Fp pp086geLHFaqvTrIKBPfzcftRArvmVdDVPKlAfQmiivjrALRdwNtBOFl8cGEPW2Ful/vHloZyDb1 mPE/RDHpr6+KjWEfbveGhCenoXNx8QjNuIdZ67vZ7oFPwkIQKOzwyiGU20uYUGYC8/rhFIVcOemI 4x5J5BLLzah+A5i6mAm2L1+lytzxv5NMm/1oqmAu05MXWsrvUtYv2z83ACTxarjDOX4HzmCAoZro vBcCiLqSpQYOwEtLsqq2rmou8fHpmXnBOPsHoaCBA8XU6v0wQhPZE+Uac4fGA93kc8V7vq5hQKor YIsnfD6aiXCFw/c8ZzSOW9xH2SCIHzTSKzZqEjhmg55zIY5/4K5KGPe9YcyuF/9PdGBtOGBku7cf fe70LUHGPFri02ks3zkvcUkvevv0UdiaHxrJ64qksGB2HDnsnJno/NDqdL5p0bfvP8FY0NH5R304 s+bHBzW39yJ1KFHkeHAARBr3exHspFFMmIeQhF5+wcYO3PqC9CcFvMOMzCmdfML9MHZeZiywhxvF Hw5b2T5LBLl7kfWy7ktBqgoqYaOkYJt2svWS/uYxQ7yEf7Yz5lNt3HgIVXEcM1l3SfCHR62BkYE/ tp5Myvv1sT/h3hrjCcYLl2uaqdzvv+63EsUSqQ+jMcqOmYx2hEqYrjW7C671qrKmBc1HDcPZD0x9 c9PWlNAUOl2j9ZG3sduLcen3bkxYRApUkZcXsivh01SKMP0Vkfg0GXriAi7UUjMXOviTbgxBMok4 3GLVLpXiy6S0dCLWqO1asKss9xCxB+BIlQmNTy4R5pY7XAdKPS2gQopv5Fe/vP5p4PyOqNBTryGH nrrF/WfmnBfiXMHGIqm32KUgNmZGI+ekRNZ42xlZg39iUq2fTT2yAcadW8P2H9izKKX3tLUGOG8y EtMIqyxqMvlklClUhJUA+8cu+3h25rqhEjiQ29yJ02UBh5Vy0zyK9HDCfhZSRLMCzJgg4AL6jBEA wl01+c7QMwNnDqloxZ7W5Z15QhMC3faWzughJySSYRLnUhDFrxPBxPKkm6wJpKjmNhysJM7gF1x2 NVztoXQ19KY+jzVl9nDOIpEfiIQidk7aN7MjfKmZUtGmuYnx3dSSH8j+zvL37kZi3osZf7vn/zbR AxEjYaXKkl4ZmcyiJJk0IEb1oEiyMbnDhSHeSccJ3qXNrqd7P1+yqEVvsFV7x73+El7RG7VKcDxo Qzp3RF7RUqf472nEc8HwBUbpkoYhdYWuCOGeISc1nzpfGOuYHE2PWU9maJr2QkG6Rib6TvVwpwAc GkRMCY979o8zSG5ZJk+Hb3/3PNrzJ1ZAvEBvemu6PLOO0tuMtmaIvi7dHCQwc+XnLX1tAOr7EV7v WqI+uTkZe/gweOPFLSTHbZX/3TJM5T9mr5y42dyJoemgw3FCObqLQX5mImazt96h8G4qxKuC2YAQ Nyn9CngdS8ONcr28TBTSIylglSB9H9zWSlv7hJV9V0fzOBeSSBzJEVeCX1OYKmW8RXKtQN4COHeP lC3fhSLHJaUa+eNTpM2G/Jw3mgmt1ppym2K6YRhcRpCfvc0VvlBhZ57U/maI/2dbbjrufLxB7vNz pcyG/Qhc/ApTKY0pRabFDbh9U46BMaSTbIr01QO4TXbAKXoiPysQ6Ueo5LcRL939oQV/+JHmUE/D AVi/oQjrwtpBGlHe9FnFkTHSsss6jLn0e0pFaQISgeyQXUpM+DVD56HTLB6fwOjXX15PdRQMR21l +4Qjt7UTWxhhbIe8BZf2ScOWOyTzt32UHLaWQHp4Y9b4/DIgIdMa+NNvmUQ3HlkXWMQi2oZangxW Kp/Xf9noWVRon7MOTli1EIqSJI+2EE75Ueg3qs0ZS4xJvyaVNQ9xXfyjZW7TpjDFMiRhqTVlIXfj W98q+k5QnUDZ/27cK8d+dbBnZGtlKXJDeRAQgbi1Xz5pax1pdup/3UgUZMGi40Ruhekkr4NtdAOT rDpoBcz6U7mHkClfyfFb8C4BkiDg5u65jBmXwrwX5wITLOyTXo+PbRi3T1f9sNfVXJJVGGdFAF5j sVz7yRhB+WPjVHDj9pjeQYtMXKt5sFeNoYZXVeQ4FggITBHTJ6AYTCW1Op9aejEcmdbMYYP/yAL0 80xcV7CYg9w8Gu6iH0eeUOb6sR7C1ttfycOFR9KeWFisq1Y6ewEse4pfgwVB0NB3sHpXOjGGB5Kd dP5xlQYe43KcgzxdQuK980kGH+7FQqdntt7bL4eYHyZn5lHcS1veZJcteWVCu504Ivqz8HY/om9z 0L1H7CtOIHNwa4eLsPFST3DYfPYCcPU28LInejKud+ePc98ijFo1p7GIwg2Cgmx9SpQaJ7WCUjKG qrRs1XPQxQdmGzckmXu5YiCU1hMjznPISNPUrnjqe3drdgLXiInphb15KXuhjD4F7xik//7fente rFq9y9dD8wTw8DWDbSRy05yWogx9vChKVphp/Qb2+jkzhS/f4EnVmIRNK1twUNTJrerVF5HLHRiR 8Xeaq0zn28TEJSIDdEz3Xe7spQRXGoSKDK4aYRR27l5GR1FLXHgM5fWhRZ87cM0FVgHz9vxPUMu9 b7lq+SHaQqzCDDwZmPG4OfpurlDUhnXHiAQGKdFXHuVMK58DMRqn8Sb1RMCJmDI+z7RVQU1avKjP jrox7vEGM19bjC/lGzCLhWob2DLdApB4ZOkhe7vjxYhLT68yYFjYq9Q/+Nd+QUGLSIZnGjjqnJpz 8INUWsIx0DfktNIcSY+jy5m8YuWukTcIeLDJlh5zDpPUzQ3AAeNh6QH5Htza0yYm2Hmq9/HHiuJv pIUwvUwwgK2hkGqn7lt8wPfSFW3KI4XYm/0/lSOD8DcfjbdiGBVdlg25xTKaYzkuEIEWiaqEJjAW cqKXAucQYZyYZ5GUcPUBYl5wp1Em0Uvg4B6sf7wPxHitf4BJgMzRgHIakTd5kF20/qhoCf04slPs pY2HAgAf6Zt8B4q6o8W01r7BZCtFKYk6N9GXzCR/fiyj3elNYpmSRTE9ouZw/lKyxafi3tc4yXR0 xeMjoyL+/xhRJq4UHJHmUitqeh+5MlI/gtL2I2LtdVpAetZw+caFDfN2x1u0ETKC59Vhgxr5jjmN weRo/66kdudxqRIporxAXqrYfdVMoZCgVCIB8d0SW/nBCAUaIKeUZJUkvMImYfDIGIzbl+Ic5WpA wQ7x0olUgHhSOsZW0myfnANJmfynnAtVjEVE2qnfF6Fz4BHc3G3a/scLDJY5xhLawGcuhq7bcek1 MT0jKX434cuRNL186kz0guj4lJHfy11djpf4Xg0OHMBeYUN1Px4tStW2A8VqCnZFcNzI2dD99i95 Cwcpq0845OIBCIP5oGTHJIXjssOH+IsZ+5GaEAoKPzDlju1Q5nWcwSvqcsbdNZfLsF1kXOpAvH8g LkBLFmbfL7dFoG5YMqXhycAPAVo+YKjX9NVO+gEtjJUuSQqd00vtxAojD5zM3SZfyVBQjZindGFU AE3jDPdyNJkuEewh9n1U7auw/5LfAyW4n6De9ppm+X8dThXdRYunI03FTBXdqLLBLYlbGZsjwAws qgHjasEpIYrKAeP5HAcuN+c3JNJD12BmgVOohq1AMQyO0uFF/FLCrEw7+BscatZJOqJHn9qBO90c y6A/KGWlemdPfPa10wqfvrqnGifUo6cVymSqe5J2yQFkpz6sDGMSvg6u91VQqYbcP5aaSMLTgv79 GM/CDhWFajaZMQX1f2kBL46gDpRtpJc0KNSqDJvwuIKh3yCQLWHB1tZMBSwh/rQ3R1SiWTIaWHIm kpfzmWDRWuut47VOpk1OAYkT3kMJG/hAbr0q4K4uVvBEPikNv/fsTAxizL5XyAhO4RKsHhWqJy7U BnXskHjQuSE0vkqeJslFWAJ/L6cjkpxdneCVrFYU4SKD0TySpUm2daNY3jtVyMlQN8T/xiTZzQxb IE85v+M2AwM9FWi2iIzs0sC8fNMtnBT37HwmdZinBchTIuodlQkbbPtnR7WjTSDnDrgAUwIiivnJ NkZuRdNr7wemH9DIjAxKrHvLOtY7LXrrRpklfdrCzj4fxyL4mdU4kqkN8XikLeyFXx8cqAtj+KZw fStYjI05guet6uZVgWfhUy1MwxPDvi6WRjcryLgPGXL8nNFc8JDD1kwKmXdIQ1JrnnCTWpUCSKmj cZ4DT2/rUOW6b0S8XOAyHPlKX4NrqjJCRkZrgtQf+eljA3K0IPyuFIW70jMmiWTItDYAQ4U+jpjU MdldNW3qUMuTvkbEtT+NYixKFS8Ij0+dFpcM8lXMvVnpiX/aZH+tAEvMClq72xzZc5wU8v8WAsX8 +I1BucLuyBRH2kbOA8/I15mhcLzwAMFv5LEZRWNAs3HeAykT1F/73YhOo4SGPayVAG+bTAY10/mZ Ci+P0cCXHjVMAwNH4Yf+jE7xIhlZzqV2I6zjqWOAS4m+1/BtudpAWARgAgnWNefZyWZbih5X/Ytx EXdRGQFL/b2NhTopS32hcZSuisT0o6sYwpazod/XMBhNtyu71UDM6vdgy7nXbGXM2XgVIli04NUd nZHtAuJaL0GeRqS8T36Hzblp/pMtJbDaT9LU29gglZyqEFUdlz2hQvPrhJ+70AXQKdhJbEwE96Nz Enwz3DjDDTvNSd8ZtSRpHeFxbLdf+3HGFEdVlgmcu3NcCXq2yQqDpH4rPyg3WCcJEQ17K+Hm1rBd D47wWL85yg1D2yBo8Q4InlmXZBSE4DUTxtCnwT91nNZJ68yLIqM/QwdHHE+hyHv4cB9c/9T+5RXy +rY++scumSkZqWOHBRRauKqvhzUVd7OPv8d7CsnRt+Tl7sCaWIRiJyrKAH+wewmYWHs5FhzA+PPw A5Euc1CqS8Cc+Ir9tw//vTqL5cRf0LeofaUzzZWchpyDWGm3EBoNsVQOF8Y8iR8k3YoIbNp0zRf4 pID0iCm3HYuQKiOWHHTEWEji4m/7lDevyHneO+h8lxRIB70pwRKgCxdnZDrfahAm9kSst7mVhATV CoJW7xjxKn0B3jKXIHVpWAXnYeZPY1XfxstdwZ+EGlo5W/CKxpaWHWcl5XYXTzKaq1QBz4jsHo+P 0Ioc+vtcThjsdJM7pY7BzStQpgngDwCxUw+67KUUFmbe6Xevv1EUI5NGaOad0/rNug3gakyEEGHU nPNTzc1BVv9095jcFdQnCJuYA4ilcDzQCU0+lsb110y6UcFFDdZ2Fdmil+OpvOCRjSY7PgYsac0K XvfoTzntdol0FcTaaS/jJ0npJ4yhV5xGOSd2Uou9raUsn97vaw04D/CLYo1U33CadtnFHFNDvEn1 Ptp1c+FtUSs0/nPzakk0uJctZ5/W9Lr2l4YZc8KE5VS7wPUhx7BDOcIEeAt1ppLKl8V87g7bvjl8 QYUglYNLX5qR5FQ5r1BokieaXWcF6aVyffTMIF+yBr+JK5mJp4gk8n4i5fnNgfYik9CqjYRfJDhX 46q8hTwD2SU1crF41rpxMQdTWsZyLZLljtOoFub1YO3I8p42g3EHgSlDXmjaTjX/hNwiGxSQ+Je8 w+I+2H50S46z4VLEsC/97QWovEdMs7ZfSOG2ulVtyLIkI93GFqYULXKsK1jse8qv/+jQCQRt9kbR 4PHGKX/gEI5jqjVgN4+LpmMjx06578kyOThyWuve/Wq/4Z+krCzaUqiwpjBC9CCpZxVvdaoM50yr QMQdqkiLvAhfwKMrOso0cY457ZbZbg4eDpJK4pn+lrYRqlU2j8w7umHrc1MLIJhzlsqos9as8ISU 0iFc8zX0PfcmJQJ/xhd1ykkBq2duSpcVs2jxvMSXNcb3xB9d6a4n7VaKLJdA/Rb5IL6rNKxtGXYG CengwRHbKWac3HX9E6YeH6T5/XMAy8fc6W8tCKUjG76H7uYaHNevXKh4UceFiibb1NvQy/lqxr3/ TtN58YAbY9ieMmUvvKgu8cFgfifKSiL3aedqQo7wEsDJ+GxH8zhSqEQi00Bvwep8EKSygxFVNsTq 3U+hpyMC3u4j4GOHvPE3h+YePkeYlkjWAXdFVY5D5aTZH1OEGHqReW81/zYwkWX65IhrV4aSUYwo VUASGi4dOX3O++izin7OEhgQVjHeY7EzU6I/tUolOeS8tU61r6RpWlBuNK+RnEC0W646rNf1C5Ia AyVAirI4tfHFOunJwHGLijeB2LB1eggESi/+D6rd+KMI3ZuKNDnfF5bbo0aN9LuDFzSnVhwhbJMV IwIp1jI4Oq/qskHMrxxY33q7SfWxa+hdI2eCPfQ91zjDKdlJZ36pslwwLuhW88vNdRsGiFVl2aL6 BVIM30LuCXf2wIiGOJ5/bbKjVkVE54CEHEzjRjb3CL1eP2qQm2paEZ6NCZ3EJxCAb7J0XI0IvfKh 4Zbk9U54oR2W7GYoMRf95jjNHd37O+TDwDQK3hHaGzYRq86+L5XU3rRTEW3VP9iEKD2Wv/9a+YdB mHP9oG07wNKmFf52W1U1jhHteFvlDEgAgjRfWije8aqjwANspiLntW6LKzkVhkIyekokxhXw/vxU tYlKuFLkFOoYRThj8jQdjaD7XdJ0v7yGeD5OI0HEgXXu1IqOkNtCbj5+trSH2VS3NZZ5i1PR0SdG 26YZ7xC/JAUEKk7qwMVCD17AgTvontVUeT01Cmh9JV32+MgFp9BNTOiDk7szO/7psNL/FXRJQfs0 FFCxCLALxQGkGqpbydr05vg6i37RVlo7GkxvOxzTURtYPvgb30+0NSZ1w401beUlxHBg+qOPKMWp g4VS0M8pH8f1K4oP5WpqQWXs9N/OlmDPUy9U9Ad+snfoiAiR5fN4SQrO4zPpRYhhivMZ41CWsIGU eDTl76JCtQxRMLo7zqO9LZoDUp+uSZubb6UhcyGUg/46g0PUyJsA+xwt0Ox1HCDczoyV+85vT7FZ ikjfhvC1Yby/rH/j0KOZy3FRyYnTyxGvqs1qk6rzTy2qnrOVOWFpt2Tl6XWv1qWLK5/2FJmpf7lV /NdoaWSahl1g7ta1mr2NBX86XWnqyNte+Z5A5cv+zMLT1tQ3Fey96TTJMIo3I9ikNLyDPGEX91l9 mB5nn2cbQpKgEheqlBuN+TwiXf+inNsRDf4MshrdlcYK+mzM+CMW+CR/WqUeIOJT5HhwcawZdEJr kdfMwmLyHglO8IDLG7GE+TEneQs5H7L6hEuD6cBKXWirughulRVxpdsophZxTlEDTQO2H//FzkFL MrLzk7ayWPjwebw97L9bC30qaaMP/I+rAGplIkcMF+IkuIjEA34AkShS3d3B0BfcbfxWz7Zyx6ew BEMAGb8IyC4BBXi8pUkJCLa5aZwFLk+La7PKaxY0qjkyyTve6P8DjAAi/LHuaFYzGfVYUqBIlRGw 1b7PJYsfBjnPZhPXo5B02M40k1k8rV0skAfdUR5cGGH2r4+6St/I5/9k+H4iOOiXe0nwlmFpukyN Razo/RnSHVN+pyRosDsNAxcdr99Qf31HUFOECXxpV0ZSwaH9jqGAWVrM27/I/8/lbu43KoNtfc2W 3ikTj5s2nDipZmihuoikAyaabuCTOY1SyLqaYusScxn8iLQp7Fep8I6f2rksD8+Zs5Sb/9bRBVaC 0FxcpBIA+/2jKhr9Iq2ag1lTUVYqA+J74vb2lTrcO8cCK1ClQ/VUX+IXcalChI9lCPZFZZoPr0gA ygD7eogYkIvWp6rGvkO2jg5a3AojRHwarhxchDeFoeaftBOduA9SNtCWKxNS2/QNDbKzlYY589jV p0YSJGRLFdze/sRTTHX1Rpd7yMKznVEQ2h7+9c7vaOCz6ULwyu+Uejhaj88Z7fHVqqGvBfQLs61C hlnNaMOhcc7YAFm+GwJMGYnIhGztqOQURNexblCeln+MQIXg574g5tdc6NXB+ygf8a9ulNUOivQr xyhuhqXReXOEuB5qsCsDXLYEO1sh98KFhqbfeErZpzHt4d8W+F4SDOSCSeXjHZGYd+qSyHxRRiMF 1yHz/uH1pS8yilcsIOeuQalBgEXNQ7YogyEZ6U3Zme4vdz498nCcmkMItRzDDqGJqjQqwlM9hYcx tVXUzkZ1Mg8oG8yT5wQwkpESEbJhGXC1sy03VCU/9wQmx9k/eU+lj2aS8+ANZugP05j5GCCMkRyX eLoCQkzfdRNgmltDNWwhWKdI3AWXYjmq9qPHSXhDW2FeqfyyXMGTjeJtwxnW7UhLHIsZiRoG6jly NW/LbSafcZ5j7hPD9N/JWCthWpCS/JdCi0otp9TGTQ0xUlvE2KuocYzvo/xeXnedcItcwp34g2Ya LefvxLcNQXxp5mw3iKLUW020aApEzjNUbQZ0tAr2QijDwxYGerxzbfaOSoTDhpwdX1FlpmeIEzxg Dq9s5etaszaqxEHLJv696/Uvpn11nF7xxBvI43ItdfH9hg13jQLw/mvzCGvgTZsG+Jjfpk/lfnbr bwd++kqsTyG+ItvpBkpesdcGoiLHoLt37/tiLZ5YeyZdRi21r5S0l3Am9vn1AC4qJT99rTJT0kyT eMCzyrBbksvRQPyba6CdWinCYLcIYCQcdnuzSw6fLh7czkS907CXFYt3wf0qWyogZLay8VuBto3e S8ecFXdRMgkbMla67Ksa6ibve43Rjkj85AXxkV4oorNKWdjeLfOwa50y0FOB6zcLBFf0P8nVwnKM VtxTiGfmlHzh1PBWS61gtVlZksHaAz/T/wdP2+tr9w1X7tutFUXf4/ilKT87giOXJAvB4m0OJWi/ eqQ+7nL72CwhMDy1IO9TQYx6+uuk9nPZQu70ehnUkzfU/efjIrxsXlFqbq64DyxZYBiuoQVxjHX3 4uDPKUdwF0lNU651g4kywIvD+s4ij6pFbHzouHl299xMahqzix/ACS8sW9AWaFZjLCBBNwi67YFi Mp/ZuSSAJqtM8v6GTIBl7KZoMeo8oOsJ/eAILCi6+X86owVu59LwsGnAPBcmWd+DTkkmmOYL2czo HNxeYD5Z2ihNdkISPfMJplJWtCqpOTxF27sb/C+lEGCb0ki127K9Ip9P642hYcQQ2XX+8Pqo/LVg JxwEIxiCHMacxui4XiwqywXCoxxjMNvLP4hglktg7zbhoqqxv7WpGFOowrpov5IXWeqL5Km8sZp8 3O815gu+ciSxGbcxnGKD6oMlwT6gM+u51uk36hrQsMx6mOOS/39JS+UKHftLYfWLttd7YI0Jik7A mFNolzB+Lbs6oYsWeXb5RYZ6901XUbBTXob+jKxsxTH6HaWtNonXyyujGzuY6yvb+T22N5GXogaq 1+SXKKdHnJZhJ+TpHn7sXOXrXUFT3HJ6H6KYunY4O8sm0xlPkIqCopKOKZ2d1BIrC2qPgyCGtOPv BGRU3vvxaek2pGuPIL36hSDvC6sBofZvkP4czVOeCk0il85jPMfOpwagGHHME7fjQEwuLo/y9PcU KhqabtMg6OPGBGxReoUs4lbMil9tyr58DSwKgmgoBNhUN3sb5SitdUluDswJj2PgZtLl+eCJEYyj eMxvZtZHP0hkxsUjXTU4Ulnsia8TUIJg+lz/u0eofjC/P55FjG0YsYAKHktL1r4Nh+MWM8/1zt5k sFecyNpJAYx4PFd7Ii4jQCj3OZLozjnCWxgaFjz7dw7VmOL99kcccsdiX2oOgkg8li++sBiVqcWa GJZtwr8blWitkNTxaw8cWp8yglqw/oRirFKHsA+0JAl97x0bRNtEa1TsyRLKGLF94Pvw2BQvhVTG 8Kk2qCfixHT2rklP/RydwPOh6Y4p1cNzY73/g9ZqioakK40oBseykbTuNS4hHUvKEOm5AqddJj4+ f5KWjzm33ygEUu0pM5VIgE0vLhXia6oDJirRuRq7kNCGXCizGIOR9pCRRACP9KTULt7ACIlLPuAc wQrscByvYFlbz6v9oih2gEc66SPRrU+DX5uDFnm0sE71nlPVdVDrvyjF6fMYix65Y3SocBEljNtf W6O6Ulwo/H5pwgmXYLVpJ+sqV9H+HVdrtHKV4mLLSCaAoXcNbGPL4mnjioU2olOD9LyDIRQpUk3H BIjVn6m3zHZbM2d439zr2vpau+seuxzQDgixWy2nV1wEVG/ssnStdjsQVwbxEqUJKCSo7fYO34ut ikqN7L3h4KdcE2qpZMQy7QUbqXgKw0EBdL/7kPCxA/uUln9k2mLsotNMu3MnkoHMzsqDYkIoUTVO COj6verzbmPI+70iRXnUqzpGFfCFF7RNVAgIEMUT5rTFpeP7GXMAYuRT8B5mI1Ba8mvaTj1xLsqp t+4JRmVnvQ6kafVvZpu587e1M+MURQ9hlofZm06ZfyC6yXuhE63TTPlTE7HrO8PnNSq5t9Qwiihb VfzEy5DiWo3D9A+qtTfq8XFY/BODTLxmBdlcpHZdrvx2QJWGbWXwhch5sC2WFbf7wieOTHDw/RKv kxLYVS3pb+35OGvD9wGvvIgj3M8kulK748+akMWN78QclPPjEhEZOABSP6Rtjxz6kjSI1gspdzvB 9K1c9/4NoLm8Qo808u3Htl6UkU1BCqYIWdclZha4Jd0P3g2AFS7WKdIIeqZor/urHFxs3h05cFae f4UIYmXpRL9nFzGFafikfu6+a3RfHb+RUs4RN8yYZSm5f8T7jIIKxxqB7xiXtdffDnpMZqalWF7L C8ScoIcvdwX0DerdZi4C0qJr3ZH5ZNSYgnr0yM1Q4wON8YMeA8CeAAhilC6DoXnIVViEDWXq+DDC 9rhtbgftD65mzbhOwVPgbWyL4o+J5saR3DJS/ZWTUxD3tUgI5SYVogMYIjcMY4+xznqWPNPm2qXK w8tjSpBi4ERIs0omY+yBxNFzoy6RMxejLQE/2tVOyJiP9TDOJt2wVwWu1uDL1wW8C5jS32fQo15c v5iAwtG+NuRM9hiYrWDTHDFlIKYagD9cqJJzt7H0zFLQXhNku4OI40NcDRp38/PmFVJkfjrYdJ0U Ujk3oEW2PwqPmij+6B9dVpziVMWuXF2+4v7dns3bPDOqrdhFdMbxpR3neffzDdFWI35TR6mGtLt1 HTMQSTLK+ecaswiuQg8h4WFEhj23ndQotyPqILlmT6ppyZLUGlGlzlmUEultKsSHbN+1TmxH+qhZ J8/0LHrdaiIxcQNsfOkEObm4MFBqxSxtmm8PNlNMRkgDB/CaPcKcOifT0MB1YJ5j+HxI/yZwrdpJ 07LM5cDR7NEC7cLQaanb9rNDCNLjMK/O7jGxan6kDwOc13HML9HMrGbVkJf34buo3PyeH51DSvCV u8RtKAvLtNXME2xll6uEJbErr57uWg4b9ofyExXgpCUoZH1SAOf420WPY0bpdT33rwk5fdHHh5ds +Y2zDi32LDFHexVIUL8m+EsOC5Sj2vRdXV/d4s1kTU9eKG+WGHTiSSptrIPvZWn/VokP81tI+c4O Yh3vB3aohT+6vQPq2m8dD7IMMmlwXIo3BiLl5/qtYHznzFLPE0lI+U2qe03iuUQFUTow906brUFv Hmnr1zn1TPzpyL1pea/o7eV3FA+Hjp7nk0Qq2uu3/pAmqUF73QNWCuPsQ9tCRG9/F6cViEWCpwgW yc4BwgeTk8BgJT9r7ZtfqZv2QZbQlt3oYrM9LoyafBQoiwY9AgrvAAJkY2YvsnNeVKhLt9UHyKmd oZGRdNNoXAmKk/QEQpK5MZrHtJBe5jgzEYOA7hGmue/sVnuU/jxstZnk+3UZkwVa04oLSoMV/Mdc M1gNfMRDVSpnVqYUyoVHb+SC/s6l7KCZ8aWjmQY9z6iGwrFZxFMvr6j4DeIcA4iJQ8s101rVjFGH CbWyps+lrYPVJ8kIEdecVga61peUHvVfUbyr78rbnYMulp5n6P7OpVuOigJTMHoHMGSvsLPox2Rs kQfAjAxgV6wHWrx/homkUlrxIMkXvZnqV0p3ZBN5j27C09tjM0T2jj4xIbXvrdyWercxomrMD2+w 8KyQ9mODenlNXUBzw5MVIA/Wpia4li6XEyh0jyApCB3N6OHGvI8agVuCopH4jipqIG8qIimnym5N 8/En1Md9wbMkWDWLBU9ocIbWa8mMruiFvhAs5FeiSRU6oY6yI6kr3ZpYKtbvO3Y6mdhpsvCOTL7M ig9PXUFdkvBjr7qk9As7NqJxgBd0m/IdWFwjwek+OLj9GvXrQL0DgT4Kvuei67CD82r97O/3lALx wUmYQGcDrVx46n5mj3j80ihf4A34KN2AhSlkVbayV2kEFXHK7dZSq6TUri4CFxYfrUfE6H5lT/0M g1oa+J9+fTRdfgl1nZr1tWem4VUux5azWcDy2D2m/BOCcAo7hfB9o4u2JGsxAUhH6GBOaJO/b0ch 0ahjj86y+AldgxvFQ/0RArXeY7UCvvAztytQcJXv1PG6BzyG2tnnaA37No8lDIXkRi2kp18mXXYq CKCor/b4+uWg1i7APDkZcFsQodmhunCkoonspdTEqSR1DJ3LX4ZJ0mHlkhCGYKBnkYXypFqpZiCY Cw/EFKz+j9wSB6AFi2gs3/YJQtCgo0p/kpg25mDyX/xxFWzE3WYFfwOYG4XGzXLWbk7/WFrq4AwW xpCcUDuXBQ/MDMk/rEzft79FRwGk6qMJ+vaKbP2syq2rWVNXUVx7TECtWkpJW48coV7DF8Xl4BmL SXGPEC/+ZlTZIptjfiQ7mIYBUVSMqi/r3L1HuULjscM5BRwp/BvGVUp9nOg1g2MW9rQw8iXQg6GK 97SYvCIggNSvyCnvbPPi74aji2k6eUSEGtvn/1PDzjwHMnZ6MoMym0pXlZ5V0o0ZqpfjEqs43bDM 4F5D+ZPr5g7eqEdufopv9pcqucFrdAIW3OUL4uCt75YJ7B+hUDdG76EzWhdHVACMVkrid4BnvJOC LmxO/9ZtRiJ6/O5LXIfF5UyRAYTdAtftoGcvzc569ad+SR4MZ5Pp22EbaRqe5BjWZUO+jNSe4Aj3 nMWcAEtGy9bda86/BoazSkZDNiBuMcEJRKAMpMt7qV5dvFBX8jCgkx2JhPnt8jNRq2dZMgYX9QkS +OPgUFnFdTk/QL6dQrHCdW6EDedUBGdh2Ta6oM3icYE2Mvc7HguiW6oh9f4ETSy440MX+gPd6E+K v7s894PqHYU9m3f1YAvbxmHREO3ItEc5z5aGqz8SOl44ASeL94Tpq9lEtl2P8iKA+L4EsIhC3vCK 50S7T8ElFfvjXqpggBg1vPIt+a2vVHyNb9HR1YKQ1WgaU4EuDUrLgKutbVgPy6u8e7PObh8uHTnq WDPVGoPKSzjkthsLd6ycRhU1LUARzqxroaJg4zQbkkdPg+gfogGCwL2bfj9dyl6SqEWdUpmD2Y0+ grY+a7tm7fcq2zdtP9kQ/K9lQsmiA6fsmFtMj4kogoMejCHkzG2QLtwt0DNisN8qF8c+bNJzN8k8 RJcfDU0RN8a9S9ls4b7jSqb7bwESJOQuCq8LK2FqvQy61xOcNhETCFc1XyP3WZD+w6uYxVXXurlw lnE/kx5oJRkrjB4/G+9CKLCk9ERUDqnBrNPH8HuYbWCI8hMET54bYS3pttAkQvqED4i/wS5EFLpb d2yNVew3ss+KmDq8dyVHFBm4zLRVMgXkoRxrp22sa6nKWMM9ri3M8OXkJRV2Oqh4l5MJJzRvmnsi WT3ilrucODM6/iGP/NZMHtwn2aqHv7R2TMhDAlSM5edqS6S5y6b4WlkDNyvdCn60+FzVWVVDvW9s JIw+9tSU98cZ0ctWjIcpNTCzxVrD7It9LLQ8Zg9hnntlveEMH9TxpKS8HgIvgD3P1jkq44Njtgi/ hfNpLQ/+Wv53K8Rzh3uXx6PN0lMTIuacBS7z8REW7oJZavAtYIA6FZrCoqqg/O5toFssCt/yrcOW bAH9TFE4jF60v/odylpfsc2/A8Au15jWY/654GgmoGaS5U5dnI/wtyq9SuOYVpfS0U04459ZPVxj rMYM6daYBOdSxkjgwjnxhsIAMCkA941UUzqiA15CYvfkyUkWbDqYMLxNSEIZhNZLagX/cvPYX6t7 kB9DJR2yA4BnWn8+p1hitwYFGda/KmIfNjuRYmlpRkfwjPliHPLSv3FpVY8JJUTWd+oPhmYI8vsJ PdbptKmkdrjaa5OX2fhXtG+Aqlk/+qS4RyYo4cTKUSoEWeVWT+ab58gl7B/0PvkCb5UJoLURr5ur FT3TQ/K19uFG3MTHVgTmgixF2pgElzV2SgTkLp/A7bdjcQiKXbdpfPhF+N9v3IL3Am6v6mAIbylN kf7xTHoaM5uMB+oFfCHra+OXLXTV8ea9z6CIeZIc6u8P4b+JEdwWik6wEEa5xEQZNwIX6t/xaKz7 6yAz8NygVphmBx/ITEGl6KXSZRDWDswuZQ0dZUUbPjKXu91A46J8d9BznTi/GM9PLDMIWC/JZp+B jEmeY/MhDXYECo/KpGm8uqvGAd8YlTHoZTaqTlT56B63bFAHAPBMCjKiNzGm7ztlJ9bEJDrZEkJF onqjf2veDmMmoLVGDplTxFhOlILrm3pnCqocdxAXWHm/nUXvFksfnoxkatE5tF1nE1tsQJfX7U4z 5mFWSKRHBs4ZueVGPUNRcf5aowfWfc35htC0BzfPDNU3Ux7653NK+3BPlmfHnlKUVToxZd1UkGA2 SpBR1UoOIREYAY55MCCpMn6JvcK9cVveuEE8MZHqG0mZ/cAYU85LoQvf3txz/xjrOaMWRJqtl2AJ a1znCpVIoq1Dec8O9XUhGElFNuJx/sfB5cHQfdiMwFR/Z1Hv/PVGU6x1o1dTXu0R0m7y5rkZyYv0 4W+6fHN9TdlLl/p4Gmg/o16B6t7/q8KadeLjgLBDAUGC0AhHVsEh5658VbocOYhFyYSDHI4L7w7n +iv8K42eERtIU7e/mFRfEW80lniXC3hFPhsRGraJ0LZuKXuxGKi9kz0Jm9SN/17qkdF37rvtwLjh c8w+xw1iaQSd00GZfalN8zRKe1W+KOAaz+DVkiJIAkYVJt+ZDGbB8pddLp3ILVAI1sFUIix0JUVg r5u0qh/0z5Sw2fJTKNtSMTEnx7s/UWqPlnff24ZEad7xAZPoq0yo89R3qogu0k1qRvRmJHZuY2W5 f0nLPJBiwqQ/iPlt+1P9yM2x6x4XY0PjXPC741RI68DKNBJKpGJu/HFYGhnXiHZ1DoV6l2O26NR6 jZa9y5qC0G18rKlZvCX+kPneMCMyitYu56viF3ijnGrnIH/RZLNX12ulHs5RqFYXfmuFi3PU3K9h /WL44ku3sIuUrfKvnZ63reVoQSdjfXQ+dP21pdslkttJsC/hLWhvmjxMGEsrsfKq0fRBBNYaPsSK ZPvhI63td2QR6ETFPjS4p2k5R3YwVpyL4QPH8+Wt0bCvIbaiamjlqFI8sQVH1U93E5UgYTtSn+L1 Y6srAXU0DwBX6vdqibQhmr2qnrsK7sOmogAihbke18HWM2A3tYnYdO7O8xuJD5eSeHxQqWopi2RC 1J6OC+ZnCQ7KBvlO9lXrWJBtXrAJ7i7RdB1f/VdWQKHf8A4wq4PaLMVgRiIXFlQNB297RgdHqPY2 IuZajWi4rBntP9tQ7Kr5mCsFi7s2Zr+2yua/75uQdt6aU9/a1psPD7K7+z4ZSzCEp8IOrZaHyxC4 XbU1Ue2LB49RwY+g7WjP9tR4A0SrQdLxy7KZeFJhNFq6FwQXd+Zpxw78/q0G2JGUNfc/5JFD5NAs C+37zixcONoACWea2VJh2EUUTeUMvpTMOFK6VD4bdj0aOhIcKgMqYyJG8W3HhbYpYOzhdZbGzu3Y 4b3pMmM1JR+4lrS/MVb6McsHOxyhamHNt3tpPPP7aHj0xlez+0oWI3gKhRIzn0xJBSGentVwCadZ ggzonwQ4r6CSKdGz0T6B3fw/UNQMyYpmDrAVxx/VbylXIYUhIe7HHeO2qEdre3JW/q+H30rYoP0J W91CZVoFflp4e9wmhuLNdDglkRGHz89R+LsrKsuEsLG7sFsuj3t9iDnUi+L+EUgURsL9JzIIwfTc rgfh2NiCpkhwE7iK24iUVHaLWSk0rGfT0BNFFLfba/gtZARL3S8LzYwapddiBR4TE5wNNsjoDKyE F44bH9i2i97qetHxaboikH/++c2/xPRTRIjd6ToV+GXTtWlNMqPPO4zWEQgEfuCXDBEsWBwZ6azt gZSgZ3i4qEwG97TRCAYI5qF0jiqq1y/x1glENYXSP7xX136F6fuJ+eLMuJw9FKPXwypRa3SxRmPf ViqiKd4YaYe8MZWGFl+ec16D8py5jghtVxdOsZw/PhQrum7b1uKHsOBVLN3/EH84/VMc6utkxmTR FHSyVwpBNUNMCamILZx/55jFd3uOL1X7toTef6A9st/SjCL3yR/bvZ2M2RoeDkR4dabN5EbfTAY3 gFIZAJYmhRIWz8iEuCeH63XRBOaJuJVzrlJJlfBLnw2L99gmYL6cEWshSg2WZ9HexvKXRDTKNOKt T/AdMhTA8OhwKWWiq9TYkq2Vb2I2Rq8o+3Ng5lJmF86NoRT++huuwseTw04axoegSvQ0/1HjaF/d Iqy5jZcx3elP78rlzn/feifgQlxYfE13z9Rzw1bJjUJWCecsakSeDpSgR8zdNopeL8+jejuf8DCp G38EON8yiXmFClgYYG5N4caVBRqc7MVA6JKLV9NAygKcxBF5keO/X0Qx7DmDP4QFlFKuZonEuCpu c9fl5Sx8Co8In56XG5oElgj5mVRS4MbNSeUDWtGBBTmWIwDqfllxzUbijepA8ojGUyvFnMKF0oHr BdjjkRhhGmmNXQeVJLVcyp0voqsleAm7lw8E1EnlyKWdRQf0lMuSVFQWgmHn8jJPzaosmBo/DzOu XPJ/S0e7fI1DIWtrsX3NsM11jber5IvWOLn0LC8DXRjUaQFPKf2YanyHgoEUl++/ajYLYYZxTOur RkIcTxAeKDRJEN7aBls5T+F9nfDdidh2vbOIBXHM2taJtJugkTsnaLsqTzKRRHR1+zSVqanuYjZR u22/u2nAG8Iqr2GXPkMqwinJJeL/Dtvnxcy4xVkeDUQ5SmOTzBku+AbD1bAXjoTwytMdXq81V2Bj +cgC9dpxJYk8MVtKqNyz+u5BAIFWwsPgze++DyOWk/ABjATp/7CdLpUXKFqJAIXlbsHmN1XjoQKN mz0QeoqAi7INA0TEWe24nWqYLRcI3btCofgkuutAcMmeUYr1e8fC6LW7S7xxdHL1KIqK1lN4CtRB BC8oGT2rJI/R0AsZCckvE8tzwTQ/0ZictSzeomyAxNR5QEL2aZCnBGCDsokS596H2amQw1AaVUw0 p5GunAexm/20xuQUr79GlK/s4eLC2eeXR1P+n4TnHkzPJYn9JN7d397CV6Am5NOmBo+RR5/tD7vO MSd1B3Cxw2ccNwQ1zlf5K+W2/34WPe/samdY+yRvDm3XO+18dzsOOKsscLaZY/RAuxIbTt/ALomH yXVZZdlJwR1EUA/G29Wwaag5e1Da/jg2VnTKyjI4hXE0lc8wBr9iAPSAJ3XVJN9qASRV/9B6UOSh gUXUemhmH+zFhk/43U2bYDf506rlbKOnb/MgelfnHZsCy/LZv+KHFJ1sDO4EBwvM4AoxhWg+k/mX sKAkF5MiLDJC8e8rkt5fAt/MAKB5OE6giA0VwdfmhZ9NH/U90BN3TRYAvDFRql7gU5oWS4ZpnSbG DGcISVVizeoF4i/4R8V7Qr/68oq8hLggy+dvzMrUGkza5rMJo0QpacBB4E/hgkOKd951P2whsu+Z vP6q52C9ujvheG3jMdtyWRKiPSBbgD6trgZDeNUW1TXhgwf01qoDrgRctNDUMd/I2u4X2WyEnOsz krMjOnnkGESrli1FPJgrWmrccN1jgvbUXTVW6JYZnb0RWhY7coMtyvlkMgFLBqggz58E+poBMgUB lfWPAz5DH+GuhU9xhOnZDUBI67mPbY3FOplkbuo+s4WHPzGDooo2WpQJRwwKlXuJkOHqI+pmNofH 3dQId5nJPYZEtlEYo3DErOeqvfSQXQ6KZlZp2ZXBiA5RF0aT/Ddi6ElUL1Ot9Dr6jYAmIm2r30gX NJ8pBAGPzHuy05n+lowIr7v3cOmR90cOayiGfdJuGPH6kdtls2eubr9BLqym5nZRdVDmxQgze7sh zWoDLltpDszYp1utSI2u3oJ+8bKPX5zSVJjNUYEGNjtxIG1GyMt0vB8WYLtED7KAffCcm069XVFu ZbxyCkVfG0AAYiopNuYSi907otuzkokwBkh/x9YpupqjTxjjyjkr7ZwRCMVsfmY7iv9C1zrW/YTq dTo6K371w3h4mUSmayUR4fxwMTWsNhcWrxWkqEFql1S0h0J7Ex652KCO3ssDzFzTHRFg9ijpV7+w x/wPQwGkx4XqQIWak4gq6N0TLm0W9MTfF/16qzpx2yBPcEemP3rYg7MbRfUO4DtL5MvprvPiJgFb rcTyVXLpk6wBHuUaL+SO8mgQX6w6qREDMmO1dFzLfglnzYOwbG5NXwq3yZRQRdHXVUv6r2T9qWux 571+rhG7nELbDKwnZ3IZojRmRs1zuuNF6yMxyaHcvA71OH00gWbA/01szn4UjesVRqeWpZChlgTj jf5zAea5ntf69eCGxazvlrqq1EzG/N6+CyNaXi8+yhW7apq3VGOmRxp5bHIN0pZ5b89FTe9zpvYU 1wVf1RS39Putlw5OrPq7QoFlZ4qA/oSX6S58UUwweVKhbyLFLqZQYgnVhdH9ucAJdb9lgXSXyrbh CIeIKd13+LMRL8s9LNK/0jiDqm3oiWBMxpoCiVdzhMCC6I1DiemaCJ1nIaH9yiukyBr1SkfOKc5A 0cc5ZQzvyeFA2x/4Fqukf2irZgmUkamEXGSPwSk6u1wNjpd/q2yLqIHUixQ9ApqgtnBVNF4Bu8hG p8gVh2C00ugB09LDaOxFzavMIFD/tjLR8iSf+QgRUHFFA3KClBfD4iDuyd6jiqZC3wL1gHCTJvLy ng6YQunie4nu+Day3XlVe3jPW5D93YXoBMfXi6dN4UQ1AlJ4b2IkxU34g8198GelwDDEDUGo5ZvC xXUj2Zy/ukjNT3Fk03dMjTRAZRG0Y121sKaBZEr7qQqSpqzRK8GK1WmWmr1szOnyd4JQns7cOJ1d pHJpPhkCRLtMuJC6Fck0mV4hy0BU4zm9Hs3go5NIxy/WH9GGfqZ546G3gWl596qHyq5PyjUdYadh vNC8ja5VTkOAwpiLey4HSkjKcgUdmHAB9B03j+in2C/j6zxRBn/YM7Mj6FCps68uZFaRFXoHKI0U c3DmC+7AKyskzOc0lKJRJuld3JUzs3GMuJnvauyLzm0tZLPyLQIoD2A6qDFq2cm3e4nrmTLu01Mn OVrD0KI4lS4na75PUrvqheOLBhVJsdw1Srn47XJHMuBZCwaCq3qDAr4Qa/S2r4axFBj72+5Gs+nw xfe0aevHF252D0iTxXodix1GJ2zbBtCS7pGvKyfdLhHIQf+I+aPFnuEbISgczMDAmhtTUnx4nFTz /WiKjedAgEja/D0kUSCdDEZZY6Ane/q25WF38Frx+Znenkcq4+y9QMvc/msoVoNVYndQPCDLrlRb 2h2gbUcoFksC56OvF+G1tpBhjXtLBSvwELo8ZMBw1aDgbj3I+XLoArqrJSSab0CQ5a6AswDJyX55 xdnZRVGyNX5CV1b5L7sc+skX+sOhis6BrUblQbhRSd3JSbc3t8cPJ7WWOOvoWcMVFnD1M51aLsuH yr0zTkk/ICzjwmylmkwek3MKDiwGqLdDQ4GHFzgwvd6Ss9f9gwogcYiNyM6EpXD+D64IqrTzUVSg oWqf/1wk8jAtPwBNs84qtHYXyF9L35BFxKmyhWhcGA7md1pxljmbDdPu7IWYX7bPYCpEx9X4nXvd IrcmcxGcF1HhPkc7/yl2pZcLr3PxybyEpljzt9C8C1dq6OE1pBIWKDd08Awy9YbKCwgmGGLi9lNV bhzjaB5qrwVYjCsb7ZZa8H26UWOut3/j+rfS1FGzUh1l7yuBmhgTSbNis0AMpL9JNfh3NhEWKgBj Pn67j3PbpwhLku0WcAqrjJkT+x1630zvTIyIPZs5cUpIbOalthzNBI9gPgpyiIIC94C+gsTlBSAP JykIzSM/+J5pOZDZa28XrDT1txbAUDTO2PQSliKKxc30fq+e7pZSNbKYNG+j78Mo/mw0WK6hTGK1 CH7C0MDH/by23BMrSYT39t0NoQqSBhZCb+4MGHG6M5Eh4Ux6GMIo3gQC5eCN37AhTEDYulWMzCqt IF7o6fCdwA4ot/JvCw+4Pv53EHG1VbwbLcgpc/HppmbfwUg4Lfiy3JbTvLQRQlG5XefQponDWqYi k3MAAFK1KtP6gEqTzLQKLlhu7EiqhS1iY+25WOqWAJP5ngCbECiGSRefzx/J7/qZdBDwcKM76/6m jwGDr5QNA1sc264KiZcM8t7UXI3BF+e5eB8MC9Vw5AKVMYZxyVX437KTn+jkMA3cnKzcUVPzoi/O BuatciTRA0hk2AxDIVh7bMnNNQVigduv+iO8PoUB2WJ1zyruw/lJgCvcDSowPL4NREVqJyNixAI1 Wio+mHNNw4yxwGzv4ZH3eoUxQPCovocfluc1nZwcoELq1vPUshVsgKMJXBFkui5QLDnrfgrhZ+xu MdwAOZAZzvqkhY9J3HdBPzqoGhpRtOQK94OqgNoMHVXvICy/bkGC/GIscWzLpVqDB5LBFmVl3YGG AbvkdDbjUa95s7uYu1jHHaeT8YI3KXfv/oeHjN2x5zoBPVh0owJBQJ7T8Xpuu/7GBGQ1JBBr2OWg nnLebSQHDEHoa6uZWKaokhLzN3aNj0HvC7bAGXYhsqk/Xb0A3E7f6+U+fsZhbMPxC3GEMu0BqoK2 1YHkj3/o9GR+DTkTFxwrKbeCkj2k4MHx1wJ5aj5RAI85PSHbS6YTvPH5Bqz6Y1tolwbbIkVdYw68 OpB7hsAaBzSRtY1SnukT53uk/BViRIr1tMe69WEW1TPP0z6vfiO3z8p6fZwws6RCDUUMNNh1jNPN HwnenujtPo7dzdU4wnl7eWreIpgEywfJaxUcJ9ue1qQUvlSNgV67VcgYcGIMmlZYzd4HFUOE5VbQ iLjRkLHokOHumAq4io1tjk6kBYyEvTtRFaF3zDbFDGuY6xuSYX22gz1nznVD5RW4l5aMazwMnKYw rWt3+/efPK6lz87TWx3XHZ+3qaZKOOq02RG/dgGvekC5dzaKdtf8a/5Y3v1iTV+Ax0fr7geDxL4k kZDVd8XJ23g41dwctwtE4Sls8rN//cpOKGCujT3/Xt24ID2QMKVquAFGFCzO5C2xL0rqNdhAyB40 i+XxmXyf6Jsp1uKTAL8/WkOC5++/sbLqipCj/vwBA3T510jisChmofvZZvcwYphzDk+0H+uGmH+o b09CKdyDc1dVAIubv2rIqNE2YCavWrkfWrKfH3sdGr9wggrD2qtr2doiBSFUCV4Om4FNjUOAy03Q zRo8o/BiUEOECkJoh6AxlR1QTr2MdMnBsFxf22DYaarOY2RxiGjvs1F1cDkQtzTGdQO72/Cw15pV WVOuWb/spDaMz80XuJAp/jrMHgYQWdWH25XO/EnlLtiiM+RsufN81X1n4dWLTUT+PJKhoVP3lXJo zdsmwfQ8V7NLgMbxDZz71cAZGk2H8MQgOEHh85E2kyzQKwjqwyBTh1HqiqtEe/aYvBGXrAOar2Mu /8qC7hREOOeaHj/IDMDqHIeH4XAw7hQr6xJLBv9kVaCKk3EU8G1HQSS9BpUy4AB3YlIT8SeBSpc5 VfFFILvgrLuVge6WCWGoKjLFHnb+WffNbRYJMoY+BLYccqwaOQfeLvaT0SA3/91h6KLY5ok1eFi8 6+6Eivc4fcdOKFNCa5UWGIgkkjVij06lJnfyK8WEdcTJrer6bPg/IUR3bJkfUKOBi04LFw0TOK2q GYBU6E2o0uKS6JvczINdLJHaT1j/WMMudVl4fJ11nnW3HTf7ygt5/NpJHIOLTvHz5+X6gLrvN7LU zylpWF1SsdOB3ZPltrTVKgrMkl3N7HlFI1kLLmylwdhx5o4JiJ+bRV/SXZmY2upUja7R0qGeBwgY OLNv9F91Qlnn+CPeE96BJCMq9iUQ1KLl6FU+hq+99Ese/4RISuvxTAesfj4nuXoB6f6XUjoO5oRg bV7JPvelLjkiyZa3/PKgs8zCtrBHZJIKKhvQ5KiYglKEzmqrW+Ni0rMGvZnKYHKymkb3OZpR+yPX sYvkGE4rBHTFY/lLEIdzmfFERGdqatAshR/rzFaiD2lUmI8lZtrqoIsRbcUtOigKD3q2krYfO6KH 0famWkDhogE+JppL+lk6xEx00rbiMi6/hq1VF8KdeOZWg+cGfJpTTtQSPKqvFiDTqAo4pLw5Fg9n urXgEcMv2jz9fuvRSRj6J+bCRlRX4wiurByR1C11Jbw+inrK/3wiC1qU6fNgBlaWvi7kfnvPqkI+ Uo4OA1dwgK10xKrqAZdVDwjEAfOlrBfMTWiAiKsxdafxLyB6AoJl7MX434rjmaMQ94g7ADREAjIN U1W1ta6GIgP3WljAvSicPQZ6U0Nj8FEgb++ogtvkVKNkuizWPYCeEbeMDxHwY45IXCUJiNFIYiXY hDVAXgU6URbIB4gkmQebNsW5CObPCteLUePr3tP+SjQbGPeDgSXZS7u5aCL4GdoWhbjF+eviyxvd YP33bA+7AtXhJaoQ02jBG1qATFVJ17m0eQK+Z39qWiATK82MhN7POTA4YgEDZu9fIyOcP1j+3s3M Vy2qVM7u2GOuEi/ngnxtqePRY1lhCmNiiWtKiF3sJ1/jt4rBcS2eYJmt+WNHrQbPweNaGzuIiSpO s7bVrFpjrWigfr4HebCnfECEymzyDl9UgtZfZXR1XPAh1GZuCoJs1lkYmoOi0a4cQcvgCIi+Bd4Z LKKpoUwe4bE11q9GHE83X1hpgZ6X+eGBxbCcWaSNLzO6W1bnz6tHHGEsoq+ZXC7iqN7T1dIRgp/O CDAmmF+36e1bdTIc/3wt7PwZbR78oN8b2PQpaheOXXcssokT0o3tfv9Hc2iVn9rTIRmA0wKeOJ4n xJqkCfdkfK89DBTLsOGpijckpa8HYy80670lIOCj9MlcFhPbMlHFWdsrhCKYiiK5YJtKqzEjyHjO MPgzrtMUMhbr7bKClldJviOvNlEk5qGKivj48+x9raXK9GxPlhk+jShWEsP5nKraTGlBiPtXa/+x M3EYKHnQBt9y1Bf3SbZXsnLRZ1+sVlWVAgchE8/rnYBq7425JXvWrRWzGEm+S3TObA/1RqtF3roa vS4W9E9nLKkwZeQWYa7W+y9rSQXz2Zu8pyCyp2qpGURChydxI/wBtLvuP+2UCI5fjeSm5tl3RZib +xPiQoNdcZkEEzIOxGOKuJDObYl13ZHGdPXQ+/tw5pkF5yGAE/eka6v2reqDv8bXo6QYUb57rwsf ioTA8s98GhJ3XQybVAOx8KfOm+asZaDl2KeoCRLaK4of2+vrRHWBVHpdVkm+ZMolfZnvDeAOQd5E BC4UkxIy4RbmyxDVKsmlXvsakGjgw24YjAmF5FhPUOwwZol7fiEtfs1wiqlldrFkRgxBhVKKamtg FavF/RF5DAa1hZqr0ju+Uht9YhF783EQHFOwN0S6CJbcT9MjTdesLEfrHUruOHU1UF/qwZ3wGz5S Tgk8nFWAIzo0Ezlk5asnNLil9Q1DVc3srL3rQWFLhGrlDd4qpyloGTwJqFOZ1Sev1VmcB3UeB5bd g7QOTr6Lwv2osFj1SszYJtED37768V424r7kwz9XOTCSf40x73JOXJ5xcb8hV43et8xinwZe455I D8jX1GrqYTsB0GBGNxboCnb9VTRAdSSzUw+r5I51AgBDJNdLxo0cjGJCjtPiNkZsgZEL0pnhVdVW vtCifoO7nDhxtpzzAJPXsmVHeFlkddYADosiPj0AYEoycxcX1ioOW6GvG2o8a1LUAr7E+BVlJU6s 6VKdVOlxdFNKc9Huqcf8Q1nGtja/fYqvloWIgvX+ytPWY4Re7T6UNHJR5Vp/5VkDi+fx5M2sVuIQ D1xvuSDjBBlFPVbLLuN1nVgR+mwLUxLiAZ4i+BbuZODFX5SJRhhpAxzes674nE8xq3u1GU7JCeNH iNHrKuBdcXLyftAoihv7vlL/hY9l0c1BCvv/yDV7dUyTn4n9g3KFw7ULBJwUb3zq6pwPSyTHi+jo RzVmINO15b33elc/ZE/MSgasMJnM4v+mh+bQIYu7Gj4UtXgzy3WzVg/4/IQEaEZqijqqAUfBZJOP Grvn574mX+Bag1NdZtdpkE2yG4y5h75Tl2AotfJ8qv74cYw5tqcZBL3WNv7hBXzavKkYrxfut+bS 518Ahhyxaqsb75SMUcPC3VdRily/plBnmBuFUDh4ivSiw+3rK2c70E1MCYJQaR1bcspUE+26tv1c 3CEHmw7xwtHpwE3rt/n/Hq0NbxhvUtV6+FbImcjRfPLi/S7MiGnXZFJGpcYlxSwF6fUuEp4Yb5jc bx/f5MzdRI3xnGJGGfEiBMjGB/psBT0BESwUZnK+akz217/yxmSEJDp5iibCL2tmbKyaKDPvMtZr 9p1TAZWvLsUp5noZ/z/V2qebfv1l6J64NNrpUBjI6fAyT+ZwCPUJvo8k0IPgkSIfFNHp82Yfk2ur OvcKStCEGHdq7DjftpdN85iwuma2kFiTARHIdvKqtK9O3kqm6/5+zqf1Be300AN+v6rATvzNAU1H XHAYBi8wRA2HhFsYtT9gYbFCXPnGPPHEcak05/uETzTr8HRYGMpa81WVTbwIjq5+win2SzYzd6o/ S5IbpBfWhBXYeQeKicXgGKUY59cF5cMJ5V4KmV/aYUZYjnEQfAQ40EjTMehqC8MjC7cfLPN2f4ZX vSTSjD4RxHNUMlhycFcTpV4Ft1pQA3niq86jKh0WpN416HvKFa7iIalSed4UvKCEinkw45lnejLn DAMns0lWElSR0VgIx87YG60kqQDijcm4l3n54Z7t7skd3vBmTasfGQ0EsdSUyj0r38zuNSScazsN XqDHeOAITn7WAmzYbMTiGN1Hf/043npMgjwDeLD0FS5qLwjUe6yIH4OkO5x6XuikOR58cG2eZ1J/ XcJbaptUEmeDr9DK2p9z1XJvG/OBBFgXwpLTOBcR3LUvuZ3rncsZqjLszy0cvqJt1AwzHKDzOjxF 6bYYiiCGhHRKCx+JUB1CpQf7aMGZLqHZcby4Vf1UQEhNfpYPqYA+t0JUnpvdXG7jZgbp+YgeK/p8 qzwp70m14ZrgszSBdNJ5JQzzfBmW/cGBH4Iob1EUNT3a8mPH5Ca5d31nRyNrVsSt13uy4c2ZL3Xh orVrUKF1WVMnn7PUaB0MHn0wotSDXEVSsUKV0sE9/GnnRzvq8G/g2yOKBJDxNiH2j2tj5zstkJF0 qirZ9mGR1Vr58KUgKvC7cc+uj6ruan6xb9CiHeG0UklP8KO1Tn5TIL0unmnkpHegeC8xLIFB4lZz wqTwqWCU1faD85okANBmQrKHvssiyJOsrDV2mMbSHXwspAJqM5d2pIgAeCr52GekBuuOO2Ox4Cc/ 05seIaYWgq5+/6Ud8sIQUhifROTD0pXvN7/WO2JmZKG/dy4eN1oTAVe2N+NiQq9MePpA4dIEUJkg XUgJQTsGxFICA09w8uZdqyf9ZxXTtBZjjBDXDAhwZJZgjgxJmMjZDOCAha/i+GOEH3KyLRWE0l3Q Z21gNuiwOenpuOvPD+mr4VX9HumR0CqPs8TcKfzgKNoyVjqYVnUnRuRaEueCN5EU5Jw2Fo3m5oP1 Gu0vNlwAkNJ2IfFD4q4hJmaoPfLo87IuNInoFfrZRcWezN8U3D+gcjORJAqmjbhVUKN4KMV/RPAh k/xZGxPxJ7ng0irutlGFwETbkoXVneuW5Isnp8Qk8OOFx4DozsRv95JR7AuFbBok9woND5VstimY 4mz/qtszVxLv/fZQTVhBJfe/LKHhxTesg3DtCt+qLmsTha+Nd9zaTIYxzEqh68S+oQz7ezoEbPqv hvwau7fFwvh2t7ZlSHWNqTzFsAtQPsiRDdYUMIddJ0bec/B3TALso9U3M+ILKyoWlC9n2ttqS8M8 X2oLe67ujKb/tVY7FyYBG1lfAMdLCmVbefOvZZ4Dy/ARet/rQ7uUL2febc1loPYv6JMac0qtIRpm c2oVCvEqU871U9vcw8lC4bQo3esaNPJk3R+hiaCzpNK8PfbKP95SyHcdW3PCZjBqTqutOdE/Rhy2 oQi/GF5DJwmLC3Ie2m7TqZGi+LhFrgAqoRgXvxiTP5TMAV1pQKaR6AhKZc0A7M3lGseYt59M1Krr 0nBx36bFgugGfnIo/PwVlssuh/sBQuF9CEMsCGiyeRzB5r9jCh9nUOL3FOaLh6yHJjLSX/BIG8rL UdVzimbKyLFNMSjKA6aMwNhGf/7LVo5yvQGrtDDisa1Sh0W2oRiLkyu2yhnVW/1VrwRz4RE2bLf5 oaul+rISzBweqQHeTrfEpxLzdS8sxYYI3DEGkKvGlyKZj7XMYHUg2ngBxfAuwTEhu2xXtTg57OCU AlXphq2+aF1wE+AjXcowDCn0ymnqTdcqV3+0mhq5sl6sxKY7mGpmzPfHCrhQcKQu2S4EIzrvZJ8d S+geeBkXtmqFKWQNpMjMGMzF3/TzZFnbf6Iu5aDeZo7W7c54jDnSAb4rPfnfUIK3G5uLhoPcdh0Y RUQ/PcJPx6ce443s4NBt59P8BU3cvzgshrB5QdsL6zKyfOtGTSWUdGicZqeSsVwGy/vwJLlLnDuI xh70JVlNu64tt21kI5E7TzjMFI/kjBCpEUr+1xa1iIET25Pk7PRw3jlDYGEq+JqDWWO7G8a8QgKi CmW2CsSzj3U26FGfgvLT7cubZls79trPE6L6h3hBSHbCEfQizQJ/WoOfd2g/dGLRIN6nnF+9Mxl2 8DLVEkE3cMAG8D0n/528JjgvouW+N68OCTrSyQq9zQ6QuDJR55RxXU5kE1l4ZXinTyVa5vuUWESL IYKUC8VeYu56uANXK/GT4p+PcbuzkO9c7bYPjTenNQK/N0gQKCc3j1L95uJCz7oa3Y/rs5jelsNr Oo2g4cE1FclInHGH1mrpmEC58exSvaq6boqUM2XXg4YQ0SOQRsTSZbFK1mmRQnPR1atsYYKjjKFi pdGgT//S4i0k55HpKrWg/t+koRM1TI3aBDKsTP8F4Cim7JvzrNqwtTClshsS9f2gmwPY7t6HqJws AL1xUw2/2BwaQziXaGEXgb+ATPrmpbXBKL+402k70pe6oLXp/1uP87n01nj89OLGIb6QZRvjOp1k /yE3yyoSJ49prLfC1uaKNt/QYsY9cgIecpS9BfhzBWuRXNBRWmBhmR9685WMOJ9k3YcwcTHE34xg +jtu0kSX/ESahQ48ha9wWgyHEWOd8IbvAztCusXUKTvGQZG00zpMJ4wEGlN7C5C2tKl9GSoWnbr6 neF66lzgwcJraFvoQ8mh63wWzBQ+Si2+OjX4uKXJEZSf9cfmrbLJELYgmYmOj7PKpwAX8RgDnTEb v59Ci51pbEqXQwbX8yDnrjTroEkbUq/aj6cqFVaWJMhLRBg+fGdPR1q9aw2EyzHB+Kf7Ba4XwJHa 1lSzG4pLFv7AP3ie05fkmnPmumWyi6ULEIZxkjZ9ANvulUSxWFrsr0d+4sqPu0l8mpdGyR1/IZ1z m/F16oVBrtNHN4vksSNN2joEy6x6LHRXjWKU1TylUFpms29OunXb7Qeh+qmw3d8sgNpVkiTP8u1p hDX4040TPb+pal3iW+GSkcGl2vgCIydDmFZM1Jd3EctV9lQ0pylXTV7uD52Wm6f9HIlI8wF9Z3E4 ucWW7AcBLsXMzi23djcxl6UIG/GgAgcR9mI8Hz+hka0l+nzh+usRbkZK4bBfmBkrFCS32kH2Wcke fijv2fdE0DDxxA1jXy/0tPYZkQV/LCajXlLG4wlEPZ1wpmxa81hjDOpqIFM81mfxi6FReqw2fcto cqPrDNqqAE4xCXm2Iv9TcVk4J3TbzEvK90ugsZf0WgdiGsllKwiM9hCGoS35jI14VufZcZy8fptq I9UhElwU4tbtEsU6UXnndUVZ1PPfbkm+B4R+siiv4bvee6GGpnDrws4tVCpF2lhr8NkQ9U4H/p5z vkfUhDYbfVh89+kOMXG52JdZ5eMgoWLfwKV23YppWirR6nAy5tTWh3XIrVnxd4eMJrwrYeLMBllb lpqX/cUKMRyxpW7z7cM1MDYl24SMeJnuiR+gwtfkYekZwUdDAjz0tLUYjzbEfepx0gac2DvW6UtS 3Z7Zk4CUx7Upxzbi4cFfoTZoWKQKUo1aZmex8gjy3pXKTXZt2RBLwc6jf7ovV/bG+K7bQw86yUIh C7lomsBQuKtKxqyNWob1xkggLbyN9VzrSwc1cNUHqHF0HhkpS5zkTqPVcfHr23rEnmxIgmYN+hTN kffbZEHe2FKiImXFRzjWwQUQ2gkQ1lssFVMKz06SkatS/PgT2EdXkqHsNpulYmFzEVcarXpj73q+ LZsNHRCVeCMa16ygYG9Ev0J9A60ZgChIy52EGNWB04UWBlqbnbU+FS0Rp5yo8Kt6SLIGrA8cw91C ZLYcGUSeRtTkiShmaObjGlsl7Ln7WbLY9dkwdEDjClJ6YGfEJ6dgPWwrWGNuqubb9rp4A/3El8TE hG6rxkdArLCRrI8jxYF5VjsXAoECZpesVQln+gYMicleoLEOah5C7kqBVAGQ2/uzwv3cV9ZKkD/o E1AwCGoEtL+APuRM7TyGTHQfotVJU44naQpCBr51h6xHDRlbSovHvSRxmNGwXgF+JprdIuHKDnNh Y/DtEZNoL7K74xiru68GMni2ifcueqdKqaAE63IvREAEU3ovGKBMGqh+yfhyoV4gnLlE6aMisn97 CRCms6iU1tpgVk3MNXGe73znpdeWpUSf6zfmovATvGKCptvnYDoJwE8V9fjPeCw3IIw376hBlN44 QS9ltI4MItzf3vwnicb3oeI7WNiBq0cfVUGQ2liRVhxEocbGu3TBNAYaHCWXhw26/uYdf2ASX3y4 JU4cF/kWfGjlXi1sWc/74nGQhqEm9WFKR+xTBgZ7psZ3rVjBB60BxEszvrR22UkAlSDoCi+LIWee 3KeOcrkmkIreR1Re3GWeUibfj3lkL/VahAFJc/j25uKveG46Kcw76/omNXbGerN1cjhsWfQFYlhi JmRuPXIrUSbTysGu6Lem8A5rIq3KFgR69pG7m+pOgMO0jirR9HYYGSSIglk6Tmw1m93Hy55hO8Rk EEyKUfaaGPb2FXHyfgTlV0wABdDPGYbqaXCtNfyZVFf3Jl+LpTqok0+zrfek0JM28UN6i7077fBi Qy+C4SS68ey12zW2fmOjplwFWFZnRYDVN11x8ev3ftCCxg7W9wx4RTck1Z+13OWrF46YGi3a3JUx PfpVj3YedFUdFCd6ZB3vwubFv0nYitECyw9SzHjxXGFa139eeczY9FPjvxmCBFLTWWCXrc+XIZDN 3ZVVcWjSf07Y2BvLC2GOphqLR1hO6dSP3Pk2IcetE9R2mvmyqZjtETbcrxheCwR/35gqFCUjRgAt iHTRza8Bt7usmuECIPd1tML/sRYkrEoyerk1203FYcYLnhgpHI89mC/9isuP1uB/Plkke5B9YQWp rKgOvWimW01VcSnQbEyoxJkiS1BbJS2q2Laa2UdgF6raOE0aFFi0/grGhSlJnxJ6EyoGkB8v5aIQ B8xm7xQbftmk9ILEsYSCS+ZjcW6MJC+hcqjjQMTV7BVALY4szo2a5rbyu1CgR4M3udXHxaw37WEA vdSclm6CWGlZDue6/sJqy23FGaRjDWB04H/XzZ7a96spO9AQdWHyW9MA1z/wRUFgel/s3m2Q+E1q DT4/4HaF/amkkBQShEYtAOLeu6gY9FWKn66rDYgOxBYqpoW3wxgrUYc/OpDpmBBFg0rIwqdKk8Me zp3avl25co3Q91P3CmseELV6F0jRwQDFzbdhK052mtHif2KAmFrxBXFHcg43Dv2/Dbs2ZNF5fACx pCYFXWqOraUOo6k9j93kseET6eiyd2UzcUw7+CstDBYjoqPBUIwsDfGxQCjLPd2Yl4FpISQlU7Cp 0pWXnBPxtJVHvy+ihpWgn4IaLu4oFpaBXivivYqPEpKhKgmEiuKyAU5SswyLY/0s+EKdcWqykQkF yPOdHVWJ426+CGAcFu0XAsnrO3IdGAxbTfxSNNio1PmWjizutPAGtjS2jKjjDrtei8BYnVguw9Nk ABffZ0L48Ci0YJDHda3H1wl5LS+jHCrDzkuZZuwehYC/I4I4DXM8MCk2iDEkHtArq0txjzuc9jjj YLX/dOPoacJirAc2zMZoLyaj44Q5Gh2wROOA5Kwlut8348T46IwLjm8sjII/x06ao/zYsqD0I4TG kQfNBF1SgMqX1zr5lSonlqLRFYv3HYIVCIyCfKMbt+UZlv3+GBW491o/J3LR7GRFdIiI7mnHQ1Nk xY6ubDCORpj0zvkRmiVrAq1LBMJirB2Vkg9INu3SaloMvKhbXsAWEPinF95+yLkEjOsl5NXBhqQu 2ZTdvzuopEcrBPy5UncsaaB9SdDl/BvTjrzA6EKDvG2KmZ7PJbQ2RxXtLdHMFmmpTqLAbjojr5Ur YEeUBaN7FRUSGGAVe1pDvN4Fp+pOXoHbJcXtwEjj+hEcC6qDu4+yy/6i+ne8pZGeEuZrL/qvK+N+ Kjhj/zEZOGu2p9Igt0EAxMOKUCt5wG79gE1KevH5FdJuP44vHwFRuzb8THQOh8GZ7W8wlhs3Cx0s WjcPprNgnlSTXUCGkftYGuQ01SFtQGfiyOJwd1kPp5dhErHy1b4+3rvYHIcFOCJzQ9WDgPPhD+bp EJRYOTSstwtH4dKhRMHlApdSoDUO/n/txu85J3AkFRmpHx8s8H5r+dQ8AoWSmtnT8YQLn/wqxyh7 0laUB6Ig353g3HAmyGtpJpuEIdlRB5HJgpnPhfdU9V2P0kk5pjBf6AP3aplE7G63Lh28UfRZhwtK uYFG8VlHpApJ/8xeqe6tEXQKMo5NPNF+bCYhft97brCFenNBWKjHBWdklldhtnwjzURJ9UEpsAUO s2s/2f7aRTE8rccFtdjRVfO8a0OGAHwj3K3Dm1nEeznIozw4owSB3AycCcUsDr8kJWSbHcJFS/pM gL+TDuBhjQM9QGrMvXTjvvb0nHL98bSR2FRUDvjdKPEqiZ4orYyQhau0/5zvpMjqHqyXWdWF1RR7 HV0u4e3KLjD2VpjqbQZ6hubEAYZW8BAmTZvilKAH0Ivhn2PFwUuuEhvAKhPIT5Asz7Lgk86lERKu 8vujlhg/ti9/avfMKrMhECAw7KLqtPjAq7YsE134gG/Tfe/ExAJ63KpyNcqNomFncl4vprY0llN+ KBq631G2qmmBbgY9d2CdQQp5WR6x0od9kfUJtATA9cLlLCj7hRxA00PgLLsOrsw6wu3F1JqwXa83 Zz8FFHlcGSr+Yq5X0KkFa+qWZFTeRbW/Rj2y0iHj3Jgpf/uEoxLFx4PgunmoSFIOaOnsP6ti0ICJ 5irEfyDJm7BufgELq4VwkjORB4hFhh5zCdydyX1Z5LIq0K5461SRYkKg+XNUh7IOi1ZenN5dJHO1 G7t7EXuBdjrd249SMuCjJB51wRb1ctlmiSvV6UHs02zcorYhcXj1xZI2a2+KcuFS+tiGUGfr7Zi/ dmZCzz7hXYeC0ozs6LsnvfC6rkjCROZoEAl1P93+029Xc6GfbWwjvrfYIC1TfCFHDrCmNXoC2pZv y9Y3iH0VdQ5R7Bf5UoXxxkk5Mn7y57bOfDA6tWDT+j1eikbdR2O+wobC0PLBzrIcqKaxobDn1Ucb KNDWhX8rc2U0yK7AxPCwVJSN0f7TzODsWaksiIe8MBVvZ+6/2fdeFsxCO9UkmFXDrJ/Pi45iagRi 1UQ0oHZlc8qGV3+WE6jyODr5EUSGGoRfOrxl95DphkYvuCPdp5H6pu6OK+W1Wcy76Wr0qsTs0VlW C5iOmgIcepXINIw/9uUDKvUGAVfIC+e3TLOwIOcWG/KPImFfK8u+4Sjs4M+htJ+snNwuIUykXSJl vIyXgUXkIVueSE9qjQSK5rehIuQDPYc76IVhCq1zl9WZ8QCHOsRaOIoo0mmE4duvpkZrvbvhqFpj EGL/r68ec6nCg/BG5+bA/qsFnugr/YIye4yuLEJj8+ArjvuprRzUEHJBt1ZdIpfFWAxVPhwwpzPp HmT7RCEI10ORwxA1CUoR4jWOpYazMQlh7DuLd69GN0Dmdwaz7iW1uFgUQPh/09H9RForY1gtiVwZ 2oX+tZ9fChFqIemt10JlHCUjz743FrsWd1quyrWlBi1KF/0pkC/gVmK4JBXZ6TOB3ZewjqbLj5XI sDNvJOY7idJ/ibf/eCUV4Xhw3DcsafrHEEUdM5dF3sSQ/jsJXcwYIaEXi4Y6muy1fy50LKl4CtlT Ox4uY9GJ4DB7xM1ybWi3pqAtBvOryT4Lc9F+c219k6nIuNyL0goZexLifITfxw7IjLIYrGnJstDm 1p3KrRLbDsx+B+WcovQS+d3s1xvh6FER8nuDDWmMbv1Vt3pBNOvmjYyAK8GILn9O24F+77Yq9scU YkFG0+KjwpRaO5jYFNl5fA1obSN3uHAskl1CZhLNubOm03d8WewoSfk1Uo/AVPepkmbPJJDGBECD nPGGhCLHqL7/o07oUAKotRBxaIRfQEzJWmxvuguwwC2HKodcUdzTI7Gy4NRWln9GMtHQHO7vnb/E fl/TgS0yQSPt+EJO9+JtdOEmOF2vcHxVlnWWs18F542Q7N4jqM97+xIpnFnJi0L7umsCfkea1Vd5 3YMDmgVHqzUKRvhzRfjglof6J6TC/Uye/a670+MgS+hBHCHc/Qc9evOWbJWsJ5T7M6G9cPXEHCLw /6t5T+Oor+rKG9Ha657f0zkhl7v0CEyPjA1KR2CK4fWXvHuQrj8XAS8zG5INVwR8tEpJpHCaJCWV sYDYSnmQW+qDiPAhA+142a/D8eJvnqEsJcSMQyfTvSAuHdIyX2EwejEVQdNGGcn6+UhvLutfo6F0 zOZtJXOp7Kje6gqY3H4eK9sFQO5NjjbTZkENxGIzuuPVjvGR0FCqwc1QiJ7ZKkSp6MerJ51hp6Ym 4lzfob2GZ6cpFDuUm3kgAwOY3ZQfro+Cok6AzqtbCoRREIK4IGq3jX/HJKI9j9SVvWw7sMGPyc8s K22OCxN67hO1OsJpN/FgN/59UKbTtizYhrXg0mp983RpyrPaEqhTBX6RXTotgABllpNK0TOdAD9o 6koWXXiK2EFVSJQdoj1T8FIFr6LvTQhFllFvlQr2DLHiBgxSNBA37WDka7tflx/fKZ8U0z5MDNl0 6A2XZxOJrIj9hLErVriA+XSpaWZAtb8fhGgVxqPDk9D4KIOh4gvsUtDfRMWLFIDBn0w+oeMiFtD+ pkV/w3cW36dim2uosClzxlmsQtUdHfpg9627dXF3fGx6F1sG+JQbsiIANvLen6JauTEFzJCxwZ7y EtFB049szAx9Y08ctRWoXGqiVU4AQJDTwGZqM3bPnc3u4gbOE9nQbFdBFF+Y2irIalBjzWaSce8f JjAGoaNFjL0GUUDrFftHbcdmKk9NtzSYI/Z79l/iiTOrXNT2J28WnnzMEBziagvZTles4ZB2lnQO of9jQPvPpS5KVtOFy506ChyEKTvq8HI4P4F1xNaJE1D9jFEMtPVnULvHdF8DxZdBO8Cl7lkarxPn P+HjCXLmMNqlxs/TvO6XrerWxVrHmvtgloGaRhC1VXWFMe1RYFjt+FGUKeU3yRYitI2PNe1ARIUu psPuwcUsKsYFcoCilemCj71WSYW+kXOp3rAgcXWSEG6OoGrQf02AMBwCaRunN3yZuBUxq6tJtxco 76C9T1F+8IVISOukw81CccPGVMp0bvfjPQtimrEnerqEfVTlWgdyVbebyNaYTyEAdXHMaJ08fOWF FIqXxY2aj/aZEJ2ZszQd6r1kaZ9OHvXeaANR8SiTlHgIxGZiRBQxOUWg7cZ4XMBwejsPdDLlr6qX dAihM1hGRr22U11DL2G0oqtDmVtJi9HEkkh138TeN/H4WSC5rlddnI30zmkWsZCu/cnE8uMMQ8mE arHdFO4Y/3Kp3l3nKv+m7LGjLteCf0HfOybLLxwyN+lW+6ynnYEnHey2e+KT7kS6g3vLiOESJCWf SQsuh56vQYGI0ZyRD+fQruxzlq2q1xmlln+qIEhJyQLsyWSXtQ+P7yLEIVQQY7ehNteC+hJ5UxNP CEplgTIIy7dseskJ1ll/2FAejyKUBGdDmPPzb3DbgTTUVbVP94PK5IuepRf1wnO5mQLOHtvrOddt e2pePILqIdpAPsafGur0jcmUr7O0XL6TpkTz9PoP2poslSlPqC+ig4mkHi2mueXPtkMkcoYrWxTe VCVJWk75VSBa4H0uM3T4TgAXpL8ghXLIlAuJFsHheft3ciQD90dF/i8HJ/nONt8zHvRduu4Mbo22 3x4m0oWaT2v+80JBxge9wBZRruPpq3PNnoor3w/aAhP8SHTSfCnZOZf5EV07bI55YMSVsUCs0Jh2 xK+d7awdNh+fgg/AYVsIRyi1lf1CDD3Un/ELUKq9vP/rXDXmQc+jGS17pjy//ravXmi5LWkVJUlI 3usR6pRc2OPWqVHXTi5r1jMX7fqhuu9O+QI7RAs4lpO5y4j+3H4MLgvnhZUL29sUkE9VrQZJpi/V j3cn4U8Xa69VQOL+X0fq00xh1BVBkT36rTbqFbtexN9eMwlfxf42LzzLY7HrhIHg6CqRDM/tJa8k K9aWx2ahoGs+gAx1WPP3x3SEitNG0aXwaxZf6E9BlUElmSNRJ7NLiEWmzA99UgF8T3A0o0lI/Ao4 eqPWcBNnFPkdRpUSIgKGsWdUrQXfLNXG126VlmOidZHJUDbLhGyIal1n0G2hrkuZwBw7suc+hQzJ UEWLdvZ/CfKzG+Q6OdIJM/bjkrZTHCDxHWKLKGfqLapqK9sdeJWpCp4iBIHSjRCxQ7O01VqT9D1P 2iJreidVxywnHB1IkijEb8vEZDmLiJcry92O4T6W49WvS5hothwSo/gXTcdY6iYXeidzjA4lgN0B 86AkN66gHoY+gB9JfyrnqWek6HyttdEPY9JDuaVVUKP4HLx7QIkA+NA9gpR0OzExmnokIoskqTZv XKzIZV7R4VQnpz6T8FUpmHdcu2SAraEJvHENStncz9+qSrBdoRlNQIyA71vgodnc6p0c2uWq4Fj8 J2d8F/luZNccXpVPnGk7eA+0VFX1UH2WdL2OSAZUIYHHGoF3tqJd4SjGG5Er0FTYbghljbpJ2MDb Go0Ttq4N5ibjAtirc2Z/HUWwvrPgrEVrxoXR88mIwCeL+osAKn6xe9XcH240fBRbVn1lzZmMHOFJ TyUWZVCIoMWYkwcnw430JwlQx9i/zsDkSL//l5DS82lOr0GrccUKo/UqXijLSq6yItCfCNBby2SV +C736zW8J1LIak3+n7VqnM89HjyqT6NVNdtlGi0xkDypUrHGjt8O+EAsPAttEvNwxtVfFltbMCzP tElx3laf4tFB8GvSxPvZYPqpNhji/oSA2gxaGrxW1GYQ4Amwk24nta5BOMbU2XibAzSYyXWEPR9I fjEOMN6EURcoTGn2y4xRzX/D7F/W1dk0XHtYlYnaZSGjRRESxtadthII+hWmAg/0ftj1YyoNWZrj k7UwALcbeatMLZU2Z6JhzwNIQPBNEGmfb3b801Wjh1en64cn5TSiSzePLcsJv450jtRZMajD0RkY M0ZYfis8S258iLzO//F9W0c+Sgj19sKdIKt+QenoDEUb2RTlhowBkfMmqzNG0F9fJ84/bBMEd+op XRsHCwmh7Uiwj4MlILy1d0kohdBXBALYhgiqq/4mJTjHVLl6HqcmXKpYAo4b9qxxK4iaV0i0s1rW 99o8hXKYdldQiTdUoTk2FdmedGesMEt8UxI5qUkvU9b/d5lVIGePSSUlIMork/qkZUyT0+fERmxN HAQuSsv43LMLgykl+RHnLZiQdM+d5izGDPRCzGdFoYBMrLbQRgGuQZrw1egH9GybYu71K3WGSYv9 qh3RXQlTVz86hpUNRwKfz8Oh0WQY6pfo5uwmij+O/qdV6/X2tYSlcMwXMNiAfOOgfjgZL7grOYKK /VuoVeovr2nEl2Gxw6VZLGLr2c0mD3dtA65dmRymHsUPFf6mRqfNcxXIIvuAMPx+U3LfPhftwJbP 2K9Q+Lt1wbqqx1lfA8Dvl6zoTEWHGWOg49XCMrDGSkdKmWtHVhjc6NZfPRU6e3QXB86NwatmiJyB qW/5vwGUpoyQp46q+8bBJ+8gGeg1vhkGbV9v8xA0IK6Bil4YEK3fQVb8yuSoJjDLuvjnfhkPFTSj dhIhS4w/i2m+k+qAJNtkDI/A2v0zekqB3yWIXK9PEkKw2Hv51NMw4UJPP0Qwav431GLuhSnSNsG0 mpFU9FvXNFOrPzpOsrElq8S3KrSzIkvPd9k+zjbS5DvgjLif8S/LL9r6iuD4LKhtxKpUSZkNhCAg teK+ZDgwpzqCDdutBgmPWGMHXhv5hF3X1JwoiOl1RdXvVBlzqKWwC74AUTt6jfLQNRZaUtIdVtCi 7ch2C9m+uvn+YQDUE9HcxZzOltly+rYCdrt/yGky70AbunzV9Ywe6wTjGs1DlELp9RBAjdryNN8z Xv8qpJnta/yZLVApPgW2ywHWshucbCKOgoAiADwxdYS3ezeGJ+DGe6MYE+/a8xiIbieNiN8wzVX4 1zWiyKn3SgweZv/jSgX5y7gfCKhQ1r8pkduR4lhceJGuz6vOzFfZ/LSJ/aWbgLyWP+MO/AIRqgUH 2/xqIMtnpm5lm+3xbcILHYBEuJ7aViD+bHAV0TL+JaEPas+ITDg+tNd95cCdIXpD/NZJfoxli5hX ml7X0cBoyXUhPQC8AOF8o0Y47VCoD/8T3hMJuvPsp5VqdFIkEjQ4XS9pojUEe8sh00P9DqG9f/c2 GkUuAAjFWRjrPvtYcDQKjTfOb56+LPk7BJ2CRmK4J7fa1wr+tdOQN14hVyVKwd3qCwxZ91MQ7i18 ahZqDNP5pFUdILFiahZfYRWOR5n6SY9/xHPhe5Snt4x/UtoS7+WOj7RGzPTmpLH3J4qzwvgnJil6 YHWQx+aXdcHCMvFD86FSNtjOwIUV6Ch/Anz4cLznGWo69NQBz72Ig3GoT1zlMhdUR4D6sTtMbxiN CRZP+2YIIs2Vmg7aIb4oAIcmWXApBG41Co1PHzWHSo/Ou6h3Y2KalZzQax+nIiGUX4BVaReu4mSd K5CvJmx3rqwx+rFmpa71ho8n/VT05YAh8xtDGyGhhgUVG5o7hx7W+WK4vu5tGLyzzP+9FVoFfTQA vc3y1lXZkuOpMt+IYMo2ByoTSIY+jMCIkUNaQ4hpd1YDc/OA0msMLCDZKR9NfRYedLZ9d9tQbnt2 BkYWmctuWwg9xdXK7eoU5on+9dzI8rpRTKbU2bhk/rVUtGum8BKSWcofShVNpeGyUx21mrkjQQI4 OZbW/+khyg0gWrikURbSPSv51N9bkzB81WrJQYBVLK4BQyrnrVRvlYBNWCdYAXCEzjfHtackIZtW TborPTLj0PlsRmsU15M3LqO9gqQ9e75faG5MjpCeQ407g+AkivPCbx6f815aZ4OZsh0ceeaoyBWI LIovGFjZ/zqyS54jGob3jVawnxzvO6E/4gC4ykSX8P/oOe1NF1/B3sebM3pmDxGjJqBxjxW8hvUf uGV1b2LtySr/MrCIfJ3njJoM9kjbCWhRdBXZ7EkDJRDxTAWrXHy+lL1dFt1vDwDl1i1FGjxMPoHg G0OWzkHz3a1RaGNvV/67nr2x6veMzVCpZxDUvlEvAn/+UeR5G53VZotwty2vSDHjI2XsZMUc/gnM BV3YBKGzL7aBkC7OASQcOEBZclOoTDw8ZMpEO7I8mVOyIrOdYSzUMhC1xXw5OZZbz3AnplnXFFaj ITHfRCy6Jjh0Y6m17bYSStXGKphxi+XzA4U6J4xOLTojjOCS4ZCmGqm/sOk4ZZYPlRZFqc9d5WLL NYmApYqS7Fk8hgr0gBXZbmLsq0YPJHT8QRoZ5dRnIt6DUjFZGCOJssfEBot1A9J62vHIpPe/PbQ/ Q3PYpehGmEwHfdkE9iKSbO5PTGPoXpaEHtImjpkKw+vuyudiLbexUm++Nz0LBo3hNmfhnzFIeiCj IqEpp4sv9Smt4nITwUOtkePTgJPyPbrWTLb1HJzOkAPN071cygcILv3fUr6VhC8upAXpUh0dfHcO hLwXoUm7pDI8UBS0cQQci4kbatVOoj0QsXKEH/Mh57Ch/KrrDvDt8xCGsVlxzKTC20AptMuI/ReY eVmxVIgjTt1dNsbL4uMCeohP+SCx5YNUbYMXHHT9NHzELj73VnUwJq8O/KEepE/eWvkDK0WiReL5 8rpSwfLW/F1wHdKA/SOQDW1lvBsEo40Pb5jhq1w5F2KOqNseViXnDNVez5K1LqJaBbiggPgukF0F VEyebxoPHXRt9uTV+iVLudlz+EqUMOKOlQXwxCPxVS0Pxk0+mjpY8GiDR5r+If3XBq2zheI6MQDn iKVPrIzym45eglpKs0drmoKjz6CT/jhIaNFiLjmf/hcE4iGLxjJcg/ZgomRqB98OoJjdJO//CXyJ ufxkPF4GN4bA6IyaW6qielKZ7LsPcZrlcldlMGujMmLIZ5XO4+KqghGyHfpD8T1g7Y5t/4+ieKb9 Y4mn5ok7sp1cV4DvnhwAYmSXlr5+q5Iw69I8ncbNONLGGLp4nnID0oSQfAFq1k7ezmD+keaZbTEk IFaPoZomEgTqV/7AXOaIv6lmV4vtXUVw9bZB7+dmVL4EaGBN1Lv0T8F0jWnj9Qpy9pCDmsvhAsIY CGHM7Twne8hlHpHPzCEXL10BkFWlYMddXeyxVaBQz+5vSVJqzSPWT9PVUivGacSfEFy2ZvNAUKuU YHDV8gE1M50mb2E4zryCAA4sHtsff4UPNtF+81zvxwr3tPDSlXKiF/89cwf8ZiC2SDIy2cCjsc19 q/O0h05KV2ccKclRjOZmzn7pbvlJdO3IcUQQEor5GJ0RntcgNwIFfM/J5p75ZueQTchEPLI12mNl deWmSTM2QBj15MN2ZCjB/eztTkIFRJkeubsNijhcVPZr5WjC/xLHd73k2nzVS4cgQZNfOBrU77dO 3BfQX/stWqsxeAVcZqM0PpL8WNri7cv4cFflefyCoUckYnQlcZdIloghgDDdnFDUPjO0sXds3f6r iqtoabsgmxcPcMiWq2ktVkQVIM0i+BaorrGSE6VTIVTCih/pS2u6RrbrJ2Ui4CgJG4viG3ZJGpIF c96NRGwmKT7NqdJW3WAViGtLvk2kG6TYaeNr+iRQiVtZIZhe0JyovCbPbzL9OqzxvK0g42WBS2iR HzT5MoLp/3lIv3ke/A1vUzgnUWDleZSpWWl/SKa0aJ7nKd3HBA6jcb6tOs597BMkT4eM2Klwg/v9 yrSr4sExOVYxn2RnKCa2FdIOjAo658uLflEcM+AjVgkTs+abyOr46g414Ac947DkogOIo6fL4xru zoSJ9+JHACcjdbqlbxuXCVfbarvrHW2BQqt4Cp7zlIs72mTlkW8QBSttlfIdEat8/v2MqpIGStml HrzWigKuCbuiF8enYrO7RBm8c5L1TvSjgldVvmr9BqZK98OSeNi12kGI5EN9nhjnV/uMOlGccIBl kQxP2GvRO9TGwaarYz92W5FpSDtbVmGTjwt0vfgONxYXZgUKc94Gue+r3w+GbIBMMaNqeeLnr+RI qGbFoAl6VVgZuxbCegSGtX+Dh9pAQeZ0c36PD0bPAmglusPQX4aDLBKAt9AZpc1EpBRppV+NCbrJ Yc+CvlyGPYLLB08Xo2xVH9J4FS1loaEiYEar19MdE0OdurP+4h3zOhc1SKwt2kLAZ7oA16HWPlKB 95fbEDC7TreaIDSz7A0DlCWuYg0XEMwonpuqhuqZgyGjMk63WLLbi2fYz4C7i0jz1f3m8ppWsHX1 dWLt78bEFcZ+vYamJn3E3RwC7N92wHd1Jty3OcYMgoqpMfnU6Am4jqWIJSJTjGNlEN5aVeXRzMSz hbk8Fg4cyBfVusKO6GG+Y0kCQCgtjlqIFDL0KSgYTvMRZ/t1x8ijz27AngIt4aDuhs8VdaRXH3Zu 6KXb2KF9uPEXM/ui64IRZFC1hdUfXOAfDCeBaSP60/7UcWblqf36RTg7Cvlz/MBDlQwfJhdfts92 MnbelzZYwKc8oW0PEmPtWRNgMqTDBqjZuk3klOOochamM++ADGRCXs7kpnzn2DOPE70K7G9waNze 0CLTP5PnJIOiYtW5ypF89BekN6ymXyZZYOKpFPOhBfCyRmBWTNOQg8GPLYB1aYMX7sJRxJJPv3qv mrROCaLyfSqgaHFVoP38wlEsIq2bHuw1vda8sZuMlKFeLP09wvJSHP1W4U8bqDivxEGJ1wwmWv9P jSQhVYP94vQV1elDJ4kinoJrhoAEZDs/MsbToL1g682ghb6xtqxTaOU4MOhoUhZpnrJDRkPKcsl/ l7YoeNfiEHmm5ZOQMqFHmTIXgbulCD4kV7MoMpmMQ4j9FKo0k5KXXDc572km86x29WEaOxX6HAC9 tUjHLFhukvpznP4l4cTssYq1v23ZP+hlqKHz/fvziwQ5bB3BwsGDcjXWTwb09hTXEsPD13urdDJO LkIfd+7uQcwnyO5rXs19/EZFB0PVywkQu+TJCGVF0PWi5o6NIeSRpGiYoVYCGJexdWrZYrq0nocq A2eIVyLBHFKG2I8k8X0qAdwPgjcW4041Gv5oZMLDeAxF4or4hSfWITCTJ8+1YWqOi4lFWreXoff4 FMsDXWsJni5wcttcRDzBbVaZEo7Loj+uJqieiOWoyQeqk93ryOWnniuCiaODh7U9d9epIz2NsHgz 60cWQN2Ub7pjxQYV2HMwf/v5eNghEyflWXZYQn6gQm1axeUGLmCd2mI/g8JQQh0AVO36KmaOetaS g3GKIJUzMA5z+Zg/DuEEQkVXDGII9DazF3+IE8ZOYVQsoWZkPYrzrKwK5hM17AtfgyDHuIEPoNAm bBuRSqsvQCnCZ4KgRbygHtHmWHp8JQWvVnXR/E+cJBCCgwEmoXtbCPddEK2HYtIHeYf6+lkJHK7d dCHu3FH3wX07iDlayQLCOWsNhOLmMcqC0jIvlBp7jfc18tUP5rr4IigMVwrSjJQys69oBeryYU0E zYtU03srqtDTJgq/sORm83IqeB7haNKakPKCTmnLUkbGr+OOmbqmd2kOzqdGrP/YYraU/zqcS/5L evb6KvbOljtDaawXpRw0ZO1YnVewU8B9gRNccdOOFNNZ9lrFWqZABJAwLiYbia7LkBGwBfhitUaz 9ACMNv3/3h/Ry2v4tWJIQmj0rI3AaKHv7ynLkKXur87dkaiCLALC3v/LcedfNVoTPWnyZWtujlbb uxFYD/zRE1a3sB7o3Yz5HzYNt4BCUO1WAraWYhmpb/h744u69YV6glbUuwo7WfcpyWXsVSBY9THX M9PCCqxp1sMgnKlLLVV+QKRiCThK50XutbzXYdhLbyrP/QOSwyfQdMtfz7z/2ewxssgt4sp264YD T7Tmz1cplGa3Pu1fl6p8Q9Yy2xzY83+pFHodv/4tEpPnU2CsLZGGKsyObjGghcTpmAcdpWYlgz46 wPTnXUhmM2cg8UcFaeWjTEdwIc/uwrzEg1ree+H81vkD++GRre04s9gxLapfucrrArpAnNTtPWz6 3h1VGh8/Kt2adwODP6cKn6zfI2jEnmNyX+2RdKZgHn+5GwhLGZGAfR289SOEowVtojZtIU34sBT9 h96E5IxC1WRjO51tzYiyiaFJr61QgxVoD533DYWxl1lAT8EBsqXjqQ0YeIaqPg/ISU7c0EOoaKC9 Q+VVb/CIdAYPBNVwCOnz3b8wm+nlGhDWQcgOc8DZs8iw7AO6GZ21VlOTOZjDLqel8EGTObu35SzK tto57bVVchobU4NcIRKtuPKzYH1smUA97lspBfBnUv2slUe8sSVLA/8UIc7287T2HRX43QohRmFN ZjoVm9lAebqnXTaBRO5meIfYgfPJfBlqIeSckUOFBechG7p28MvcK5UEFNO5oBbW6k13xBDEjYNK q+aznrYMygZBJPI4e1XIt+t1Jz+/oi7y8h9b6wcETDC2fxJmaVIc/N1wqITeK5Og+H/jaWJLWYM+ mxcjmvcqUjFosK6IYVV77AI69hC6VX6p3JCiQd7CO83O0mU2oW+kEro4TyJ/dY6pvY+pK3fMqYlc qk2vAqyK3acxpSJEmCJuXBR5dVpdjFZ+SOWi7EQGooovEo+ZCz9Zo5/F+qhszlZxrq6lYz8o+9Hb xChAmDQTLeJbYc7hTlRKain4FuKURv1g2gmFKPpZI5Mpz2MVueGKJm9Mpv4YP6EmbU54Ifv7mX7c pSjCfbLxyOhWzd4CxX/VFkF6pOV+zwHKO1DZwUmtA/ncV/Ij6onT+ROVipeX5cZsWYfmWDKx8ENz q2+TCLzK35vKoTPrYtwtKLimjI2Twp1ymeO9o5rdrBxnjLXrl/rKbVLBx5tsJ1NVxEVn519/vOmb LGWDe5fIkYWbT8d+8pomrRjApXmSmrtdw0f1Mkxj3FZfXwrKK+VKjhbxTLB3i+CpssGBAgYuhVdP A3VuaphM42nMMuiKMTmKo2j3jKqmzjhnEkpFg/o0niOr9wH9w8Ghx2YV5+gU5KMGMc3EzIgijj2d n9vRuvj0MaB1khy6H3l/ZqL68NDgq5kBojnQ/6QyS6mX7Mc+MmnpoJmvUJ6fS3VZN0MRneyOIBam Zj0OpEk9RlsB/8aPBHhtNzjrin7dN9+x3nWAIKIDc7b05zvFi0j12R2SXcXmRFXSIZlPAjJ5YsTZ s8JXHg/hLGKuyQO5GM2VHzJQfg/hFDRzOca/lhr+viL0bRhtO1et3d1f4Db84s21R8LdTkmBL12T ixODpoUbCyv3YE01qclbXcB2+83hrySDqNysbpQDVOexmDUsHABmjPdzGn7tT5hSpSL6sWCPpzi5 zi6aXwPx9ik8ocyPVTWDtQp56IKrXJYS07x/zIuwElB07//kfxcBKWmt+imJzAOv2sD4SRxWrDq+ GgzSJIfmd2P0h0z7Ne3mPSNAcSaNwcEEj749hqVK2/lzQpojnMWVmYPv8Mmqynn+W4BE3DSleHUl 7bfyWlh1fX+N5J4RQNkDYvSM5s+DXrKGbZc+moTL6onR11tKB5Entkshvv3JrKDtunwvMGKEnxpt 4MEBp1QJE9zYxT9JdnX0aiHehG6tHtHakpWCXrzrljCbeU6NWPc6wQSv2yec0L4sKRvnQRUy8OH2 ZVMq6RtL9P1iUE02SSzxOSrUus9UqUaF1YViXAGd4jOoDgDia4try6Z+KE357IRmvp2yFacUm5Sa 9orSokaZcZ5wHTPY73Lt4b5+85G/IMdTskSVfsC0ouWOqbt+2IQX2SUUOMSYQohbH/1NDo06JDEk twEMAMjNTsOeHh0FDsdTCLe4tBQ/1wli3vwTd4Hj2XF8VCComJm9TckzoyuV2GIqj/dMxl4aceZ3 3WjNxU4ductUfZ6ccXBSvCNJ1+Mp6eURKKYCBSWEJV7DcAEfzVyKlCKjEF9ReEcEliUvjBJOfU8G r173ul/HlVNiK66Dpj5YpsaAtFLidIWWh+kGf0223/OJkDXF/tcOJAuTY4StYyeoMDZKbLsbWuyP e6gPZjqD0KGY01YcEb3Ce0OHI0ZJIa1bqjRBH6v31u13IFtNdqK1OW1qLjtFkgKbuf9+fR/TaM5g AnI/pHji/29UvMvmElJ69A+iimpwblslYoafzfHgBzlErc9XQtQ5c+6ciqi26hxItS5PxbvYyXOv gMkcarlG/UXc31nl5puSiXs5paNd0zH2EUpI8tNb4kc0eiPEwQZhz6+7YqxvADGOCuEGMHt3Q5SR g9Hu9y6t6Dmpz0KxizkJZDSPnYG0mgCpMl4Kwcz4DWiJ4xbb2jeVKTY0YcIGgaUuWQ8YfJ2pD+zw eGTVDV7yInSsGSqGk5MQ/umd/voCTPp0UKdplYkvm3RifYrioX83mPKM92g4iPEhw4Ot74vcILdP MgaYwEkO6pdqFWDbEwdORCpWfFlSVNkO1WqIi17rJQXxt2gD/5irqYD3UvmiLjC9bPVJUogEsFMP oqGaamNxH8oMtiHxOR14Gq35kEbakxVRYSbEN+ELMOPqIpAfb420fz0PxBFwtS4QnwXNMEBC6ZVK Cgj0rQN4zjBWz5hc9HUXOfSrRtyfzidWkzrlPg8pv9fRlsbFm++BSiaPRoMhd8U7K4CTHCBzzBGT Dgb+abBgGHfaNFZXGd2Gyra8goNkFApjz/LVPYu2UiY1ut4nvqC4EJzNPLw/paZash3Xrvla6mHf breJZSRDkKnJTffBLBGl7s/5CUklIs2G9xWsUJBrpf/TJwY287AdHfihgP5w+nrUYY0ln1zM5NFN nt6q+JHHSsLnGACEoVJXnHe7GiNXtdEhSWms/wamoSwOtZ88wy6gslwM6GREPG2USez6tmKNmA+E nnOz41jFR1N8YbsX0QtvCqEy3wsN95K1fGqDS0oXqLdliFNceJUqukXOP2m1UJRsriJ+F4Bm618s /vtoPlWpVAbesSbm/lyvCl6U3T/WuvIBiFJ6iRULP8J6HsOmWY+mgupRHOecg1jMZtAdWoIDR03V YziMKJsbZEihJnUVQtxKlhnK7lOcMdDHy/t+vjqWn2o7eYFRSzzky/YqpBYorRic5HT4Wx8U2y4f 99aWgIaiL6BrJ0KTb8ZJ0r150LC8hcK0ii9cEJotJChps+b/sLEx+wMUSFvP5tH1yD1huHft2wy6 zpF1P38luyyq7AiHhJvFAtm0+5Wqtk1jZuSPWNh3OHoSBORTP3UesEMObZSnstVaxGPD9ZjJMFvv KiIFUX2rjWp6wX8ecUp2QGtU9A5FnvDo/RfeI+9w/VK66ipvvhwpsvAmjOX61/jR6r/LyCUL8tyc ZEEi5HkoHI3OSSJzTKn0WN4yML+Z5RJbK9VVjV/tItroNfU+w5joA4s56napaz8g/oZQdz/cClTR yoDw2h9v56euSKRVgDqxqhF8nXHtzaMQK2k2AfR3plsMn62+5GbHQwYHtIXJDAreX7YINpu/NRTo r7aiv9Ug7pKWjpYRGKHHOrHmw9ZigwmQ0wARI29zosKft+jnQdXsvHzxOgeGGDjxeS7pqQSr65WE LlN4ndAvxvP2HD/D7h4r8PAdK/UeRwIGeTPehf4npMknlF0E37ZGsmqXOFEg+ToiWV6r6uH4eXXU ffJrZDC886ubsunj/NgHb4dLjvzTZuu4dxRnCa2QYD5fWqY8oF3Ddw7L7GCd28b9mwUDPOYj33gW BWstx8JDsoFEONhvvL/pnBH5ok2TTLDXH48m5hAVI0c72bS5nwAIJc++ETaaL48pXL8YBJeyNTEh BJ7szVIZ66UOFBvcBjk4NtmadOiyexE4yPHrG54DdRtsHwbs9n970Z08Pa1ip8QT7Cf8MEO0lAAj TAFOi8lBclra+0DwaHVb55rMM36yebUiIEc0eowMvkKeS2DkE6AgZ+nkyDuQMBOqmrJAogNeHhH1 03OkvVxAf171AG9k5LAM4oxUdtECjUe0iTl26WL4m3tr3alvgTlIUZfVrland5zZBMrbHGqv866r iWwWpUVK6sCdTeTvWlu9H37afzTDICGDbUBGSjQfnOE5y+BA56O6UWSD2jbIsznn7jrjf/kQWsVN 2oV3nLy0SaNxa0AgTApj8XQLLbaoQ4amEezss3aAQ6JIdfbyls54/KItGd0QnJqPfNU1Vqoxds/Y Orj8VgjuLJRcETh+ZbSBjxCXNFU9VGyYtjuYKbWrdnqBg4HbGF5mwHeYR/6ACaa3Jmht5ovVRWyq RvyjnSz4/diWoLNQ5okVbL/txbhwd+v+xMIjx73R1lBBJWg2JmtlFGOVCrPGBvdiJL5HfTZKHtvA EKFRbioFTQsIJ78yc9gUsmGYhG/9PfrUuQ6HMyhu3QphcKkr+S9JkXPNMvNEHQlRjtAjB0pL9U8y DiVIdjLBRvvwzgxefu5n9bCjzHYRjOKir0k4ROcbDa7Ur8k6WRPUQfgxTAMWro+n4bvHF3hNiRze hHoLcUbIgJmnmUq69nibW4FimCbDk0+Ii0ygfIfdwP0ygZnWKBobVERZSGFQ7epEcyXobtsi+dCv rsV85I1bkurx2p7tkXAL4E7Ywy5nE3YE4GdhyexG9uY0+lePgTdFoMUkSSKWQCMJHGtZTtgulP3a PLUgPn1ccstdX87tTgGh1z3VxCRFnYl2FqZbRy7W1pD9ordZ1bkUMtTDkMRQ3xfsBT2TtS+BAj4/ TxKsgksX8R7HbfY+CDsESsEEIEW3jW2JICHE+SVHoBXs4Pd6FgXexk71xAOAxE5wZMqAWHRz2pQE VI/6AMlaAc3GD8K3Sk+h1R6hEV+nNliC3LpV27yfcQThAmmH1J33k+UIYAhpLmiZnMjvzbuL0WG1 uTH1QKngJbfndIj2ClikOv2PsBTTO1z3XZowdVG5JiV59d96fhslXRU1gbnZ1SnJ6U75laIEHt30 1A1cvRgvkBXS7sLRCNu2qZEL1Ab5RC3ru4pz12kUiaHVBsiPFXnIW7eyxtcPTVWQV5Pyjj73NHMk qltSbJvIcKYYPsWfLWHDHj7U3payZvzEheDKCSswfSHizS+b4vy5A9MKxKx1wgM3kuJzM9lYsqsZ hvhSieS7eSKDCbyr+xls+Byj7CWCwvEnDqUvMNGrWjanwHnPMbxlEbiY3POpdCO2mLw9As9Yk5xm fzeTAd4WfMppGGpK7j2R8yrZ+TNKAwTJffd7KBp7P2Pa4naBkEMiRvIpAuJItVAA/tU7H0yrGLZY NBBb1b2Q+BfKVfSZ7IVvmAm2poEOBbEa7YOljIP55YHBCPJFHZdGpUmcN7FabyLrFdgGxV3gqQYw 9mp3aifYY2tuUooelI1FHaJn8S1LUwLHvFGATfm7+lfUf+oFEgw1vxPQlQidzUKnUSYUaruqRkiL CYxsq7yzvjBKWwHfSRYsYthMP0DLlYgPk3jDXF9hL9+DKNB1MAGOtKqmbN3/c+B45EZQSb/BMjcW v8lSQQFKR4m5tkTguU8hKqtMYa55L7dC1r/dCSxqQE2hp9PfS3aIC+N12/B5o2mvkseKzPKkP7DV Ks6cq5ts06B0ucYfluhbEy0xNGJ1kriuBRe11JGycK7h/9PMo/VgpyYrv7ZiDgkpjgNodiW5D/oa GKYAp2xsMfSlOMCjAbVjPvnwspzBcO84i/ohdpH8Wvlu2PaBz6H2d1Mc3Y75qvNOfZ2qribuXCO/ 1Q9n+1TIHO8+iu0uNnNlB32Z5Ph5S6zt6pxCuqLkYlpRQUIS0jZfeaWcCe8WHxvXS/Pml+6E6Qgd MdXMC/deJ0GpQfg2Ram4smaT2VOffipBfaFvyHE3yBUyjMQoxvqaPopceZaExr7C5LcbmKz+yvpJ yxerfywX6jDLbhFTaaENKqM6fd1DUBwGv1XGbkgbhL7Y32FHwVOUHQsN0BkiQNFM/TEOtb0BTYxv AnpNJmW8kaszld8vK1a9JHr/dtdqLqFr097D8U26jKG0q3/KUT5j8/F2e87BMxYfb7jtNtdGDnaY fjPkEzIQF8o0E1JmcdMgE5ieF92/WjDOr50d/+nanHAx/lUh64oMMirSe/TGdGtJqtYRae5lQGl5 WG6ZdwnCx9A9aoTkGfcbJY1aJrS7PnehqcjGy3kyxLoUnJZBdLcOguUXC4vgCIKUzgls8a5GuCoz zk2C6Cxq6+StkR2bDqOm3L+GQXvrqcgkXiBqodrd1LSfIufvecKffY+NIjTnVr0P+tcN6TQfZB1o QVQM0PGlghl0/dsauR/h9yaKnTGYA7bW4hQqw1xTAKDwUqpmP/tPc9ALqmeI1NKtfHe2OSSiWXoz XCaH6f5aoOZnkJlLAHl1xQxG30PQFvDo+U2KnP6Wtc+1KmOVuvlBXa8Q8B+ry+yHJLOqI8Ztuo7m VPPtFU/ju0R4teLjYRTNTwhYpAgw90GmAGZFRSgNpgORmdZ2Z0wCs1FJfUUmxD7DUBYmPRDXL+Pw AuyWgph9NrOniNEJ3EASzwaYg/Htrl9DKz4pHxjG4aEz/un9I5LMYd0ESbOcdr/bDrReFepWpONa WDqsjmviDy75pNznctp/UVvpvAuCzBEWc+aTpTxBjrUG0Lqd/fDIhh1cNlQtTd55pZLv3GxjyvCx F6b2Q2ET/YF/iEhYWt2wP1lONEtreiWScJkPSvWvQm+uJdQJoc5J5uH6UWP/hjKYTj4AY/4nPuYf fajlqfr3/NzvBfGYGmFtGLRsanWId6N9978ZqrKWMvUVu9WwTYdXRdKD8kQIEy9eAIgWRdQJX3C7 Q57Lp8R5ZEom73Xnad554v6QmZuUrSP8ZumEJJ6uvJchP4YMJtP6nscov76Af6eS+j/om29Dmef1 b+ZtgiYZRQRRiMxAiqt+StyL5udQaFVZxJ8oKM0ZRVfIBq2oY3zmrCudkaTdlnULe0DUy/OiC3OZ VnuxRyCFSb/EuOqenEiPDO+pE/S15Rx7olwmZ36Jn9UhQpNRqCBOEqkP0qyx6RhPbJveu8PgCia6 1ut0IxRheSReKkazOZ1AdFb9jTyyIxpO2eHPra2o9j0sDZ6cqJZE2lvhZd0ccdRm7f3lqc0ni29+ 8JhONb3R4Y0foZgL7o3/pRXMg6QwU9NXXaNadVgwq2t7j7Jqjut/qQnI+pCTI/0q4lC+5gMqvnIQ h8zR8qVedJtZJ2/HRQFtFAPt3wrKIfucYaSQnwJWqwS7Qk5tre0F/wEuMTFAG/pdqflYdsQpjPoa FKaBHudvuH9R5wMPBJkN7IF0zR7eNLBsLwHREdBlqoGT2cb0Qc2Juvw3xDf9pepUjvcQwv1fuWgQ NWcalbIhfsEy/6wIz2aX/0trAfdHBC1xKGHcVtUWOw/ZUaFZJ2JTjkPFNI1HD/DxLtNbWco1Iwby U1qvRoUrQoopsO7j6DpvD3LO8QSriOoDS94CgPjwWBKMJCIZD5uKpXsyPwEqmV+1bPIqm5mlncuW yHP9//Hq0sRS2VILT9FO+52paQdpiYYA55s6ukNH8ppXoYiCgFPsPJn8K0WNvqI3a4iqMhNTuox2 I8qU6e1BJzK8gNkSz8ONElVnGfJUGww2O0Z+5rAMVTTWqGUmff33igPzfuXn+4sYfn2QGXwQ2v7Y wS4Nga3ytgOxFapwJTnl+dORV2/Vc2iJSGQ3BTLxJ4mLl7Nf9GEmPg8JGw89sZwgO9OYLNYX5l31 0WNpNcV1JgDOGU7TPa0mWGsYrHyA//Vjiql8y5rIN7/ToT/dlu3/sP4laoHXNv21OpXvwrguCR1a cufCXFSh9lvbnXQTYX+BeEGkvKQCPefSyVd09OI0M+fEaZIHfBq3nenMgBNZe7UGXTH5jmIKFXnY oX1+UJshFbIz0wZ0tww5kOGTqSmK2Plh8g9rmjRvhXeA9X8qYyVKKKvVh8YYHYZj8GCyD77FhcBz mQFuX6DgAa9HWRmM5UOb9yQ7ynWN9BtEqnENedgecKcNxF5vf3usGUDQo3gJ79bolQyoQ9z59Wxn DWgpCDVeZFN+boB5kwlzCrdJMy18XjWjDGlrMKeoxiM+1trvov8ELE7AjRl4Q+/ZJueuqPOpBdu4 m4dgov/XKiMePC5t4Xd5h87kBGKnlm68X+tJiSUZwoQF3F6+I7ntQiwg6hGsWigxyuP0uJ64UzzE ymxx6uRm+G4YomWTBX6x8wDhVfhE+QGjHP4XO+KnomWWug8DIi6zJ6/zh2AOi7bcPTlIy6yZ+Zvz GRSsCS2bIM1DbGtZeSc2j7nRNqpPFUYNgNhgqXTVp+ReuTSoNPcZNSPp6J4UENE64eBIaYewMoWn bx6EJFwnXnI9Vsqghhr4sR3DP99L5Z0HFE/QcDVs5Lq9cnEycPcCPudh47UFrOIKl1E1SP6qPbOb 4lXGaEnPSKBrRxl2pE2wDTyQ3U+6rKdRncefdQI3Y7MCHqHs9hUHAMF/1+LXbCZDeNaHVTcAI0NX JUqPfkymzkQm/b8uH1dSn10Mee98UEkC9+VF4ZEFuYF3qp69C7GIYaVjfJb/GJBC6hFIKIrM78Uu zHHrfTd7R00wEbQhwWeoPm69ZO7vCXhaulmrJAkF2ivr+Alnar/j/ZuMKjDVPPeRaaG4qklHgVVa IrxFhTUyZxs1UbEYND1mjDErdAqbNJTZgMFXShL46vHOzNpFQGLi4T+7YyTuraN5nqgu9+TVI/Kt pwuwfe8KAZ9tXuhIOgl3+lSU8zMtJ4DSFvwLbfRHg2blO6TiJYpn907pLPde46gbK6YaFelVAh9b 1moUPjZrM44l4hcX2chEba758pPUTxFIV+Ae8zbcTPMxOsAL16Ovuemu3Ek4+pg0jBpjOmk1+TKB pOeKiNxmvVu5NBJGiKuZD7cOeY+Khri/nkpU8YPuoxckdkSyuvvvAzJXAXA+iKOakAkAmYJjQCAN dj5BULgx9IRRLQQKY7WHXJaptbdX8zDa52aAafVs5Yn6Ou6NkC5dWt4IFM65XAQtKYZn3aw1/Vp9 kQ6wlllC0r6Bpar+Yv6pqTRmGjsIpEchlmk15g6DLn+x34j0JfaVREPRuMPKAOj2cKEvAMNCTRdn D3PsEFCeThvNbVS2zi1ZfDSHZ9ikeFXUxSJpesHQ8lO0CdY2IM0qrrJmaIHpQZ+Lun/Ve/PvPzYC qHJrqdjt02JsGp01Www59H/dpruiULEQFcaTn5cxd8p1M6DQPB/I3fe1gCQHHDuYs91z+KHNT5ts XarfVuzQc49QQl6aIPKp5xFSi0PuFwAOTyCsRmjR29vlIo84Q2QqYjZxhTURt06QEKcFqrh/KXlp +nYy8KkRJGIYUBuoM7pYQJYIfb5buuBAy0JuZRmoPlbnvs8MjT7Iz7JNcEzXJ0h58muHMHpxHb0x nrziiwwZjp3t7/vAM4is4LmcnybOgruRUcaFMDF79A8BT1JqTDpSARZUt4I6OCAIjydrdZ+ChAOu lEq89I2EKzx794BPDX5Y2Yzay1UpzhGzaZ68Ze8ivNEKazdRvuQiayCXWHOCNomEhGq/FLZ8ULdz /3jdXi2y+hATemvpdIX1mtogG0VSeFfZ48CFCgL0Z6OZEIGERyY7qa2HYtMZ4XrJg+2yj6FlMi9s N+vS+20dYsQo1XQ8nszGqUyHVobOkhB6OjpzRARYXzy6geyTLXW9A3yg5hfcICd6y5u97CrgShrM fqG4gGSk+G2+9ofZeiZUCRl2owAhi5HE6/lMPcy0uoH8xu6Jo7c3UKtCg+Pfl/GvOtgRKSF2nXUa hqhLSROc/2dN5i3prwVckfeIX3SEafOnIKIQXQXSZ3TiTQevpcJ4sXgiHlSHgdidmuvYNONf21V7 IHsu9v/80F8jL9KwbkCVcpK/ZcwEGppxZ9jV3sELMxiH50bgIyWeC6HiI08Yb6xczZEMNwNy1MhY BzkA3N4OPjE7eOcptnU+JguJOa61w2KKO+sQ6jv19oSYrT56NUDXcodldbU/pv9T8DIoC9jH6vN/ qhHEhvofYeJE95RKdGIQes44nYWy0L4Edig0ZEnMH3l5L7/cFQU9JvXibijuXNJMth2AQdVKppVr b2GuV7Y9bXWMpRxIv2UPw5ZOeV2fSwTtmmTvQokJf7MZH1kDLqxmvkTlFc6YffXi1pi1IbqR/Dzv M0eqqlMdwbAwsSz1ZfusDq0Dc4mnkKQXdeIKBsvloOWCr4eI38RztjMSoyW4JZgsryXAbhWVbRP/ clmQhFVzcTX8+xY7uZI1WRlrRHeX0fWcdjU9G+rRlnvG/qIipfXbG2M+NDQgJKmjobxtE49/9u0I 9JPczEdDK3QPwHp80Dt04Sy6RAvBgbe6ylltSAT2n/hXtOZ5HFKRS7zrYRxszNhoPcu1695W0Olo 6sNpxw01MCdkjFVSwmK3k6q8TgOl1Sg2dH0RstZGWwHpXHsdOAMx1WuV63Cqhv7eiRYxaFEYkfPk Pb3VRBFguzm0CfuYyK70kZjTZX7pamyrENT7S1zUzq8AHag57gDnVJjF/epEAJLU4oQlA5BSS49g 8eavKijYAqllfFD0e+tamd3kUO8IDFyyg3kU/0cxafyvSOdABVWYWGksMu1UCmiGVDaZkMVJ09S/ FXTLuyYHXnVAheCVs5H3AHwGB/dRFjnzq8SriFaLA6ETQC1kPuRymgA43Z8ip4s7hQvRJ+Cj+1/f nYy3AJwntmQpBGBelnz74YP2W2I9HWk8DDA7dKs/bxvn3158U5OGtrvi4+/Kjb825c00CjAqwLzr xDqspmSDkCXo32G7VCegPVfJUXnr1irBPw/EEqhvZTcYJSbOv7N7w33DL3jQc+k3+v+rsy5Vc4Ze ZIFipjUplm/OnlW7ud/ByFgxihu8FYS4uvduuNZYinGdwnJ582pRxAg/2kzvIiMNbD1NWjk2Zvo5 +S7kIMKZl+4B23rpBgMFfgsSAAFX798HGRP87OfaLH2fORWFsvF2va8PrnLDyZtphsEc8/IEp3Mh 7mPFABSYgj/mVoHa13K0iG4kOq6hy4aN5cC4mnMcQ4MhK2RmJQk4/CEU6outfz1SfY81fpHSnUAr AsMrYsmUwRgKeYIdgkGEeOJWJNFV1akgqDRPGJOY4HAcV4/hEZBOM9xhBAm8cd/KGCXqwUWgVOBo JoIvIjqyTYfL09lXga0/e0jfgDJDfGt1YCRka26b4W/Ws/KkY6me6+x1gDaHFhS/m7WIPkP2cTRN DeHxJoV9JM9EXp9QvkE+LwqxNpdSxhlep1iuVunFv2jUO3qyGFbVazYaSBY1xQsfLhLiulydXhdu dgI6ydeGZP8DDkEuogm4vH7cF0Jzo4Kx8lGYC0P/onKANyNPdhCWI19gJyrc1aq4uXrShISxyaoY CCTzNZTfONLrEAmIRFfVzj5cIV1Lj0eGmSOGneIT5BwNzxqbvWOC7I7AgrWuGm1XpB7xJuOxGZPU TxDjidokH39Tnuos/kv+Nt6QbbNUnWXGOs4Nxad+9zXFt9rG0fUgJZ0W77W5MpHNRrLNjGB4k9Fc ffkRBc3PTlK0P5KTfXeO/pDuqI22VyM68edEg7hF6p4WitItI1TktWdmfU1hKWiz1xuUG5HOK7IT U12dtVw4cnDlpiPG7/x5Vxs3M1OluBYntOekVESmIfXuJTbcvYnffQB3gsmN7In3Q6mpGszDEYz8 q+OfMTwpaPqbIE7VYu5NT2q5kMOsOcoyhjQ6XgXkgCDpPWIBsPmZ9o4sWyzU7vKW1H+TErqB9PaU 9i8axn5ttm641VFxqwGD9zyqD9n2TNRd3dVKmQokivDFaTLzLk5hdAn3d8hf89Wc0XUJnehJ1ibv wdAgecxSbYJXt8OQ6d05W9ve5VhKKo7EAk7Nj6G53IBk94RJGyodLeROBAQTdbsDGifwIr2TFir8 wcyeGUrxrS9gA4xOunfCdhKoF9RQiXS/CBNWEPsJKvjY2FCazD73tCnOv9uZ1p9NS14xOg8tVkp6 DANGA7F3o2Ycua4lKQsNVktTChV/d2xc0oZ/NNBiHeSlIpyjb65k7aj9NFX/4h9xQghWWHMoOeBa 135X/+/Tt7PEqRpoKJtzV3AIcs+EuBlLcbYNgiMfdtSN8i9BZqlSTC+5DuAMlbc2jyhTtYdu49/E +WprsUM+3WUmCiedT1K3qIMy/8IA37JMXKsAuXXJZ68BEdlLEhRrhau1jy8n6VWt6FXBEiHLw9aj vwlUX/CpTh5Kz9cLqZIt/BmskE8lgbuKuinujy6JYXU7KMB9LaE+/kBR/WILi8/OGfl4IGHPTero tPsYUgasht7BZXibJd3R7zozxb3OKKVGy4EXuXi4qs7oq7+txa1ACU1ew8aM4q+qROI8gABP+kM7 HkBEVqcViUTuOuzq931kJ9+RnDGZ2NQBeqXyKmn2lDI7siChkm6bn/FZDPSiC7Lg40Ij7RjBNrH6 6tQp20sqyQXubGcXyc5OHuQWmasATEhWA0IXyOisl/dPM34PTUb6RAGsZpRd8jYt6mOGLd6cvTUr C5b8bQALCBTcAZK3t2RW1tgw6GFiISlDoxVXpkQGBjWXf8DSTAY/HtWF2wrkyk7/4eS4inhLPojC YtmN1hMzBZTWUjOW7TpVf4MGErmLhnD42TCUCeA/OdDmUhZ/76sKbptIenOlN45F40MKmed7JxV8 eoiAo76S26EjvAM+BmO0qZwIZ9XdzVGWRzqulK8LZB2b3FTbUAXY+zwVEl6hQ1ZQ8tyhxlXr7sw3 Hl95MOmRzH0t7xwV+WF01irqGOPHEvzGv7WZ+pNel1tEuUgEpdL6n2dMJgsOYBSNDvARhlR9XbhH kA3vxhicVUfKUcx/AcYOeAETb3mBKn+r7rbqWmItH4NgQdXPXSOl0/zse+i+Tg49Mq01lBAVtuVq 8O2Dz73F3eR6nzBe5MGAG329TlyLZAvmDLI0KRbA+cSoPYmNZrW3e2X0fx8cqZSesR+vH5j8mdBc /OzKVTlnc/le29vcHRV2UXfiVCA7me6gAKanwrxECMpKoQzJoAjUuBXBPo9SuTKYEN9ynSEK0Jz2 eRqqaxgr5ZXYjKR7FFRQtqwqO8oenRch/o7Rb9Ol3G4fAsxEN7mJShGFvwFyWQVe3PvLu6yocxdB 3QhWF19ODtJpOL2sbwpLYOdpaoGrQIFl5taAG4OvzopgGAs6uM25X61gifjHi22d6m9bXC37JAGI MkiZ2bMo8G5ut0R4GYZILs9cg1Jb4F+Vg0zKdzZc0Ki/Nr9KLpLdFA6M54Blq6sSml98jtUw2oXL 2nmCorTbOn0aZn3gRLVsOqFM4FQ1ix+7BUW6T1xpz6ZA9YCNHd6ZqOUd3i43spD+tzN9A3NwcEv7 +5TloFtVxDS1brTXLRPoMF2hmQUNaZWZA1r/Qb+jg7H4TAIfGXGxAN2x399IZkhV30qXM5YDIPyc TPbfMITk4km6ucmvtEXGEo/bPbQOvSaFlLKfAC7T9h9fNbsFZqrq489QxUH/5+nF4HMGjRDL1u5C RLFg7gtcsOi+MIRVaXYiS8FWy0AL2bJrvs3Ja/A6aNRbljksBnUqoQbaTnGHDPJFeKzct7Jrw2Tl 0n4jX0nQO2CJSJ0TYfk6HbYYKRZarKOWMg/+3hhPG316DAgRsEQb7iKoib/XiGR/wwPKwjLl3MfA MbksZ/6OHpB/J4SSJnLm5Xe3XDOBvW/F/1TX8aJ8J9ppsMEqeg/zVuh1l41PzDPfTF9zlLJ/Xecj rJFvTDdywusrlC/5sSnrx2g+6JcdJQQiUfif+nxD+WR3Sa5aD8ihbXEpV4/Ehk/mBryD47oFzcZa vCu9HkRhi3OlbRVYRu3zuTZIPcUpZOZX+DwDEw1sH9WEg+DMINM6pIK0caQpDYkLl+6Ob4ZbH9s+ mPDA/dDKYy1vareFWEwaqrmuZeOJavWAJH4gWiSKgbVHTPD84x+cKD6BHjk2IES7RtrJQzh/L+Ti /YsJpLgDiy+1mYmGhu3dmXBoH7l1KFH6F5kK2XIW/wJ1NkvHRDCogW/WGcI7qM8uKZeK/fB1Px/M PtDCEgP7ojmylJBmoTrwDSXRegw0HWX3GM1hU56Ag8IlVP4HcLbRQa4cMPAzz4j1WV26GgDQCm0f aX/055hTL9HDf0mgmAnCKgVeMsYEGWJK2c5lYPTYtxx0sueiZiQ3CyHbEksVBtdSlzJmgsShaBlr kmcns15nP6ECB9ijGsNBWOkvuTFMwElWhhnroCe2Xfx2Qrc8GkJA4Et6sZf13j/pGOke3vkpB7Co p8ROpqg6ghlIUM3n/fOfXBMQDdp651WDhv3Z/fWEmZHN4RdN5vymxne+PAQjICcpONb0BmiCPfXh aePFoyFws6jS8OnGcRH5dkaEayxt91FuHyQLdQLoCmkq1Ki4/rzSVnLtvkykSxjgEVIFxBY+VyGh 3e4bad58c1ns7PhEitaXsuMXMkejnboBsz+SuR1LvVXMOH6wzR4t3anRTPWWMSaHgEJShGgXjnz1 bJNuw1aaitKUmuzi2XCKMIzA26JiSUtSf0UGTOT7q0rhi3R8QJEsuA3HKhjxaZ1+impFHXCHdL7N 64EG7CD17qf45uBj7zXL8p2S0ITPesy61l8JeKSUVOiSzfCZnUJytH97kXOVFAi09zyiNkK+/FWV cQ0Bj7vKTBf1P6W22auhT1MurXelzdKesoqaeRBSeZfn1aPvAKC5MS/hRFZrp+6fwY9Pu4sH007t BJ8ZWI4/bpEmUGNaZKvoGXccPk4l8BN5Cy5ZRrGGdC0JoQJhiuFL86eXmGdNdScxsmvAURjSMB+z 3RuY8QQOlGVUEAC7BrQ10+2sK1XoJnTsRsccHiav/IFwBR7WE5iIHHjfPJ0tVJFo1bYeHf7LFsCm csZ0VtaUgUxuPLZzgU73rMoKiOFLcmtuepVhWmjY0wIF+En+37P486g5X2IyFSdgOwpaqyfq+7rJ Q+Ujp69DuXpeq4PINSB7VYFzXCuIrQZHSZhXraOz4wNjG74Uk2NkD0u14jPJMJ8owlJXbunNCvWX qSTVqhzQ2P0d8TIGMpnbW/3fupiHjIAPh3IdKqihe8onUKpuK0ey4CpE1rzlp1pe0e1BEe3UJas4 mbjl1DXHatvhoU8spEDLHWWNrqBSaeahM5vhcE3qczF0UJ6GJcLhfiSpCSgh22kPiVWa80iDRid9 5rv8YHp0S6NfOHfiSqTAepgu6YRGTD1t7w5e6eNbUbGBSmsX7XykxRemoMQF+Raym2gAQn71SVNn 39gM9br8fOulTVWQL58mZJ/TU/3yKz1WahDQBVgDJ0rzRBFMdaRXPbVYSRESkrxe/QYQZ6+kYg1q AQh6iEGEtfub4jGA4iofRzKm6KI4/chQFrkj1KBRmdviSYfS1AmFf+JaVeYY+qGT4tz/7NoL+0gQ tGnCCiaWvlecQgQheHmBoUOcjl3it/JtNGc+Wra+/nAvtkLi3XH7imyp7kHBWYTJG1lVaq01rp6T 9uViQPPaqmhFb0P0vsHe3uIZL5XGj8pgXDm+uoF0NV9vzK03+Mb7mp2suEDfhTblwJ+2CUXEarxz WaNBelJydyZ4wHKIBpS91FjLpeUxBpSm1Qe08l1XhNPzyl0CKNQuHGjNrBMKIq5to2ZWSBifMllB /vQZ4KAil70u0DAbItk8pbddBmW76NvRln0zJEQ7uggos7e5WyH+m0j8+LqFf1OhnxJeatFYD09o LDD/oDdr86etizwZ3U3W+8R8hzW1u+YNdoD2ZFtPggZT10/q/SCf0bMkVCeG7k+FiPQfCvLCoZ7a OsAgSKL8DFeX2FGzKquMraoBr+VB/CfCTTspXRrFrfoY/i9VeOELn/nNXFclqhzKyrlxTUvoTNkg 6bzLr8px8wkJu3C/qp0pts8h9/mCvIXWSqighkDXNpipZ/6U84pSKIrIhKGiyoMzd1cNiZrG/Fli HzOh0uDdfOR+3YUb2Izz8ZHrXOeLOMIYtnBA1SqsJrZY8ekR2dvpd8RbH/OAOveO6U141/+xnGZB 5YeJgxR3uW5DR6spnkz3nr5Wm6TAkUKfHXfn40+KPpBDxbMhkS5xzWqaCf9hqpA6jYGhMY/PjLUT dgvd65UupJ13Z1gOMWiJB31WdJ4I62LP+RgHxK96zmWOJ7SeU2gOWhkCYANo2UJzaJzrNx/bLc7L 20KY6JwHD2OGfMQfEZNy12cDzlrFSF4l91FUq/ocHnQbYi+oAEij1Nf6AkLeuV5VCLwOqsIt900u Fjw2nrXqTJO+81oULwpVT2LfqhzJAVNLkW3HSwvBnVN8LEYR4TN4hcbPgQA82ubvsghS6Fhe5rLn Lv9hKJwwzvmCOggLDQYuJOAiS/hbIT3+PvOnJccT4iBq/lJphQQPYtJa2J5Q9vjuZdzgE3XoSMe4 XxGxLNY6IAY3KqAPC7ecO21Anlt0YECRw2Gw2hBttJT9hD0eLDhzo2DuF6bUuYLu96T3D/t2h8h0 L6F4fYmB9N/HNaooPszxbA/GmbebP3FCcUxQmAJtbJP6iHmwAWBfux8jcn5RrNcT3R9U1G7zWHpI 6CPFK8Sfc4J7DwMCaw+BTps6mGZFdFluPuJOuZ7vjKi7H+el28jgoSpDAAUYFMRBNs0LytEdsC7v +WKc39qrcZabsLs1CHrvR5npz4vBFBe/S37ttE4WiOfPVWMzIexNpCOs+k+izKc8MZrpvf/VcxGR 1nvlD3snNDTWjp18d+lybfhteScNU/aP8T0yL+Xs0IK+z4pHdv7aU5IABMWqtUyaAQLuVK4ET11+ k7alJfGr/lMEfib68Gppa1dqvex3ETTjGJGo6nUPIANTsG71LIsbSCH86xlK9dPMxYorX+6uIFJu 4OojaNi3lcfL5TLq5LcaQyYdniKy/S3ki/nv+9jZVXrsJdyTR379uYBt5Dzagc36R9NY/eidPHDL /jxn7jlpM4fDTACt7LoHjObuw/WcHWnpJrJvHqZ0fOEtTIiUbAKQzAzlyG2yyugslH2rBJJO/Q46 huW091EHnnS/aCpZSSB5ChwxSI+cGzPz3W1eEf5MVHjAunLmQidghXPXSmIGWTU0DJMzbIbyedkm 9I4xFq5w9JvgJEMc204h3L0y05qRtXlC6U2AX5kThiyAf4DQxUeiq/L5P7bWh69yeF1+ZLrTPJIq IPQRnT/AZl29XEp0ue6GICLsBF2MJLxJKHJiWLXSZqKknhZBF4BpWVqjNWpkJjY0Bv7d9uoPDvW6 SgPECFmGzjPp80rX92K7YkfGMPeMLodYtVCm2O/brA8uqulS25Jwly3vMtCUFk5i9vt5U3aMyh8r BfY85NafFKQME0McW53lNdMeIEiiFjhKalehsMFhzVtMg/TDg8ubpW+YC+YT9W33/22ypTr92M7X 1SWIGpQYEf+sTyA6Pwvfna6o3O6SklwKK+5ETrMknjAFzKCABFy60fQ544gqKs14Xuvy5BL4C3xf ZBYI/k338FHcfWh318TPR9u3+dZ0INPrtYJnrjWG/Zp7QC/Tg+xg0q8gP1lciDFj/4kNQBtFLari zkNS5xLeqwyhkqp7BMZs7v7Ay5l1HfxYLgHPHyz4iyqxaGterVWv3DNwAOWBVHxKtCwClXmIJwi/ Twj/y8skzj2Unyymk2PBZNMnO6Bdl5Wp3pBWWOE7VpDfsqzMH93wNWdZt7SAxA5hqxJRwfsEslZ0 IIStrh6lOsTuAoyeN7d34ltuhvtVZWtcFKL7QMW1eaSHNl7gPuwbsny6dqOAhitXx02VGPfJnNUK 2skykVEAMfZ73XPGSZIQbYJAZsMaBOgqOqwraXJwFHccWKCiJ3XiaVCB1xgoQRRQA5Vxfj5RDLFh +0xUOrDSwGTIRAn92XuLmQLPX7O1+Vfe4uSXYtWhllO2bbEe+ZU1xpgCsgXiWqDVRNMhs+Gl3DDF bpyKB57GuT9BnI/KGR2/HGlwbEML/diN/UBBTH6LyftdH6Mp3W+SLCfRc6Igr2WUObB5v47ySsW3 4jwExZLTBgviRYaH5gtxCpRMCL/uiifaz2w/g5RgKbYO43NEbi5pjUJXwvjvQWOf8P7MFP2ZT/vN mu88qLBsEMf/KTnDHPdybpyua941lT+zrofD8iyqoJ/Qj8GXuNBnbsALy/tIMbkCd2svQgvsXJjy MvzAEsse81oqQPvqVw67RvB9AnRrRL+w5vHlUALSwcxGOqM0j7L+5dBWbZXDIEuKsByARQvP3+N7 RQLPeGxdjeMw7QnMCeUO2BTeHxIvw4Xs6u2l91e52KlxMuR/tDzSxVkmnQBzSiWxr8XWiuNYrRhn E7hXla+lncj4s1GvUwW9ukJXn331ENCLyqElnyJA/+HtUr7PX3ePXp8N9ZiS1Fgf3Xf6BMMkVzD9 +qpaAjFZvdy5NpCNSO+gU7GeEdy1oIdqmtgd7uuRDki2kM430AeqTWgu4tygJGScse1/++o/ybl4 pHPrGh5Uvk39aO2ms/6dO3r0by8WAlv+U2WbDzcooPxB1ULrHBySRWbkbIXRetuugXyLBZbROCx6 SqPQx/3CxxWBwce0zkbG+0Vu4KdwGSJ9QBlCtj/hJ5IKm3LaPPNiDgjULFmmJhb/a7wjPkQA4BfB Xqp4hXjW20MDNHRlFtFZArVdr+T4+p84qUqYkGxTGlUdhuVszHt2bz3FAFCGnPQgFBVTF21ZRF5S zdUhVAFHceJ8u6YL+zNCqu6Mi5zdAx8bGFAbTO81z2Ezgjgn2NaZtYjpPD7pTHiW3dLjBwouvg92 6DsQV2/952J4JTjDAMfMcRpR7/tCimxK2QNbvUIqL0t0Tucps5C8feaqJoM6hxtLfKb6SeilfHyC 5nhfoTD8Ltg4MbmP+rNEan+F1A5FCbSZudu3ht0e+5qAffmPjv7yP1ZyCv7FQrLSKixH2DkO28nh ky2argarIWSWOVhmBAfSSVZcRz+90st9Wyvxh4+c7dml/1M18xT+vtphorPdtzyGA6CSwh/Loc6v V2QJGaKGWxU5tL1AJjl8+NPkQ5Gv4wI84YYGvKIr/uD0IJ1DJ1m3FR7QLLpMRwaeFZl5tN9X7E0g hIqa79OJ3zbgUn4HpzH/VZaA4XIqxpcb2sGMVAf2e/TJbjOjOkUo/JfSyOp7KiSqUGH6eNYRtufd xTHta6vBIiAmmcP0zYnAwsRy7rnbdDgYqrI/QrofZBFE8EEfv4tCLY7WtaYv6a3Renukkkjq+fAl 56TkrIMcbrvvt63pTYL1LpDJtW1ueWDV2CyfSq35mv5xg7S9FhDAMAlqWAJ6SezzBSq12vkivjcg 9GvTJVzSxlAVPjFWvtfnjRMwj0/buEy91VX8epOxjSV2WrF8EKZQG6wVEAdgk93n7Lv/nECOAjZJ gp35LW+BvQLk2sRQ6QWbmLBpmg6+jSi8uoBQUxRYp42EBKMXS+Z7+ZIQn5LFLqSHidUM21R8Xlkg M3nxB/VFlGwvnuW/HVIg1HaaF4jealgvcB+R/m+mesDYtQasrLZ6dRcu8OQD/iyAoFOGKLBy3+uy vo8fIn315JTX5JyNs1YLRR7favaJg2Vebddy4eCZHNXqQFrVaUQj89xruBi1FW25QA0qubHCUgju qM8eMcOTvY7plxz6F46A9l0OKDHn4s/2k7gQXsbBgnX3PrPwBpi1Ye73R4pxbL/Ye7324NqriEz3 31QdVCZDMrcXm5KgekYxsU2AygdVCnigc8HguirWypZv4E4kjrVt0uqKGK5xJSyPbWR18pfzJNJ/ f2o62pfbsK5LCnoh5ZeVT7Z+9zE1SL1Q5pcCr80duCSPrfxHcq1u1ZADmSMJvX88NSRcorYph0z1 Aqn2K35h7HAMmfrq9wsLqiM6O1Fb5vuAN9qs7+ctesiTs8hoe2xwXEMUlXb6ZPGUj+ESrAjYy2u2 bM7ZTKTcupZ4HcOxK5BZQOf3F4SGhn2m9oJgeFnkDytVoCsX3C2u4pxNYdExVvOPZcze0c0+fAMW 9ngGGJx9qwpKK9WjmkBhdgG+gLZ0qS04BJonDcNXV9/BRbVoq4ZiuPgZOok+sXEwtijryL+F7Bdm xxVE6wVmy+TQl7O9ahm9O8uFGykQAIvAHrsiKolgTiXEoLwkFE3hKyicZJRA9OSLdGKRoN08lt19 8Yy2UETqVe1m4pavAuFr7KKupsmTWzsJJO4EKTiq/dbtfbEbpirUGhihJ4nycXDMO+E+0kMJ/JqI SBOrMult7Diut1NIcVywWrhlvHCQdjpIe2aEXfR6V/Y8glV2ciEZkWwZRlaEsR02LRBipi1Hdc9r 4IOCcl67QkbWKPgrN5SU2O8ppgYH2LL+XgRTeaUTHA4TtATmWE27Rq+iEN9AVrYdQ+MwagQsdILQ fHhr4GPzjjmbjZPW2Kda5C87VhJO/0PHonZ+v3SuXQshGNMVN0tTN0cCpoh7VqpGvlNHI/81Nz/5 UG9MBf9yyPJ7NAZ1VKcMzroPsS32vxVOP0StIaFI+vtr4FOopgG+AuPsquxvQi2iGrPPrY2c1hSv Kqs2PrfHGZsg80RF6lfXJs3F+YJHozAXg+kkmglwJ/JReZUcLNHTYLupzhFbzUbq06h1S14H5F+y d3A1dkHKBtBpEIb+/oDmW54sfdVkNQcGdYwx9THN3LR+eRq65Jjrf9E6wow10YQY/LYDss11UjZM Xed/+23TYJW/zuUBBb8xG6HAOsN39D9ZT/tGAHyM1LTHCBAbA2TaW/azstfA34IZu1bCIKpr2XgR D3BAQK1BwN+LZYnAAGLdl4+Sv88zlUXqWQUgAZDREmuZdTQVcibsSUwWe+yf+KarIrdYPi5MmS1n 9yYFUl0VPwA+HomfC0yJyvadH1NTLMgk2qG9tOFRHMG9vtnk6fjDfdN33wz6h63jPFHoWVuoTOtT CIqTFaX/OZEX/tK4FBPwyZdZ6x43bc4ehg1l1Txh7tYCEuaRCEp+9JR3MIaRSJRzvCnfbtxCM849 gjZOVbksOcnkA/u8jlr4mpjMWa/FpX3Yc919xDldWXidWVWKmYhGQyCP4JCRQ6qeKbXwLiOASYiO VjlYzGpaluA4ejQ0p9ofC4ybKp3YHrSEX+uEfmeXl0mnoJMRKJfWCkju0EMLZBBs7bhhN9ArWyx1 3aqGd6z5DeKK4X1P+pwBAVFq2Zeh33SJ969JE/I2RKbTm9ayDhB53RCV1qqJe64DkfDzPn6DNWkE PVSnIwq/hiANHXoh709fl+uSkJOBmlpac0kXcUEqOfSm9uY1oMJpDdR60Da3A/+1FEaqGIhSTufy icG5gfqf2/Myw7TCl64qHAQ/a1m3itsXuG+VLLNOX7D7EJT1t6Yf99YKOXLLErid69GxuIKEpC2x 6lqAVDYoV6q8VQgjF8Y2i2kjUoV3xpfk9rha6bxrb3FIxdhJRQao5GZIo/u5+8aLyDGNFDMVE/wX O2bEyQ14EZkGuGGXqFAjAOlBOK76X/MNeLiJMgB9o2uLsZmaMSBsozpLSyyXEcdWFyXszWyYe472 KTHf8guYm0P155PztxdmxQzhsiH/SzDnPg1BXNnI/ybD5nLrPZR2a5WQT8iYbdwH3qqMwy/Vjr6d e74OpxES6znQAGrm9zwWqQZy/s4ANEmCmxDpVkkuEZHoxZU7wg2TDU/2T5WhrIkKvjjsRFazFKGM Bot7q8JXjSn9OtakxrVuUD6jdEt/leA+JdfdjaOoCPpt4J6nkX5ZsWBaLIaZPAWQw7eRroHKmTvi J9CzAJNhDFb1cOzUAkgdDkbHhtt57OvRDCwsTNezGt8J1k8E8iBvHLPGyPQYeE5ZY+OATFkPdLUp YISWh3BKcCTFf0zeos7cKguFGLKD5J22TaU8Ndkg6H/XZg0XKJpWOwZMevPHJvIpFuxsiMcomRlG c/pQ35ek0O9OgpRQGUkyf1KaMt2CiZMt/XNwi4h67KbClK6qn2v2zFJdIrWzS7/Gn+OJtw6NHu6R bmdYj8dem7ERC4Ql6v/55yUOIG2SwEMVDFzj2q7QyetL5hOpOz47PTAN74v2zwDnPq0Kj8NeJ1W0 tS9XagzlWMxBgbscjpX5UF5DzIepuwI+9/Ke94XrCo1xFQVu31TYDVl1e2WI72cJ17a2gb9l1hkK w9NWjg99/Qb7/jg/8BI4NDkdA/AndFRt6N+g2behNCOSJVFsOBfY3NS253dlMLqeu4ncPFX2e59l 8Ypal9CHw/XiL3fwH8SWb8lNCyma1Yi8rLR8lAlXGW0IRiCWc+VjLCQFT6TJLZF0Tnae5Y/9+poy Z+mRI2yHkdrgSC2Z5vgmslHjI/png6Bi8hs3jQo7pZloe07jX5W8zloB1rgxonrn4FxBAS5sIM49 ImJ9TfUqrrlLuH0oqenPNw9UvOuosbBfL9vJME4nkN3cdaCISHj6Ge4Oyevxkp7+6HW447KOTDMw 8/ZwtwdSsZ8E1RXHlLrkw18lFoRgs/ZP2382z0XCfQ0CEpH7bc7lHX5xLyP9/erRKJOuZGP1047C BHtyBqQS4fcY7QmCEKGyo6fofKnmlQyGtPXPOol2Io3sbKvlOnWx0biMwKZFcQJggfHL+WxIoTNb LhbkU2haDOC0Wi7EpcXmUUqVGJaxKr/aBuzn7lLFZoIlwHGUbb0a16vMsVW0jVn2+41TG2ljxVvq REFfkapqsCw67o2UteWRdmh9kIqiuCGuLPzQNSxEiXrmqvTvxGEobaFWhNQ3KWd/h9ikvec1KHQ4 iLE4zmeYmlxC2rJhEAT5zbQGNxyJpsZ8hOR/2HBY89MRon/4DzdrzUZALagbgYNMNzRpjXzktK1c 5W9GO2nAz67mNOnP6TLIFNw+vLogv32ScZvs4kywJmGgqT3ZlRyWujVlHD2cEM6u9Zdb3zE4epoN DvpBEmmToWYb34ZWsnvVJMNLekJ6rwztFfALCga7JxfBUtzGlpq7JYDBrdGdN7lUf0d79/8wbF7N BsYv2THI7e7GaJgZ4HLOhTl38FhTRyXgcnrbW2L8O7ocqcJy7Zk9UeWFWzr5+JvZ9I48Dh0zsvsf N9hZ7ZWmhfjLa5W3zsgWbxz4b079X/eI26Fx6ii1pCjwOOY7qJuwMHyGdlKQ0tKSzBDDxvvIqyCq PEFXtoKxmdeoyHm+G0GRuIKLdOm0opPzxfk2TxpbX56CZuo9wWXQFwRvrsL3BV6QqAif43sYUrb5 6y1SeEPmDq9tROsOXneorOheThBTDKrTXyQ1UTBhIsHyILj2BcP+WvK3KnlGUodAXQseJYR3aBT0 0eYZXVVSp8Z1cRsOopCu2m35H4nb/VmkMTQijd1AUa7++jUaapgZyDv4TicZLrGasba8SaWiXsKh jr9lewlhuFSjQjjVwt6oi+HIPIzoJ2DHa/QO8VN3pSc351PBel2RfFoBckT8ogaLOrBfk20GVLeV Ft2i1sU/1bgfJF8bzftNbrvsXacMSVCpMGh1MDAP+cH19A6BLi5KW9/Xnf5nHdBQ9hMVKb53zv2x RApsxkBp8YuiTgKYQYl/LIgLs16UqOzQ/rrINlyKsafJNvPuUgdYTFVePROdTQtush8/dREUyOgs YGLUYSmAQw5fFN3ZG2x2gi9VPwLUsGeTppJyB8aiLIFfp3+JIvvzCFpfTFIDQVBnBQptJy+w3axJ N5u52VbuhCxqsUDKO7D6mTihs07jpE8+lcbmw2SuHSSQnmlELnKEd8/7+UOQhVyWbqGmDp4KPhgK YUyLsOAViSAt97xlxgflkEVVii/MgdBcxfWzKlN1wYT04dKlJ8h9XoHRffjTQSMwGi6LhMEiJZ2z Fyjf4V2dkMd5cgp4tpf3cw1EollTzSW5yH5SakGLNM04nRpu6ewXp7AgmZvE6xgzYnXp20HeDDGe BUrythzdrPivLnaHCkPsgWhtHBx3xY9FWOSlClcpdpWunmMr6IK4jLTdaoe5fN0XYG5uLFztxec4 x1FR1CxftVTdo9ljZpB+DgbNvE/lnO7h9T8HE45W6Uc+YCWHauN1NxhZ3L9titdIW4S5ABGyZWVv sMEFneL2AlHqn8EEHujQTTwneXOSFL19bs57Ia8NascoSZusM92jOaoFWA2+Pcmfzpr/ew3vKNTz aCFcJszmYi/UTC6Wd32LQmPItzpL `protect end_protected
gpl-3.0
iamllama/EE2020
ee2020.cache/ip/b67405368c510ef2/dds_compiler_0_stub.vhdl
1
1617
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Mar 28 05:22:49 2017 -- Host : DESKTOP-B1QME94 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dds_compiler_0_stub.vhdl -- Design : dds_compiler_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( aclk : in STD_LOGIC; s_axis_phase_tvalid : in STD_LOGIC; s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 ); m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "aclk,s_axis_phase_tvalid,s_axis_phase_tdata[23:0],m_axis_data_tvalid,m_axis_data_tdata[15:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "dds_compiler_v6_0_13,Vivado 2016.4"; begin end;
gpl-3.0
suoto/hdlcc
.ci/test_support/test_builders/no_messages.vhd
1
324
library ieee; use ieee.std_logic_1164.all; entity no_messages is generic ( DIVIDER : integer := 10 ); port ( reset : in std_logic; clk_input : in std_logic; clk_output : out std_logic ); end no_messages; architecture no_messages of no_messages is begin end no_messages;
gpl-3.0
albayaty/Video-Game-Engine
EDK/VGA/40x30/vgatimehelper.vhd
1
4696
-- ============================================== -- Copyright © 2014 Ali M. Al-Bayaty -- -- Video-Game-Engine is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- any later version. -- -- Video-Game-Engine is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ============================================== -- -- Video Game Engine Project -- ( EDK: VGA 40x30 Resolution, Timer Helper VHDL ) -- -- MSEE student: Ali M. Al-Bayaty -- EE659: System-On-Chip -- Personal website: <http://albayaty.github.io/> -- Source code link: <https://github.com/albayaty/Video-Game-Engine.git> -- -- ============================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity vgatimehelper is port( clk, reset: in std_logic; --send in 50mhz clock hsync, vsync: out std_logic; video_on, p_tick: out std_logic; --p_tick is the 25mhz clock you will --not need to use, video_on is when you --can display a pixel pixel_x, pixel_y: out std_logic_vector (9 downto 0) ); end vgatimehelper; architecture arch of vgatimehelper is -- VGA 640-by-480 sync parameters constant HD: integer:=640; --horizontal display area constant HF: integer:=16 ; --h. front porch constant HB: integer:=48 ; --h. back porch constant HR: integer:=96 ; --h. retrace constant VD: integer:=480; --vertical display area constant VF: integer:=10; --v. front porch constant VB: integer:=33; --v. back porch constant VR: integer:=2; --v. retrace -- mod-2 counter signal mod2_reg, mod2_next: std_logic; -- sync counters signal v_count_reg, v_count_next: unsigned(9 downto 0); signal h_count_reg, h_count_next: unsigned(9 downto 0); -- output buffer signal v_sync_reg, h_sync_reg: std_logic; signal v_sync_next, h_sync_next: std_logic; -- status signal signal h_end, v_end, pixel_tick: std_logic; begin -- registers process (clk,reset) begin if reset='1' then mod2_reg <= '0'; v_count_reg <= (others=>'0'); h_count_reg <= (others=>'0'); v_sync_reg <= '0'; h_sync_reg <= '0'; elsif (clk'event and clk='1') then mod2_reg <= mod2_next; v_count_reg <= v_count_next; h_count_reg <= h_count_next; v_sync_reg <= v_sync_next; h_sync_reg <= h_sync_next; end if; end process; -- mod-2 circuit to generate 25 MHz enable tick mod2_next <= not mod2_reg; -- 25 MHz pixel tick pixel_tick <= '1' when mod2_reg='1' else '0'; -- status h_end <= -- end of horizontal counter '1' when h_count_reg=(HD+HF+HB+HR-1) else --799 '0'; v_end <= -- end of vertical counter '1' when v_count_reg=(VD+VF+VB+VR-1) else --524 '0'; -- mod-800 horizontal sync counter process (h_count_reg,h_end,pixel_tick) begin if pixel_tick='1' then -- 25 MHz tick if h_end='1' then h_count_next <= (others=>'0'); else h_count_next <= h_count_reg + 1; end if; else h_count_next <= h_count_reg; end if; end process; -- mod-525 vertical sync counter process (v_count_reg,h_end,v_end,pixel_tick) begin if pixel_tick='1' and h_end='1' then if (v_end='1') then v_count_next <= (others=>'0'); else v_count_next <= v_count_reg + 1; end if; else v_count_next <= v_count_reg; end if; end process; -- horizontal and vertical sync, buffered to avoid glitch h_sync_next <= '1' when (h_count_reg>=(HD+HF)) --656 and (h_count_reg<=(HD+HF+HR-1)) else --751 '0'; v_sync_next <= '1' when (v_count_reg>=(VD+VF)) --490 and (v_count_reg<=(VD+VF+VR-1)) else --491 '0'; -- video on/off video_on <= '1' when (h_count_reg<HD) and (v_count_reg<VD) else '0'; -- output signal hsync <= h_sync_reg; vsync <= v_sync_reg; pixel_x <= std_logic_vector(h_count_reg); pixel_y <= std_logic_vector(v_count_reg); p_tick <= pixel_tick; end arch;
gpl-3.0
suoto/hdlcc
.ci/test_support/test_project/basic_library/package_with_constants.vhd
1
400
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library basic_library; package package_with_constants is constant SOME_INTEGER_CONSTANT : integer := 10; constant SOME_STRING_CONSTANT : string := "Hello"; constant SOME_STRING : string := basic_library.very_common_pkg.VIM_HDL_VERSION; end; -- package body package_with_constants is -- end package body;
gpl-3.0
JeremySavonet/Eurobot-2017-Moon-Village
software/HPS_FPGA_LED/fpga/pwm.vhd
1
5515
-------------------------------------------------------------------------------- -- -- FileName: pwm.vhd -- Dependencies: none -- Design Software: Quartus II 64-bit Version 12.1 Build 177 SJ Full Version -- -- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY -- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A -- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY -- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL -- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF -- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS -- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF), -- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS. -- -- Version History -- Version 1.0 8/1/2013 Scott Larson -- Initial Public Release -- Version 2.0 1/9/2015 Scott Larson -- Transistion between duty cycles always starts at center of pulse to avoid -- anomalies in pulse shapes -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; --USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY pwm IS GENERIC( sys_clk : INTEGER := 50_000_000; --system clock frequency in Hz pwm_freq : INTEGER := 100_000; --PWM switching frequency in Hz bits_resolution : INTEGER := 8; --bits of resolution setting the duty cycle phases : INTEGER := 1); --number of output pwms and phases PORT( clk : IN STD_LOGIC; --system clock reset_n : IN STD_LOGIC; --asynchronous reset ena : IN STD_LOGIC; --latches in new duty cycle duty : IN STD_LOGIC_VECTOR(bits_resolution-1 DOWNTO 0); --duty cycle pwm_out : OUT STD_LOGIC_VECTOR(phases-1 DOWNTO 0); --pwm outputs pwm_n_out : OUT STD_LOGIC_VECTOR(phases-1 DOWNTO 0)); --pwm inverse outputs END pwm; ARCHITECTURE logic OF pwm IS CONSTANT period : INTEGER := sys_clk/pwm_freq; --number of clocks in one pwm period TYPE counters IS ARRAY (0 TO phases-1) OF INTEGER RANGE 0 TO period - 1; --data type for array of period counters SIGNAL count : counters := (OTHERS => 0); --array of period counters SIGNAL half_duty_new : INTEGER RANGE 0 TO period/2 := 0; --number of clocks in 1/2 duty cycle TYPE half_duties IS ARRAY (0 TO phases-1) OF INTEGER RANGE 0 TO period/2; --data type for array of half duty values SIGNAL half_duty : half_duties := (OTHERS => 0); --array of half duty values (for each phase) BEGIN PROCESS(clk, reset_n) variable v_compute : unsigned(bits_resolution+24-1 downto 0); BEGIN IF(reset_n = '0') THEN --asynchronous reset count <= (OTHERS => 0); --clear counter pwm_out <= (OTHERS => '0'); --clear pwm outputs pwm_n_out <= (OTHERS => '0'); --clear pwm inverse outputs ELSIF(clk'EVENT AND clk = '1') THEN --rising system clock edge IF(ena = '1') THEN --latch in new duty cycle v_compute := unsigned(duty)*to_unsigned(period,24)/(2**(bits_resolution+1)); half_duty_new <= to_integer(v_compute(31-1 downto 0));--(unsigned(duty)*period/(2**(bits_resolution))/2));--conv_integer(duty)*period/(2**bits_resolution)/2; --determine clocks in 1/2 duty cycle END IF; FOR i IN 0 to phases-1 LOOP --create a counter for each phase IF(count(0) = period - 1 - i*period/phases) THEN --end of period reached count(i) <= 0; --reset counter half_duty(i) <= half_duty_new; --set most recent duty cycle value ELSE --end of period not reached count(i) <= count(i) + 1; --increment counter END IF; END LOOP; FOR i IN 0 to phases-1 LOOP --control outputs for each phase IF(count(i) = half_duty(i)) THEN --phase's falling edge reached pwm_out(i) <= '0'; --deassert the pwm output pwm_n_out(i) <= '1'; --assert the pwm inverse output ELSIF(count(i) = period - half_duty(i)) THEN --phase's rising edge reached pwm_out(i) <= '1'; --assert the pwm output pwm_n_out(i) <= '0'; --deassert the pwm inverse output END IF; END LOOP; END IF; END PROCESS; END logic;
gpl-3.0
iamllama/EE2020
ee2020.srcs/sources_1/imports/hdl/Ps2Interface.vhd
3
32097
------------------------------------------------------------------------ -- ps2interface.vhd ------------------------------------------------------------------------ -- Author : Ulrich Zoltán -- Copyright 2006 Digilent, Inc. ------------------------------------------------------------------------ -- This file contains the implementation of a generic bidirectional -- ps/2 interface. ------------------------------------------------------------------------ -- Behavioral description ------------------------------------------------------------------------ -- Please read the following article on the web for understanding how -- the ps/2 protocol works. -- http://www.computer-engineering.org/ps2protocol/ -- This module implements a generic bidirectional ps/2 interface. It can -- be used with any ps/2 compatible device. It offers its clients a -- convenient way to exchange data with the device. The interface -- transparently wraps the byte to be sent into a ps/2 frame, generates -- parity for byte and sends the frame one bit at a time to the device. -- Similarly, when receiving data from the ps2 device, the interface -- receives the frame, checks for parity, and extract the usefull data -- and forwards it to the client. If an error occurs during receiving -- or sending a byte, the client is informed by settings the err output -- line high. This way, the client can resend the data or can issue -- a resend command to the device. -- The physical ps/2 interface uses 4 lines -- For the 6-pin connector pins are assigned as follows: -- 1 - Data -- 2 - Not Implemented -- 3 - Ground -- 4 - Vcc (+5V) -- 5 - Clock -- 6 - Not Implemented -- The clock line carries the device generated clock which has a -- frequency in range 10 - 16.7 kHz (30 to 50us). When line is idle -- it is placed in high impedance. The clock is only generated when -- device is sending or receiving data. -- The Data and Clock lines are both open-collector with pullup -- resistors to Vcc. An "open-collector" interface has two possible -- states: low('0') or high impedance('Z'). -- When device wants to send a byte, it pulls the clock line low and the -- host(i.e. this interfaces) recognizes that the device is sending data -- When the host wants to send data, it maeks a request to send. This -- is done by holding the clock line low for at least 100us, then with -- the clock line low, the data line is brought low. Next the clock line -- is released (placed in high impedance). The devices begins generating -- clock signal on clock line. -- When receiving data, bits are read from the data line (ps2_data) on -- the falling edge of the clock (ps2_clk). When sending data, the -- device reads the bits from the data line on the rising edge of the -- clock. -- A frame for sending a byte is comprised of 11 bits as shown bellow: -- bits 10 9 8 7 6 5 4 3 2 1 0 -- ------------------------------------------------------------- -- | STOP| PAR | D7 | D6 | D5 | D4 | D3 | D2 | D1 | D0 | START | -- ------------------------------------------------------------- -- STOP - stop bit, always '1' -- PAR - parity bit, odd parity for the 8 data bits. -- - select in such way that the number of bits of '1' in the data -- - bits together with parity bit is odd. -- D0-7 - data bits. -- START - start bit, always '0' -- -- Frame is sent bit by bit starting with the least significant bit -- (starting bit) and is received the same way. This is done, when -- receiving, by shifting the frame register to the left when a bit -- is available and placing the bit on data line on the most significant -- bit. This way the first bit sent will reach the least significant bit -- of the frame when all the bits have been received. When sending data -- the least significant bit of the frame is placed on the data line -- and the frame is shifted to the right when another bit needs to be -- sent. During the request to send, when releasing the clock line, -- the device reads the data line and interprets the data on it as the -- first bit of the frame. Data line is low at that time, at this is the -- way the start bit('0') is sent. Because of this, when sending, only -- 10 shifts of the frame will be made. -- While the interface is sending or receiving data, the busy output -- signal goes high. When interface is idle, busy is low. -- After sending all the bits in the frame, the device must acknowledge -- the data sent. This is done by the host releasing and data line -- (clock line is already released) after the last bit is sent. The -- devices brings the data line and the clock line low, in this order, -- to acknowledge the data. If data line is high when clock line goes -- low after last bit, the device did not acknowledge the data and -- err output is set. -- A FSM is used to manage the transitions the set all the command -- signals. States that begin with "rx_" are used to receive data -- from device and states begining with "tx_" are used to send data -- to the device. -- For the parity bit, a ROM holds the parity bit for all possible -- data (256 possible values, since 8 bits of data). The ROM has -- dimensions 256x1bit. For obtaining the parity bit of a value, -- the bit at the data value address is read. Ex: to find the parity -- bit of 174, the bit at address 174 is read. -- For generating the necessary delay, counters are used. For example, -- to generate the 100us delay a 14 bit counter is used that has the -- upper limit for counting 10000. The interface is designed to run -- at 100MHz. Thus, 10000x10ns = 100us. ----------------------------------------------------------------------- -- If using the interface at different frequency than 100MHz, adjusting -- the delay counters is necessary!!! ----------------------------------------------------------------------- -- Clock line(ps2_clk) and data line(ps2_data) are passed through a -- debouncer for the transitions of the clock and data to be clean. -- Also, ps2_clk_s and ps2_data_s hold the debounced and synchronized -- value of the clock and data line to the system clock(clk). ------------------------------------------------------------------------ -- Port definitions ------------------------------------------------------------------------ -- ps2_clk - inout pin, clock line of the ps/2 interface -- ps2_data - inout pin, data line of the ps/2 interface -- clk - input pin, system clock signal -- rst - input pin, system reset signal -- tx_data - input pin, 8 bits, from client -- - data to be sent to the device -- write_data - input pin, from client -- - should be active for one clock period when then -- - client wants to send data to the device and -- - data to be sent is valid on tx_data -- rx_data - output pin, 8 bits, to client -- - data received from device -- read - output pin, to client -- - active for one clock period when new data is -- - available from device -- busy - output pin, to client -- - active while sending or receiving data. -- err - output pin, to client -- - active for one clock period when an error occurred -- - during sending or receiving. ------------------------------------------------------------------------ -- Revision History: -- 09/18/2006(UlrichZ): created ------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- simulation library library UNISIM; use UNISIM.VComponents.all; -- the ps2interface entity declaration -- read above for behavioral description and port definitions. entity Ps2Interface is port( ps2_clk : inout std_logic; ps2_data : inout std_logic; clk : in std_logic; rst : in std_logic; tx_data : in std_logic_vector(7 downto 0); write_data : in std_logic; rx_data : out std_logic_vector(7 downto 0); read_data : out std_logic; busy : out std_logic; err : out std_logic ); -- forces the extraction of distributed ram for -- the parity rom memory. -- please remove if block ram is preffered. attribute rom_extract : string; attribute rom_extract of Ps2Interface: entity is "yes"; attribute rom_style : string; attribute rom_style of Ps2Interface: entity is "distributed"; end Ps2Interface; architecture Behavioral of Ps2Interface is ------------------------------------------------------------------------ -- CONSTANTS ------------------------------------------------------------------------ -- Values are valid for a 100MHz clk. Please adjust for other -- frequencies if necessary! -- upper limit for 100us delay counter. -- 10000 * 10ns = 100us constant DELAY_100US : std_logic_vector(13 downto 0):= "10011100010000"; -- 10000 clock periods -- upper limit for 20us delay counter. -- 2000 * 10ns = 20us constant DELAY_20US : std_logic_vector(10 downto 0) := "11111010000"; -- 2000 clock periods -- upper limit for 63clk delay counter. constant DELAY_63CLK : std_logic_vector(6 downto 0) := "1111111"; -- 63 clock periods -- delay from debouncing ps2_clk and ps2_data signals constant DEBOUNCE_DELAY : std_logic_vector(3 downto 0) := "1111"; -- number of bits in a frame constant NUMBITS: std_logic_vector(3 downto 0) := "1011"; -- 11 -- parity bit position in frame constant PARITY_BIT: positive := 9; -- (odd) parity bit ROM -- Used instead of logic because this way speed is far greater -- 256x1bit rom -- If the odd parity bit for a 8 bits number, x, is needed -- the bit at address x is the parity bit. type ROM is array(0 to 255) of std_logic; constant parityrom : ROM := ( '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1', '1','0','0','1','0','1','1','0', '1','0','0','1','0','1','1','0', '0','1','1','0','1','0','0','1' ); ------------------------------------------------------------------------ -- SIGNALS ------------------------------------------------------------------------ -- 14 bits counter -- max value DELAY_100US -- used to wait 100us signal delay_100us_count: std_logic_vector(13 downto 0) := (others => '0'); -- 11 bits counter -- max value DELAY_20US -- used to wait 20us signal delay_20us_count: std_logic_vector(10 downto 0) := (others => '0'); -- 11 bits counter -- max value DELAY_63CLK -- used to wait 63 clock periods signal delay_63clk_count: std_logic_vector(6 downto 0) := (others => '0'); -- done signal for the couters above -- when a counter reaches max value,the corresponding done signal is set signal delay_100us_done, delay_20us_done, delay_63clk_done: std_logic; -- enable signal for 100us delay counter signal delay_100us_counter_enable: std_logic := '0'; -- enable signal for 20us delay counter signal delay_20us_counter_enable : std_logic := '0'; -- enable signal for 63clk delay counter signal delay_63clk_counter_enable: std_logic := '0'; -- synchronzed input for ps2_clk and ps2_data signal ps2_clk_s,ps2_data_s: std_logic := '1'; -- control the output of ps2_clk and ps2_data -- if 1 then corresponding signal (ps2_clk or ps2_data) is -- put in high impedance ('Z'). signal ps2_clk_h,ps2_data_h: std_logic := '1'; -- states of the FSM for controlling the communcation with the device -- states that begin with "rx_" are used when receiving data -- states that begin with "tx_" are used when transmiting data type fsm_state is ( idle,rx_clk_h,rx_clk_l,rx_down_edge,rx_error_parity,rx_data_ready, tx_force_clk_l,tx_bring_data_down,tx_release_clk, tx_first_wait_down_edge,tx_clk_l,tx_wait_up_edge,tx_clk_h, tx_wait_up_edge_before_ack,tx_wait_ack,tx_received_ack, tx_error_no_ack ); -- the signal that holds the current state of the FSM -- implicitly state is idle. signal state: fsm_state := idle; -- register that holds the frame received or the one to be sent. -- Its contents are shifted in from the bus one bit at a time -- from left to right when receiving data and are shifted on the -- bus (ps2_data) one bit at a time to the right when sending data signal frame: std_logic_vector(10 downto 0) := (others => '0'); -- how many bits have been sent or received. signal bit_count: std_logic_vector(3 downto 0) := (others => '0'); -- when active the bit counter is reset. signal reset_bit_count: std_logic := '0'; -- when active the contents of the frame is shifted to the right -- and the most significant bit of frame is loaded with ps2_data. signal shift_frame: std_logic := '0'; -- parity of the byte that was received from the device. -- must match the parity bit received, else error occurred. signal rx_parity: std_logic := '0'; -- parity bit that is sent with the frame, representing the -- odd parity of the byte currently being sent signal tx_parity: std_logic := '0'; -- when active, frame is loaded with the start bit, data on -- tx_data, parity bit (tx_parity) and stop bit -- this frame will be sent to the device. signal load_tx_data: std_logic := '0'; -- when active bits 8 downto 1 from frame are loaded into -- rx_data register. This is the byte received from the device. signal load_rx_data: std_logic := '0'; -- intermediary signals used to debounce the inputs ps2_clk and ps2_data signal ps2_clk_clean,ps2_data_clean: std_logic := '1'; -- debounce counter for the ps2_clk input and the ps2_data input. signal clk_count,data_count: std_logic_vector(3 downto 0); -- last value on ps2_clk and ps2_data. signal clk_inter,data_inter: std_logic := '1'; begin --------------------------------------------------------------------- -- FLAGS and PS2 CLOCK AND DATA LINES --------------------------------------------------------------------- -- clean ps2_clk signal (debounce) -- note that this introduces a delay in ps2_clk of -- DEBOUNCE_DELAY clocks process(clk) begin if(rising_edge(clk)) then -- if the current bit on ps2_clk is different -- from the last value, then reset counter -- and retain value if(ps2_clk /= clk_inter) then clk_inter <= ps2_clk; clk_count <= (others => '0'); -- if counter reached upper limit, then -- the signal is clean elsif(clk_count = DEBOUNCE_DELAY) then ps2_clk_clean <= clk_inter; -- ps2_clk did not change, but counter did not -- reach limit. Increment counter else clk_count <= clk_count + 1; end if; end if; end process; -- clean ps2_data signal (debounce) -- note that this introduces a delay in ps2_data of -- DEBOUNCE_DELAY clocks process(clk) begin if(rising_edge(clk)) then -- if the current bit on ps2_data is different -- from the last value, then reset counter -- and retain value if(ps2_data /= data_inter) then data_inter <= ps2_data; data_count <= (others => '0'); -- if counter reached upper limit, then -- the signal is clean elsif(data_count = DEBOUNCE_DELAY) then ps2_data_clean <= data_inter; -- ps2_data did not change, but counter did not -- reach limit. Increment counter else data_count <= data_count + 1; end if; end if; end process; -- Synchronize ps2 entries ps2_clk_s <= ps2_clk_clean when rising_edge(clk); ps2_data_s <= ps2_data_clean when rising_edge(clk); -- Assign parity from frame bits 8 downto 1, this is the parity -- that should be received inside the frame on PARITY_BIT position rx_parity <= parityrom(conv_integer(frame(8 downto 1))) when rising_edge(clk); -- The parity for the data to be sent tx_parity <= parityrom(conv_integer(tx_data)) when rising_edge(clk); -- Force ps2_clk to '0' if ps2_clk_h = '0', else release the line -- ('Z' = +5Vcc because of pull-ups) ps2_clk <= 'Z' when ps2_clk_h = '1' else '0'; -- Force ps2_data to '0' if ps2_data_h = '0', else release the line -- ('Z' = +5Vcc because of pull-ups) ps2_data <= 'Z' when ps2_data_h = '1' else '0'; -- Control busy flag. Interface is not busy while in idle state. busy <= '0' when state = idle else '1'; -- reset the bit counter when in idle state. reset_bit_count <= '1' when state = idle else '0'; -- Control shifting of the frame -- When receiving from device, data is read -- on the falling edge of ps2_clk -- When sending to device, data is read by device -- on the rising edge of ps2_clk shift_frame <= '1' when state = rx_down_edge or state = tx_clk_l else '0'; --------------------------------------------------------------------- -- FINITE STATE MACHINE --------------------------------------------------------------------- -- For the current state establish next state -- and give necessary commands manage_fsm: process(clk,rst,state,ps2_clk_s,ps2_data_s,write_data,tx_data, bit_count,rx_parity,frame,delay_100us_done, delay_20us_done,delay_63clk_done) begin -- if reset occurs, go to idle state. if(rst = '1') then state <= idle; elsif(rising_edge(clk)) then -- default values for these signals -- ensures signals are reset to default value -- when coditions for their activation are no -- longer applied (transition to other state, -- where signal should not be active) -- Idle value for ps2_clk and ps2_data is 'Z' ps2_clk_h <= '1'; ps2_data_h <= '1'; load_tx_data <= '0'; load_rx_data <= '0'; read_data <= '0'; err <= '0'; case state is -- wait for the device to begin a transmission -- by pulling the clock line low and go to state -- rx_down_edge or, if write is high, the -- client of this interface wants to send a byte -- to the device and a transition is made to state -- tx_force_clk_l when idle => if(ps2_clk_s = '0') then state <= rx_down_edge; elsif(write_data = '1') then state <= tx_force_clk_l; else state <= idle; end if; -- ps2_clk is high, check if all the bits have been read -- if, last bit read, check parity, and if parity ok -- load received data into rx_data. -- else if more bits left, then wait for the ps2_clk to -- go low when rx_clk_h => if(bit_count = NUMBITS) then if(not (rx_parity = frame(PARITY_BIT))) then state <= rx_error_parity; else load_rx_data <= '1'; state <= rx_data_ready; end if; elsif(ps2_clk_s = '0') then state <= rx_down_edge; else state <= rx_clk_h; end if; -- data must be read into frame in this state -- the ps2_clk just transitioned from high to low when rx_down_edge => state <= rx_clk_l; -- ps2_clk line is low, wait for it to go high when rx_clk_l => if(ps2_clk_s = '1') then state <= rx_clk_h; else state <= rx_clk_l; end if; -- parity bit received is invalid -- signal error and go back to idle. when rx_error_parity => err <= '1'; state <= idle; -- parity bit received was good -- set read signal for the client to know -- a new byte was received and is available on rx_data when rx_data_ready => read_data <= '1'; state <= idle; -- the client wishes to transmit a byte to the device -- this is done by holding ps2_clk down for at least 100us -- bringing down ps2_data, wait 20us and then releasing -- the ps2_clk. -- This constitutes a request to send command. -- In this state, the ps2_clk line is held down and -- the counter for waiting 100us is eanbled. -- when the counter reached upper limit, transition -- to tx_bring_data_down when tx_force_clk_l => load_tx_data <= '1'; ps2_clk_h <= '0'; if(delay_100us_done = '1') then state <= tx_bring_data_down; else state <= tx_force_clk_l; end if; -- with the ps2_clk line low bring ps2_data low -- wait for 20us and then go to tx_release_clk when tx_bring_data_down => -- keep clock line low ps2_clk_h <= '0'; -- set data line low -- when clock is released in the next state -- the device will read bit 0 on data line -- and this bit represents the start bit. ps2_data_h <= '0'; -- start bit = '0' if(delay_20us_done = '1') then state <= tx_release_clk; else state <= tx_bring_data_down; end if; -- release the ps2_clk line -- keep holding data line low when tx_release_clk => ps2_clk_h <= '1'; -- must maintain data low, -- otherwise will be released by default value ps2_data_h <= '0'; state <= tx_first_wait_down_edge; -- state is necessary because the clock signal -- is not released instantaneously and, because of debounce, -- delay is even greater. -- Wait 63 clock periods for the clock line to release -- then if clock is low then go to tx_clk_l -- else wait until ps2_clk goes low. when tx_first_wait_down_edge => ps2_data_h <= '0'; if(delay_63clk_done = '1') then if(ps2_clk_s = '0') then state <= tx_clk_l; else state <= tx_first_wait_down_edge; end if; else state <= tx_first_wait_down_edge; end if; -- place the least significant bit from frame -- on the data line -- During this state the frame is shifted one -- bit to the right when tx_clk_l => ps2_data_h <= frame(0); state <= tx_wait_up_edge; -- wait for the clock to go high -- this is the edge on which the device reads the data -- on ps2_data. -- keep holding ps2_data on frame(0) because else -- will be released by default value. -- Check if sent the last bit and if so, release data line -- and go to state that wait for acknowledge when tx_wait_up_edge => ps2_data_h <= frame(0); -- NUMBITS - 1 because first (start bit = 0) bit was read -- when the clock line was released in the request to -- send command (see tx_bring_data_down state). if(bit_count = NUMBITS-1) then ps2_data_h <= '1'; state <= tx_wait_up_edge_before_ack; -- if more bits to send, wait for the up edge -- of ps2_clk elsif(ps2_clk_s = '1') then state <= tx_clk_h; else state <= tx_wait_up_edge; end if; -- ps2_clk is released, wait for down edge -- and go to tx_clk_l when arrived when tx_clk_h => ps2_data_h <= frame(0); if(ps2_clk_s = '0') then state <= tx_clk_l; else state <= tx_clk_h; end if; -- release ps2_data and wait for rising edge of ps2_clk -- once this occurs, transition to tx_wait_ack when tx_wait_up_edge_before_ack => ps2_data_h <= '1'; if(ps2_clk_s = '1') then state <= tx_wait_ack; else state <= tx_wait_up_edge_before_ack; end if; -- wait for the falling edge of the clock line -- if data line is low when this occurs, the -- ack is received -- else if data line is high, the device did not -- acknowledge the transimission when tx_wait_ack => if(ps2_clk_s = '0') then if(ps2_data_s = '0') then -- acknowledge received state <= tx_received_ack; else -- acknowledge not received state <= tx_error_no_ack; end if; else state <= tx_wait_ack; end if; -- wait for ps2_clk to be released together with ps2_data -- (bus to be idle) and go back to idle state when tx_received_ack => if(ps2_clk_s = '1' and ps2_data_s = '1') then state <= idle; else state <= tx_received_ack; end if; -- wait for ps2_clk to be released together with ps2_data -- (bus to be idle) and go back to idle state -- signal error for not receiving ack when tx_error_no_ack => if(ps2_clk_s = '1' and ps2_data_s = '1') then err <= '1'; state <= idle; else state <= tx_error_no_ack; end if; -- if invalid transition occurred, signal error and -- go back to idle state when others => err <= '1'; state <= idle; end case; end if; end process manage_fsm; --------------------------------------------------------------------- -- DELAY COUNTERS --------------------------------------------------------------------- -- Enable the 100us counter only when state is tx_force_clk_l delay_100us_counter_enable <= '1' when state = tx_force_clk_l else '0'; -- Counter for a 100us delay -- after done counting, done signal remains active until -- enable counter is reset. delay_100us_counter: process(clk) begin if(rising_edge(clk)) then if(delay_100us_counter_enable = '1') then if(delay_100us_count = (DELAY_100US)) then delay_100us_count <= delay_100us_count; delay_100us_done <= '1'; else delay_100us_count <= delay_100us_count + 1; delay_100us_done <= '0'; end if; else delay_100us_count <= (others => '0'); delay_100us_done <= '0'; end if; end if; end process delay_100us_counter; -- Enable the 20us counter only when state is tx_bring_data_down delay_20us_counter_enable <= '1' when state = tx_bring_data_down else '0'; -- Counter for a 20us delay -- after done counting, done signal remains active until -- enable counter is reset. delay_20us_counter: process(clk) begin if(rising_edge(clk)) then if(delay_20us_counter_enable = '1') then if(delay_20us_count = (DELAY_20US)) then delay_20us_count <= delay_20us_count; delay_20us_done <= '1'; else delay_20us_count <= delay_20us_count + 1; delay_20us_done <= '0'; end if; else delay_20us_count <= (others => '0'); delay_20us_done <= '0'; end if; end if; end process delay_20us_counter; -- Enable the 63clk counter only when state is tx_first_wait_down_edge delay_63clk_counter_enable <= '1' when state = tx_first_wait_down_edge else '0'; -- Counter for a 63 clock periods delay -- after done counting, done signal remains active until -- enable counter is reset. delay_63clk_counter: process(clk) begin if(rising_edge(clk)) then if(delay_63clk_counter_enable = '1') then if(delay_63clk_count = (DELAY_63CLK)) then delay_63clk_count <= delay_63clk_count; delay_63clk_done <= '1'; else delay_63clk_count <= delay_63clk_count + 1; delay_63clk_done <= '0'; end if; else delay_63clk_count <= (others => '0'); delay_63clk_done <= '0'; end if; end if; end process delay_63clk_counter; --------------------------------------------------------------------- -- BIT COUNTER AND FRAME SHIFTING LOGIC --------------------------------------------------------------------- -- counts the number of bits shifted into the frame -- or out of the frame. bit_counter: process(clk) begin if(rising_edge(clk)) then if(reset_bit_count = '1') then bit_count <= (others => '0'); elsif(shift_frame = '1') then bit_count <= bit_count + 1; end if; end if; end process bit_counter; -- shifts frame with one bit to right when shift_frame is acitve -- and loads data into frame from tx_data then load_tx_data is high load_tx_data_into_frame: process(clk) begin if(rising_edge(clk)) then if(load_tx_data = '1') then frame(8 downto 1) <= tx_data; -- byte to send frame(0) <= '0'; -- start bit frame(10) <= '1'; -- stop bit frame(9) <= tx_parity; -- parity bit elsif(shift_frame = '1') then -- shift right 1 bit frame(9 downto 0) <= frame(10 downto 1); -- shift in from the ps2_data line frame(10) <= ps2_data_s; end if; end if; end process load_tx_data_into_frame; -- Loads data from frame into rx_data output when data is ready do_load_rx_data: process(clk) begin if(rising_edge(clk)) then if(load_rx_data = '1') then rx_data <= frame(8 downto 1); end if; end if; end process do_load_rx_data; end Behavioral;
gpl-3.0
albayaty/Video-Game-Engine
EDK/NES-Controller/user_logic.vhd
1
11238
-- ============================================== -- Copyright © 2014 Ali M. Al-Bayaty -- -- Video-Game-Engine is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- any later version. -- -- Video-Game-Engine is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ============================================== -- -- Video Game Engine Project -- ( EDK: NES User Logic VHDL ) -- -- MSEE student: Ali M. Al-Bayaty -- EE659: System-On-Chip -- Personal website: <http://albayaty.github.io/> -- Source code link: <https://github.com/albayaty/Video-Game-Engine.git> -- -- ============================================== -- ------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Sun Oct 16 17:16:09 2011 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SLV_DWIDTH -- Slave interface data bus width -- C_NUM_REG -- Number of software accessible registers -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Reset -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_NUM_REG : integer := 1 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here reset : in STD_LOGIC; led : out STD_LOGIC_VECTOR(0 to 7); nes_latch : out STD_LOGIC; nes_clk : out STD_LOGIC; nes_data : in STD_LOGIC; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Reset : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic component nes_controller Port ( reset : in STD_LOGIC; clk_50 : in STD_LOGIC; led : out STD_LOGIC_VECTOR(0 to 7); nes_latch : out STD_LOGIC; nes_clk : out STD_LOGIC; nes_data : in STD_LOGIC ); end component; signal led_buf: STD_LOGIC_VECTOR(0 to 31); ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_reg_write_sel : std_logic_vector(0 to 0); signal slv_reg_read_sel : std_logic_vector(0 to 0); signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; begin --USER logic implementation added here nesmodule: nes_controller port map ( reset => reset, clk_50 => Bus2IP_Clk, led => led_buf(24 to 31), nes_latch => nes_latch, nes_clk => nes_clk, nes_data => nes_data ); led <= led_buf(24 to 31); ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(0 to 0); slv_reg_read_sel <= Bus2IP_RdCE(0 to 0); slv_write_ack <= Bus2IP_WrCE(0); slv_read_ack <= Bus2IP_RdCE(0); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Reset = '1' then slv_reg0 <= (others => '0'); else case slv_reg_write_sel is when "1" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is begin case slv_reg_read_sel is when "1" => slv_ip2bus_data <= led_buf; --slv_reg0; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; end IMP;
gpl-3.0
JeremySavonet/Eurobot-2017-Moon-Village
software/HPS_FPGA_LED/fpga/types_pkg.vhd
1
791
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; package types_pkg is type int8_t is array (natural range<>) of std_logic_vector(8 -1 downto 0); type int16_t is array (natural range<>) of std_logic_vector(16-1 downto 0); type int24_t is array (natural range<>) of std_logic_vector(24-1 downto 0); type int32_t is array (natural range<>) of std_logic_vector(32-1 downto 0); function std_norm_range(v: in std_logic_vector) return std_logic_vector; end package; package body types_pkg is function std_norm_range(v: in std_logic_vector) return std_logic_vector is variable v_result: std_logic_vector(v'length-1 downto 0); begin v_result := v; return v_result; end function; end package body;
gpl-3.0
JeremySavonet/Eurobot-2017-Moon-Village
software/HPS_FPGA_LED/fpga/pwm_pkg.vhd
1
1062
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; package pwm_pkg is component pwm is generic( sys_clk : INTEGER := 50_000_000; --system clock frequency in Hz pwm_freq : INTEGER := 100_000; --PWM switching frequency in Hz bits_resolution : INTEGER := 8; --bits of resolution setting the duty cycle phases : INTEGER := 1); --number of output pwms and phases port( clk : IN STD_LOGIC; --system clock reset_n : IN STD_LOGIC; --asynchronous reset ena : IN STD_LOGIC; --latches in new duty cycle duty : IN STD_LOGIC_VECTOR(bits_resolution-1 DOWNTO 0); --duty cycle pwm_out : OUT STD_LOGIC_VECTOR(phases-1 DOWNTO 0); --pwm outputs pwm_n_out : OUT STD_LOGIC_VECTOR(phases-1 DOWNTO 0) --pwm inverse outputs ); end component; end package;
gpl-3.0
aylons/concordic
hdl/modules/unc_mult/unc_mult.vhd
1
2634
------------------------------------------------------------------------------- -- Title : Unconstrained multiplier -- Project : ------------------------------------------------------------------------------- -- File : unc_mult.vhd -- Author : Aylons <[email protected]> -- Company : -- Created : 2014-05-03 -- Last update: 2014-05-04 -- Platform : -- Standard : VHDL'93/02/08 ------------------------------------------------------------------------------- -- Description: Generic multiplier which accepts signed vectors of any size -- for both inputs and the resulting output. The output width must be smaller -- than the summed width of the inputs. For outputs smaller than a_width + -- b_width - 1, there will be one sign bit followed by as results MSBs. -- -- This multiplier expects the synthesizer to infer multiplier logic from the * operator. ------------------------------------------------------------------------------- -- This file is part of Concordic. -- -- Concordic is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Concordic is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Foobar. If not, see <http://www.gnu.org/licenses/>. -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-05-03 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity unc_mult is port( a_i : in signed; b_i : in signed; result_o : out signed; clk_i : in std_logic); end unc_mult; architecture behavioural of unc_mult is begin assert result_o'length < a_i'length + b_i'length report "result_o width bigger than summed widths of a_i and b_i" severity error; process(clk_i) is variable full_res : signed(a_i'length + b_i'length - 1 downto 0); begin if(rising_edge(clk_i)) then full_res := a_i * b_i; result_o <= full_res(full_res'left-1 downto full_res'left-1-result_o'length); end if; end process; end architecture behavioural;
gpl-3.0
JeremySavonet/Eurobot-2017-Moon-Village
software/HPS_FPGA_LED/fpga/spi_master_pkg.vhd
1
1594
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; package spi_master_pkg is component spi_master is GENERIC( slaves : INTEGER := 4; --number of spi slaves d_width : INTEGER := 2 --data bus width ); PORT( clock : IN STD_LOGIC; --system clock reset_n : IN STD_LOGIC; --asynchronous reset enable : IN STD_LOGIC; --initiate transaction cpol : IN STD_LOGIC; --spi clock polarity cpha : IN STD_LOGIC; --spi clock phase cont : IN STD_LOGIC; --continuous mode command clk_div : IN INTEGER; --system clock cycles per 1/2 period of sclk addr : IN INTEGER; --address of slave tx_data : IN STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --data to transmit miso : IN STD_LOGIC; --master in, slave out sclk : BUFFER STD_LOGIC; --spi clock ss_n : BUFFER STD_LOGIC_VECTOR(slaves-1 DOWNTO 0); --slave select mosi : OUT STD_LOGIC; --master out, slave in busy : OUT STD_LOGIC; --busy / data ready signal rx_data : OUT STD_LOGIC_VECTOR(d_width-1 DOWNTO 0) --data received ); end component; end package;
gpl-3.0
suoto/hdlcc
.ci/test_support/test_project/basic_library/clock_divider.vhd
1
1392
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library basic_library; use basic_library.very_common_pkg.all; use work.package_with_constants; entity clock_divider is generic ( DIVIDER : integer := 10 ); port ( reset : in std_logic; clk_input : in std_logic; clk_output : out std_logic ); end clock_divider; architecture clock_divider of clock_divider is signal counter : integer range 0 to DIVIDER - 1 := 0; signal clk_internal : std_logic := '0'; signal clk_enable_unused : std_logic := '0'; begin clk_output <= clk_internal; useless_u : clk_en_generator generic map ( DIVIDER => DIVIDER) port map ( reset => reset, clk_input => clk_input, clk_en => open); -- We read 'reset' signal asynchronously inside the process to force -- msim issuing a synthesis warning process(clk_input) begin if reset = '1' then counter <= 0; elsif clk_input'event and clk_input = '1' then if counter < DIVIDER then counter <= counter + 1; else counter <= 0; clk_internal <= not clk_internal; end if; end if; end process; end clock_divider;
gpl-3.0
albayaty/Video-Game-Engine
EDK/VGA/20x15/vga_ip_core.vhd
1
22878
-- ============================================== -- Copyright © 2014 Ali M. Al-Bayaty -- -- Video-Game-Engine is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- any later version. -- -- Video-Game-Engine is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ============================================== -- -- Video Game Engine Project -- ( EDK: VGA 20x15 Resolution, IP Core VHDL ) -- -- MSEE student: Ali M. Al-Bayaty -- EE659: System-On-Chip -- Personal website: <http://albayaty.github.io/> -- Source code link: <https://github.com/albayaty/Video-Game-Engine.git> -- -- ============================================== -- ------------------------------------------------------------------------------ -- vga_ip_core.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: vga_ip_core.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Sat Oct 15 15:40:57 2011 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_single_v1_01_a; use plbv46_slave_single_v1_01_a.plbv46_slave_single; library vga_ip_core_v1_00_a; use vga_ip_core_v1_00_a.user_logic; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- PLBv46 slave: base address -- C_HIGHADDR -- PLBv46 slave: high address -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity vga_ip_core is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex6" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here hsync: out std_logic; vsync: out std_logic; rgb: out std_logic_vector(0 to 2); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity vga_ip_core; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of vga_ip_core is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_SLV_NUM_REG : integer := 7; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity vga_ip_core_v1_00_a.user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_SLV_DWIDTH => USER_SLV_DWIDTH, C_NUM_REG => USER_NUM_REG ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here hsync => hsync, vsync => vsync, rgb => rgb, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); end IMP;
gpl-3.0
JeremySavonet/Eurobot-2017-Moon-Village
software/HPS_FPGA_LED/fpga/uart.vhd
1
25117
-------------------------------------------------------------------------------- -- PROJECT: SIMPLE UART FOR FPGA -------------------------------------------------------------------------------- -- MODULE: UART PARITY BIT GENERATOR -- AUTHORS: Jakub Cabal <[email protected]> -- lICENSE: The MIT License (MIT) -- WEBSITE: https://github.com/jakubcabal/uart_for_fpga -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity UART_PARITY is Generic ( DATA_WIDTH : integer := 8; PARITY_TYPE : string := "none" -- legal values: "none", "even", "odd", "mark", "space" ); Port ( DATA_IN : in std_logic_vector(DATA_WIDTH-1 downto 0); PARITY_OUT : out std_logic ); end UART_PARITY; architecture FULL of UART_PARITY is begin -- ------------------------------------------------------------------------- -- PARITY BIT GENERATOR -- ------------------------------------------------------------------------- even_parity_g : if (PARITY_TYPE = "even") generate process (DATA_IN) variable parity_temp : std_logic; begin parity_temp := '0'; for i in DATA_IN'range loop parity_temp := parity_temp XOR DATA_IN(i); end loop; PARITY_OUT <= parity_temp; end process; end generate; odd_parity_g : if (PARITY_TYPE = "odd") generate process (DATA_IN) variable parity_temp : std_logic; begin parity_temp := '1'; for i in DATA_IN'range loop parity_temp := parity_temp XOR DATA_IN(i); end loop; PARITY_OUT <= parity_temp; end process; end generate; mark_parity_g : if (PARITY_TYPE = "mark") generate PARITY_OUT <= '1'; end generate; space_parity_g : if (PARITY_TYPE = "space") generate PARITY_OUT <= '0'; end generate; end FULL; -------------------------------------------------------------------------------- -- PROJECT: SIMPLE UART FOR FPGA -------------------------------------------------------------------------------- -- MODULE: UART RECEIVER -- AUTHORS: Jakub Cabal <[email protected]> -- lICENSE: The MIT License (MIT) -- WEBSITE: https://github.com/jakubcabal/uart_for_fpga -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity UART_RX is Generic ( PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space" ); Port ( CLK : in std_logic; -- system clock RST : in std_logic; -- high active synchronous reset -- UART INTERFACE UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable UART_RXD : in std_logic; -- USER DATA OUTPUT INTERFACE DATA_OUT : out std_logic_vector(7 downto 0); DATA_VLD : out std_logic; -- when DATA_VLD = 1, data on DATA_OUT are valid FRAME_ERROR : out std_logic -- when FRAME_ERROR = 1, stop bit was invalid, current and next data may be invalid ); end UART_RX; architecture FULL of UART_RX is signal rx_clk_en : std_logic; signal rx_ticks : unsigned(3 downto 0); signal rx_clk_divider_en : std_logic; signal rx_data : std_logic_vector(7 downto 0); signal rx_bit_count : unsigned(2 downto 0); signal rx_bit_count_en : std_logic; signal rx_data_shreg_en : std_logic; signal rx_parity_bit : std_logic; signal rx_parity_error : std_logic; signal rx_parity_check_en : std_logic; signal rx_output_reg_en : std_logic; type state is (idle, startbit, databits, paritybit, stopbit); signal rx_pstate : state; signal rx_nstate : state; begin -- ------------------------------------------------------------------------- -- UART RECEIVER CLOCK DIVIDER -- ------------------------------------------------------------------------- uart_rx_clk_divider : process (CLK) begin if (rising_edge(CLK)) then if (rx_clk_divider_en = '1') then if (uart_clk_en = '1') then if (rx_ticks = "1111") then rx_ticks <= (others => '0'); rx_clk_en <= '0'; elsif (rx_ticks = "0111") then rx_ticks <= rx_ticks + 1; rx_clk_en <= '1'; else rx_ticks <= rx_ticks + 1; rx_clk_en <= '0'; end if; else rx_ticks <= rx_ticks; rx_clk_en <= '0'; end if; else rx_ticks <= (others => '0'); rx_clk_en <= '0'; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART RECEIVER BIT COUNTER -- ------------------------------------------------------------------------- uart_rx_bit_counter : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then rx_bit_count <= (others => '0'); elsif (rx_bit_count_en = '1' AND rx_clk_en = '1') then if (rx_bit_count = "111") then rx_bit_count <= (others => '0'); else rx_bit_count <= rx_bit_count + 1; end if; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART RECEIVER DATA SHIFT REGISTER -- ------------------------------------------------------------------------- uart_rx_data_shift_reg : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then rx_data <= (others => '0'); elsif (rx_clk_en = '1' AND rx_data_shreg_en = '1') then rx_data <= UART_RXD & rx_data(7 downto 1); end if; end if; end process; DATA_OUT <= rx_data; -- ------------------------------------------------------------------------- -- UART RECEIVER PARITY GENERATOR AND CHECK -- ------------------------------------------------------------------------- uart_rx_parity_g : if (PARITY_BIT /= "none") generate uart_rx_parity_gen_i: entity work.UART_PARITY generic map ( DATA_WIDTH => 8, PARITY_TYPE => PARITY_BIT ) port map ( DATA_IN => rx_data, PARITY_OUT => rx_parity_bit ); uart_rx_parity_check_reg : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then rx_parity_error <= '0'; elsif (rx_parity_check_en = '1') then rx_parity_error <= rx_parity_bit XOR UART_RXD; end if; end if; end process; end generate; uart_rx_noparity_g : if (PARITY_BIT = "none") generate rx_parity_error <= '0'; end generate; -- ------------------------------------------------------------------------- -- UART RECEIVER OUTPUT REGISTER -- ------------------------------------------------------------------------- uart_rx_output_reg : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then DATA_VLD <= '0'; FRAME_ERROR <= '0'; else if (rx_output_reg_en = '1') then DATA_VLD <= NOT rx_parity_error AND UART_RXD; FRAME_ERROR <= NOT UART_RXD; else DATA_VLD <= '0'; FRAME_ERROR <= '0'; end if; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART RECEIVER FSM -- ------------------------------------------------------------------------- -- PRESENT STATE REGISTER process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then rx_pstate <= idle; else rx_pstate <= rx_nstate; end if; end if; end process; -- NEXT STATE AND OUTPUTS LOGIC process (rx_pstate, UART_RXD, rx_clk_en, rx_bit_count) begin case rx_pstate is when idle => rx_output_reg_en <= '0'; rx_bit_count_en <= '0'; rx_data_shreg_en <= '0'; rx_clk_divider_en <= '0'; rx_parity_check_en <= '0'; if (UART_RXD = '0') then rx_nstate <= startbit; else rx_nstate <= idle; end if; when startbit => rx_output_reg_en <= '0'; rx_bit_count_en <= '0'; rx_data_shreg_en <= '0'; rx_clk_divider_en <= '1'; rx_parity_check_en <= '0'; if (rx_clk_en = '1') then rx_nstate <= databits; else rx_nstate <= startbit; end if; when databits => rx_output_reg_en <= '0'; rx_bit_count_en <= '1'; rx_data_shreg_en <= '1'; rx_clk_divider_en <= '1'; rx_parity_check_en <= '0'; if ((rx_clk_en = '1') AND (rx_bit_count = "111")) then if (PARITY_BIT = "none") then rx_nstate <= stopbit; else rx_nstate <= paritybit; end if ; else rx_nstate <= databits; end if; when paritybit => rx_output_reg_en <= '0'; rx_bit_count_en <= '0'; rx_data_shreg_en <= '0'; rx_clk_divider_en <= '1'; rx_parity_check_en <= '1'; if (rx_clk_en = '1') then rx_nstate <= stopbit; else rx_nstate <= paritybit; end if; when stopbit => rx_bit_count_en <= '0'; rx_data_shreg_en <= '0'; rx_clk_divider_en <= '1'; rx_parity_check_en <= '0'; if (rx_clk_en = '1') then rx_nstate <= idle; rx_output_reg_en <= '1'; else rx_nstate <= stopbit; rx_output_reg_en <= '0'; end if; when others => rx_output_reg_en <= '0'; rx_bit_count_en <= '0'; rx_data_shreg_en <= '0'; rx_clk_divider_en <= '0'; rx_parity_check_en <= '0'; rx_nstate <= idle; end case; end process; end FULL; -------------------------------------------------------------------------------- -- PROJECT: SIMPLE UART FOR FPGA -------------------------------------------------------------------------------- -- MODULE: UART TRANSMITTER -- AUTHORS: Jakub Cabal <[email protected]> -- lICENSE: The MIT License (MIT) -- WEBSITE: https://github.com/jakubcabal/uart_for_fpga -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity UART_TX is Generic ( PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space" ); Port ( CLK : in std_logic; -- system clock RST : in std_logic; -- high active synchronous reset -- UART INTERFACE UART_CLK_EN : in std_logic; -- oversampling (16x) UART clock enable UART_TXD : out std_logic; -- USER DATA INPUT INTERFACE DATA_IN : in std_logic_vector(7 downto 0); DATA_SEND : in std_logic; -- when DATA_SEND = 1, data on DATA_IN will be transmit, DATA_SEND can set to 1 only when BUSY = 0 BUSY : out std_logic -- when BUSY = 1 transiever is busy, you must not set DATA_SEND to 1 ); end UART_TX; architecture FULL of UART_TX is signal tx_clk_en : std_logic; signal tx_clk_divider_en : std_logic; signal tx_ticks : unsigned(3 downto 0); signal tx_data : std_logic_vector(7 downto 0); signal tx_bit_count : unsigned(2 downto 0); signal tx_bit_count_en : std_logic; signal tx_busy : std_logic; signal tx_parity_bit : std_logic; signal tx_data_out_sel : std_logic_vector(1 downto 0); type state is (idle, txsync, startbit, databits, paritybit, stopbit); signal tx_pstate : state; signal tx_nstate : state; begin BUSY <= tx_busy; -- ------------------------------------------------------------------------- -- UART TRANSMITTER CLOCK DIVIDER -- ------------------------------------------------------------------------- uart_tx_clk_divider : process (CLK) begin if (rising_edge(CLK)) then if (tx_clk_divider_en = '1') then if (uart_clk_en = '1') then if (tx_ticks = "1111") then tx_ticks <= (others => '0'); tx_clk_en <= '0'; elsif (tx_ticks = "0001") then tx_ticks <= tx_ticks + 1; tx_clk_en <= '1'; else tx_ticks <= tx_ticks + 1; tx_clk_en <= '0'; end if; else tx_ticks <= tx_ticks; tx_clk_en <= '0'; end if; else tx_ticks <= (others => '0'); tx_clk_en <= '0'; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART TRANSMITTER INPUT DATA REGISTER -- ------------------------------------------------------------------------- uart_tx_input_data_reg : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then tx_data <= (others => '0'); elsif (DATA_SEND = '1' AND tx_busy = '0') then tx_data <= DATA_IN; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART TRANSMITTER BIT COUNTER -- ------------------------------------------------------------------------- uart_tx_bit_counter : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then tx_bit_count <= (others => '0'); elsif (tx_bit_count_en = '1' AND tx_clk_en = '1') then if (tx_bit_count = "111") then tx_bit_count <= (others => '0'); else tx_bit_count <= tx_bit_count + 1; end if; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART TRANSMITTER PARITY GENERATOR -- ------------------------------------------------------------------------- uart_tx_parity_g : if (PARITY_BIT /= "none") generate uart_tx_parity_gen_i: entity work.UART_PARITY generic map ( DATA_WIDTH => 8, PARITY_TYPE => PARITY_BIT ) port map ( DATA_IN => tx_data, PARITY_OUT => tx_parity_bit ); end generate; uart_tx_noparity_g : if (PARITY_BIT = "none") generate tx_parity_bit <= 'Z'; end generate; -- ------------------------------------------------------------------------- -- UART TRANSMITTER OUTPUT DATA REGISTER -- ------------------------------------------------------------------------- uart_tx_output_data_reg : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then UART_TXD <= '1'; else case tx_data_out_sel is when "01" => -- START BIT UART_TXD <= '0'; when "10" => -- DATA BITS UART_TXD <= tx_data(to_integer(tx_bit_count)); when "11" => -- PARITY BIT UART_TXD <= tx_parity_bit; when others => -- STOP BIT OR IDLE UART_TXD <= '1'; end case; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART TRANSMITTER FSM -- ------------------------------------------------------------------------- -- PRESENT STATE REGISTER process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then tx_pstate <= idle; else tx_pstate <= tx_nstate; end if; end if; end process; -- NEXT STATE AND OUTPUTS LOGIC process (tx_pstate, DATA_SEND, tx_clk_en, tx_bit_count) begin case tx_pstate is when idle => tx_busy <= '0'; tx_data_out_sel <= "00"; tx_bit_count_en <= '0'; tx_clk_divider_en <= '0'; if (DATA_SEND = '1') then tx_nstate <= txsync; else tx_nstate <= idle; end if; when txsync => tx_busy <= '1'; tx_data_out_sel <= "00"; tx_bit_count_en <= '0'; tx_clk_divider_en <= '1'; if (tx_clk_en = '1') then tx_nstate <= startbit; else tx_nstate <= txsync; end if; when startbit => tx_busy <= '1'; tx_data_out_sel <= "01"; tx_bit_count_en <= '0'; tx_clk_divider_en <= '1'; if (tx_clk_en = '1') then tx_nstate <= databits; else tx_nstate <= startbit; end if; when databits => tx_busy <= '1'; tx_data_out_sel <= "10"; tx_bit_count_en <= '1'; tx_clk_divider_en <= '1'; if ((tx_clk_en = '1') AND (tx_bit_count = "111")) then if (PARITY_BIT = "none") then tx_nstate <= stopbit; else tx_nstate <= paritybit; end if ; else tx_nstate <= databits; end if; when paritybit => tx_busy <= '1'; tx_data_out_sel <= "11"; tx_bit_count_en <= '0'; tx_clk_divider_en <= '1'; if (tx_clk_en = '1') then tx_nstate <= stopbit; else tx_nstate <= paritybit; end if; when stopbit => tx_busy <= '0'; tx_data_out_sel <= "00"; tx_bit_count_en <= '0'; tx_clk_divider_en <= '1'; if (DATA_SEND = '1') then tx_nstate <= txsync; elsif (tx_clk_en = '1') then tx_nstate <= idle; else tx_nstate <= stopbit; end if; when others => tx_busy <= '1'; tx_data_out_sel <= "00"; tx_bit_count_en <= '0'; tx_clk_divider_en <= '0'; tx_nstate <= idle; end case; end process; end FULL; -------------------------------------------------------------------------------- -- PROJECT: SIMPLE UART FOR FPGA -------------------------------------------------------------------------------- -- MODULE: UART TOP MODULE -- AUTHORS: Jakub Cabal <[email protected]> -- lICENSE: The MIT License (MIT) -- WEBSITE: https://github.com/jakubcabal/uart_for_fpga -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- UART FOR FPGA REQUIRES: 1 START BIT, 8 DATA BITS, 1 STOP BIT!!! -- OTHER PARAMETERS CAN BE SET USING GENERICS. entity UART is Generic ( CLK_FREQ : integer := 50e6; -- set system clock frequency in Hz BAUD_RATE : integer := 115200; -- baud rate value PARITY_BIT : string := "none" -- legal values: "none", "even", "odd", "mark", "space" ); Port ( CLK : in std_logic; -- system clock RST : in std_logic; -- high active synchronous reset -- UART INTERFACE UART_TXD : out std_logic; UART_RXD : in std_logic; -- USER DATA INPUT INTERFACE DATA_IN : in std_logic_vector(7 downto 0); DATA_SEND : in std_logic; -- when DATA_SEND = 1, data on DATA_IN will be transmit, DATA_SEND can set to 1 only when BUSY = 0 BUSY : out std_logic; -- when BUSY = 1 transiever is busy, you must not set DATA_SEND to 1 -- USER DATA OUTPUT INTERFACE DATA_OUT : out std_logic_vector(7 downto 0); DATA_VLD : out std_logic; -- when DATA_VLD = 1, data on DATA_OUT are valid FRAME_ERROR : out std_logic -- when FRAME_ERROR = 1, stop bit was invalid, current and next data may be invalid ); end UART; architecture FULL of UART is constant divider_value : integer := CLK_FREQ/(16*BAUD_RATE); signal uart_ticks : integer range 0 to divider_value-1; signal uart_clk_en : std_logic; signal uart_rxd_shreg : std_logic_vector(3 downto 0); signal uart_rxd_debounced : std_logic; begin -- ------------------------------------------------------------------------- -- UART OVERSAMPLING CLOCK DIVIDER -- ------------------------------------------------------------------------- uart_oversampling_clk_divider : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then uart_ticks <= 0; uart_clk_en <= '0'; elsif (uart_ticks = divider_value-1) then uart_ticks <= 0; uart_clk_en <= '1'; else uart_ticks <= uart_ticks + 1; uart_clk_en <= '0'; end if; end if; end process; -- ------------------------------------------------------------------------- -- UART RXD DEBAUNCER -- ------------------------------------------------------------------------- uart_rxd_debouncer : process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then uart_rxd_shreg <= (others => '1'); uart_rxd_debounced <= '1'; else uart_rxd_shreg <= UART_RXD & uart_rxd_shreg(3 downto 1); uart_rxd_debounced <= uart_rxd_shreg(0) OR uart_rxd_shreg(1) OR uart_rxd_shreg(2) OR uart_rxd_shreg(3); end if; end if; end process; -- ------------------------------------------------------------------------- -- UART TRANSMITTER -- ------------------------------------------------------------------------- uart_tx_i: entity work.UART_TX generic map ( PARITY_BIT => PARITY_BIT ) port map ( CLK => CLK, RST => RST, -- UART INTERFACE UART_CLK_EN => uart_clk_en, UART_TXD => UART_TXD, -- USER DATA INPUT INTERFACE DATA_IN => DATA_IN, DATA_SEND => DATA_SEND, BUSY => BUSY ); -- ------------------------------------------------------------------------- -- UART RECEIVER -- ------------------------------------------------------------------------- uart_rx_i: entity work.UART_RX generic map ( PARITY_BIT => PARITY_BIT ) port map ( CLK => CLK, RST => RST, -- UART INTERFACE UART_CLK_EN => uart_clk_en, UART_RXD => uart_rxd_debounced, -- USER DATA OUTPUT INTERFACE DATA_OUT => DATA_OUT, DATA_VLD => DATA_VLD, FRAME_ERROR => FRAME_ERROR ); end FULL;
gpl-3.0
hiyuh/nvc
test/regress/issue111.vhd
5
1265
entity t1 is port( A,B,C : in bit; D : out bit ); end t1; architecture rtl of t1 is begin D<='1' when A='1' and B='1' and C='1' else '0'; end rtl; entity test is port( A,B,C : in bit_vector(7 downto 0); D : out bit_vector(7 downto 0) ); end test; architecture rtl of test is begin ADD_GEN: for I in 0 to 7 generate L: if I=0 generate--failure is here U0: entity work.t1 port map(A(I),B(I),'0',D(I)); end generate L; U: if I>0 generate UX: entity work.t1 port map(A(I),B(I),C(I-1),D(I)); end generate U; end generate ADD_GEN; end rtl; entity issue111 is end entity; architecture test of issue111 is signal A, B, C : bit_vector(7 downto 0); signal D : bit_vector(7 downto 0); begin uut: entity work.test port map ( A => A, B => B, C => C, D => D ); process is begin wait for 1 ns; assert D = X"00"; A <= X"ff"; wait for 1 ns; assert D = X"00"; B <= X"0f"; C <= X"0c"; wait for 1 ns; assert D = X"08"; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/driver3.vhd
5
444
entity driver3 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of driver3 is signal x : std_logic_vector(0 to 0); begin x <= "H"; p1: process is begin x <= "Z"; wait for 1 ns; assert x = "H"; x <= "0"; wait for 1 ns; assert x = "0"; x <= "Z"; wait for 1 ns; assert x = "H"; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/elab/genagg.vhd
5
1071
entity genagg_sub_sub is generic ( DEVA : bit_vector(6 downto 0) ); port ( clk : in bit; reset : in bit ); end entity; architecture rtl of genagg_sub_sub is begin end architecture; ------------------------------------------------------------------------------- entity genagg_sub is generic ( DEVA : bit_vector(6 downto 0) ); port ( clk : in bit; reset : in bit ); end entity; architecture rtl of genagg_sub is begin slave_i: entity work.genagg_sub_sub generic map ( DEVA => DEVA ) port map ( clk => clk, reset => reset ); end architecture; ------------------------------------------------------------------------------- entity genagg is end entity; architecture test of genagg is signal clk : bit := '0'; signal reset : bit := '1'; begin uut: entity work.genagg_sub generic map ( DEVA => "0000101" ) port map ( clk => clk, reset => reset ); end architecture;
gpl-3.0
hiyuh/nvc
test/lower/issue116.vhd
4
341
entity issue116 is end issue116; architecture behav of issue116 is signal intstat : BIT_VECTOR (7 DOWNTO 0); ALIAS INT_int : BIT is intstat(7); begin INT_int <= '1' when (intstat(6 downto 0) and "1111111") /= "0000000"; --intstat(7) <= '1' when (intstat(6 downto 0) and "1111111") /= "0000000"; end behav;
gpl-3.0
hiyuh/nvc
test/group/issue95.vhd
5
289
entity issue95 is end entity; architecture behav of issue95 is type point is record x : integer; z : boolean; end record point; type point_array is array(0 to 2) of point; signal points : point_array := (others => (x => 1, z => true)); begin end behav;
gpl-3.0
hiyuh/nvc
test/regress/toplevel1.vhd
5
414
entity toplevel1 is generic ( WIDTH : integer := 6 ); port ( x : in bit_vector(WIDTH - 1 downto 0); y : out bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of toplevel1 is begin y <= x after 1 ns; process is begin assert x'length = 6; assert y'length = 6; assert x = "000000"; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/parse/block.vhd
4
205
architecture a of e is begin b: block is begin end block; c: block is signal x : integer; signal y : real; begin x <= y; end block; end architecture;
gpl-3.0
hiyuh/nvc
test/sem/issue105.vhd
5
696
entity sub is generic ( GEN : in bit_vector(1 to 3) ); port ( x : in bit_vector(1 to 3) ); end entity; architecture test of sub is begin process (x) is begin case x is when GEN => -- OK in 2008 report "x = GEN"; when others => report "x /= GEN"; end case; end process; end architecture; ------------------------------------------------------------------------------- entity issue105 is end entity; architecture test of issue105 is signal s : bit_vector(1 to 3) := "101"; begin sub_i: entity work.sub generic map ( "101" ) port map ( s ); end architecture;
gpl-3.0
hiyuh/nvc
test/sem/issue165.vhd
4
236
package assert_after_missing_type is end package; package body assert_after_missing_type is procedure proc(var : type_t) is begin end; procedure calling_proc is begin proc(1); -- Causes SIGABRT end; end package body;
gpl-3.0
hiyuh/nvc
test/regress/const5.vhd
5
804
entity const5 is end entity; architecture test of const5 is subtype byte_t is bit_vector(7 downto 0); type byte_array_t is array (natural range <>) of byte_t; procedure popcount_assert ( value : in byte_t; pop : in integer ) is variable cnt : natural := 0; begin for i in value'range loop if value(i) = '1' then cnt := cnt + 1; end if; end loop; report integer'image(cnt); assert cnt = pop; end procedure; begin stim_p: process is constant COME_ADDRS : byte_array_t := ( X"08", X"10", X"20" ); begin for i in 0 to 2 loop popcount_assert(value => COME_ADDRS(i), pop => 1); end loop; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/elab/open.vhd
5
542
entity open_bot is port ( i : in integer; o : out integer; v : out bit_vector(3 downto 0) := X"f" ); end entity; architecture test of open_bot is begin v(1) <= '0'; process (i) is begin o <= i + 1; end process; end architecture; ------------------------------------------------------------------------------- entity open_top is end entity; architecture test of open_top is signal x : integer; begin uut: entity work.open_bot port map ( x, open ); end architecture;
gpl-3.0
hiyuh/nvc
test/regress/elab2.vhd
5
764
entity elab2_bot is port ( i : in integer; o : out integer ); end entity; architecture test of elab2_bot is begin process (i) is begin o <= i + 1; end process; end architecture; ------------------------------------------------------------------------------- entity elab2 is end entity; architecture test of elab2 is signal a, b, c : integer; begin bot1: entity work.elab2_bot port map ( a, b ); bot2: entity work.elab2_bot port map ( b, c ); process is begin a <= 0; wait for 1 ns; assert b = 1; assert c = 2; a <= 2; wait for 1 ns; assert b = 3; assert c = 4; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/case3.vhd
5
1231
entity case3 is end entity; architecture test of case3 is signal x : bit_vector(3 downto 0); signal y, z, q : integer; begin decode_y: with x select y <= 0 when X"0", 1 when X"1", 2 when X"2", 3 when X"3", 4 when X"4", 5 when X"5", 6 when X"6", 7 when X"7", 8 when X"8", 9 when X"9", 10 when X"a", 11 when X"b", 12 when X"c", 13 when X"d", 14 when X"e", 15 when X"f"; decode_z: with x(3 downto 0) select z <= 0 when X"0", 1 when X"1", 2 when X"2", 3 when X"3", 4 when X"4", 5 when X"5", 6 when X"6", 7 when X"7", 8 when X"8", 9 when X"9", 10 when X"a", 11 when X"b", 12 when X"c", 13 when X"d", 14 when X"e", 15 when X"f"; stim: process is begin wait for 0 ns; assert y = 0; assert z = 0; x <= X"4"; wait for 1 ns; assert y = 4; assert y = 4; x <= X"f"; wait for 1 ns; assert y = 15; assert z = 15; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/case6.vhd
5
619
entity case6 is end entity; architecture test of case6 is signal x, y : integer; begin process (x) is begin case x is when 1 to 5 => y <= 1; when 6 => y <= 2; when 7 to 10 => y <= 3; when others => y <= 4; end case; end process; process is begin wait for 1 ns; assert y = 4; x <= 2; wait for 1 ns; assert y = 1; x <= 10; wait for 1 ns; assert y = 3; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/real3.vhd
4
370
entity real3 is end real3; architecture Behavioral of real3 is signal value_real : real := -523467.0; signal value_integer : integer; begin process begin report "real: " & real'image(value_real); value_integer <= integer(value_real); wait for 0 ns; report "integer: " & integer'image(value_integer); wait; end process; end Behavioral;
gpl-3.0
hiyuh/nvc
test/regress/access1.vhd
5
1592
entity access1 is end entity; architecture test of access1 is type int_ptr is access integer; type list; type list_ptr is access list; type list is record link : list_ptr; value : integer; end record; procedure list_add(l : inout list_ptr; v : integer) is variable n : list_ptr; begin n := new list; n.link := l; n.value := v; l := n; end procedure; procedure list_print(variable l : in list_ptr) is begin if l /= null then report integer'image(l.all.value); list_print(l.all.link); end if; end procedure; procedure list_free(l : inout list_ptr) is variable tmp : list_ptr; begin while l /= null loop tmp := l.all.link; deallocate(l); l := tmp; end loop; end procedure; signal p1_done : boolean := false; type str_ptr is access string; begin p1: process is variable p, q : int_ptr; begin assert p = null; p := new integer; p.all := 5; assert p.all = 5; q := p; assert q.all = 5; q.all := 6; assert p.all = 6; deallocate(p); assert p = null; p1_done <= true; wait; end process; p2: process is variable l, p : list_ptr; begin wait until p1_done; for i in 1 to 10 loop list_add(l, i); end loop; list_print(l); list_free(l); wait; end process; end architecture;
gpl-3.0
dobairoland/ZyEHW
hw/hdl/lut_pkg.vhd
1
10601
-- Copyright (C) 2014 Roland Dobai -- -- This file is part of ZyEHW. -- -- ZyEHW is free software: you can redistribute it and/or modify it under the -- terms of the GNU General Public License as published by the Free Software -- Foundation, either version 3 of the License, or (at your option) any later -- version. -- -- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ZyEHW. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use work.zyehw_pkg.all; package lut_pkg is subtype lut_t is bit_vector(63 downto 0); type pe_lut_t is array (cgp_t'reverse_range) of lut_t; type pe_lut_arr_t is array (0 to (rows-1), 0 to (columns-1)) of pe_lut_t; -- these are random numbers because otherwise the synthesizer would -- remove some of the PE items constant dummy_pe_lut_arr: pe_lut_arr_t:= ( ( ( X"000000005851F42D", X"40B18CCF4BB5F646", X"4703312930705B04", X"20FD5DB41A8B7F78", X"502959D82B894868", X"6C0356A708CDB7FF", X"3477D43F70A3A52B", X"28E4BAF17D8341FC" ), ( X"0AE16FD9742D2F7A", X"0D1F079676035E09", X"40F7702C6FA72CA5", X"2AA8415758A0DF74", X"474A03642E533CC4", X"04185FAF6DE3B115", X"0CAB86287043BFA4", X"398150E937521657" ), ( X"4D9A2FDB76C3625E", X"55075020329B54FB", X"381FC0385378D5A8", X"76E5004E797D87A6", X"2756C7144C598D93", X"643BD7252B449456", X"671286247899E644", X"088232DF6DB50559" ), ( X"7D157D8D71DFED43", X"45CB977B6EA3BBBE", X"3A984B90666D9716", X"6FD595896931589D", X"17C5E07E0B0ABB77", X"51AF16C61DEE132F", X"3B3A772600F32298", X"5148068835EB8DF5" ), ( X"7A1179B41AE53A30", X"7C418DD42170B934", X"6DB4489D4A691C3A", X"5A89680D249AD60F", X"28BAE6BD526D022F", X"6DE3EDA1559E2FF7", X"38B9210F072790D9", X"638391684C1EE2F4" ), ( X"0D1102D92657C93C", X"79B12BA855365135", X"794F06E57091758F", X"59B5FE2A302059CD", X"4E8694C26E7B6440", X"116E0FC138479ACF", X"23252C5217E96171", X"40C9FE6A49067A72" ), ( X"561F3B294FD30023", X"07D89DB046755A5B", X"482FA51B44AEB1A3", X"0D57872A4CBD7E82", X"235E94882BD25B44", X"5EC1CC197B5F2B0E", X"27DE5630302D71F2", X"47A3556B3C7F6AA3" ), ( X"7C55D8AE512B300F", X"2D5E8A842FBE7DCD", X"2B847F490057B6D6", X"5DF6E2834B941D42", X"4CC54C177044FFE7", X"274A1FA301B18039", X"7FDF0C93446D8073", X"6A50ABC676E04EE6" ) ), ( ( X"00611EF139B7048A", X"5CFAA8FE26FAAC21", X"77E1241B0E63C119", X"29AE8B7E0F90F8E4", X"755B6B7570C23C8E", X"72F7FCC6205C9587", X"43AF20857ABEBC58", X"1F1B54DD340C3024" ), ( X"7B7620E675214E9D", X"5AEC5E5D0CAD3244", X"587F60406E6AA68B", X"1FD3905A22C4F223", X"7C06BA5E0CF05DA9", X"36745DEF7325D2EC", X"775CFE3F0E223791", X"7502199C312FAB7A" ), ( X"6559AA410BBADF17", X"2A16DB1800985972", X"75C9AEEB4EA0C606", X"1A637BF20DD45F91", X"227B64EE121412B8", X"42967A6C4BB1E6BE", X"1C2099D9500F7B34", X"7E0D3DF744AC5234" ), ( X"142FEF23690AE306", X"6849ED7A25D23D33", X"426B1E70040E4297", X"2E03D8D7274EE452", X"0346C6125E36B2F5", X"74A7347E742072D2", X"20F8FF943D044295", X"0C75DB6622FEFE06" ), ( X"63D6E1236C52F559", X"13DE80F246AC426F", X"6A4677FA1203EEE7", X"767BB4EC22E2E0A9", X"467C6EE63E0E58AB", X"665297AA003A5839", X"773336BF05DF1FBA", X"0D20D75F5F6694A5" ), ( X"2C86C8B15DE2D8AE", X"7FDD81242AD45A81", X"14CC39617DC30B8A", X"521B4C7811305745", X"4817E9E417E9263C", X"09EFFE474CBE2C78", X"7756E63935F58C47", X"14B17CC737B9759D" ), ( X"455E81D9476E8C4A", X"7B6797AF3BCBFD61", X"0C2CB017268FBB3B", X"4681C3BD0C7FE26A", X"080778F52FCBC7D0", X"000135441E63235B", X"4272E9912930A9CD", X"78AB7F5F24308D75" ), ( X"1B5A7B5F49202F41", X"2103FBB8713585D5", X"00D9443830D8D007", X"289C95FE48D313D8", X"25A5CD5A391204B1", X"4170B52F1DE77846", X"7F31C5BC4206B48E", X"07BFF03541F7C92A" ) ), ( ( X"43FF573804D5E4CC", X"1EDC4A2A7B8D5AAF", X"28ADC2AF30C25462", X"5498210F72A45A86", X"332A78752C2EF355", X"332EC7743FEC221C", X"557BAC7028E1EBA3", X"1F746A094E0C64E3" ), ( X"40BACB5F48E2ACBE", X"4DBB1DB34CA91014", X"7EF0357A1132F327", X"44C47458033D4927", X"354A946B1FA92310", X"17552ED80A7C5CB1", X"7AB97D104170719A", X"75D77CAE515A5105" ), ( X"40A722086FBFE338", X"55E2DD847DCB6BD6", X"0A1B990A24872187", X"242D4A553FCAD87A", X"03E4E3A35E1DCE75", X"51F0AA352AEC52BF", X"1809F23E5A736871", X"6FE91E6C2322A884" ), ( X"6DF778271E63DA77", X"2A81F9C13740F7D1", X"621F7DC2473FADE0", X"0ECFD39645480065", X"562666204BF4E964", X"57F7686D7936F374", X"6EE7EB0755EE4C0B", X"44C1AA5D4F7DF387" ), ( X"16DC99605368C1DE", X"0AA98CB7314D8D5D", X"5964648B6F641684", X"09F4BA361AF7C2E0", X"6B1623BB127E9DBF", X"19CB4497662FBE85", X"7BDE7EA20E124E0A", X"7655B2C10945B15A" ), ( X"232C184841D5CD1C", X"353B17C935B804C5", X"407A658958E3CB69", X"574084D2137883AA", X"52E8AA321F7189CF", X"0BEE617173BA11C8", X"0DF549D77AEC3614", X"4EF8AE5164D964A2" ), ( X"247D020667334E8D", X"5041D31D4075F3CD", X"5BDD6FED24043DC9", X"18883FFA157B47C9", X"39DD7E18032FD357", X"54487B0545C44367", X"787D24FD01B60989", X"12744794780C1CEA" ), ( X"41C74C53599937BD", X"679BC6FF08DE2644", X"68B031DC1ADC60A5", X"4564152004DA37D5", X"16BA7DA341D7E24C", X"5483058E24019394", X"47D331934CB87DA1", X"66ADD5246F103874" ) ), ( ( X"25DCDCCD1B9E331A", X"16B6A604675D3BA3", X"14B4777F5A00FD41", X"31D535FF3F2A8012", X"213AF44F6EF45642", X"0699F098734AC0CB", X"548DEE737DE2F6F6", X"1E6741F97A6FD954" ), ( X"4D4CC8B3057449D4", X"29741EEC41EC94B5", X"331D901E32D7FE82", X"5320437F3FF66956", X"53F9DE63159D897B", X"23C6A5604E29C9A7", X"4F55C1AA6AAB997F", X"04AC6CAC7B0948E1" ), ( X"706B2BF03016C8E4", X"7D091D3877807C4D", X"571F0866378B963A", X"0939171E548D45B7", X"55809B502B0DB4AA", X"1362976702622738", X"066826E04163ABEE", X"04F1C4B64A9F4466" ), ( X"2D51B68C7533D847", X"66DB2F8334473607", X"724FC8C36548DF64", X"73C895C319C78C0C", X"51350DEA44078660", X"63467E4861438AEE", X"507271666AF75183", X"0ECCACAB3DD5EE27" ), ( X"5FB6CF733072F75C", X"20FF0A9439E75E18", X"3C1F66D73D586049", X"3DF0F31F1B3E3D30", X"66E3E98129FDC121", X"49830FCD0E41EB0D", X"530ED0D91C0A5D46", X"5881E8714B6DDDB1" ), ( X"1D72EB9A28E05172", X"6E0AE02A03F0C525", X"1CB139113CB18F8C", X"4F808C811F456E1A", X"4295AAD467A9332D", X"2417D13456AA68AA", X"61BC0768418EC1AC", X"256A1E7670378A72" ), ( X"727578810F9B9D87", X"0C6F008B5567B32B", X"34767B5A6FED3F2F", X"713F4226417A91BE", X"023E7BDE21A91AEC", X"528456BF2A595A38", X"10A600AD334BDFB0", X"706032DF3B920BA3" ), ( X"11C4790D7F6FFC29", X"6876FDC834B73C6F", X"7ED693DF4AED9078", X"286DF60642E7DDB8", X"27AB01843AE8CB0A", X"5AB80872141285A2", X"6903382A4658B84C", X"4B1103AE3950E877" ) ) ); end; package body lut_pkg is end package body;
gpl-3.0
hiyuh/nvc
test/elab/bounds10.vhd
5
642
entity UC is port( an_input: in bit_vector; an_output: out bit_vector ); end entity; architecture test of UC is begin an_output <= an_input; end architecture; ------------------------------------------------------------------------------- entity bounds10 is end entity; architecture test of bounds10 is signal an_input: bit_vector( 0 downto 0); signal an_output: bit_vector(100 downto 0); begin UC: entity work.UC port map ( an_input => an_input, an_output => an_output ); TEST: an_input <= "0", "1" after 1 ns; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/issue79.vhd
5
976
package access_bug is type integer_access is access integer; type integer_access_array is array (natural range <>) of integer_access; end package; package body access_bug is function bug_function return integer_access_array is variable bug_here : integer_access_array(0 to 0); begin return bug_here; end function; end package body; entity issue79 is end entity; use work.access_bug.all; architecture test of issue79 is function make_ptrs(init : integer) return integer_access_array is variable r : integer_access_array(1 to 5); begin for i in r'range loop r(i) := new integer'(init); end loop; return r; end function; begin process is variable p : integer_access_array(1 to 5); begin assert p = (1 to 5 => null); p := make_ptrs(2); for i in p'range loop assert p(i).all = 2; end loop; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/implicit1.vhd
5
548
entity implicit1 is end entity; architecture test of implicit1 is signal x : natural; begin x <= 1 after 1 ns, 2 after 2 ns, 3 after 3 ns; process is begin assert x = 0; assert x'delayed = 0; wait for 1 ns; assert x = 1; assert x'delayed = 0; wait for 0 ns; assert x'delayed = 1; assert x'delayed(1 ns) = 0; wait for 1 ns; assert x'delayed = 1; assert x'delayed(1 ns) = 1; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/parse/based.vhd
3
326
package p is constant a : integer := 2#1101#; constant b : integer := 3#20#; constant c : integer := 8#7#; constant d : integer := 10#1234#; constant e : integer := 16#beef01#; constant f : integer := 2#1_0#; constant g : integer := 2:1_0:; constant h : integer := 16#abababab#; end package;
gpl-3.0
hiyuh/nvc
test/sem/spec.vhd
4
1248
entity c1_ent1 is end entity; ------------------------------------------------------------------------------- package p is end package; ------------------------------------------------------------------------------- entity e is end entity; architecture a of e is component c1 is end component; component c2 is end component; for i1: c2 use entity work.c1_ent1; -- Error for i1: e use entity work.c1_ent1; -- Error for i1: c1 use entity work.c1_ent1; -- OK for bad: c1 use entity work.c1_ent1; -- Error for i2: c1 use entity work.c1_ent1; -- Error for i1: c1 use entity work.c1_ent1; -- Error for i3: c1 use entity work.not_here; -- Error for i3: c1 use entity work.p; -- Error for all: c2 use entity work.c1_ent1; -- OK for i5: c1 use entity work.c1_ent1; -- Error for all: c2 use entity work.c1_ent1; -- Error for others: c1 use entity work.c1_ent1; -- OK for i7: c1 use open; -- OK begin i1: component c1; i2: entity work.e; i3: component c1; i4: component c2; b1: block is begin i5: component c1; end block; i6: component c1; i7: component c1; end architecture;
gpl-3.0
hiyuh/nvc
test/parse/concat.vhd
4
213
architecture a of b is signal x : bit_vector(1 to 3); signal y : bit_vector(1 to 2); begin x <= y & '0'; process is begin p := q & g & ('1', '1'); end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/func17.vhd
5
477
entity func17 is end entity; architecture test of func17 is function func(x : bit_vector) return bit_vector is variable y : bit_vector(1 to x'length) := x; begin y(1 + x'length / 2) := '1'; -- Would corrupt X return y; end function; begin process is variable b : bit_vector(1 to 3); begin b := "101"; assert func(b) = "111"; assert b = "101"; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/issue104.vhd
5
590
ENTITY dummy IS PORT( i : IN bit; o : OUT bit ); END ENTITY dummy; ARCHITECTURE arch OF dummy IS BEGIN o <= i; END ARCHITECTURE arch; ENTITY issue104 IS END ENTITY issue104; ARCHITECTURE arch OF issue104 IS SIGNAL v : bit_vector(4 DOWNTO 0); BEGIN fold : FOR i IN 0 TO 3 GENERATE BEGIN dummy : ENTITY work.dummy PORT MAP( i => v(i+1), o => v(i) ); END GENERATE fold; process is begin v(4) <= '1'; wait for 1 ns; assert v(0) = '1'; wait; end process; END ARCHITECTURE arch;
gpl-3.0
dobairoland/ZyEHW
hw/hdl/image_window.vhd
1
2988
-- Copyright (C) 2014 Roland Dobai -- -- This file is part of ZyEHW. -- -- ZyEHW is free software: you can redistribute it and/or modify it under the -- terms of the GNU General Public License as published by the Free Software -- Foundation, either version 3 of the License, or (at your option) any later -- version. -- -- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ZyEHW. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use work.zyehw_pkg.all; entity image_window is port ( clk: in std_logic; en: in std_logic; input: in cgp_t; redundant_kernel_pixels: out cgp_input_redundant_t ); end image_window; architecture behav_image_window of image_window is signal serial_img: serial_img_t; signal tmp_pixels: cgp_input_redundant_t; -- The don_touch attribute should be on the signal which is duplicated -- into redundant registers. However cannot be placed on the inner -- registers because the synthesizer would add weird proxy LUTs. -- Therefore, an individual registered temporary signal should be used. attribute dont_touch: string; attribute dont_touch of tmp_pixels: signal is "true"; begin process (clk) begin if clk'event and clk = '1' then if en = '1' then serial_img(0) <= input; for i in 1 to (serial_img_t'length-1) loop serial_img(i) <= serial_img(i-1); end loop; -- This will generate the actual redundant registers redundant_kernel_pixels <= tmp_pixels; end if; end if; end process; -- We generate a temporary signal which is a predecessor of the output -- register. kernel_row: for i in 0 to (img_kernel-1) generate kernel_column: for j in 0 to (img_kernel-1) generate constant src_ind: integer:= serial_img_t'length-1-j-i*img_size; constant dst_ind: integer:= j+i*img_kernel; begin kernel_redundant: for k in tmp_pixels'range generate redund_from_input: if src_ind = 0 generate tmp_pixels(k)(dst_ind) <= input; -- because previously this was used: -- serial_img(0) <= input; end generate; other_redundats: if src_ind > 0 generate tmp_pixels(k)(dst_ind) <= serial_img(src_ind - 1); -- minus one because serial_image is generated -- like this: serial_img(i) <= serial_img(i-1) end generate; end generate; end generate; end generate; end behav_image_window;
gpl-3.0
hiyuh/nvc
test/elab/comp.vhd
5
1733
entity e1 is generic ( g : integer ); port ( x : in integer; y : out integer ); end entity; architecture test of e1 is begin end architecture; ------------------------------------------------------------------------------- entity e2 is generic ( g : integer ); port ( x : in integer ); end entity; architecture test of e2 is begin end architecture; ------------------------------------------------------------------------------- entity e3 is generic ( g : integer ); port ( x : in integer; y : out integer ); end entity; architecture test of e3 is begin end architecture; ------------------------------------------------------------------------------- entity foo is end entity; architecture test of foo is component e1 is generic ( g : integer); port ( x : in integer; y : out integer); end component; component e2 is generic ( g : integer); port ( y : out integer); end component; component e3 is generic ( g : integer); port ( x : in bit; y : out integer); end component; signal x : integer; signal y : integer; begin e1_1: e1 -- OK generic map ( g => 5 ) port map ( x => x, y => y); e2_1: e2 -- Error generic map ( g => 5 ) port map ( y => y); e3_1: e3 -- Error generic map ( g => 5 ) port map ( x => '1', y => y); end architecture;
gpl-3.0
hiyuh/nvc
test/regress/proc6.vhd
5
573
entity proc6 is end entity; architecture test of proc6 is procedure delay(signal x : out integer; signal y : in integer; constant d : in delay_length) is begin x <= y after d; end procedure; signal a, b : integer; begin foo: delay(a, b, 10 ns); check: process is begin b <= 6; wait for 11 ns; assert a = 6; b <= 7; wait for 5 ns; assert a = 6; wait for 5 ns; assert a = 7; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/sem/issue128.vhd
5
400
package A_NG is procedure PROC(SEL: in integer); end package; package body A_NG is procedure PROC(SEL: in integer) is begin case SEL is when 0 | 1 => -- Used to crash in sem_hoist_for_loop_var for i in 0 to 3 loop end loop; when others => null; end case; end procedure; end package body;
gpl-3.0
hiyuh/nvc
test/regress/signal4.vhd
5
728
entity signal4 is end entity; architecture test of signal4 is signal s : bit_vector(3 downto 0) := (1 => '1', others => '0'); begin process is variable v : bit_vector(3 downto 0) := (others => '1'); begin assert s(0) = '0'; assert s(1) = '1'; assert v(1) = '1'; v(2) := s(3); assert v(2) = '0'; s(0) <= v(3); assert s(0) = '0'; wait for 1 ns; assert v(3) = '1'; assert s(0) = v(3); assert v = ( '1', '0', '1', '1' ); s <= v; assert s = ( '0', '0', '1', '1' ) report "one"; wait for 1 ns; assert s = ( '1', '0', '1', '1' ) report "two"; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/lower/signal1.vhd
4
212
entity signal1 is end entity; architecture test of signal1 is signal x : integer := 5; begin process is begin assert x = 5; x <= 6; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/elab/ifgen.vhd
5
472
entity sub is generic ( foo : boolean := true ); port ( x : out integer ); end entity; architecture test of sub is begin g: if foo = true generate x <= 5; end generate; end architecture; ------------------------------------------------------------------------------- entity ifgen is end entity; architecture test of ifgen is signal x : integer; begin sub_i: entity work.sub port map ( x ); end architecture;
gpl-3.0
hiyuh/nvc
test/parse/access.vhd
4
206
architecture a of e is begin process is begin x.all := 1; v := x.all + 5; p := new t; p := a.all(1 to 3); q := a.all(3); end process; end architecture;
gpl-3.0
hiyuh/nvc
test/sem/interfaces.vhd
3
1255
use std.textio.all; package interfaces is component comp1 is port ( signal p1 : integer; -- OK p2 : integer -- OK ); end component; component comp2 is port (variable p : integer); -- Error end component; component comp3 is port (constant p : integer); -- Error end component; component comp4 is port (file p : text); -- Error end component; component comp5 is generic (constant p1 : integer; -- OK p2 : integer);-- OK end component; component comp5 is generic (signal p : integer); -- Error end component; component comp5 is generic (variable p : integer); -- Error end component; component comp5 is generic (file p : text); -- Error end component; procedure proc1(c : buffer integer); -- Error procedure proc2(c : linkage integer); -- Error procedure proc3(constant c : inout integer); -- Error procedure proc3(constant c : out integer); -- Error procedure proc4(file c : integer); -- Error procedure proc5(constant c : text); -- Error end package interfaces;
gpl-3.0
hiyuh/nvc
test/regress/issue53.vhd
5
441
entity c is generic (e : bit); port (i : in bit); begin assert i = e; end entity c; architecture a of c is begin end architecture a; entity issue53 is begin end entity issue53; architecture a of issue53 is component c is generic (e : bit); port (i : in bit); end component c; begin u0 : c generic map ('0') port map (i => '0'); u1 : c generic map ('1') port map (i => '1'); end architecture a;
gpl-3.0
hiyuh/nvc
test/elab/elab3.vhd
5
1328
package p is function log2(x : in integer) return integer; end package; package body p is function log2(x : in integer) return integer is variable r : integer := 0; variable c : integer := 1; begin if x <= 1 then r := 1; else while c < x loop r := r + 1; c := c * 2; end loop; end if; return r; end function; end package body; ------------------------------------------------------------------------------- entity sub is generic ( W : integer ); end entity; use work.p.all; architecture test of sub is constant B : integer := log2(W); signal s : bit_vector(B - 1 downto 0); constant C : bit_vector(log2(B) to 1) := (others => '0'); begin end architecture; ------------------------------------------------------------------------------- entity top is end entity; architecture test of top is begin s : entity work.sub generic map ( 10 ); end architecture; ------------------------------------------------------------------------------- use work.p.all; entity top2 is end entity; architecture test of top2 is constant W : integer := 10; constant B : integer := log2(W); signal s : bit_vector(B - 1 downto 0); begin end architecture;
gpl-3.0
hiyuh/nvc
test/regress/attr2.vhd
5
617
entity attr2 is end entity; architecture test of attr2 is type int3d is array (natural range <>, natural range <>, natural range <>) of integer; procedure foo(x : in int3d) is begin assert x'length(1) = 2; assert x'length(2) = 2; assert x'length(3) = 10; end procedure; begin process is variable v : int3d(1 to 2, 1 downto 0, 10 to 19); begin assert v'length(1) = 2; assert v'length(2) = 2; assert v'length(3) = 10; foo(v); wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/parse/bitstring.vhd
3
307
package bitstring is constant x : t := X"1234"; constant y : t := O"1234"; constant z : t := X"ab"; constant b : t := B"101"; constant c : t := x"f"; constant d : t := X"a_b"; end package; package bitstring_error is constant e1 : t := O"9"; -- Error end package;
gpl-3.0
hiyuh/nvc
test/regress/cover1.vhd
5
527
entity cover1 is end entity; architecture test of cover1 is signal s : integer; begin process is variable v : integer; begin v := 1; s <= 2; wait for 1 ns; if s = 2 or s > 10 then v := 3; else v := 2; end if; while v > 0 loop if v mod 2 = 0 then v := v - 1; else v := (v / 2) * 2; end if; end loop; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/bounds16.vhd
5
504
entity bounds16 is end entity; architecture test of bounds16 is function add_nat(x : in integer) return natural is variable r : natural := x; begin return r + 1; end function; begin process is variable x : integer; begin x := 5; report integer'image(add_nat(x)); x := 0; report integer'image(add_nat(x)); x := -1; report integer'image(add_nat(x)); -- Error wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/bounds3.vhd
5
220
entity bounds3 is end entity; architecture test of bounds3 is type int_vec is array (natural range <>) of integer; signal s : int_vec(5 to 7); signal k : integer; begin s(k) <= 61; end architecture;
gpl-3.0
dobairoland/ZyEHW
hw/hdl/gray2binary.vhd
1
1582
-- Copyright (C) 2014 Roland Dobai -- -- This file is part of ZyEHW. -- -- ZyEHW is free software: you can redistribute it and/or modify it under the -- terms of the GNU General Public License as published by the Free Software -- Foundation, either version 3 of the License, or (at your option) any later -- version. -- -- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ZyEHW. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; entity gray2binary is generic ( WIDTH: integer ); port ( clk: in std_logic; input: in std_logic_vector(WIDTH-1 downto 0); output: out std_logic_vector(WIDTH-1 downto 0) ); end gray2binary; architecture behav_gray2binary of gray2binary is signal output_reg: std_logic_vector(output'range):= (others => '0'); begin output <= output_reg; process (clk) variable tmp_out: std_logic_vector(output'range); begin if clk'event and clk = '1' then tmp_out:= (others => '0'); for i in output'range loop for j in i to output'high loop tmp_out(i):= tmp_out(i) xor input(j); end loop; end loop; output_reg <= tmp_out; end if; end process; end behav_gray2binary;
gpl-3.0
hiyuh/nvc
test/parse/generate.vhd
4
842
architecture a of g is begin g1: if foo generate signal x : integer; begin x <= 5; end generate; g2: if bar generate g2a: if x < 5 generate g <= 7; end generate; end generate; g3: for i in 1 to 40 generate signal x : integer; begin f <= h; end generate; g4: for i in x'range generate end generate; g5: for i in x'range generate begin end generate; g6: for i in 1 to 3 generate component sub_ent is port (val: out natural); end component sub_ent; -- OK begin end generate; g7: if true generate procedure doit is -- OK begin write(OUTPUT, "OK." & LF); end procedure doit; begin end generate g7; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/issue57.vhd
4
634
package issueH is constant c : bit_vector; end package issueH; package body issueH is pure function f ( i : bit_vector; l : integer range 1 to integer'high ) return bit_vector is variable v : bit_vector(i'length-1 downto 0); begin v := i; return v(l-1 downto 0); end function f; constant c : bit_vector := f(X"1F", 5); end package body issueH; entity issue57 is end entity; use work.issueH.all; architecture test of issue57 is begin process is begin assert c'length = 5; assert c = "11111"; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/access7.vhd
4
905
entity access7 is end entity; architecture test of access7 is type int_ptr is access integer; type int_ptr_ptr is access int_ptr; type int_ptr_array is array (integer range <>) of int_ptr; type int_ptr_array_ptr is access int_ptr_array; procedure alloc_ptr(x : out int_ptr_ptr) is begin x := new int_ptr; end procedure; procedure alloc_ptr_array(x : out int_ptr_array_ptr) is begin x := new int_ptr_array(1 to 3); end procedure; begin process is variable pp : int_ptr_ptr; variable pa : int_ptr_array_ptr; begin alloc_ptr(pp); assert pp.all = null; pp.all := new integer'(4); assert pp.all.all = 4; alloc_ptr_array(pa); assert pa.all = (null, null, null); pa(1) := new integer'(6); assert pa(1).all = 6; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/bounds10.vhd
5
334
entity bounds10 is end entity; architecture test of bounds10 is begin process is variable n : integer; variable a : bit_vector(3 downto 0); variable b : bit_vector(7 downto 0); begin n := 7; wait for 1 ns; a := b(n downto 0); wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/alias5.vhd
5
1222
entity alias5 is end entity; architecture test of alias5 is subtype bit_vector4 is bit_vector(3 downto 0); type footype is ( tr01, tr10, tr0z, trz1, tr1z, trz0, tr0x, trx1, tr1x, trx0, trxz, trzx); type bartype01 is array (footype range tr01 to tr10) of time; type yahtype01 is array (natural range <>) of bartype01; procedure bufpath ( constant tpd : in yahtype01 ) is begin report "tpd'left=" & integer'image(tpd'left); report "tpd'right=" & integer'image(tpd'right); end; procedure vitalmux4 ( variable data : in bit_vector4; constant tpd_data_q : in yahtype01 ) is alias atpd_data_q : yahtype01(data'range) is tpd_data_q; begin bufpath ( atpd_data_q ); assert atpd_data_q(data'left)(tr01) = 1 ns; assert atpd_data_q(3 downto 3)(3)(tr01) = 1 ns; end; begin process is variable data : bit_vector4; variable yah : yahtype01(5 downto 2); begin data := X"1"; yah := (others => (others => 1 ns ) ); vitalmux4(data, yah); wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/record11.vhd
5
696
entity record11 is end entity; architecture test of record11 is type rec is record x, y : bit; end record; signal r : rec; signal a, b : bit; begin process is begin r <= ( '1', '0' ); wait for 0 ns; assert r.x'event; assert not r.y'event; assert r.y'active; assert r'event; wait for 1 ns; assert a'event; assert not b'event; assert b'active; assert a = '1'; assert b = '0'; r.y <= '1'; wait for 1 ns; assert b = '1'; wait; end process; update_a: a <= r.x after 1 ns; update_b: b <= r.y after 1 ns; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/wait4.vhd
5
649
entity wait4 is end entity; architecture test of wait4 is signal x, y, z : bit; begin proc_a: process is begin wait for 1 ns; y <= '1'; wait for 1 ns; z <= '1'; wait for 1 ns; assert x = '1'; wait; end process; proc_b: process is begin wait on x, y; assert y = '1'; assert now = 1 ns; assert y'event report "not y'event"; assert not x'event report "x'event"; wait on z; assert not x'event; assert z'event; assert z = '1'; x <= '1'; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/counter.vhd
5
920
entity counter_bot is port ( clk : in bit; count : out integer ); end entity; architecture behav of counter_bot is begin process (clk) is variable count_var : integer := 0; begin if clk'event and clk = '1' then count_var := count_var + 1; count <= count_var; end if; end process; end architecture; ------------------------------------------------------------------------------- entity counter is end entity; architecture test of counter is signal clk : bit := '0'; signal count : integer := 0; begin clkgen: process is begin wait for 5 ns; clk <= not clk; end process; uut: entity work.counter_bot port map ( clk => clk, count => count ); process (count) is begin report integer'image(count); end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/wait5.vhd
5
474
entity wait5 is end entity; architecture test of wait5 is signal x, y : integer := 0; begin a: process (x) is begin y <= y + 1; end process; b: process is begin wait for 1 ns; assert y = 1; x <= 1; wait for 1 ns; x <= 0; wait for 1 ns; assert y = 3; x <= 0; wait for 1 ns; assert y = 3; wait; end process; end architecture;
gpl-3.0
freecores/usb_fpga_2_16
examples/usb-fpga-1.15/1.15b/lightshow/fpga/lightshow.vhd
36
2235
library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity lightshow is port( led : out std_logic_vector(11 downto 0); CLK : in std_logic -- 32 MHz ); end lightshow; --signal declaration architecture RTL of lightshow is type tPattern is array(11 downto 0) of integer range 0 to 15; signal pattern1 : tPattern := (0, 1, 2, 3, 4, 5, 6, 5, 4, 3, 2, 1); signal pattern2 : tPattern := (6, 5, 4, 3, 2, 1, 0, 1, 2, 3, 4, 5); signal pattern3 : tPattern := (0, 1, 4, 9, 4, 1, 0, 0, 0, 0, 0, 0); type tXlatTable1 is array(0 to 12) of integer range 0 to 1023; constant xt1 : tXlatTable1 := (0, 0, 1, 4, 13, 31, 64, 118, 202, 324, 493, 722, 1023); type tXlatTable2 is array(0 to 9) of integer range 0 to 255; --constant xt2 : tXlatTable2 := (0, 1, 11, 38, 90, 175, 303, 481, 718, 1023); constant xt2 : tXlatTable2 := (0, 0, 3, 9, 22, 44, 76, 120, 179, 255); signal cp1 : std_logic_vector(22 downto 0); signal cp2 : std_logic_vector(22 downto 0); signal cp3 : std_logic_vector(22 downto 0); signal d : std_logic_vector(16 downto 0); begin dpCLK: process(CLK) begin if CLK' event and CLK = '1' then if ( cp1 = conv_std_logic_vector(3000000,23) ) then pattern1(10 downto 0) <= pattern1(11 downto 1); pattern1(11) <= pattern1(0); cp1 <= (others => '0'); else cp1 <= cp1 + 1; end if; if ( cp2 = conv_std_logic_vector(2200000,23) ) then pattern2(10 downto 0) <= pattern2(11 downto 1); pattern2(11) <= pattern2(0); cp2 <= (others => '0'); else cp2 <= cp2 + 1; end if; if ( cp3 = conv_std_logic_vector(1500000,23) ) then pattern3(11 downto 1) <= pattern3(10 downto 0); pattern3(0) <= pattern3(11); cp3 <= (others => '0'); else cp3 <= cp3 + 1; end if; if ( d = conv_std_logic_vector(1278*64-1,17) ) then d <= (others => '0'); else d <= d + 1; end if; for i in 0 to 11 loop if ( d(16 downto 6) < conv_std_logic_vector( xt1(pattern1(i) + pattern2(i)) + xt2(pattern3(i)) ,11) ) then led(i) <= '1'; else led(i) <= '0'; end if; end loop; end if; end process dpCLK; end RTL;
gpl-3.0
freecores/usb_fpga_2_16
examples/usb-fpga-1.15/1.15d/lightshow/fpga/lightshow.vhd
36
2235
library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity lightshow is port( led : out std_logic_vector(11 downto 0); CLK : in std_logic -- 32 MHz ); end lightshow; --signal declaration architecture RTL of lightshow is type tPattern is array(11 downto 0) of integer range 0 to 15; signal pattern1 : tPattern := (0, 1, 2, 3, 4, 5, 6, 5, 4, 3, 2, 1); signal pattern2 : tPattern := (6, 5, 4, 3, 2, 1, 0, 1, 2, 3, 4, 5); signal pattern3 : tPattern := (0, 1, 4, 9, 4, 1, 0, 0, 0, 0, 0, 0); type tXlatTable1 is array(0 to 12) of integer range 0 to 1023; constant xt1 : tXlatTable1 := (0, 0, 1, 4, 13, 31, 64, 118, 202, 324, 493, 722, 1023); type tXlatTable2 is array(0 to 9) of integer range 0 to 255; --constant xt2 : tXlatTable2 := (0, 1, 11, 38, 90, 175, 303, 481, 718, 1023); constant xt2 : tXlatTable2 := (0, 0, 3, 9, 22, 44, 76, 120, 179, 255); signal cp1 : std_logic_vector(22 downto 0); signal cp2 : std_logic_vector(22 downto 0); signal cp3 : std_logic_vector(22 downto 0); signal d : std_logic_vector(16 downto 0); begin dpCLK: process(CLK) begin if CLK' event and CLK = '1' then if ( cp1 = conv_std_logic_vector(3000000,23) ) then pattern1(10 downto 0) <= pattern1(11 downto 1); pattern1(11) <= pattern1(0); cp1 <= (others => '0'); else cp1 <= cp1 + 1; end if; if ( cp2 = conv_std_logic_vector(2200000,23) ) then pattern2(10 downto 0) <= pattern2(11 downto 1); pattern2(11) <= pattern2(0); cp2 <= (others => '0'); else cp2 <= cp2 + 1; end if; if ( cp3 = conv_std_logic_vector(1500000,23) ) then pattern3(11 downto 1) <= pattern3(10 downto 0); pattern3(0) <= pattern3(11); cp3 <= (others => '0'); else cp3 <= cp3 + 1; end if; if ( d = conv_std_logic_vector(1278*64-1,17) ) then d <= (others => '0'); else d <= d + 1; end if; for i in 0 to 11 loop if ( d(16 downto 6) < conv_std_logic_vector( xt1(pattern1(i) + pattern2(i)) + xt2(pattern3(i)) ,11) ) then led(i) <= '1'; else led(i) <= '0'; end if; end loop; end if; end process dpCLK; end RTL;
gpl-3.0
peladex/RHD2132_FPGA
src/params_reg_bank/params_reg_bank.vhd
1
6323
----------------------------------------------------------------------------------------------------------------------- -- Author: -- -- Create Date: 13/11/2016 -- dd/mm/yyyy -- Module Name: params_reg_bank -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Banco de registros para almacenar los parametros de configuracion de los chips. -- Las entradas input_* son de 8 bits y permiten modificar el parametro a configurar -- Las saludas output_* son de 16 bits y representan el comando que se debe enviar al -- chip para cargar el parametro de confiuracion. -- El comando a enviar es: -- WRITE(R,D) – Write data D to register R -- -- MSB LSB -- | 15 | 14 | 13 | 12 | 11 | 10 | 9 | 8 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 | -- | 1 | 0 | R[5]| R[4]| R[3]| R[2]| R[1]| R[0]| D[7]| D[6]| D[5]| D[4]| D[3]| D[2]| D[1]| D[0] | -- -- ----------------------------------------------------------------------------------------------------------------------- LIBRARY ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ENTITY params_reg_bank IS PORT( clk : in std_logic; rst : in std_logic; -- chip 1 -- input_chip_1 : in std_logic_vector(7 downto 0); select_chip_1 : in std_logic_vector(6 downto 0); output_chip_1 : out std_logic_vector(15 downto 0); wren_chip_1 : in std_logic; -- chip 2 -- input_chip_2 : in std_logic_vector(7 downto 0); select_chip_2 : in std_logic_vector(6 downto 0); output_chip_2 : out std_logic_vector(15 downto 0); wren_chip_1 : in std_logic ); END test_maquina_control; ARCHITECTURE behav of params_reg_bank IS -- signals signal chip_1_reg_00 : std_logic_vector(7 downto 0); --adr: 00 signal chip_1_reg_01 : std_logic_vector(7 downto 0); --adr: 01 signal chip_1_reg_02 : std_logic_vector(7 downto 0); --adr: 02 signal chip_1_reg_03 : std_logic_vector(7 downto 0); --adr: 03 signal chip_1_reg_04 : std_logic_vector(7 downto 0); --adr: 04 signal chip_1_reg_05 : std_logic_vector(7 downto 0); --adr: 05 signal chip_1_reg_06 : std_logic_vector(7 downto 0); --adr: 06 signal chip_1_reg_07 : std_logic_vector(7 downto 0); --adr: 07 signal chip_1_reg_08 : std_logic_vector(7 downto 0); --adr: 08 signal chip_1_reg_09 : std_logic_vector(7 downto 0); --adr: 09 signal chip_1_reg_10 : std_logic_vector(7 downto 0); --adr: 10 signal chip_1_reg_11 : std_logic_vector(7 downto 0); --adr: 11 signal chip_1_reg_12 : std_logic_vector(7 downto 0); --adr: 12 signal chip_1_reg_13 : std_logic_vector(7 downto 0); --adr: 13 signal chip_1_reg_14 : std_logic_vector(7 downto 0); --adr: 14 signal chip_1_reg_15 : std_logic_vector(7 downto 0); --adr: 15 signal chip_1_reg_16 : std_logic_vector(7 downto 0); --adr: 16 signal chip_1_reg_17 : std_logic_vector(7 downto 0); --adr: 17 signal chip_2_reg_00 : std_logic_vector(7 downto 0); --adr: 20 signal chip_2_reg_01 : std_logic_vector(7 downto 0); --adr: 21 signal chip_2_reg_02 : std_logic_vector(7 downto 0); --adr: 22 signal chip_2_reg_03 : std_logic_vector(7 downto 0); --adr: 23 signal chip_2_reg_04 : std_logic_vector(7 downto 0); --adr: 24 signal chip_2_reg_05 : std_logic_vector(7 downto 0); --adr: 25 signal chip_2_reg_06 : std_logic_vector(7 downto 0); --adr: 26 signal chip_2_reg_07 : std_logic_vector(7 downto 0); --adr: 27 signal chip_2_reg_08 : std_logic_vector(7 downto 0); --adr: 28 signal chip_2_reg_09 : std_logic_vector(7 downto 0); --adr: 29 signal chip_2_reg_10 : std_logic_vector(7 downto 0); --adr: 30 signal chip_2_reg_11 : std_logic_vector(7 downto 0); --adr: 31 signal chip_2_reg_12 : std_logic_vector(7 downto 0); --adr: 32 signal chip_2_reg_13 : std_logic_vector(7 downto 0); --adr: 33 signal chip_2_reg_14 : std_logic_vector(7 downto 0); --adr: 34 signal chip_2_reg_15 : std_logic_vector(7 downto 0); --adr: 35 signal chip_2_reg_16 : std_logic_vector(7 downto 0); --adr: 36 signal chip_2_reg_17 : std_logic_vector(7 downto 0); --adr: 37 BEGIN read_write_reg_bank_1 : process() begin if rst = '1' then -- default value -- chip_1_reg_00 => X"DE"; chip_1_reg_01 => X"60"; chip_1_reg_02 => X"28"; chip_1_reg_03 => X"00"; chip_1_reg_04 => X"00"; chip_1_reg_05 => X"00"; chip_1_reg_06 => X"00"; chip_1_reg_07 => X"00"; chip_1_reg_08 => X"26"; chip_1_reg_09 => X"1A"; chip_1_reg_10 => X"05"; chip_1_reg_11 => X"1F"; chip_1_reg_12 => X"16"; chip_1_reg_13 => X"7C"; chip_1_reg_14 => X"FF"; chip_1_reg_15 => X"FF"; chip_1_reg_16 => X"FF"; chip_1_reg_17 => X"FF"; elsif m_clk'event and m_clk = '1' then if wren_chip_1 = '1' then case select_chip_1 else end if; end if; end process; read_write_reg_bank_2 : process() begin if rst = '1' then -- default value -- chip_2_reg_00 => X"DE"; chip_2_reg_01 => X"60"; chip_2_reg_02 => X"28"; chip_2_reg_03 => X"00"; chip_2_reg_04 => X"00"; chip_2_reg_05 => X"00"; chip_2_reg_06 => X"00"; chip_2_reg_07 => X"00"; chip_2_reg_08 => X"26"; chip_2_reg_09 => X"1A"; chip_2_reg_10 => X"05"; chip_2_reg_11 => X"1F"; chip_2_reg_12 => X"16"; chip_2_reg_13 => X"7C"; chip_2_reg_14 => X"FF"; chip_2_reg_15 => X"FF"; chip_2_reg_16 => X"FF"; chip_2_reg_17 => X"FF"; elsif m_clk'event and m_clk = '1' then if wren_chip_1 = '1' then case select_chip_1 else end if; end if; end process; END behav;
gpl-3.0
rpereira-dev/ENSIIE
UE/S3/microarchi/bus_ia_save/rs232in.vhd
1
7432
-- ######################################################################## -- $Software: busiac -- $section : hardware component -- $Id: rs232in.vhd 325 2015-06-03 12:47:32Z ia $ -- $HeadURL: svn://lunix120.ensiie.fr/ia/cours/archi/projet/busiac/vhdl/rs232in.vhd $ -- $Author : Ivan Auge (Email: [email protected]) -- ######################################################################## -- -- This file is part of the BUSIAC software: Copyright (C) 2010 by I. Auge. -- -- This program is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at your -- option) any later version. -- -- BUSIAC software is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY ; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General -- Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with the GNU C Library; see the file COPYING. If not, write to the Free -- Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -- ######################################################################*/ ------------------------------------------------------------------------------- -- Ce module désérialise l'entrée RX dans la sortie DATA de 8 bits. -- -- le format lu est: -- - 1 start bit -- - 8 bit de données -- - 1 ou plusieurs stop bits -- -- Ce module met NDATA à 1 pendant un cycle quand une nouvelle -- valeur est présente sur DATA d'où le chronogramme suivant -- avec A, B et C des valeurs stables de NDATA. -- La valeur reste stable pendant un BAUD. -- -- NDATA 0000100000000000000000001000000000000000100000000000 -- DATA ????AAAAA???????????????BBBBB???????????CCCCC??????? -- -- Pour fixer le BAUD du composant utilisez les paramètres génériques -- BAUD et FREQ ci dessous. ------------------------------------------------------------------------------- library IEEE ; use IEEE.std_logic_1164.all ; entity rs232in is generic( FREQ : integer := 50000000; -- Frequence de clk BAUD : integer := 9600); -- Baud de Rx port( clk : IN STD_LOGIC; reset : IN STD_LOGIC; rx : IN STD_LOGIC; Ndata : OUT STD_LOGIC; Data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- debug debug : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); end rs232in; architecture montage of rs232in is signal CMD_sh: STD_LOGIC; -- 0:noop, 1:shift signal R_sh: STD_LOGIC_VECTOR(7 DOWNTO 0); type T_CMD_baud is (COUNT, INIT1P5B, INIT1B) ; signal CMD_baud: T_CMD_baud; signal VT_baud: STD_LOGIC; signal R_baud: INTEGER RANGE 0 TO (2*FREQ)/BAUD; type T_CMD_i is (NOOP, COUNT, INIT); signal CMD_i: T_CMD_i; signal R_i: INTEGER RANGE 0 TO 10; signal VT_i: STD_LOGIC; -- rx input avoid glitch signal rx_R : std_logic; signal rx_fifo_R : std_logic_vector(2 downto 0); --Description des états type STATE_TYPE is ( WAIT_StartBit, WAIT_1P5B, MainLoop, ECRIRE, WAIT_1B, GEN_PULSE, WAIT_FIN); signal state : STATE_TYPE; begin ------------------------------------------------------------------------------- -- Partie Opérative ------------------------------------------------------------------------------- VT_baud <= '1' when R_baud=0 else '0'; VT_i <= '1' when R_i=0 else '0'; Data <= R_sh; process (clk) begin if clk'event and clk='1' then if CMD_baud=INIT1P5B then R_baud <= (FREQ+FREQ/2)/BAUD; -- init à 1.5 * F/B elsif CMD_baud=INIT1B then R_baud <= FREQ/BAUD; -- init à F/B else R_baud <= R_baud - 1; end if; -- R_i if CMD_i=NOOP then R_i <= R_i ; -- on ne fait rien (noop) elsif CMD_i=INIT then R_i <= 8; -- init à 8 else R_i <= R_i - 1; -- on compte end if; -- R_sh if CMD_sh='1' then R_sh(6 downto 0) <= R_sh(7 downto 1); R_sh(7) <= rx_R; end if; -- rx input avoid glitch rx_fifo_R(2 downto 1) <= rx_fifo_R(1 downto 0); rx_fifo_R(0) <= rx; if rx_fifo_R = "000" or rx_fifo_R = "111" then rx_R <= rx_fifo_R(2); end if; end if; end process; ------------------------------------------------------------------------------- -- Partie Contrôle ------------------------------------------------------------------------------- -- fonction de transitition process (reset,clk) begin if reset = '1' then state <= WAIT_StartBit; elsif clk'event and clk = '1' then case state is when WAIT_StartBit => if rx_R = '0' then state <= WAIT_1P5B; else state <= WAIT_StartBit; end if; when WAIT_1P5B => if VT_baud='1' then state <= MainLoop; else state <= WAIT_1P5B; end if; when MainLoop=> if VT_i='1' then state <= GEN_PULSE; else state <= ECRIRE; end if; when ECRIRE=> state <= WAIT_1B; when WAIT_1B=> if VT_baud='1' then state <= MainLoop; else state <= WAIT_1B; end if; when GEN_PULSE => state <= WAIT_FIN; when WAIT_FIN=> if VT_baud='1' then state <= WAIT_StartBit; else state <= WAIT_FIN; end if; when others => state <= WAIT_StartBit; end case; end if; end process; -- fonction de sortie with state select nData <= '1' when GEN_PULSE, '0' when others; with state select CMD_sh <= '1' when ECRIRE, '0' when others; with state select CMD_baud <= INIT1B when MainLoop, INIT1B when ECRIRE, INIT1P5B when WAIT_StartBit, COUNT when others; with state select CMD_i <= INIT when WAIT_StartBit, INIT when WAIT_1P5B, COUNT when MainLoop, NOOP when others; debug(7) <= rx_R; with state select debug(6 downto 0) <= "1000000" when WAIT_StartBit, "0100000" when WAIT_1P5B, "0010000" when MainLoop, "0001000" when ECRIRE, "0000100" when WAIT_1B, "0000010" when GEN_PULSE, "0000001" when WAIT_FIN, "1111111" when others; end montage;
gpl-3.0
peladex/RHD2132_FPGA
src/spi_master_slave/spi_slave.vhd
1
34143
---------------------------------------------------------------------------------- -- Author: Jonny Doin, [email protected] -- -- Create Date: 15:36:20 05/15/2011 -- Module Name: SPI_SLAVE - RTL -- Project Name: SPI INTERFACE -- Target Devices: Spartan-6 -- Tool versions: ISE 13.1 -- Description: -- -- This block is the SPI slave interface, implemented in one single entity. -- All internal core operations are synchronous to the external SPI clock, and follows the general SPI de-facto standard. -- The parallel read/write interface is synchronous to a supplied system master clock, 'clk_i'. -- Synchronization for the parallel ports is provided by input data request and write enable lines, and output data valid line. -- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two -- clock domains. -- -- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o. -- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), and lookahead prefetch -- signaling ('PREFETCH'). -- -- PARALLEL WRITE INTERFACE -- The parallel interface has a input port 'di_i' and an output port 'do_o'. -- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. -- When the core needs input data, a look ahead data request strobe , 'di_req_o' is pulsed 'PREFETCH' 'spi_sck_i' -- cycles in advance to synchronize a user pipelined memory or fifo to present the next input data at 'di_i' -- in time to have continuous clock at the spi bus, to allow back-to-back continuous load. -- The data request strobe on 'di_req_o' is 2 'clk_i' clock cycles long. -- The write to 'di_i' must occur at most one 'spi_sck_i' cycle before actual load to the core shift register, to avoid -- race conditions at the register transfer. -- The user circuit places data at the 'di_i' port and strobes the 'wren_i' line for one rising edge of 'clk_i'. -- For a pipelined sync RAM, a PREFETCH of 3 cycles allows an address generator to present the new adress to the RAM in one -- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the interface one clock before transfer. -- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time. -- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last clock cycle, -- if continuous transmission is intended. -- When the interface is idle ('spi_ssel_i' is HIGH), the top bit of the latched 'di_i' port is presented at port 'spi_miso_o'. -- -- PARALLEL WRITE PIPELINED SEQUENCE -- ================================= -- __ __ __ __ __ __ __ -- clk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock -- ___________ -- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'clk_i' -- ______________ ___________________________... -- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'clk_i' rising edge -- ________ -- wren_i __________________________/ \______... -- 'wren_i' enables latch on rising edge of 'clk_i' -- -- -- PARALLEL READ INTERFACE -- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete -- word is received, the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_sck_i'. -- The signal 'do_valid_o' is strobed 3 'clk_i' clocks after, to directly drive a synchronous memory or fifo write enable. -- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'clk_i'. -- When the interface is idle, data at the 'do_o' port holds the last word received. -- -- PARALLEL READ PIPELINED SEQUENCE -- ================================ -- ______ ______ ______ ______ -- clk_spi_i ___/ bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- spi base clock -- __ __ __ __ __ __ __ __ __ -- clk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock -- _________________ _____________________________________... -- 1) received data is transferred to 'do_buffer_reg' -- do_o __old_data_______X__________new_data___________________... -- after last bit received, at next shift clock. -- ____________ -- do_valid_o ________________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'clk_i' cycles -- -- on the 3rd 'clk_i' rising edge. -- -- -- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. -- ------------------------------ COPYRIGHT NOTICE ----------------------------------------------------------------------- -- -- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave -- -- Author(s): Jonny Doin, [email protected], [email protected] -- -- Copyright (C) 2011 Jonny Doin -- ----------------------------- -- -- This source file may be used and distributed without restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains the original copyright notice and the associated -- disclaimer. -- -- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser -- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or -- (at your option) any later version. -- -- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download -- it from http://www.gnu.org/licenses/lgpl.txt -- ------------------------------ REVISION HISTORY ----------------------------------------------------------------------- -- -- 2011/05/15 v0.10.0050 [JD] created the slave logic, with 2 clock domains, from SPI_MASTER module. -- 2011/05/15 v0.15.0055 [JD] fixed logic for starting state when CPHA='1'. -- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries. -- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core. -- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets. -- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches. -- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce -- synthesis LUT overhead in Spartan-6 architecture. -- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic. -- 2011/06/12 v0.97.0079 [JD] implemented wr_ack and di_req logic for state 0, and eliminated unnecessary registers reset. -- 2011/06/17 v0.97.0079 [JD] implemented wr_ack and di_req logic for state 0, and eliminated unnecessary registers reset. -- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock. -- 2011/07/29 v2.00.0110 [JD] FIX: CPHA bugs: -- - redesigned core clocking to address all CPOL and CPHA configurations. -- - added CHANGE_EDGE to the FSM register transfer logic, to have MISO change at opposite -- clock phases from SHIFT_EDGE. -- Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions -- for each state, to avoid reported inference problems in some synthesis engines. -- Streamlined port names and indentation blocks. -- 2011/08/01 v2.01.0115 [JD] Adjusted 'do_valid_o' pulse width to be 2 'clk_i', as in the master core. -- Simulated in iSim with the master core for continuous transmission mode. -- 2011/08/02 v2.02.0120 [JD] Added mux for MISO at reset state, to output di(N-1) at start. This fixed a bug in first bit. -- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes. -- 2011/08/04 v2.02.0121 [JD] Changed minor comment bugs in the combinatorial fsm logic. -- 2011/08/08 v2.02.0122 [JD] FIX: continuous transfer mode bug. When wren_i is not strobed prior to state 1 (last bit), the -- sequencer goes to state 0, and then to state 'N' again. This produces a wrong bit-shift for received -- data. The fix consists in engaging continuous transfer regardless of the user strobing write enable, and -- sequencing from state 1 to N as long as the master clock is present. If the user does not write new -- data, the last data word is repeated. -- 2011/08/08 v2.02.0123 [JD] ISSUE: continuous transfer mode bug, for ignored 'di_req' cycles. Instead of repeating the last data word, -- the slave will send (others => '0') instead. -- 2011/08/28 v2.02.0126 [JD] ISSUE: the miso_o MUX that preloads tx_bit when slave is desselected will glitch for CPHA='1'. -- FIX: added a registered drive for the MUX select that will transfer the tx_reg only after the first tx_reg update. -- ----------------------------------------------------------------------------------------------------------------------- -- TODO -- ==== -- ----------------------------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity spi_slave is Generic ( N : positive := 32; -- 32bit serial word length is default CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. PREFETCH : positive := 3); -- prefetch lookahead cycles Port ( clk_i : in std_logic := 'X'; -- internal interface clock (clocks di/do registers) spi_ssel_i : in std_logic := 'X'; -- spi bus slave select line spi_sck_i : in std_logic := 'X'; -- spi bus sck clock (clocks the shift register core) spi_mosi_i : in std_logic := 'X'; -- spi bus mosi input spi_miso_o : out std_logic := 'X'; -- spi bus spi_miso_o output di_req_o : out std_logic; -- preload lookahead data request line di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); -- parallel load data in (clocked in on rising edge of clk_i) wren_i : in std_logic := 'X'; -- user data write enable wr_ack_o : out std_logic; -- write acknowledge do_valid_o : out std_logic; -- do_o data valid strobe, valid during one clk_i rising edge. do_o : out std_logic_vector (N-1 downto 0); -- parallel output (clocked out on falling clk_i) --- debug ports: can be removed for the application circuit --- do_transfer_o : out std_logic; -- debug: internal transfer driver wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher rx_bit_next_o : out std_logic; -- debug: internal rx bit state_dbg_o : out std_logic_vector (3 downto 0); -- debug: internal state register sh_reg_dbg_o : out std_logic_vector (N-1 downto 0) -- debug: internal shift register ); end spi_slave; --================================================================================================================ -- SYNTHESIS CONSIDERATIONS -- ======================== -- There are several output ports that are used to simulate and verify the core operation. -- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing -- circuitry. -- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the -- synthesis tool will remove the receive logic from the generated circuitry. -- Alternatively, you can remove these ports and related circuitry once the core is verified and -- integrated to your circuit. --================================================================================================================ architecture rtl of spi_slave is -- constants to control FlipFlop synthesis constant SHIFT_EDGE : std_logic := (CPOL xnor CPHA); -- MOSI data is captured and shifted at this SCK edge constant CHANGE_EDGE : std_logic := (CPOL xor CPHA); -- MISO data is updated at this SCK edge ------------------------------------------------------------------------------------------ -- GLOBAL RESET: -- all signals are initialized to zero at GSR (global set/reset) by giving explicit -- initialization values at declaration. This is needed for all Xilinx FPGAs, and -- especially for the Spartan-6 and newer CLB architectures, where a local reset can -- reduce the usability of the slice registers, due to the need to share the control -- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice. -- By using GSR for the initialization, and reducing RESET local init to the really -- essential, the model achieves better LUT/FF packing and CLB usability. ------------------------------------------------------------------------------------------ -- internal state signals for register and combinatorial stages signal state_next : natural range N downto 0 := 0; -- state 0 is idle state signal state_reg : natural range N downto 0 := 0; -- state 0 is idle state -- shifter signals for register and combinatorial stages signal sh_next : std_logic_vector (N-1 downto 0); signal sh_reg : std_logic_vector (N-1 downto 0); -- mosi and miso connections signal rx_bit_next : std_logic; -- sample of MOSI input signal tx_bit_next : std_logic; signal tx_bit_reg : std_logic; -- drives MISO during sequential logic signal preload_miso : std_logic; -- controls the MISO MUX -- buffered di_i data signals for register and combinatorial stages signal di_reg : std_logic_vector (N-1 downto 0); -- internal wren_i stretcher for fsm combinatorial stage signal wren : std_logic; signal wr_ack_next : std_logic := '0'; signal wr_ack_reg : std_logic := '0'; -- buffered do_o data signals for register and combinatorial stages signal do_buffer_next : std_logic_vector (N-1 downto 0); signal do_buffer_reg : std_logic_vector (N-1 downto 0); -- internal signal to flag transfer to do_buffer_reg signal do_transfer_next : std_logic := '0'; signal do_transfer_reg : std_logic := '0'; -- internal input data request signal signal di_req_next : std_logic := '0'; signal di_req_reg : std_logic := '0'; -- cross-clock do_valid_o logic signal do_valid_next : std_logic := '0'; signal do_valid_A : std_logic := '0'; signal do_valid_B : std_logic := '0'; signal do_valid_C : std_logic := '0'; signal do_valid_D : std_logic := '0'; signal do_valid_o_reg : std_logic := '0'; -- cross-clock di_req_o logic signal di_req_o_next : std_logic := '0'; signal di_req_o_A : std_logic := '0'; signal di_req_o_B : std_logic := '0'; signal di_req_o_C : std_logic := '0'; signal di_req_o_D : std_logic := '0'; signal di_req_o_reg : std_logic := '0'; begin --============================================================================================= -- GENERICS CONSTRAINTS CHECKING --============================================================================================= -- minimum word width is 8 bits assert N >= 8 report "Generic parameter 'N' error: SPI shift register size needs to be 8 bits minimum" severity FAILURE; -- maximum prefetch lookahead check assert PREFETCH <= N-5 report "Generic parameter 'PREFETCH' error: lookahead count out of range, needs to be N-5 maximum" severity FAILURE; --============================================================================================= -- GENERATE BLOCKS --============================================================================================= --============================================================================================= -- DATA INPUTS --============================================================================================= -- connect rx bit input rx_bit_proc : rx_bit_next <= spi_mosi_i; --============================================================================================= -- CROSS-CLOCK PIPELINE TRANSFER LOGIC --============================================================================================= -- do_valid_o and di_req_o strobe output logic -- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a -- fixed-length delayed pulse for the output flags, at the parallel clock domain out_transfer_proc : process ( clk_i, do_transfer_reg, di_req_reg, do_valid_A, do_valid_B, do_valid_D, di_req_o_A, di_req_o_B, di_req_o_D) is begin if clk_i'event and clk_i = '1' then -- clock at parallel port clock -- do_transfer_reg -> do_valid_o_reg do_valid_A <= do_transfer_reg; -- the input signal must be at least 2 clocks long do_valid_B <= do_valid_A; -- feed it to a ripple chain of FFDs do_valid_C <= do_valid_B; do_valid_D <= do_valid_C; do_valid_o_reg <= do_valid_next; -- registered output pulse -------------------------------- -- di_req_reg -> di_req_o_reg di_req_o_A <= di_req_reg; -- the input signal must be at least 2 clocks long di_req_o_B <= di_req_o_A; -- feed it to a ripple chain of FFDs di_req_o_C <= di_req_o_B; di_req_o_D <= di_req_o_C; di_req_o_reg <= di_req_o_next; -- registered output pulse end if; -- generate a 2-clocks pulse at the 3rd clock cycle do_valid_next <= do_valid_A and do_valid_B and not do_valid_D; di_req_o_next <= di_req_o_A and di_req_o_B and not di_req_o_D; end process out_transfer_proc; -- parallel load input registers: data register and write enable in_transfer_proc: process (clk_i, wren_i, wr_ack_reg) is begin -- registered data input, input register with clock enable if clk_i'event and clk_i = '1' then if wren_i = '1' then di_reg <= di_i; -- parallel data input buffer register end if; end if; -- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset) if clk_i'event and clk_i = '1' then if wren_i = '1' then -- wren_i is the sync preset for wren wren <= '1'; elsif wr_ack_reg = '1' then -- wr_ack is the sync reset for wren wren <= '0'; end if; end if; end process in_transfer_proc; --============================================================================================= -- REGISTER TRANSFER PROCESSES --============================================================================================= -- fsm state and data registers change on spi SHIFT_EDGE core_reg_proc : process (spi_sck_i, spi_ssel_i) is begin -- FFD registers clocked on SHIFT edge and cleared on idle (spi_ssel_i = 1) -- state fsm register (fdr) if spi_ssel_i = '1' then -- async clr state_reg <= 0; -- state falls back to idle when slave not selected elsif spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on SHIFT edge, update state register state_reg <= state_next; -- core fsm changes state with spi SHIFT clock end if; -- FFD registers clocked on SHIFT edge -- rtl core registers (fd) if spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on fsm state change, update all core registers sh_reg <= sh_next; -- core shift register do_buffer_reg <= do_buffer_next; -- registered data output do_transfer_reg <= do_transfer_next; -- cross-clock transfer flag di_req_reg <= di_req_next; -- input data request wr_ack_reg <= wr_ack_next; -- wren ack for data load synchronization end if; -- FFD registers clocked on CHANGE edge and cleared on idle (spi_ssel_i = 1) -- miso MUX preload control register (fdp) if spi_ssel_i = '1' then -- async preset preload_miso <= '1'; -- miso MUX sees top bit of parallel input when slave not selected elsif spi_sck_i'event and spi_sck_i = CHANGE_EDGE then -- on CHANGE edge, change to tx_reg output preload_miso <= spi_ssel_i; -- miso MUX sees tx_bit_reg when it is driven by SCK end if; -- FFD registers clocked on CHANGE edge -- tx_bit register (fd) if spi_sck_i'event and spi_sck_i = CHANGE_EDGE then tx_bit_reg <= tx_bit_next; -- update MISO driver from the MSb end if; end process core_reg_proc; --============================================================================================= -- COMBINATORIAL LOGIC PROCESSES --============================================================================================= -- state and datapath combinatorial logic core_combi_proc : process ( sh_reg, sh_next, state_reg, tx_bit_reg, rx_bit_next, do_buffer_reg, do_transfer_reg, di_reg, di_req_reg, wren, wr_ack_reg) is begin -- all output signals are assigned to (avoid latches) sh_next <= sh_reg; -- shift register tx_bit_next <= tx_bit_reg; -- MISO driver do_buffer_next <= do_buffer_reg; -- output data buffer do_transfer_next <= do_transfer_reg; -- output data flag wr_ack_next <= wr_ack_reg; -- write enable acknowledge di_req_next <= di_req_reg; -- data input request state_next <= state_reg; -- fsm control state case state_reg is when (N) => -- deassert 'di_rdy' and stretch do_valid wr_ack_next <= '0'; -- acknowledge data in transfer di_req_next <= '0'; -- prefetch data request: deassert when shifting data tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when (N-1) downto (PREFETCH+3) => -- remove 'do_transfer' and shift bits do_transfer_next <= '0'; -- reset 'do_valid' transfer signal di_req_next <= '0'; -- prefetch data request: deassert when shifting data wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when (PREFETCH+2) downto 3 => -- raise prefetch 'di_req_o' signal di_req_next <= '1'; -- request data in advance to allow for pipeline delays wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when 2 => -- transfer received data to do_buffer_reg on next cycle di_req_next <= '1'; -- request data in advance to allow for pipeline delays wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb do_transfer_next <= '1'; -- signal transfer to do_buffer on next cycle do_buffer_next <= sh_next; -- get next data directly into rx buffer state_next <= state_reg - 1; -- update next state at each sck pulse when 1 => -- transfer rx data to do_buffer and restart if new data is written sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb di_req_next <= '0'; -- prefetch data request: deassert when shifting data state_next <= N; -- next state is top bit of new data if wren = '1' then -- load tx register if valid data present at di_reg wr_ack_next <= '1'; -- acknowledge data in transfer sh_next(N-1 downto 1) <= di_reg(N-2 downto 0); -- shift inner bits tx_bit_next <= di_reg(N-1); -- first output bit comes from the MSb of parallel data else wr_ack_next <= '0'; -- no data reload for continuous transfer mode sh_next(N-1 downto 1) <= (others => '0'); -- clear transmit shift register tx_bit_next <= '0'; -- send ZERO end if; when 0 => -- idle state: start and end of transmission sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb sh_next(N-1 downto 1) <= di_reg(N-2 downto 0); -- shift inner bits tx_bit_next <= di_reg(N-1); -- first output bit comes from the MSb of parallel data wr_ack_next <= '1'; -- acknowledge data in transfer di_req_next <= '0'; -- prefetch data request: deassert when shifting data do_transfer_next <= '0'; -- clear signal transfer to do_buffer state_next <= N; -- next state is top bit of new data when others => state_next <= 0; -- safe state end case; end process core_combi_proc; --============================================================================================= -- OUTPUT LOGIC PROCESSES --============================================================================================= -- data output processes do_o_proc : do_o <= do_buffer_reg; -- do_o always available do_valid_o_proc: do_valid_o <= do_valid_o_reg; -- copy registered do_valid_o to output di_req_o_proc: di_req_o <= di_req_o_reg; -- copy registered di_req_o to output wr_ack_o_proc: wr_ack_o <= wr_ack_reg; -- copy registered wr_ack_o to output ----------------------------------------------------------------------------------------------- -- MISO driver process: preload top bit of parallel data to MOSI at reset ----------------------------------------------------------------------------------------------- -- this is a MUX that selects the combinatorial next tx bit at reset, and the registered tx bit -- at sequential operation. The mux gives us a preload of the first bit, simplifying the shifter logic. spi_miso_o_proc: process (preload_miso, tx_bit_reg, di_reg) is begin if preload_miso = '1' then spi_miso_o <= di_reg(N-1); -- copy top bit of parallel data at reset else spi_miso_o <= tx_bit_reg; -- copy top bit of shifter at sequential operation end if; end process spi_miso_o_proc; --============================================================================================= -- DEBUG LOGIC PROCESSES --============================================================================================= -- these signals are useful for verification, and can be deleted after debug. do_transfer_proc: do_transfer_o <= do_transfer_reg; state_debug_proc: state_dbg_o <= std_logic_vector(to_unsigned(state_reg, 4)); -- export internal state to debug rx_bit_next_proc: rx_bit_next_o <= rx_bit_next; wren_o_proc: wren_o <= wren; sh_reg_debug_proc: sh_reg_dbg_o <= sh_reg; -- export sh_reg to debug end architecture rtl;
gpl-3.0
rpereira-dev/ENSIIE
UE/S3/microarchi/bus_ia/plus12.vhd
1
7342
-- ######################################################################## -- $Software: busiac -- $section : hardware component -- $Id: plus12.vhd 325 2015-06-03 12:47:32Z ia $ -- $HeadURL: svn://lunix120.ensiie.fr/ia/cours/archi/projet/busiac/vhdl/plus12.vhd $ -- $Author : Ivan Auge (Email: [email protected]) -- ######################################################################## -- -- This file is part of the BUSIAC software: Copyright (C) 2010 by I. Auge. -- -- This program is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at your -- option) any later version. -- -- BUSIAC software is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY ; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General -- Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with the GNU C Library; see the file COPYING. If not, write to the Free -- Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -- ######################################################################*/ ------------------------------------------------------------------------------- -- Ce module additionne 2 nombres de 12 bits signés. -- Ses E/S sont les bus busin et busout. -- -- Input: -- busin_ctl (43 DOWNTO 40) : not used -- busin_asrc(39 DOWNTO 32) : adresse emetteur (E_ADR) -- busin_ades(31 DOWNTO 24) : adresse destination (MYADR) -- busin_data(23 DOWNTO 12) : operande B en complement a 2 -- busin_data(11 DOWNTO 0) : operande A en complement a 2 -- -- Output: -- busout_ctl (43 DOWNTO 40) : "0000" -- busout_asrc(39 DOWNTO 32) : MYADR -- busout_ades(31 DOWNTO 24) : E_ADR -- busout_data(23) : V (overflow) -- busout_data(22) : C (retenue sortante) -- busout_data(21) : N (résultat négatif) -- busout_data(20) : Z (résultat nul) -- busout_data(19 DOWNTO 12) : "00000000" -- busout_data(11 DOWNTO 0) : résultat en complément a 2 (A+B) ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; use IEEE.numeric_std.all; ENTITY plus12 IS GENERIC( MYADR : STD_LOGIC_VECTOR(7 downto 0) := x"C0" ); -- 192 PORT( clk : IN STD_LOGIC; reset : IN STD_LOGIC; -- interface busin busin : in STD_LOGIC_VECTOR(43 DOWNTO 0); busin_valid : in STD_LOGIC; busin_eated : out STD_LOGIC; -- interface busout busout : OUT STD_LOGIC_VECTOR(43 DOWNTO 0); busout_valid : OUT STD_LOGIC; busout_eated : IN STD_LOGIC; -- debug debug : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END plus12; ARCHITECTURE Montage OF plus12 IS TYPE T_CMD_LoadNoop IS (LOAD, NOOP); -- partie operative -- le registre de transfert de busin vers busout SIGNAL CMD_tft : T_CMD_LoadNoop; SIGNAL R_tft : STD_LOGIC_VECTOR(43 DOWNTO 0); -- le registre resultat de A+B, ov -- on etend R sur 13 bits pour avoir la retenue SIGNAL CMD_res : T_CMD_LoadNoop; SIGNAL R_res : STD_LOGIC_VECTOR(12 DOWNTO 0); -- les operandes A et B (1 bit de plus pour la retenue) SIGNAL A,B : STD_LOGIC_VECTOR (12 DOWNTO 0); -- V1 -- bits de retenue et de somme de A+B -- V1 SIGNAL r,s : STD_LOGIC_VECTOR (12 DOWNTO 0); -- V1 -- SIGNAL A,B : SIGNED (12 DOWNTO 0); -- V2 -- l' adresse destination SIGNAL busin_ades : STD_LOGIC_VECTOR ( 7 DOWNTO 0); -- message résulat SIGNAL mess_resultat : STD_LOGIC_VECTOR (43 DOWNTO 0); -- partie controle TYPE STATE_TYPE IS (ST_READ, ST_WRITE_TFT, ST_COMPUTE, ST_WRITE_SUM); SIGNAL state : STATE_TYPE; BEGIN ------------------------------------------------------------------------------- -- Partie Opérative ------------------------------------------------------------------------------- busin_ades <= busin(31 DOWNTO 24) ; a <= "0" & R_tft(23 DOWNTO 12) ; -- V1 b <= "0" & R_tft(11 DOWNTO 0) ; -- V1 -- a <= SIGNED (R_tft(23 DOWNTO 12)) ; -- V2 -- b <= SIGNED (R_tft(11 DOWNTO 0)) ; -- V2 mess_resultat(43 DOWNTO 40) <= "0000"; mess_resultat(39 DOWNTO 32) <= MYADR; mess_resultat(31 DOWNTO 24) <= R_tft(39 DOWNTO 32); mess_resultat(23) <= -- overflow '1' WHEN a(11)='1' AND b(11)='1' AND R_res(11)='0' ELSE -- N+N=P '1' WHEN a(11)='0' AND b(11)='0' AND R_res(11)='1' ELSE -- P+P=N '0' ; mess_resultat(22) <= R_res(12); -- cout mess_resultat(21) <= R_res(11); -- signe mess_resultat(20) <= -- null '1' WHEN R_res(11 downto 0) = x"000" ELSE '0'; mess_resultat(19 DOWNTO 12) <= "00000000" ; mess_resultat(11 DOWNTO 0) <= R_res(11 DOWNTO 0); -- s,r <-- a + b; -- V1 s <= a XOR b XOR r; -- V1 r(0) <= '0'; -- V1 r(12 DOWNTO 1) <= -- V1 ( a(11 DOWNTO 0) AND b(11 DOWNTO 0) ) OR -- V1 ( a(11 DOWNTO 0) AND r(11 DOWNTO 0) ) OR -- V1 ( r(11 DOWNTO 0) AND b(11 DOWNTO 0) ); -- V1 PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN -- R_tft if ( CMD_tft = LOAD ) then R_tft <= busin; end if; -- R_res if ( CMD_res = LOAD ) then R_res(12 DOWNTO 0) <= s ; -- V1 -- R_res(12 DOWNTO 0) <= STD_LOGIC_VECTOR(a + b) ; -- V2 end if; END IF; END PROCESS; ------------------------------------------------------------------------------- -- Partie Controle ------------------------------------------------------------------------------- -- Inputs: busin_valid busout_eated -- Outputs: busin_eated busout_valid, CMD_res, CMD_tft, busout ------------------------------------------------------------------------------- -- fonction de transitition PROCESS (reset,clk) BEGIN IF reset = '1' THEN state <= ST_READ; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN ST_READ => IF busin_valid = '1' and busin_ades = MYADR THEN state <= ST_COMPUTE; ELSIF busin_valid = '1' and busin_ades /= MYADR THEN state <= ST_WRITE_TFT; END IF; WHEN ST_COMPUTE => state <= ST_WRITE_SUM; WHEN ST_WRITE_SUM => IF busout_eated = '1' THEN state <= ST_READ; END IF; WHEN ST_WRITE_TFT => IF busout_eated = '1' THEN state <= ST_READ; END IF; END CASE; END IF; END PROCESS; -- fonction de sortie WITH state SELECT busin_eated <= '1' WHEN ST_READ, '0' WHEN OTHERS; WITH state SELECT busout_valid <= '1' WHEN ST_WRITE_TFT, '1' WHEN ST_WRITE_SUM, '0' WHEN OTHERS; WITH state SELECT CMD_res <= LOAD WHEN ST_Compute, NOOP WHEN OTHERS; WITH state SELECT CMD_tft <= LOAD WHEN ST_READ, NOOP WHEN OTHERS; WITH state SELECT busout <= mess_resultat WHEN ST_WRITE_SUM, R_tft WHEN OTHERS; END Montage;
gpl-3.0
DaveyPocket/btrace448
btrace/vector_reg.vhd
1
555
-- Btrace 448 -- Vector Register -- -- Bradley Boccuzzi -- 2016 library ieee; use ieee.std_logic_1164.all; use work.btrace_pack.all; entity vector_reg is port(clk, rst, en: in std_logic; Din: in vector; Dout: out vector); end vector_reg; architecture arch of vector_reg is constant zero_vector: vector := ((others => '0'), (others => '0'), (others => '0')); begin process(clk, rst) begin if rst = '1' then Dout <= zero_vector; elsif rising_edge(clk) then if en = '1' then Dout <= Din; end if; end if; end process; end arch;
gpl-3.0
freecores/usb_fpga_2_16
examples/usb-fpga-1.15/1.15a/intraffic/fpga/intraffic.vhd
42
1939
library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity intraffic is port( RESET : in std_logic; CONT : in std_logic; IFCLK : in std_logic; FD : out std_logic_vector(15 downto 0); SLOE : out std_logic; SLRD : out std_logic; SLWR : out std_logic; FIFOADR0 : out std_logic; FIFOADR1 : out std_logic; PKTEND : out std_logic; FLAGB : in std_logic ); end intraffic; architecture RTL of intraffic is ---------------------------- -- test pattern generator -- ---------------------------- -- 30 bit counter signal GEN_CNT : std_logic_vector(29 downto 0); signal INT_CNT : std_logic_vector(6 downto 0); signal FIFO_WORD : std_logic; begin SLOE <= '1'; SLRD <= '1'; FIFOADR0 <= '0'; FIFOADR1 <= '0'; PKTEND <= '1'; -- no data alignment dpIFCLK: process (IFCLK, RESET) begin -- reset if RESET = '1' then GEN_CNT <= ( others => '0' ); INT_CNT <= ( others => '0' ); FIFO_WORD <= '0'; SLWR <= '1'; -- IFCLK elsif IFCLK'event and IFCLK = '1' then if CONT = '1' or FLAGB = '1' then if FIFO_WORD = '0' then FD(14 downto 0) <= GEN_CNT(14 downto 0); else FD(14 downto 0) <= GEN_CNT(29 downto 15); end if; FD(15) <= FIFO_WORD; if FIFO_WORD = '1' then GEN_CNT <= GEN_CNT + '1'; if INT_CNT = conv_std_logic_vector(99,7) then INT_CNT <= ( others => '0' ); else INT_CNT <= INT_CNT + '1'; end if; end if; FIFO_WORD <= not FIFO_WORD; end if; if ( INT_CNT >= conv_std_logic_vector(90,7) ) and ( CONT = '0' ) then SLWR <= '1'; else SLWR <= '0'; end if; end if; end process dpIFCLK; end RTL;
gpl-3.0
rpereira-dev/ENSIIE
UE/S3/microarchi/bus_ia_save/plus12.vhd
1
7829
-- ######################################################################## -- $Software: busiac -- $section : hardware component -- $Id: plus12.vhd 325 2015-06-03 12:47:32Z ia $ -- $HeadURL: svn://lunix120.ensiie.fr/ia/cours/archi/projet/busiac/vhdl/plus12.vhd $ -- $Author : Ivan Auge (Email: [email protected]) -- ######################################################################## -- -- This file is part of the BUSIAC software: Copyright (C) 2010 by I. Auge. -- -- This program is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at your -- option) any later version. -- -- BUSIAC software is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY ; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General -- Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with the GNU C Library; see the file COPYING. If not, write to the Free -- Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -- ######################################################################*/ ------------------------------------------------------------------------------- -- Ce module additionne 2 nombres de 12 bits signés. -- Ses E/S sont les bus busin et busout. -- -- Input: -- busin_ctl (43 DOWNTO 40) : not used -- busin_asrc(39 DOWNTO 32) : adresse emetteur (E_ADR) -- busin_ades(31 DOWNTO 24) : adresse destination (MYADR) -- busin_data(23 DOWNTO 12) : operande B en complement a 2 -- busin_data(11 DOWNTO 0) : operande A en complement a 2 -- -- Output: -- busout_ctl (43 DOWNTO 40) : "0000" -- busout_asrc(39 DOWNTO 32) : MYADR -- busout_ades(31 DOWNTO 24) : E_ADR -- busout_data(23) : V (overflow) -- busout_data(22) : C (retenue sortante) -- busout_data(21) : N (résultat négatif) -- busout_data(20) : Z (résultat nul) -- busout_data(19 DOWNTO 12) : "00000000" -- busout_data(11 DOWNTO 0) : résultat en complément a 2 (A+B) ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; use IEEE.numeric_std.all; ENTITY plus12 IS GENERIC( MYADR : STD_LOGIC_VECTOR(7 downto 0) := x"C0" ); -- 192 PORT( clk : IN STD_LOGIC; reset : IN STD_LOGIC; -- interface busin busin : in STD_LOGIC_VECTOR(43 DOWNTO 0); busin_valid : in STD_LOGIC; busin_eated : out STD_LOGIC; -- interface busout busout : OUT STD_LOGIC_VECTOR(43 DOWNTO 0); busout_valid : OUT STD_LOGIC; busout_eated : IN STD_LOGIC; -- debug debug : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END plus12; ARCHITECTURE Montage OF plus12 IS TYPE T_CMD_LoadNoop IS (LOAD, NOOP); -- partie operative -- le registre de transfert de busin vers busout SIGNAL CMD_tft : T_CMD_LoadNoop; SIGNAL R_tft : STD_LOGIC_VECTOR(43 DOWNTO 0); -- le registre resultat de A+B, ov -- on etend R sur 13 bits pour avoir la retenue SIGNAL CMD_res : T_CMD_LoadNoop; SIGNAL R_res : STD_LOGIC_VECTOR(12 DOWNTO 0); -- les operandes A et B (1 bit de plus pour la retenue) SIGNAL A,B : STD_LOGIC_VECTOR (12 DOWNTO 0); -- V1 -- bits de retenue et de somme de A+B -- V1 SIGNAL r,s : STD_LOGIC_VECTOR (12 DOWNTO 0); -- V1 -- SIGNAL A,B : SIGNED (12 DOWNTO 0); -- V2 -- l' adresse destination SIGNAL busin_ades : STD_LOGIC_VECTOR ( 7 DOWNTO 0); -- message résulat SIGNAL mess_resultat : STD_LOGIC_VECTOR (43 DOWNTO 0); -- partie controle TYPE STATE_TYPE IS (ST_READ, ST_WRITE_TFT, ST_COMPUTE, ST_WRITE_SUM); SIGNAL state : STATE_TYPE; BEGIN ------------------------------------------------------------------------------- -- Partie Opérative ------------------------------------------------------------------------------- busin_ades <= busin(31 DOWNTO 24) ; a <= "0" & R_tft(23 DOWNTO 12) ; -- V1 b <= "0" & R_tft(11 DOWNTO 0) ; -- V1 -- a <= SIGNED (R_tft(23 DOWNTO 12)) ; -- V2 -- b <= SIGNED (R_tft(11 DOWNTO 0)) ; -- V2 mess_resultat(43 DOWNTO 40) <= "0000"; mess_resultat(39 DOWNTO 32) <= MYADR; mess_resultat(31 DOWNTO 24) <= R_tft(39 DOWNTO 32); mess_resultat(23) <= -- overflow '1' WHEN a(11)='1' AND b(11)='1' AND R_res(11)='0' ELSE -- N+N=P '1' WHEN a(11)='0' AND b(11)='0' AND R_res(11)='1' ELSE -- P+P=N '0' ; mess_resultat(22) <= R_res(12); -- cout mess_resultat(21) <= R_res(11); -- signe mess_resultat(20) <= -- null '1' WHEN R_res(11 downto 0) = x"000" ELSE '0'; mess_resultat(19 DOWNTO 12) <= "00000000" ; mess_resultat(11 DOWNTO 0) <= R_res(11 DOWNTO 0); -- s,r <-- a + b; -- V1 s <= a XOR b XOR r; -- V1 r(0) <= '0'; -- V1 r(12 DOWNTO 1) <= -- V1 ( a(11 DOWNTO 0) AND b(11 DOWNTO 0) ) OR -- V1 ( a(11 DOWNTO 0) AND r(11 DOWNTO 0) ) OR -- V1 ( r(11 DOWNTO 0) AND b(11 DOWNTO 0) ); -- V1 PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN -- R_tft if ( CMD_tft = LOAD ) then R_tft <= busin; end if; -- R_res if ( CMD_res = LOAD ) then R_res(12 DOWNTO 0) <= s ; -- V1 -- R_res(12 DOWNTO 0) <= STD_LOGIC_VECTOR(a + b) ; -- V2 end if; END IF; END PROCESS; ------------------------------------------------------------------------------- -- Partie Controle ------------------------------------------------------------------------------- -- Inputs: busin_valid busout_eated -- Outputs: busin_eated busout_valid, CMD_res, CMD_tft, busout ------------------------------------------------------------------------------- -- fonction de transitition PROCESS (reset,clk) BEGIN IF reset = '1' THEN state <= ST_READ; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN ST_READ => IF busin_valid = '1' and busin_ades = MYADR THEN state <= ST_COMPUTE; ELSIF busin_valid = '1' and busin_ades /= MYADR THEN state <= ST_WRITE_TFT; END IF; WHEN ST_COMPUTE => state <= ST_WRITE_SUM; WHEN ST_WRITE_SUM => IF busout_eated = '1' THEN state <= ST_READ; END IF; WHEN ST_WRITE_TFT => IF busout_eated = '1' THEN state <= ST_READ; END IF; END CASE; END IF; END PROCESS; -- fonction de sortie WITH state SELECT busin_eated <= '1' WHEN ST_READ, '0' WHEN OTHERS; WITH state SELECT busout_valid <= '1' WHEN ST_WRITE_TFT, '1' WHEN ST_WRITE_SUM, '0' WHEN OTHERS; WITH state SELECT CMD_res <= LOAD WHEN ST_Compute, NOOP WHEN OTHERS; WITH state SELECT CMD_tft <= LOAD WHEN ST_READ, NOOP WHEN OTHERS; WITH state SELECT busout <= mess_resultat WHEN ST_WRITE_SUM, R_tft WHEN OTHERS; END Montage;
gpl-3.0
DaveyPocket/btrace448
btrace/controller.vhd
1
2655
-- Btrace 448 -- Controller -- -- Bradley Boccuzzi -- 2016 library ieee; library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.btrace_pack.all; entity controller is port(clk, rst: in std_logic; -- Control outputs init_x, init_y, inc_x, inc_y: out std_logic; set_vector, set_org: out std_logic; next_obj, start_search: out std_logic; clr_z_reg, clr_hit: out std_logic; store: out std_logic; paint: out std_logic; done: out std_logic; -- Status inputs last_x, last_y, last_obj, obj_valid, start: in std_logic); end controller; architecture arch of controller is -- Controller states type states_t is (s_idle, s_start, s_set, s_wait, s_next, s_done); signal controller_state: states_t := s_idle; begin process(clk, rst) begin if rst = '1' then controller_state <= s_idle; elsif rising_edge(clk) then case controller_state is when s_idle => if start = '1' then controller_state <= s_start; end if; when s_start => controller_state <= s_set; when s_set => controller_state <= s_wait; when s_wait => controller_state <= s_next; when s_next => if last_obj = '0' then controller_state <= s_wait; else if last_x = '0' then controller_state <= s_start; else if last_y = '0' then controller_state <= s_start; else controller_state <= s_done; end if; end if; end if; when others => if start = '1' then controller_state <= s_done; else controller_state <= s_idle; end if; end case; end if; end process; clr_hit <= '1' when controller_state = s_start else '0'; clr_z_reg <= '1' when controller_state = s_start else '0'; init_x <= '1' when (controller_state = s_idle) or ((controller_state = s_next) and ((last_obj and last_x) = '1') and (last_y = '0')) else '0'; inc_y <= '1' when ((controller_state = s_next) and ((last_obj and last_x) = '1') and (last_y = '0')) else '0'; inc_x <= '1' when ((controller_state = s_next) and (last_obj = '1') and (last_x = '0')) else '0'; init_y <= '1' when (controller_state = s_idle) else '0'; set_vector <= '1' when controller_state = s_set else '0'; set_org <= '1' when controller_state = s_set else '0'; store <= '1' when (controller_state = s_wait) and (obj_valid = '1') else '0'; paint <= '1' when (controller_state = s_next) and (last_obj = '1') else '0'; next_obj <= '1' when (controller_state = s_next) else '0'; start_search <= '1' when (controller_state = s_start) else '0'; done <= '1' when (controller_state = s_done) else '0'; end arch;
gpl-3.0
rpereira-dev/ENSIIE
UE/S3/microarchi/bus_ia/initiateur.vhd
1
7667
-- ######################################################################## -- $Software: busiac -- $section : hardware component -- $Id: initiateur.vhd 327 2015-06-03 19:18:19Z ia $ -- $HeadURL: svn://lunix120.ensiie.fr/ia/cours/archi/projet/busiac/vhdl/initiateur.vhd $ -- $Author : Ivan Auge (Email: [email protected]) -- ######################################################################## -- -- This file is part of the BUSIAC software: Copyright (C) 2010 by I. Auge. -- -- This program is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at your -- option) any later version. -- -- BUSIAC software is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY ; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General -- Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with the GNU C Library; see the file COPYING. If not, write to the Free -- Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -- ######################################################################*/ ------------------------------------------------------------------------------- -- Ce module transfert tous les messages venant de busin sur busout. -- De plus, ce module récupère 4 octets B3,B2,B1,B0 1 par 1 sur RS232in et -- les regroupe pour réaliser un message (0000,addrsrc,addrdest,data) -- (voir bus.txt) qu'il transfert sur busout. -- B0 est le premier octet reçu, B3 est le dernier octet reçu. -- -- addrsrc = 11111111 (255) -- addrdest = B3 -- data = B2<<16 | B1<<8 | B0 -- -- Du coté busin, il suit le protocole "poignée de main" (signaux: busin, -- busin_valid, busin_eated). -- Du coté busout, il suit le protocole "poignée de main" (signaux: busout, -- busout_valid, busout_eated). -- Du coté RS232in, il suit le protocole du module RS232in (signaux: Data, Ndata). -- -- Attention: -- - il n'y a pas de contrôle de flux du cote RS232in, donc si des données -- arrive sur Data et que le bus est bloqué, elles seront perdues. -- - ce module assume que Data reste stable au moins 3 cycles après la pulse Ndata ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY initiateur IS PORT( clk : IN STD_LOGIC; reset : IN STD_LOGIC; -- interface busin busin : in STD_LOGIC_VECTOR(43 DOWNTO 0); busin_valid : in STD_LOGIC; busin_eated : out STD_LOGIC; -- interface busout busout : OUT STD_LOGIC_VECTOR(43 DOWNTO 0); busout_valid : OUT STD_LOGIC; busout_eated : IN STD_LOGIC; -- interface vers rs232out Data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); Ndata : IN STD_LOGIC); END initiateur; ARCHITECTURE Montage OF initiateur IS -- compteur donnant le nombre d'octets a mettre dans R_32 TYPE T_CMD_i IS (NOOP, COUNT, INIT); SIGNAL CMD_i : T_CMD_i ; SIGNAL R_i : INTEGER RANGE 0 TO 4; SIGNAL VT_endLoop: STD_LOGIC; -- accumule les octets venant de Data. TYPE T_CMD_32 IS (NOOP, SHIFT); SIGNAL CMD_32 : T_CMD_32 ; SIGNAL R_32 : STD_LOGIC_VECTOR (31 DOWNTO 0); -- Registre de transfert entre busin et busout TYPE T_CMD_tft IS (INIT, NOOP); SIGNAL CMD_tft : T_CMD_tft ; SIGNAL R_tft : STD_LOGIC_VECTOR (43 DOWNTO 0); -- Sauve une pulse de Ndata qd on est dans les etats de la FSM -- qui ne teste pas Ndata TYPE T_CMD_pulse IS (CLEAR, LOAD); SIGNAL CMD_pulse : T_CMD_pulse ; SIGNAL R_pulse : STD_LOGIC; SIGNAL R_data : STD_LOGIC_VECTOR(7 DOWNTO 0); -- les sources d'ecriture sur busout SIGNAL busout_rs232 : STD_LOGIC_VECTOR(43 DOWNTO 0); SIGNAL busout_tft : STD_LOGIC_VECTOR(43 DOWNTO 0); --Description des �tats TYPE STATE_TYPE IS ( ST_INIT,ST_WAIT_BUSIN_OR_NDATA, ST_BUSIN_AND_NDATA_LOADED, ST_NDATA_LOADED, ST_BUSIN_LOADED, ST_EndLoop, ST_NDATA_WRITE); SIGNAL state : STATE_TYPE; BEGIN ------------------------------------------------------------------------------- -- Partie Op�rative ------------------------------------------------------------------------------- PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN -- R_i if ( CMD_i = INIT ) then R_i <= 4 ; elsif ( CMD_i = COUNT ) then R_i <= R_i - 1; else R_i <= R_i; end if; -- R_32 if ( CMD_32 = SHIFT ) then R_32(31 DOWNTO 24) <= R_data; R_32(23 DOWNTO 16) <= R_32(31 DOWNTO 24); R_32(15 DOWNTO 8) <= R_32(23 DOWNTO 16); R_32( 7 DOWNTO 0) <= R_32(15 DOWNTO 8); else R_32 <= R_32 ; end if; -- R_tft if ( CMD_tft = INIT ) then R_tft <= busin ; else R_tft <= R_tft ; end if; -- R_pulse if ( CMD_pulse = LOAD ) then R_pulse <= R_pulse OR Ndata ; else -- CLEAR R_pulse <= '0' ; end if; -- R_data if (Ndata = '1') then R_data <= data; else R_data <= R_data; end if; END IF; END PROCESS; VT_endLoop <= '1' when R_i=0 else '0' ; busout_rs232(43 DOWNTO 40) <= "0000"; busout_rs232(39 DOWNTO 32) <= "11111111"; busout_rs232(31 DOWNTO 0) <= R_32; busout_tft <= R_tft; ------------------------------------------------------------------------------- -- Partie Contr�le ------------------------------------------------------------------------------- -- Inputs: busout_eated Ndata R_pulse VT_endLoop busin_valid -- Outputs: busout_valid CMD_i CMD_32 busin_eated CMD_tft CMD_pulse busout ------------------------------------------------------------------------------- -- fonction de transitition PROCESS (reset,clk) BEGIN if reset = '1' then state <= ST_INIT; ELSIF clk'EVENT AND clk = '1' THEN CASE state IS WHEN ST_INIT => state <= ST_WAIT_BUSIN_OR_NDATA; WHEN ST_WAIT_BUSIN_OR_NDATA => IF busin_valid = '1' AND R_pulse = '1' THEN state <= ST_BUSIN_AND_NDATA_LOADED; ELSIF R_pulse = '1' THEN state <= ST_NDATA_LOADED; ELSIF busin_valid = '1' THEN state <= ST_BUSIN_LOADED; END IF; WHEN ST_BUSIN_LOADED => if busout_eated = '1' then state <= ST_WAIT_BUSIN_OR_NDATA; END IF; WHEN ST_BUSIN_AND_NDATA_LOADED => if busout_eated = '1' then state <= ST_NDATA_LOADED; END IF; WHEN ST_NDATA_LOADED => state <= ST_EndLoop; WHEN ST_EndLoop => IF VT_EndLoop = '1' THEN state <= ST_NDATA_WRITE; else state <= ST_WAIT_BUSIN_OR_NDATA; END IF; WHEN ST_NDATA_WRITE => if busout_eated = '1' then state <= ST_WAIT_BUSIN_OR_NDATA; END IF; END CASE; END IF; END PROCESS; -- fonction de sortie WITH state SELECT busout_valid <= '1' WHEN ST_BUSIN_LOADED, '1' WHEN ST_NDATA_WRITE, '0' WHEN OTHERS; WITH state SELECT CMD_i <= INIT WHEN ST_INIT, INIT WHEN ST_NDATA_WRITE, COUNT WHEN ST_NDATA_LOADED, NOOP WHEN OTHERS; WITH state SELECT CMD_32 <= SHIFT WHEN ST_NDATA_LOADED, NOOP WHEN OTHERS; WITH state SELECT busin_eated <= '1' WHEN ST_WAIT_BUSIN_OR_NDATA, '0' WHEN OTHERS; WITH state SELECT CMD_tft <= INIT WHEN ST_WAIT_BUSIN_OR_NDATA, NOOP WHEN OTHERS; WITH state SELECT CMD_pulse <= CLEAR WHEN ST_NDATA_LOADED, LOAD WHEN OTHERS; WITH state SELECT busout <= busout_rs232 WHEN ST_NDATA_WRITE, busout_tft WHEN OTHERS; END Montage;
gpl-3.0
freecores/usb_fpga_2_16
examples/usb-fpga-1.15/1.15d/ucecho/fpga/ucecho.vhd
42
580
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ucecho is port( pc : in unsigned(7 downto 0); pb : out unsigned(7 downto 0); CLK : in std_logic ); end ucecho; architecture RTL of ucecho is --signal declaration signal pb_buf : unsigned(7 downto 0); begin dpUCECHO: process(CLK) begin if CLK' event and CLK = '1' then if ( pc >= 97 ) and ( pc <= 122) then pb_buf <= pc - 32; else pb_buf <= pc; end if; pb <= pb_buf; end if; end process dpUCECHO; end RTL;
gpl-3.0
rpereira-dev/ENSIIE
UE/S3/microarchi/terminateur/terminateur.vhd
3
6218
-- ######################################################################## -- $Software: busiac -- $section : hardware component -- $Id: terminateur.vhd 322 2015-05-29 06:43:59Z ia $ -- $HeadURL: svn://lunix120.ensiie.fr/ia/cours/archi/projet/busiac/vhdl/terminateur.vhd $ -- $Author : Ivan Auge (Email: [email protected]) -- ######################################################################## -- -- This file is part of the BUSIAC software: Copyright (C) 2010 by I. Auge. -- -- This program is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at your -- option) any later version. -- -- BUSIAC software is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY ; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General -- Public License for more details. -- -- You should have received a copy of the GNU General Public License along -- with the GNU C Library; see the file COPYING. If not, write to the Free -- Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -- ######################################################################*/ ------------------------------------------------------------------------------- -- ATTENTION: -- Ceci un template, les trous marqués "..." doivent être comblés pour -- pouvoir être compilé, puis fonctionné. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Ce module transfert tous les messages (????,addrsrc,addrdest,data) venant de -- busin. -- Si ????=???1, il transmet le messages sur busdump. -- Si ????=???0 et addrdest!=MYADDR, il transmet sur busout le message -- (???1,addrsrc,addrdest,data). -- Si addrdest==MYADDR, il transmet le message sur busdump. -- -- Du coté busin, il suit le protocole "poignée de main" (signaux: busin, -- busin_valid, busin_eated). -- Du coté busout, il suit le protocole "poignée de main" (signaux: busout, -- busout_valid, busout_eated). -- Du coté busdump, il suit le protocole "poignée de main" (signaux: busdump, -- busdump_valid, busdump_eated). ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity terminateur is generic( MYADDR : STD_LOGIC_VECTOR(7 downto 0) := "11111111" -- 255 ); port( clk : in STD_LOGIC; reset : in STD_LOGIC; -- interface busin busin : in STD_LOGIC_VECTOR(43 downto 0); busin_valid : in STD_LOGIC; busin_eated : out STD_LOGIC; -- interface busout busout : out STD_LOGIC_VECTOR(43 downto 0); busout_valid : out STD_LOGIC; busout_eated : in STD_LOGIC; -- interface busdump busdump : out STD_LOGIC_VECTOR(43 downto 0); busdump_valid: out STD_LOGIC; busdump_eated: in STD_LOGIC); end terminateur; architecture montage of terminateur is ------------------------------------------------------------------------------- -- Partie Opérative ------------------------------------------------------------------------------- -- Registre de transfert entre busin et busout type T_CMD_tft is (INIT, NOOP); signal CMD_tft : T_CMD_tft ; signal R_tft : STD_LOGIC_VECTOR (43 downto 0); ------------------------------------------------------------------------------- -- Partie Contrôle ------------------------------------------------------------------------------- -- adresse destination & bit de boucle du message lu alias busin_addrdest : STD_LOGIC_VECTOR(7 downto 0) is busin(31 downto 24); alias busin_loop : STD_LOGIC is busin(40); type STATE_TYPE is ( ST_READ_BUSIN, ST_WRITE_OUT, ST_WRITE_DUMP ); signal state : STATE_TYPE; begin ------------------------------------------------------------------------------- -- Partie Opérative ------------------------------------------------------------------------------- process (clk) begin if clk'event and clk = '1' then IF CMD_tft = INIT THEN R_tft <= busin ; END IF; end if; end process; busout(43 downto 41) <= R_tft(43 downto 41); busout(40) <= '1'; busout(39 downto 0) <= R_tft(39 downto 0); busdump <= R_tft; ------------------------------------------------------------------------------- -- Partie Contrôle ------------------------------------------------------------------------------- -- Inputs: busin_valid, busout_eated, busdump_eated, busin_addrdest, busin_loop -- Outputs: busin_eated, busout_valid, busdump_valid, CMD_tft ------------------------------------------------------------------------------- -- fonction de transitition process (reset,clk) begin if reset = '1' then state <= ST_READ_BUSIN; elsif clk'event and clk = '1' then case state is when ST_READ_BUSIN => IF busin_valid='1' THEN IF busin_loop = '1' OR busin_addrdest = MYADDR THEN state <= ST_WRITE_DUMP ; ELSE state <= ST_WRITE_OUT ; END IF ; END IF ; when ST_WRITE_OUT => IF busout_eated = '1' THEN state <= ST_READ_BUSIN; END IF ; when ST_WRITE_DUMP => IF busdump_eated = '1' THEN state <= ST_READ_BUSIN; END IF ; end case; end if; end process; -- fonction de sortie with state select busin_eated <= '1' when ST_READ_BUSIN, '0' when others; with state select busout_valid <= '1' when ST_WRITE_OUT, '0' when others; with state select busdump_valid <= '1' when ST_WRITE_DUMP, '0' when others; with state select CMD_tft <= INIT when ST_READ_BUSIN, NOOP when others; end montage;
gpl-3.0
peladex/RHD2132_FPGA
quartus/test_maquina_control/test_maquina_control.vhd
1
931
----------------------------------------------------------------------------------------------------------------------- -- Author: -- -- Create Date: 13/11/2016 -- dd/mm/yyyy -- Module Name: test_maquina_control -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- ... -- ----------------------------------------------------------------------------------------------------------------------- LIBRARY ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ENTITY test_maquina_control IS PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; -- registros param chips -- -- registros control -- ); END test_maquina_control; ARCHITECTURE synth of test_maquina_control IS BEGIN END synth;
gpl-3.0
peladex/RHD2132_FPGA
quartus/test_spi_comm/test_spi_slaves.vhd
1
5242
----------------------------------------------------------------------------------------------------------------------- -- Author: -- -- Create Date: 09/11/2016 -- dd/mm/yyyy -- Module Name: test_spi_slaves -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Este bloque instancia dos bloques spi escalvos tal que la salida de datos de uno esta conectada a la entrada -- de datos del otro. Lo mismo sucede con la salida que indica un dato valido y la entrada write enable. Por lo tanto, -- si un bloque recibe un dato, en el proximo ciclo spi ese dato sera enviado por el otro bloque spi. -- ----------------------------------------------------------------------------------------------------------------------- LIBRARY ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; LIBRARY lpm; USE lpm.lpm_components.ALL; ENTITY test_spi_slaves IS PORT( m_clk : in std_logic; -- master clock m_reset : in std_logic; -- master reset ---- serial interface 1 ---- slave1_ssel_i : in std_logic := 'X'; -- spi bus slave select line slave1_sck_i : in std_logic := 'X'; -- spi bus sck slave1_mosi_i : in std_logic := 'X'; -- spi bus mosi output slave1_miso_o : out std_logic := 'X'; -- spi bus spi_miso_i input ---- serial interface 2 ---- slave2_ssel_i : in std_logic := 'X'; -- spi bus slave select line slave2_sck_i : in std_logic := 'X'; -- spi bus sck slave2_mosi_i : in std_logic := 'X'; -- spi bus mosi output slave2_miso_o : out std_logic := 'X' -- spi bus spi_miso_i input ); END test_spi_slaves; ARCHITECTURE synth OF test_spi_slaves IS ---- COMPONENTS COMPONENT spi_slave IS Generic ( N : positive := 16; -- 32bit serial word length is default CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. PREFETCH : positive := 2); -- prefetch lookahead cycles Port ( clk_i : in std_logic := 'X'; -- internal interface clock (clocks di/do registers) spi_ssel_i : in std_logic := 'X'; -- spi bus slave select line spi_sck_i : in std_logic := 'X'; -- spi bus sck clock (clocks the shift register core) spi_mosi_i : in std_logic := 'X'; -- spi bus mosi input spi_miso_o : out std_logic := 'X'; -- spi bus spi_miso_o output di_req_o : out std_logic; -- preload lookahead data request line di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); -- parallel load data in (clocked in on rising edge of clk_i) wren_i : in std_logic := 'X'; -- user data write enable wr_ack_o : out std_logic; -- write acknowledge do_valid_o : out std_logic; -- do_o data valid strobe, valid during one clk_i rising edge. do_o : out std_logic_vector (N-1 downto 0); -- parallel output (clocked out on falling clk_i) --- debug ports: can be removed for the application circuit --- do_transfer_o : out std_logic; -- debug: internal transfer driver wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher rx_bit_next_o : out std_logic; -- debug: internal rx bit state_dbg_o : out std_logic_vector (3 downto 0); -- debug: internal state register sh_reg_dbg_o : out std_logic_vector (N-1 downto 0) -- debug: internal shift register ); END COMPONENT; ---- SIGNALS SIGNAL sg_slave1_di, sg_slave2_di : std_logic_vector(15 downto 0); SIGNAL sg_slave1_wren, sg_slave2_wren : std_logic; BEGIN ---- INSTANCES SLAVE_1: spi_slave PORT MAP ( clk_i => m_clk, spi_ssel_i => slave1_ssel_i, spi_sck_i => slave1_sck_i, spi_mosi_i => slave1_mosi_i, spi_miso_o => slave1_miso_o, di_i => sg_slave1_di, wren_i => sg_slave1_wren, do_valid_o => sg_slave2_wren, do_o => sg_slave2_di ); SLAVE_2: spi_slave PORT MAP ( clk_i => m_clk, spi_ssel_i => slave2_ssel_i, spi_sck_i => slave2_sck_i, spi_mosi_i => slave2_mosi_i, spi_miso_o => slave2_miso_o, di_i => sg_slave2_di, wren_i => sg_slave2_wren, do_valid_o => sg_slave1_wren, do_o => sg_slave1_di ); END synth;
gpl-3.0
DaveyPocket/btrace448
core/vga/frame_buf.vhd
1
933
-- Btrace 448 -- Frame Buffer -- -- Bradley Boccuzzi -- 2016 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity frame_buf is generic(inputWidth: integer := 9; inputHeight: integer := 8); port(clk: in std_logic; en: in std_logic; Din: in std_logic_vector(11 downto 0); Dout: out std_logic_vector(11 downto 0); iaddr_x: in std_logic_vector(inputWidth-1 downto 0); iaddr_y: in std_logic_vector(inputHeight-1 downto 0); vga_addr_x: in std_logic_vector(inputWidth-1 downto 0); vga_addr_y: in std_logic_vector(inputHeight-1 downto 0)); end frame_buf; architecture arch of frame_buf is signal t_addr, vga_addr: std_logic_vector((inputWidth + inputHeight) - 1 downto 0); begin t_addr <= iaddr_y & iaddr_x; vga_addr <= vga_addr_y & vga_addr_x; b: entity work.buf generic map(inputWidth + inputHeight) port map(clk, en, Din, Dout, t_addr, vga_addr); end arch;
gpl-3.0