repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
chibby0ne/vhdl-book
Chapter3/tristate_buf_dir/tristate_buf.vhd
1
461
------------------------------ library ieee; use ieee.std_logic_1164.all; ------------------------------ entity tri_state is --generic declarations port ( input: in std_logic; enable: in std_logic; output: out std_logic;); end entity; ------------------------------ architecture circuit of tri_state is --signals and declarations begin output <= input when enable = '1' else 'z'; end architecture; ------------------------------
gpl-3.0
makestuff/swled
fifo/vhdl/timer/tb_unit/timer_tb.vhdl
1
2532
-- -- Copyright (C) 2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; entity timer_tb is end entity; architecture behavioural of timer_tb is constant COUNTER_WIDTH : integer := 4; constant CEILING_WIDTH : integer := 2; signal sysClk : std_logic; signal dispClk : std_logic; -- display version of sysClk, which leads it by 4ns signal ceiling : std_logic_vector(CEILING_WIDTH-1 downto 0); signal tick : std_logic; begin -- Instantiate timer for testing uut: entity work.timer generic map( COUNTER_WIDTH => COUNTER_WIDTH, CEILING_WIDTH => CEILING_WIDTH ) port map( clk_in => sysClk, ceiling_in => ceiling, tick_out => tick ); -- Drive the clocks. In simulation, sysClk lags 4ns behind dispClk, to give a visual hold time for -- signals in GTKWave. process begin sysClk <= '0'; dispClk <= '1'; wait for 10 ns; dispClk <= '0'; wait for 10 ns; loop dispClk <= '1'; wait for 4 ns; sysClk <= '1'; wait for 6 ns; dispClk <= '0'; wait for 4 ns; sysClk <= '0'; wait for 6 ns; end loop; end process; -- Drive the timer process begin ceiling <= "00"; wait until rising_edge(tick); wait until falling_edge(tick); wait until rising_edge(tick); wait until falling_edge(tick); ceiling <= "01"; wait until rising_edge(tick); wait until falling_edge(tick); wait until rising_edge(tick); wait until falling_edge(tick); ceiling <= "10"; wait until rising_edge(tick); wait until falling_edge(tick); wait until rising_edge(tick); wait until falling_edge(tick); ceiling <= "11"; wait until rising_edge(tick); wait until falling_edge(tick); wait until rising_edge(tick); wait until falling_edge(tick); ceiling <= "00"; wait; end process; end architecture;
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/custom/mandelbrot/function_13.vhd
5
1336
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mlite_pack.all; entity function_13 is port( INPUT_1 : in std_logic_vector(31 downto 0); INPUT_2 : in std_logic_vector(31 downto 0); OUTPUT_1 : out std_logic_vector(31 downto 0) ); end; --comb_alu_1 architecture logic of function_13 is begin ------------------------------------------------------------------------- computation : process (INPUT_1, INPUT_2) variable rTemp1 : UNSIGNED(31 downto 0); variable rTemp2 : UNSIGNED(31 downto 0); variable rTemp3 : UNSIGNED(31 downto 0); begin rTemp1 := UNSIGNED( INPUT_1 ); rTemp2 := UNSIGNED( INPUT_2 ); rTemp3 := rTemp1 + rTemp2 + TO_UNSIGNED(13, 32); OUTPUT_1 <= STD_LOGIC_VECTOR( rTemp3 ); end process; ------------------------------------------------------------------------- end; --architecture logic
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/bus_mux.vhd
1
5288
--------------------------------------------------------------------- -- TITLE: Bus Multiplexer / Signal Router -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: bus_mux.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- This entity is the main signal router. -- It multiplexes signals from multiple sources to the correct location. -- The outputs are as follows: -- a_bus : goes to the ALU -- b_bus : goes to the ALU -- reg_dest_out : goes to the register bank -- take_branch : goes to pc_next --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity bus_mux is port(imm_in : in std_logic_vector(15 downto 0); reg_source : in std_logic_vector(31 downto 0); a_mux : in a_source_type; a_out : out std_logic_vector(31 downto 0); reg_target : in std_logic_vector(31 downto 0); b_mux : in b_source_type; b_out : out std_logic_vector(31 downto 0); c_bus : in std_logic_vector(31 downto 0); c_memory : in std_logic_vector(31 downto 0); c_pc : in std_logic_vector(31 downto 2); c_pc_plus4 : in std_logic_vector(31 downto 2); c_mux : in c_source_type; reg_dest_out : out std_logic_vector(31 downto 0); branch_func : in branch_function_type; take_branch : out std_logic); end; --entity bus_mux architecture logic of bus_mux is function chr_one_zero(int: std_logic) return character is variable c: character; begin case int is when '0' => c := '0'; when '1' => c := '1'; when others => c := '?'; end case; return c; end chr_one_zero; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function bin_char(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; variable bitv : std_logic; begin r := 1; for i in slv'range loop bitv := slv(i); result(r) := chr_one_zero( bitv ); r := r + 1; end loop; return result; end bin_char; begin -- -- Determine value of a_bus -- amux: process(reg_source, imm_in, a_mux, c_pc) begin case a_mux is when A_FROM_REG_SOURCE => a_out <= reg_source; when A_FROM_IMM10_6 => a_out <= ZERO(31 downto 5) & imm_in(10 downto 6); when A_FROM_PC => a_out <= c_pc & "00"; when others => a_out <= c_pc & "00"; end case; end process; -- -- Determine value of b_bus -- bmux: process(reg_target, imm_in, b_mux) begin case b_mux is when B_FROM_REG_TARGET => b_out <= reg_target; when B_FROM_IMM => b_out <= ZERO(31 downto 16) & imm_in; when B_FROM_SIGNED_IMM => if imm_in(15) = '0' then b_out(31 downto 16) <= ZERO(31 downto 16); else b_out(31 downto 16) <= "1111111111111111"; end if; b_out(15 downto 0) <= imm_in; when B_FROM_IMMX4 => if imm_in(15) = '0' then b_out(31 downto 18) <= "00000000000000"; else b_out(31 downto 18) <= "11111111111111"; end if; b_out(17 downto 0) <= imm_in & "00"; when others => b_out <= reg_target; end case; end process; --Determine value of c_bus cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux) begin case c_mux is when C_FROM_ALU => -- | C_FROM_SHIFT | C_FROM_MULT => reg_dest_out <= c_bus; when C_FROM_MEMORY => reg_dest_out <= c_memory; when C_FROM_PC => reg_dest_out <= c_pc(31 downto 2) & "00"; when C_FROM_PC_PLUS4 => reg_dest_out <= c_pc_plus4 & "00"; when C_FROM_IMM_SHIFT16 => reg_dest_out <= imm_in & ZERO(15 downto 0); when others => reg_dest_out <= c_bus; end case; end process; --Determine value of take_branch pc_mux: process(branch_func, reg_source, reg_target) variable is_equal : std_logic; begin if reg_source = reg_target then is_equal := '1'; else is_equal := '0'; end if; case branch_func is -- BEGIN ENABLE_(BLTZ,BLTZAL) when BRANCH_LTZ => take_branch <= reg_source(31); -- END ENABLE_(BLTZ,BLTZAL) -- BEGIN ENABLE_(BLEZ) when BRANCH_LEZ => take_branch <= reg_source(31) or is_equal; -- END ENABLE_(BLEZ) when BRANCH_EQ => take_branch <= is_equal; -- BEGIN ENABLE_(BNE) when BRANCH_NE => take_branch <= not is_equal; -- END ENABLE_(BNE) -- BEGIN ENABLE_(BGEZ,BGEZAL) when BRANCH_GEZ => take_branch <= not reg_source(31); -- END ENABLE_(BGEZ,BGEZAL) -- DIFFICILE A SUPPRIMER... when BRANCH_GTZ => take_branch <= not reg_source(31) and not is_equal; when BRANCH_YES => take_branch <= '1'; when others => take_branch <= '0'; end case; end process; end; --architecture logic
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/memory_64k.vhd
1
3719
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:40:23 07/17/2011 -- Design Name: -- Module Name: memory_64k - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; ENTITY memory_64k IS PORT ( clk : IN STD_LOGIC; addr_in : IN STD_LOGIC_VECTOR (31 DOWNTO 2); data_in : IN STD_LOGIC_VECTOR (31 DOWNTO 0); enable : IN STD_LOGIC; we_select : IN STD_LOGIC_VECTOR (3 DOWNTO 0); data_out : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END memory_64k; ARCHITECTURE Behavioral OF memory_64k IS CONSTANT ADDRESS_WIDTH : NATURAL := 7; -- 2**X = NOMBRE D'OCTETS DE LA MEMOIRE -- 14 => 16ko of memory -- 15 => 32ko of memory -- 16 => 64ko of memory -- 17 => 128ko of memory TYPE ptorage_array IS ARRAY(NATURAL RANGE 0 TO (2 ** ADDRESS_WIDTH) / 4 - 1) OF STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL memBank1 : ptorage_array; SIGNAL memBank2 : ptorage_array; SIGNAL memBank3 : ptorage_array; SIGNAL memBank4 : ptorage_array; attribute RAM_STYLE : string; attribute RAM_STYLE of memBank1: signal is "DISTRIBUTED"; attribute RAM_STYLE of memBank2: signal is "DISTRIBUTED"; attribute RAM_STYLE of memBank3: signal is "DISTRIBUTED"; attribute RAM_STYLE of memBank4: signal is "DISTRIBUTED"; BEGIN process (CLK) VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0; begin if CLK'event and CLK = '1' then if enable = '1' then index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2)); if We_select(0) = '1' then memBank1(index) <= data_in(7 DOWNTO 0); end if; data_out(7 DOWNTO 0) <= memBank1(index); end if; end if; end process; process (CLK) VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0; begin if CLK'event and CLK = '1' then if enable = '1' then index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2)); if We_select(1) = '1' then memBank2(index) <= data_in(15 DOWNTO 8); end if; data_out(15 DOWNTO 8) <= memBank2(index); end if; end if; end process; process (CLK) VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0; begin if CLK'event and CLK = '1' then if enable = '1' then index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2)); if We_select(2) = '1' then memBank3(index) <= data_in(23 DOWNTO 16); end if; data_out(23 DOWNTO 16) <= memBank3(index); end if; end if; end process; process (CLK) VARIABLE index : INTEGER RANGE 0 TO (2**(ADDRESS_WIDTH-2)-1) := 0; begin if CLK'event and CLK = '1' then if enable = '1' then index := conv_integer(addr_in(ADDRESS_WIDTH-1 DOWNTO 2)); if We_select(3) = '1' then memBank4(index) <= data_in(31 DOWNTO 24); end if; data_out(31 DOWNTO 24) <= memBank4(index); end if; end if; end process; END Behavioral;
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/LDPC/Q16_8_ROM_iPos.vhd
1
13234
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------- -- synthesis translate_off --library ims; --use ims.coprocessor.all; --use ims.conversion.all; -- synthesis translate_on ------------------------------------------------------------------------- ENTITY Q16_8_ROM_iPos is PORT ( RESET : in STD_LOGIC; CLOCK : in STD_LOGIC; HOLDN : in std_ulogic; READ_EN : in STD_LOGIC; OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0) ); END; architecture cRAM of Q16_8_ROM_iPos is type rom_type is array (0 to 576-1) of UNSIGNED(2 downto 0); constant rom_iPos : rom_type := ( TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(2, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(6, 3), TO_UNSIGNED(3, 3), TO_UNSIGNED(2, 3) ); SIGNAL READ_C : UNSIGNED(11 downto 0); --SIGNAL WRITE_C : UNSIGNED(11 downto 0); --SIGNAL ROM_ADR : UNSIGNED(11 downto 0); --signal IN_BIS : STD_LOGIC_VECTOR (15 downto 0); --signal WE_BIS : STD_LOGIC; --signal HD_BIS : STD_LOGIC; BEGIN ------------------------------------------------------------------------- -- synthesis translate_off --PROCESS --BEGIN --WAIT FOR 1 ns; --printmsg("(IMS) Q16_8_IndexLUT : ALLOCATION OK !"); --WAIT; --END PROCESS; -- synthesis translate_on ------------------------------------------------------------------------- -- -- -- process(clock, reset) VARIABLE TEMP : UNSIGNED(11 downto 0); VARIABLE ADR : INTEGER RANGE 0 to 576; begin if reset = '0' then READ_C <= TO_UNSIGNED(0, 12); OUTPUT_1(2 downto 0) <= "000"; elsif clock'event and clock = '1' then TEMP := READ_C; if read_en = '1' AND holdn = '1' then TEMP := TEMP + TO_UNSIGNED(1, 12); IF TEMP = 576 THEN TEMP := TO_UNSIGNED(0, 12); END IF; end if; READ_C <= TEMP; ADR := to_integer( TEMP ); OUTPUT_1(2 downto 0) <= STD_LOGIC_VECTOR( rom_iPos( ADR ) ); end if; end process; OUTPUT_1(31 downto 3) <= "00000000000000000000000000000"; END cRAM;
gpl-3.0
chibby0ne/vhdl-book
Chapter10/example10_3_dir/example10_3.vhd
1
709
library ieee; use ieee.std_logic_1164.all; -------------------------------------- entity testbench is --generic declarations end entity testbench; -------------------------------------- architecture circuit of testbench is signal clk: std_logic := '0'; signal rst: std_logic := '0'; begin -- generation of clk with after (concurrent) clk <= not clk after 10 ns; -- generation of rst with wait for (sequential) process begin wait for 10 ns; rst <= '1'; wait for 10 ns; rst <= '0'; wait; end process; -- generation of rst with after (concurrent) -- rst <= '1' after 10 ns, '0' after 20 ns; end architecture circuit;
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/ram_xilinx.vhd
1
362811
--------------------------------------------------------------------- -- TITLE: Random Access Memory for Xilinx -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 11/06/05 -- FILENAME: ram_xilinx.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements Plasma internal RAM as RAMB for Spartan 3x -- -- Compile the MIPS C and assembly code into "test.axf". -- Run convert.exe to change "test.axf" to "code.txt" which -- will contain the hex values of the opcodes. -- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd", -- to create the "ram_image.vhd" file that will have the opcodes -- correctly placed inside the INIT_00 => strings. -- Then include ram_image.vhd in the simulation/synthesis. -- -- Warning: Addresses 0x1000 - 0x1FFF are reserved for the cache -- if the DDR cache is enabled. --------------------------------------------------------------------- -- UPDATED: 09/07/10 Olivier Rinaudo ([email protected]) -- new behaviour: 8KB expandable to 64KB of internal RAM -- -- MEMORY MAP -- 0000..1FFF : 8KB 8KB block0 (upper 4KB used as DDR cache) -- 2000..3FFF : 8KB 16KB block1 -- 4000..5FFF : 8KB 24KB block2 -- 6000..7FFF : 8KB 32KB block3 -- 8000..9FFF : 8KB 40KB block4 -- A000..BFFF : 8KB 48KB block5 -- C000..DFFF : 8KB 56KB block6 -- E000..FFFF : 8KB 64KB block7 --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.mlite_pack.all; library UNISIM; use UNISIM.vcomponents.all; entity ram is generic(memory_type : string := "DEFAULT"; --Number of 8KB blocks of internal RAM, up to 64KB (1 to 8) block_count : integer := 16); port(clk : in std_logic; enable : in std_logic; write_byte_enable : in std_logic_vector( 3 downto 0); address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0)); end; --entity ram architecture logic of ram is --type type mem32_vector IS ARRAY (NATURAL RANGE<>) OF std_logic_vector(31 downto 0); --Which 8KB block alias block_sel: std_logic_vector(3 downto 0) is address(16 downto 13); --Address within a 8KB block (without lower two bits) alias block_addr : std_logic_vector(10 downto 0) is address(12 downto 2); --Block enable with 1 bit per memory block signal block_enable: std_logic_vector(15 downto 0); --Block Data Out signal block_do: mem32_vector(15 downto 0); --Remember which block was selected signal block_sel_buf: std_logic_vector(3 downto 0); begin block_enable<= "0000000000000001" when (enable='1') and (block_sel="0000") else "0000000000000010" when (enable='1') and (block_sel="0001") else "0000000000000100" when (enable='1') and (block_sel="0010") else "0000000000001000" when (enable='1') and (block_sel="0011") else "0000000000010000" when (enable='1') and (block_sel="0100") else "0000000000100000" when (enable='1') and (block_sel="0101") else "0000000001000000" when (enable='1') and (block_sel="0110") else "0000000010000000" when (enable='1') and (block_sel="0111") else "0000000100000000" when (enable='1') and (block_sel="1000") else "0000001000000000" when (enable='1') and (block_sel="1001") else "0000010000000000" when (enable='1') and (block_sel="1010") else "0000100000000000" when (enable='1') and (block_sel="1011") else "0001000000000000" when (enable='1') and (block_sel="1100") else "0010000000000000" when (enable='1') and (block_sel="1101") else "0100000000000000" when (enable='1') and (block_sel="1110") else "1000000000000000" when (enable='1') and (block_sel="1111") else "0000000000000000"; proc_blocksel: process (clk, block_sel) is begin if rising_edge(clk) then block_sel_buf <= block_sel; end if; end process; proc_do: process (block_do, block_sel_buf) is begin data_read <= block_do(conv_integer(block_sel_buf)); end process; ----------------------------------------------------------------------------- -- -- BLOCKS generation -- ----------------------------------------------------------------------------- block0: if (block_count > 0) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000c080400", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000d090501", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000e0a0602", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000f0b0703", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block0 block1: if (block_count > 1) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block1 block2: if (block_count > 2) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block2 block3: if (block_count > 3) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block3 block4: if (block_count > 4) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block4 block5: if (block_count > 5) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block5 block6: if (block_count > 6) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block6 block7: if (block_count > 7) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block7 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 8) -- ----------------------------------------------------------------------------- block8: if (block_count > 8) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000c080400", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(8)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(8), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000d090501", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(8)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(8), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000e0a0602", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(8)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(8), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000f0b0703", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(8)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(8), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block0 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 9) -- ----------------------------------------------------------------------------- block9: if (block_count > 9) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(9)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(9), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(9)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(9), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(9)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(9), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(9)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(9), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block1 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 10) -- ----------------------------------------------------------------------------- block10: if (block_count > 10) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(10)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(10), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(10)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(10), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(10)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(10), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(10)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(10), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block2 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 11) -- ----------------------------------------------------------------------------- block11: if (block_count > 11) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(11)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(11), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(11)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(11), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(11)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(11), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(11)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(11), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block3 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 12) -- ----------------------------------------------------------------------------- block12: if (block_count > 12) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(12)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(12), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(12)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(12), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(12)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(12), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(12)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(12), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block4 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 8) -- ----------------------------------------------------------------------------- block13: if (block_count > 13) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(13)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(13), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(13)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(13), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(13)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(13), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(13)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(13), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block5 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 8) -- ----------------------------------------------------------------------------- block14: if (block_count > 14) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(14)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(14), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(14)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(14), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(14)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(14), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(14)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(14), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block6 ----------------------------------------------------------------------------- -- -- BLOCKS generation (BLOCK 15) -- ----------------------------------------------------------------------------- block15: if (block_count > 15) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(15)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(15), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(15)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(15), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(15)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(15), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(15)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(15), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block7 end; --architecture logic
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/custom/ray_tracer_v3/function_4.vhd
4
2074
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mlite_pack.all; entity function_4 is port( INPUT_1 : in std_logic_vector(31 downto 0); INPUT_2 : in std_logic_vector(31 downto 0); OUTPUT_1 : out std_logic_vector(31 downto 0) ); end; --comb_alu_1 architecture logic of function_4 is signal val0, val1, val2, val3, min, max , max_out, min_out: std_logic_vector(7 downto 0); signal max01, max23, max0123, min01, min23, min0123: std_logic_vector(7 downto 0); begin val0 <= INPUT_1(31 downto 24 ); val1 <= INPUT_1(23 downto 16 ); val2 <= INPUT_1(15 downto 8 ); val3 <= INPUT_1(7 downto 0 ); min <= INPUT_2(15 downto 8); max <= INPUT_2(7 downto 0); compute_max : process(max, val0, val1, val2, val3, max01, max23, max0123) begin if(val0 > val1) then max01 <= val0; else max01 <= val1; end if; if(val2 > val3) then max23 <= val2; else max23 <= val3; end if; if(max01 > max23) then max0123 <= max01; else max0123 <= max23; end if; if(max0123 > max) then max_out <= max0123; else max_out <= max; end if; end process; compute_min : process(min, val0, val1, val2, val3, min01, min23, min0123) begin if(val0 < val1) then min01 <= val0; else min01 <= val1; end if; if(val2 < val3) then min23 <= val2; else min23 <= val3; end if; if(min01 < min23) then min0123 <= min01; else min0123 <= min23; end if; if(min0123 < min) then min_out <= min0123; else min_out <= min; end if; end process; OUTPUT_1 <= "0000000000000000"&min_out&max_out; end; --architecture logic
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/uart.vhd
1
7912
--------------------------------------------------------------------- -- TITLE: UART -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 5/29/02 -- FILENAME: uart.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the UART. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_textio.all; use ieee.std_logic_unsigned.all; use std.textio.all; use work.mlite_pack.all; entity uart is generic(log_file : string := "UNUSED"); port(clk : in std_logic; reset : in std_logic; enable_read : in std_logic; enable_write : in std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); uart_read : in std_logic; uart_write : out std_logic; busy_write : out std_logic; data_avail : out std_logic); end; --entity uart architecture logic of uart is signal delay_write_reg : std_logic_vector(10 downto 0); signal bits_write_reg : std_logic_vector(3 downto 0); signal data_write_reg : std_logic_vector(8 downto 0); signal delay_read_reg : std_logic_vector(10 downto 0); signal bits_read_reg : std_logic_vector(3 downto 0); signal data_read_reg : std_logic_vector(7 downto 0); signal data_save_reg : std_logic_vector(17 downto 0); signal busy_write_sig : std_logic; signal read_value_reg : std_logic_vector(6 downto 0); signal uart_read2 : std_logic; signal reg_debug : std_logic_vector(7 downto 0); begin uart_proc: process(clk, reset, enable_read, enable_write, data_in, data_write_reg, bits_write_reg, delay_write_reg, data_read_reg, bits_read_reg, delay_read_reg, data_save_reg, read_value_reg, uart_read2, busy_write_sig, uart_read) constant COUNT_VALUE : std_logic_vector(10 downto 0) := -- "01010110110"; -- 80MHz / 11520Hz -- "01010001011"; -- 75MHz / 11520Hz -- "01001011111"; -- 70MHz / 11520Hz -- "01000111100"; -- 66MHz / 11520Hz -- "01000110100"; -- 65MHz / 11520Hz -- "01000010010"; -- 60MHz / 11520Hz -- "00111101110"; -- 57MHz / 11520Hz -- "00111011101"; -- 55MHz / 11520Hz "00110110010"; -- 50MHz / 11520Hz -- "0100011110"; -- 33MHz / 2/57600Hz = 0x11e -- "10101101101"; -- 80MHz / 57600Hz = 0x56D -- "1101100100"; -- 50MHz / 57600Hz = 0x364 -- "00110110010"; -- 25MHz / 57600Hz = 0x1b2 -- Plasma IF uses div2 -- "0011011001"; -- 12.5MHz /57600Hz = 0xd9 -- "0000000100"; --for debug (shorten read_value_reg) begin uart_read2 <= read_value_reg(read_value_reg'length - 1); if reset = '1' then data_write_reg <= ZERO(8 downto 1) & '1'; bits_write_reg <= "0000"; delay_write_reg <= ZERO(10 downto 0); read_value_reg <= ONES(read_value_reg'length-1 downto 0); data_read_reg <= ZERO(7 downto 0); bits_read_reg <= "0000"; delay_read_reg <= ZERO(10 downto 0); data_save_reg <= ZERO(17 downto 0); reg_debug <= ZERO(7 downto 0); -- FOR DEBUGGING PURPOSE ONLY elsif rising_edge(clk) then --Write UART if bits_write_reg = "0000" then --nothing left to write? if enable_write = '1' then delay_write_reg <= ZERO(10 downto 0); --delay before next bit bits_write_reg <= "1010"; --number of bits to write data_write_reg <= data_in & '0'; --remember data & start bit reg_debug <= data_in; -- FOR DEBUGGING PURPOSE ONLY end if; else if delay_write_reg /= COUNT_VALUE then delay_write_reg <= delay_write_reg + 1; --delay before next bit else delay_write_reg <= ZERO(10 downto 0); --reset delay bits_write_reg <= bits_write_reg - 1; --bits left to write data_write_reg <= '1' & data_write_reg(8 downto 1); end if; end if; --Average uart_read signal if uart_read = '1' then if read_value_reg /= ONES(read_value_reg'length - 1 downto 0) then read_value_reg <= read_value_reg + 1; end if; else if read_value_reg /= ZERO(read_value_reg'length - 1 downto 0) then read_value_reg <= read_value_reg - 1; end if; end if; --Read UART if delay_read_reg = ZERO(10 downto 0) then --done delay for read? if bits_read_reg = "0000" then --nothing left to read? if uart_read2 = '0' then --wait for start bit delay_read_reg <= '0' & COUNT_VALUE(10 downto 1); --half period bits_read_reg <= "1001"; --bits left to read end if; else delay_read_reg <= COUNT_VALUE; --initialize delay bits_read_reg <= bits_read_reg - 1; --bits left to read data_read_reg <= uart_read2 & data_read_reg(7 downto 1); end if; else delay_read_reg <= delay_read_reg - 1; --delay end if; --Control character buffer if bits_read_reg = "0000" and delay_read_reg = COUNT_VALUE then if data_save_reg(8) = '0' or (enable_read = '1' and data_save_reg(17) = '0') then --Empty buffer data_save_reg(8 downto 0) <= '1' & data_read_reg; else --Second character in buffer data_save_reg(17 downto 9) <= '1' & data_read_reg; if enable_read = '1' then data_save_reg(8 downto 0) <= data_save_reg(17 downto 9); end if; end if; elsif enable_read = '1' then data_save_reg(17) <= '0'; --data_available data_save_reg(8 downto 0) <= data_save_reg(17 downto 9); end if; end if; --rising_edge(clk) uart_write <= data_write_reg(0); if bits_write_reg /= "0000" -- Comment out the following line for full UART simulation (much slower) and log_file = "UNUSED" then busy_write_sig <= '1'; else busy_write_sig <= '0'; end if; busy_write <= busy_write_sig; data_avail <= data_save_reg(8); data_out <= data_save_reg(7 downto 0); end process; --uart_proc -- synopsys synthesis_off uart_logger: if log_file /= "UNUSED" generate uart_proc: process(clk, enable_write, data_in) file store_file : text open write_mode is log_file; variable hex_file_line : line; variable hex_output_line : line; -- BLG variable c : character; variable index : natural; variable line_length : natural := 0; begin if rising_edge(clk) and busy_write_sig = '0' then if enable_write = '1' then index := conv_integer(data_in(6 downto 0)); if index /= 10 then c := character'val(index); write(hex_file_line, c); write(hex_output_line, c); -- BLG line_length := line_length + 1; end if; if index = 10 or line_length >= 72 then --The following line may have to be commented out for synthesis writeline(output, hex_output_line); -- BLG writeline(store_file, hex_file_line); line_length := 0; end if; end if; -- uart_sel end if; -- rising_edge(clk) end process; -- uart_proc end generate; -- uart_logger -- synopsys synthesis_on end; --architecture logic
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/ims/to_trash/LDPC/Q8_4_DECISION.vhd
1
720
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Q8_4_DECISION is port ( INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0); OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0) ); end; architecture rtl of Q8_4_DECISION is begin ------------------------------------------------------------------------- PROCESS (INPUT_1) VARIABLE temp : SIGNED(7 downto 0); begin temp := SIGNED( INPUT_1(7 downto 0) ); IF temp < TO_SIGNED(0, 8) THEN OUTPUT_1 <= STD_LOGIC_VECTOR( TO_UNSIGNED(0, 32) ); ELSE OUTPUT_1 <= STD_LOGIC_VECTOR( TO_UNSIGNED(1, 32) ); END IF; END PROCESS; ------------------------------------------------------------------------- END;
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/custom/ray_tracer_v3/function_15.vhd
5
1963
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mlite_pack.all; entity function_15 is port( INPUT_1 : in std_logic_vector(31 downto 0); INPUT_2 : in std_logic_vector(31 downto 0); OUTPUT_1 : out std_logic_vector(31 downto 0) ); end; --comb_alu_1 architecture logic of function_15 is begin ------------------------------------------------------------------------- computation : process (INPUT_1, INPUT_2) variable rTemp1 : SIGNED(7 downto 0); variable rTemp2 : SIGNED(7 downto 0); variable rTemp3 : SIGNED(7 downto 0); variable rTemp4 : SIGNED(7 downto 0); variable sTemp1 : STD_LOGIC; variable sTemp2 : STD_LOGIC; variable sTemp3 : STD_LOGIC; variable sTemp4 : STD_LOGIC; begin rTemp1 := SIGNED( INPUT_1( 7 downto 0) ); rTemp2 := SIGNED( INPUT_1(15 downto 8) ); rTemp3 := SIGNED( INPUT_1(23 downto 16) ); rTemp4 := SIGNED( INPUT_1(31 downto 24) ); IF rTemp1 <= TO_SIGNED(0, 8) THEN sTemp1 := INPUT_2( 0); ELSE sTemp1 := '0'; END IF; IF rTemp2 <= TO_SIGNED(0, 8) THEN sTemp2 := INPUT_2( 8); ELSE sTemp2 := '0'; END IF; IF rTemp3 <= TO_SIGNED(0, 8) THEN sTemp3 := INPUT_2(16); ELSE sTemp3 := '0'; END IF; IF rTemp4 <= TO_SIGNED(0, 8) THEN sTemp4 := INPUT_2(24); ELSE sTemp4 := '0'; END IF; OUTPUT_1 <= "0000000" & sTemp4 & "0000000" & sTemp3 & "0000000" & sTemp2 & "0000000" & sTemp1; end process; ------------------------------------------------------------------------- end; --architecture logic
gpl-3.0
karvonz/Mandelbrot
soc_plasma/vhdl/plasma_core/vhdl/cam/cam_pkg.vhd
1
867
LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; PACKAGE cam_pkg IS FUNCTION divide(a : IN unsigned; b : IN unsigned) RETURN unsigned; END; --package cam_pkg declaration PACKAGE BODY cam_pkg IS function divide (a : UNSIGNED; b : UNSIGNED) return UNSIGNED is variable a1 : unsigned(a'length-1 downto 0):=a; variable b1 : unsigned(b'length-1 downto 0):=b; variable p1 : unsigned(b'length downto 0):= (others => '0'); variable i : integer:=0; begin for i in 0 to b'length-1 loop p1(b'length-1 downto 1) := p1(b'length-2 downto 0); p1(0) := a1(a'length-1); a1(a'length-1 downto 1) := a1(a'length-2 downto 0); p1 := p1-b1; if(p1(b'length-1) ='1') then a1(0) :='0'; p1 := p1+b1; else a1(0) :='1'; end if; end loop; return a1; end divide; END; --package body
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/fifo_generator_ramfifo.vhd
9
77825
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Gk/mKDmQ+4m4WeL/VwvsPAUh/FDtRllrInp3JZL3GnDrAFuOAao/AUuiK+UTgtNiRQ68y6JvB6f6 KILIssebFQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mj8v7QJ/Ray88Q4+G83GG2wc8tDWwtQvSmEdfgcDpgJ7b3nDmA8ZcSJrW+oDEu3P5tMfWiLfTQ1f /Cno7pK2OYKrMdINeRwpnA1SFN5/QPS3nTK0Fy/YJq+uyqIvHXupa5DbSJp5cetfSZ53fa60lRpB lbZNWz1QxQXv83Dvz4A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tm0SMwvWSXm7XZceY4yxMXR1IzMFbf/snVzftrcA3zXHp2w7X7+gIHVhXz1O11cDOlyX1Anmi6Z/ v7WOX5+yD/F0LSUbL7vIbp62eFDDR6TbptfvdGAdGaJ7O36tdFdMDQsAmv791EkO0N6jUyYnIQaO +Dnb8zcSlw0Q0ZfliSiihksz/o5yVt7tAMiiY/zrtqpZJpUKUOZieLgwdc9e6TZrsCe3k4PfAQZq pBehtWMxlRXURvLpz0iA7F25Vw/rBtHe+reEdlAxrgSHujAXiKQoABZ/w77k7h3VeYjZsF3MN+54 Ik4bxiFc1q1gCRT+X4er6+mGP7BQWGSd5NTZkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hXOCv8/N1dY5rgE4gSKedwm/Hj1aUQDF8jpMRwStrpxbIzBN24zdoJ/2jhR+KFfEazT6jc8Yuj72 ryax22Mluz8gD16M6j5wnJj4qo1p7XfsyoETMIBTFLS1UblhPjp5bmuP88xwNpkfDGqU+tNmFQTO 3lL/tKOLwmmj5Po4MyI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s/y4xZc8ocTMDDKVT/5Dthx0A7Wk/25ZkdAr9ECI4VuMaN86muIjHPBjz73NHZXOmuFWHbNp4b1Y CcOB/lMyjj6FmHUkkjAIX6p+RnC8OFC9y6Xo2OMPP2VJNgb1ivxeqikBSJ2aju3uUf+Y00kWImtB xyH8CwjW8FyrrQOfOdmBSychfnMHCeu6h+SYCGIkI4o/t/cCVunxfaOYAKw0VO7NH+ie4o2m0mc2 Ymo1D5r+6Vu1C176GelZJbYLDg4+t3Q2obumV4FG76CviCEkT0oVnQlZtK5F27Ec0nh3QgjPhtym 2hPFBVPaa7RxQB/64toB7zpH5awn1xH68s0Q0w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55872) `protect data_block T4d6on9rGs9DD0Tln0+M9RCygzB0qdqArRRMThH9pWu8t70EmZLYcGkfXVP7W12VlJcDTdqGH/L8 TqCmZOyIqI/jikZC8IBU72Kag473l9Hq69M1nwo+vHpe2nyYytVM+1w+alCrVYtRaK4H+byRiBq7 2Z318pLPqiDPochDxnCkpUxbBXpIZaNvrITfxqby0X3IIH2E+fhcZCqzD+i9MfifGXcvXhoPzmos bMdrRw93FObJh8RiI9b4ICdBs4leaNU720fPLxLQUJy6qspNco6MrUkeSPLJhBroZ9+YOKPetHa7 kG8Znt5sTptIEAevQZWG63lheyiZdVFW2wA+teAUB/uhsPI+Z5NzCzhOZ7EOR542C/JZR/Q1uJCP i6eYN5Gi3Hhq3mtdM3JR6FOKLJnOb/AqfSo5pAc59Kl32etdH6P7P0b6FemR9VgEKPk7LG3yvO3O i3FFBpGEJphutMJ4pIrVcSZRGqQRbv0mwH/ppKGe9gVbo3KeVntHFb8FKVYz5EozjuW3Ss0VqWNI 9y+jHULb05A+w27tZTwH/o8rnrmAOtuY7/VwEhLklFMyPaPKnhqfkF6P2K+bYC1QpdhgxoD04hD2 58tJk6D2/Wd0+97PKKW5Spt1j127vw2Zis6rsD0d9j8mNmlZlY/d1qzGhRtg5D42UOl5vMZ/MjhQ CfXhTmbd0lV2lwGyLOg60fV8j/CQjdtVWylF6xP9D7NkL8VdUQ2D30Hs6amUREtVeCiBVyoO1fQj jauMLPgst3epBA2U1HjwDLlJ/hdnUTGmRzGEO2FxojhFPbcnkJkynqAXw70ZKXUnOpInzmjbOhSw ehgYrxmxwVmh7rgMsofsHS7BeEMiOPFfTYwAxG0Xf8B0dtJbj588YhzymwoKPIvbcG45/AR7vmPF SKeyR2wX7jNmRJQdATx081eG5PFpnb3jqK50ZklVKboEJft18iFLoNHPB0xy5zY5ZU6y10dr1zC3 G64F+LZ1T3k4O/v9ZkFgDUWBK7PmtEiYyw/mXPmLHvD18iBPX10uQXAZ3tLVcnkrN58SMJaYmr2Y C1iwQ7UOwniNB3Z7KWtXKlFUKxT+en7qElGJoQT1EgMTXRRK/CTAFdF5o7Tb7ZpE7VfF3WDn+hdC n71vzK/ubEg7oFqghWSI4ZeYdc+2SaXDYTs03MVT7jn1GsE46J8udDUX/oK2KLChv0d6MBhcyHyV iW0pnRp8N9r5pI5ce8S6PiJiJ8jRwEYVT42LTmJ98ZQJrPap8puoeeG11SRiohEPI8X0c49TbT90 /hC3A+1QutZEHICkYulS5XJOHkkjt4s5g6DIlJyiQ05FN0cIKjvfTancygmOrMkAkPhkTpsLIyP/ wDYcPxFm1itPhHddt7Tod3fHrs6rXiimkGiGFVZ9TvlpXt2eq7a265vTrET221qdMdwfe0EWjarS cgyzhwD3MPUIfJPbnTiWPAPC6XziYCHGaS48k6t9H4E+qABScPwpFJXuoLjbKRIMp7qePImdW/my tJtUJyz7YQSBYJlMA5l4U4IZm069giYNvNulLhAaU1c5Odpzio8tIFEI+7wgnpgukjrcZPS8+TST Q+XzczTmgGGp4JMtp4+/tCDP2qRAyiQIiYD0nmHmfG7+bmOtc9Ubh7QmgkbCTmup68ZwX/0dYWLR MS/d+Y3VUYsvMX9TU0LrjGKyVwCbmQznIqBKgezbxrsLtTfU6anZs/8HRT/5FPw3QnheH0n3axbI nihoti+fX1fIrPPFMomRcddX4D7zZt8tyCfrlscx29ZaMPKVbjuDfAs4K7E2LFWXF7Rwna3vDnw0 pDclat2TakEWYWVXeobgKdU2C6BN3OEPWilh2QNmOl6IfvDwIXEYR+y9wWBeL6ybrOKQ0Pq+1Fh8 SwkZmgmli2fU7MbJA9g/lzh8EIt9rDXnJUBGnp+fq/mV8rV8njug6iqqQ7fq9rwR5mOImP7EoP8J rqakRgszwnkeowLSkU9HVTQ2hF11YNLG3xwtlbn6jl6E/GPbdDdrd5w0QQEmr6uVzkEWqL1CiLO0 kEJFwthBNwFTDdNF41L+X+Ql+jaXSx9ixYivPXWInNanXU9sLWuxtSH5oajgIfXoAv5/6005BZCE mpOK1hV1t8swn5w6Inu3WsKuV0neP18xD5AkgmTDZZ07gkASHB+9krq+1LXnd9Z7zWlTeuyvJzRt RN6kmY6a4iMXDQ2YVwPTuDO9vNfzPodng6u7Uhc6mSEM9pmsrdSzTjiCDsqSkrV2su4BZepLOKn/ R7Tp+oVQDHJ67SVG2JUkoaVDCXNmCSvvhufNggcbji+mdMgMWMFTTfRtO4BtNLKRWBJjADZGeb7I g/FUd10XNGp8afdtYScJ1OW1ezse8GFQrvm1lIKZMDv6DEIS06p1jQ8aw1bXc9C0IY0aKJ3nv4F2 PBZI92O1jItvnQZZ1XkjDOtIT+3bt/zhyhJLd0IusOielUvVIV0T/veGa2LvKeilWvODgAVsxaIN DYs95tJrIRzXUY+EcrEwRbIFT/iq4leMdn+x0DSX49nH9Ti0Rfl4t8fBgqEFN3YlS8C8AKy2fqCB FQ3uIJbn53b/N2q9yjO4e8FRAHkARLOgBLM0biaJaI1aRa2AI3vVawDIhLJwUED39WqXv1FXr5tq mugjMumRn+aIyv2zLxaUuXvmVncR0uEjxfwv7UVFegc2/KcjvyV2/EfVcgwZXY1fA85jr1sUjpiE qqDgYfltc2SlSjwKgOTROST0S4rBFBCNkcjGprPx/JaZtXs+ycuJaQspErW7flix5TY2UYg+5Eg9 dVd/aCYCiXUXGCem3C/t96uI74sXzkh6T6ki4XladrhcEjBbkSwSR3GtE7uZleI9BWHtz8OHbpJ3 aiQCgNLhGYlLbIPhlQCTQtirkCzW4qu0had56MDcTKPfX20tlDYNDzzVl8bVW8HzdHzAUxcsMAFG g5zLir5MKrx2nrYTHoT26QTwXy68886gyoCB8DAAWA8y/fMpo/zUk0TGzRackKUmoVAtNfC6sgce LKyUa8XY0qnzX3sxs1WmaVS7sYixGKWTgXq648Q81tsSWGsWmf6w+HmdAP7tNVc/ZpLpoV5/rFmh p3mhVw0BR91ucYdBspvCh/VkOZHes7YyI9wygjk7DcmdoYltnua12n/FKHKa2Bb1/6uoTHy3/m3h yh7l4/5ph+FH52/Dx1q34jEYP4YQkQhdn8U/WChw5IkP4NgdvlD65kjjvXXDebOuDt9wE/jejulk d8GqZoJKeHoRat4iCKNrkTLBeQzv32xAcvgQYZ6LtQk8VlYhb+KV/Mu4xun5IJQ3IMcr8UHbhU6h KYCuzAeuDeGG+uA5x4HR08xJkN3lwkjYqoI8P5CApmvZKlmQPzExRjJA8jNegOf++KBW+WdPaeKl gRydZvT8Vd5mAEQwCIsVO+16lAOf4lmWS1VbM0wdqoO/k+b96GVFB6x5YEp47Ot3y5XqYT/RRmOV Q4b2Us7vhwH0Yzk92/w1Dxy0OfBOxMlImxpS5c4VyowmRim07Bg4lXBke3TJKd16JIKYEln6Q5LW B/kZd4AXXu3pB7A+Px3lHkrLJx5kn+l5uomVk0Aztzo9hYqmVe97XNRBm3HT3PyRh0uU/dzKd+Jg z+1aDTgLPLVjP544XrtUgihOfJamM6XMATdQLIEhytPN8a1ePbqJlyNWL09csOoHm+bnGKNyIPRU jRIebADz18yJl8RsnVFLztbjDs6DRqfT7fh8Tjas8Y+PeuHvZ+uiZp/bHXJR9twQJQbEzv5mqRqX 8mVR6+UrlAZIjZzOM6JfbVpyB0bCUlBuEx9WQ4SqQp2o0qafKayFBfGTelv9rgxjOyMxXbh97gA0 //hb+HRRev5wf6Gsp50YOq9YKnFt+e39JFDXToni5JMtX4X47R9oCuqLHCPmVrxfKScSzMTbwxgR uSV1DKjIvJOIJeMKgZI3iSLUUVCrAXUML9mHsTFma+QFrEQTmcx+DLNlDrI0qvrVoEsnJCy73H9p vz0RF0FpBu1z9zvglu31/1l093OSNg2eYqhiC6p22eOfVr3n40v/APXTWZ5MAEZ8612EybqY19k7 V69UplIZcHeusYw/pLf6e4rRl8gXm7c16/LsF7xMmQNkWjsvauqXx6Wr95bQPsOrHiSuEC5EW2gp QDY8u8cNbCvbrGfmJpqVIXW/jSq1P8CGigMlVjky7X/HfnYa+3TvqLsQkBAMFU3M647pZle0U2h1 WXeeojxWd+ZC5UlzxqTZ5tvA3nMik/QqE2eRZR0ARzWVLphM9bL7uyl0Ghb93QwQrB/J94sXNuQc bM7giVHbQTdeTxF6rnQGVbXJJVxjBCVM0TXN/U59Jgft0WRignQQJqn1qAfhyTpVi9/ZHVSKD1+l ahtTlIA287JGoQvyhy9PaQ5jrdI+xf4rh/yooFQB+IW9fHKgGboUu/O2bSz72rzOv+Ef6vBEq6Fg YFFP+1qHm4Dj7jQTLCayBjUKpCyp625TylBrzusqYy1ghQ+lGZuGqb0bECT7dl1YBj1328/JFdKG CVapF5U0Ak4+02oGXiNxE2KhUamwO5GQor2DzTy8ih+NW2VYRdYk9DIoSEYKGv+2GC62K9HMEj66 dSd03g2PvPv3g8LZQusNkvK0D+C9/mEeHAUp4tCZBJ+SYS6VK+X4rANj/xYFJnaYFPnU4FnYyeZk CfXxj5YJdxSWDtWmQYtPKDOYp8Z5v4bDdekAldbay+dZBDxS2RlhWHDP8oUGdO6V5CtdRSFLYEOY eQIdX6epf7DjkQRCB8quEsiMpdKZlRmckDCqAPE7/UOGFjB9ew4hQkCSEVZ40DkgtnT9wph5zbU1 ZLq5sNK02sG5OHUIQEwu7IjbeN2GdYDRnkx1fu9ttpvNlq7hTSg0toakf1ORVRZ2G7zSllYp/KeD rMKdtIYmkBe+w08WFNPtS3DjYgc2fe4x7ucGOsclFhQREWSQ5Jub+LRvG8AtQ+WajeBvW8mShLQb Dv8WWjtMcxzci1OrDkchW1A/ZXjj/AJh7t/W4Qcjdr84ia2XiAvsvsY0YIyPLNWMbXtr7ZPiPX45 shz4zrPVkDMZjLslNfwnTUtlQ/Mo66/eoBoHFclN7tc3oMJNtjM/YIa9H1dwi9qqrB69PKcBjvfc kGyUAAIbGAJL3Zk/IhDei6jON415+0/pPBvxHQemLy5kzIKr4T5ufXd5GTtSMIzllwWHS4IA0s/3 nKtMAb4L0namS3zw1ekpkEXoBJi1PYYUmn/1MOxaCMCDjIhFgybd9Z/INZKgBWAAoP+VxNYB37/l 03zz3nRxiohL3mXQ0bY6Qb09wLWG/qzo9mdSdegSQW3kRKQv2jnZJivFWYXs3j3YXuc6keIunQIO zX4jEB1920TfocVsPDua0X36b6VaaU92l+yrHv10rJs5w8l3aF2zt4QtyEZFrjyuzoYoIZGLIPt6 ecDRxkvW7mLBxWf+7ao7z7pRunt3GvSNHw/nD/UEZ57JJvtmciayvA/TdfWiZZHW/F27wWmz8vGq I05ANugi6Su342goniDCz8mqHHk0IGQ2uiZ8C3a2AuBsyR6X18KZZC7VoKLqg83nVadn8fjYm+Ps WxMEIpcj42SRDep+sHnQ6YFpQQ9ZscCJCjNXwp9sXlLxP2p4bZ2Ymnn5s1Y6CJmfUHpTWYiFVJBN fNJFFgP9A8EwMtVxg0/00FlrC/roMQ5VTYXKg2rMnS31a8YyDI1+efWAJnQR6USKhzMGZ+5bd4yU R9egiiz+YV4d5VU7fPpEhHDefqDX2d+dQgfC7VsuNtAOdDHkZiZ/uNJx3qNsGuZYARHUl0tP2O13 F7ZAM70Ep9A6B9hrXdzcJwlAcwKi3WOUrymjorL3xsJGxrr1knK5+zNJjLvoSNwYtEF3U/eePaUH qKCrd7QRIK4xwZeT5BG3tpVLUufloWVtnTUhvOszS4vDlb+Gb/Ax4IDfye75+4XbsEl7MgE5QYac S4cwhESXbzC15QPfJaN6KrO/0khE2xxGe1Hr32bNze2LVTaaWO99i31hiOykDCbWOQW+BmzFD6CH FeTJTBf1bot2b85cTsjMFL97ISIdKspzGZho78ZVlIgNHedu4LEdqHmDSYnjeCP02c/KjHNvkIah evkp/6DUH3YeGuXTU4QOIJgh1hdCeuyc7eVG5iSEGuBIBaC3LPichYWPVlCBldBKYtbVDAcjvYfp VIsYuC37pqMbacsJly24wvskmaC2bGisrOT5WW8ll6fd4qFyu0mon/9gqMiJpfjDZP3S1bao15A8 bbw/qqloTJL8334+vnd4dnqd64ToSaXCao71Odf2ZaT27tIyiaMQG13iq5DOoFx3Ci+9TyaTr5+n L9f9O2JJ9qsQd59Ic/lJkNOLO3OB/TsI7dlZSQTAyHs6NdhZIVYaBYmjPUDjD9XYyXk369s8sWJG maAdi8MVwD6FnmOg5LCqt48UOqmteiy0afLKKHCuLYVwBZgRI8FJab+DTnago5t8LrJBPzjnh/7D fJTwBrtrGoBbiEzmUKATXsqzkocInzEOm2uXbLhI8k3AZQro6nsrQt25fCMYijQh5HvkZ/aZX9ut SB2Y0r7lBNUIJl2cq5jGFBgAol17CGsgvHv+42s53PXXcvAWMw5RxaFV0aqk2o+AAX0ZbEAK4OXD gs//cUtTpfTbR+JVI8c5YJwyRslm6q9RN6idzDjvU8IHKvPeGVCZANA5PFiWaTgNnVcUKCqvXoyS AbSpJvukoZZ5lH2zdtgz68qvvy9MOk5jL36A5o26lSpEsrG56sPHe7YA8xmymVe0D0V3WVJjKEDm pg5v64TzU9309YLH2nNgPB07zWKbeT3LUCTrFJb5LGgV/pxF9/5k/sNprsod1Lpq12tofFAHpXGu TR9LzAlRYND+BwNruqjxF/jzB0+FdLc7il53oTIogn9Zd0BeeVU/zEb8Xyr3wORnaHdiEQaCtiv8 ZnUxW6pKdbTqtElzdN56en7ofZGzTwKL2zNR9srcThCJ41hIdedpHrmiXuhHu/xoR4EMBpHe5YMT PCq5ciCV6qxicWnxgfvlCb3FaEc6lU5tk6zgC6PHzh/DCfCrbSJ0xDBM6FCyQn2o3tFVPFBeNSLG VONbbNjYaOGuQm7OdxNKrCJmFWbTtjgn0n8kuuTY21Pg8cHNKj3TqPLJMwZqOuCbHScCWei5HRoO +tN1bRo5OsELBWSXNv6XIDAPU3yvoE6S61649oQ9x8eN9hP7SM7R3Edd1rder7WtzKsM1NCUSiS+ iRf1aDyM37QkzEp6aaIWl18AfPEro8AAYxOWwUiCCr7pHke/4w/rjJto6pHW4tnfGibMHgWFPAsL uOR988//b9ns/aN9fZ7Vk9wiCXNSfW/S5pRcNt9TZkylVku0SpCuXhgTXqUyqMwBlMfBNIptnmQI piXel7K8/caL7+PdOPSWu+sEPP71FkkvgWuN50IMqYY2kjsLVG876HHiULe3qeM842o3wMNfZtfT Ain0m0biwehVjOezNtZOA8Z7u6qaLW7rhMk0z9xH4nAZRbty9NW3/9gQkdCGixi9XLbLCCeSQsfX 72AZp1I1hOUvi1dX60hO72+qjs5lRWkPOYI4FgGqYGZCJS8BFJSqAoRvsARKFk4T016oJ9BdQ/h4 jcdYut8qUXKpJFy20AaFCY1o0b9IEoQDsxvZeuxAlp9b3rUeeaVlRJUVhBcm1EVhPuot6F8KMUS1 K/2MOnxENYW+AOvLP72Y4VXUdhvsJlocARPkaU7FPAu+M7SBDC4ZaCf1w0PxnkrSu+jwnc31Kzz2 iLJ/C/WeUd0+X9the03zbkfbO6BaQtR61O111hUDOE6R0tR7xjU5qrlVanBLHlmZsqHfxwjy0h80 9DbgMl66JOzwP5PZILP8m0Y7U2RfdamE1vzKdIQPiUsAlwlIZgf3yy5V19GdS7IaJvsmSfiDJ0ZM K5vU8LcUkLD38JFEeTQTiLvm/Jwpg1TuYOjfHke1wCwQqrClyx/OZfIYSUgHlYBAozk9RDblfo0t lB3v1Bx+VtE+i3ooet5XTsqKcWNBEOLZu6faBktOEBg54/YJqjQFKDmiuq5SzT3jANAgi1e8ZPu3 frF6d4DsCzeYapyAPsdE1gpxCo7VqSFI4Fu9VHcUlqIHwGB4B4pA5H1RsFCMsR9ezXyKzdCKPWR+ GPyDMYDvSh/Osh2He39NNkaRfLvis7+0yeUESBkgxRqCFdO9wzOBIVtKpWQx90JVlnCuGHSyveni M5tl8dGtfb5LrJRJf/tbkyXW++RV+Q780azZ3Tu7QAxpor9SBcFgzGj7O6eEqS87OGVmZDTCGzrY NzLK9YKhsypYUDLd5PVQw9t3XBDbC0Eg7zWp0c4UKuuDRLRsl3RP/np6A1mwK7chKg/bsLt3mW5B c7/EihwL5lAnnq+t1SUJRDGAPVD37RfyFrE65p4s7TA+fAfrlieOzcGj1/w8BNDbEF8sthlmMyDN vopL56c1Lv5//Ymr2mYyh/hFpi1NZHlczWsJRiY7dHYh6hLdtmnzoWXBWohZRKJ8WIYh+vvlBHhU McEwOByhX6+ePOch2Gei/dt6qXzhVz9h5JkKgBcPNxAh8z+OgmxMvBS8VKDJNGya+GkmvUH0lFCs rk5gZxoCqU1YuhWFdeB7Txq4REnv+CAsed9PnOMd9KdEAiWconftxgiTPtORmLMDsp3T2gyPc2Pb VZ4sJKsUP6C4nj7qmznz2l9TAnCPQ6R1J7K/dyM/FDSPbYYl/VzxzvPLwRe8mtjOsOvON8iZid9q V5BqYZI5q2KdOxQaDYpT6f7Y8pqp6ZrILY1HNwiQKHSkd84fymllFPbOEl2QTZlSR/zV2YGc5bOS w5k4Z+mVxfJjMbHqPHd10Yg0O4cVcncgSW7pbCHTiPh6tu75y3F2JaNXU5FZ9OtZyCHAjOCR8AU/ LHJ7ZzLNLyQiK7/Vxisp6D99a+V4RSuNinyRgIPmrNBPRE9pAFhrkZZP4a6d4H6N0NzYXhEANeke a5ABblPmGDspT8D++WXc6oLI1Fpzcy9mMy+QORYxtV4098WWu3JEPbEEJSPndMvnzJXv9k4aOcQF TNy/2f25kT/I8ZHLLZD1t5GnGAgth7GvmrE/+RdNkZP2gu8zsRxmX6H0iFn5tM6yIuQ6aZN7LV8U WtHf997OVlWb9Tg3v6j7ua7pi74r1QMDbAD30RFWmzXY0I+qr73H8BOfZdIGQIwtouIyCpgntZVo t4zSzWKxAk0Eo/kQI04DGin3uRjyF8IafaVAXnVNfezoVoDxpOmEcXNHIZwEm/wjUgDPHdrzshWe L49pjH9v4fio1n6Hv7eGBwuges/S8MdNrhT22GsdIuvagHXV+cT4IM5MishFmEBj2UtpRC8NjcgD rJhGVce0gT68SjGDRnLyx0wUnejIW3GN23+0t3tOEfgdaAXeKuS0rQ2/sKxcV1hBu/WHtlLO/hPv ZvRt03K8wXlxpz/9GVtR3Iwr1KBr9x8Qy8nycqHvG8lCGdcFvzrM9RQzLUmaxVMoMsnvG7aBOBVn NKMQ8KkNK79M2Rr0hPY2BL2JXsq0ANW9P+YlJoXbesmaRE2Se94OhGy0vF7sME9F+6r+Wf2QiysE TlYsR7PJmDnruPzsAdH/khb4xoYkbvmtVEP2MC9tq9ZdffznXzLaEElFwTNU+hzli6rXvY+cZa5M 1TP6Ssj2joAIvhYXlXo/o2HeUhE5hgh88bX40KkxfxDFfog0BXjqz02ee2UjGnVj7R8aQSWCVT0J 7U+NwtrEY31cF59hXBQFJmnTyt26CbpW1UKCE5FLQeoxMrZ9WhV0eqsJsDVdTl5dVFd18XHiICHp n3jOCQE9DX8Zdfa3uelojLMAycEpbkVUK2Wj+kaJOIta7HRDmf5L+7PFwJ7K5v3R+OjUWBvpU/vm u8TXsftBctI0Nmx+bn5CEdbStYr+SMHGyHzp41HNtbHT1n6hvOHPqXXCPML2F5fRGWLLXwwX9ZrH CIHbRraZXVE8wEvWADy/wv0ZycQrrZIQue4WeBhdBn8TR/Y5Owthk6VQIFAXbwzhIvKEgXvYk7lA HjoDMTBrxhWOYgK+VpUUNagBDKcwOazoWP3YtTEoAQlL+72oJvTBrbxsz5uKbSv9Vud+QfVuiQjT GoaDNNFmpZ9XoF02QbEz84ktQfZzaZxvGWP9B73ttvZEKS748xf4Mz7CKC16ao908FuuJNBkEjVP VV552Ey+0te1KIcq1+LyC9qzB/v+yOmXiEdQ+ZI6kzvB0YIfZsNb+dZwKjiNyah2PwuCkF60jdLn zlZXxZ2pRvdl3rQdviJ0PZUxGmFGtGDRav+gfrSAOHFhJQTJbJqvGPYV7xlBK+dRq1UlKX6VirMb 3vtwIcGjrm2rOL3ohWRWFThSIMXMjiF8t+RNqkfvSiIharcZ+NoHWI18UhxepwfBdmgEQ0vXUvFI ANGF06fGwkqvrk1pBa4a2uxmJQMmap0kYG3feeJVJ+YM7Cy0ELegIk2adL2H7ba8IFDM3PwranTq uFJ5EyfDTtAJP5LS0777jx+mzwtJTRBVfYSu+zYNNxavouhMo038m2WQSIFisrMb6ZZDZ0w2LXQw d5L0qIJlU2eazNRGi0SJsL25pNQ50OK11j491Lmfu36oXB1/0CDyQnUd4+7kD8BCqyUjfkLsYUAW /ffk1U+HkYMhbDJUia6IrFJzIp5DwmoaHc9Mir3RX31qqzENpTiSa+cXh/nKGAafM3PeSw+zACOX keq/UfSk8ShUizPQ7HsVY6A05JiWboY1klvak9nB5rGAGp6wDm9mHFLAUCB+PPHoNoJZHYEjFaBO yGKBdTEIdde5wLPa1BoXalnRkHU3TzIXSU+On4L7x3q2bpOHe4DFPz0f1lvTcQgMZqJEm0U1rZA9 YaaVBkBKd3yKL1KGRhFRnmUAqnCC9fIOCZr/xKsYTr4hOYOU/aNZf/jzXJ/RVhoWonmVSw9NZZcq Umelr3fVL3aTCcEcV+XvMHl0/7mgCvEqJhodDdAFVoUmMZOFlfrVeBwWpIIDDP2GAZQIVxcmToWN /V3DoBe2qOy+nNNB21xfK2NI9vl8/PsZLGiRasIfFfRhGdIrJZXBRjz8FrhYG0zT/sXJfI3cT0vx /muzLAKWJ7KQvZD0Au5qOS/Vs+eBZvNN77TgcUt0WeP3rEu7jKwFPbQATSLHtg6Qfk8a0j4PO7C+ +Qle7KrXi9hwE6yLBY92g/m2CwdLELS9OLMSwgYgHXoCvquCAK1EwzcmHagmEUjjmGQIS+Mgv2si Ti10SyiqcpLfTIrxPSPuQXKRjOeanX/7x168KN4p40xuCDbLCrBpaWyLQwzI8RxOdERhM2eC63By c6dhOa4t/gXetuy/fNyb5h+AzpN0PPxJDyywRRlWNlYoREAtbarmEj7jm1utqnqdFvbv8iZ0RW/Y l9+dyhX6XNzah3groYq6ELptjh/PwKg86qJ+zBiGdLh5oukGLuPhXFB7J1Sg2a0XOzON0OFBS0tf m9qBLUugSMhiUQOlAadmLfIbvlefQq3CUCbhjEa+DfReNR6kJu2wdea+8eTropWJEpvi/FTxNpWW JkjXmRUPJblezgCPhIKQ3nZ/L1V3j/drgDCAqlddnRMer9/aSly9dT232p5RhPOsaiVjxre1glAj A+2lZTq5u3iD0cvjEnxhUHrxHRpkh91wvKtPL5x9wzeKHv7diKJKZr+ZgOc1hKz8PAo5l1DqLdDJ FpQaJ3FOymXirZgFZGQeMLOlAtNh6NtyFYXW/AZpbs1ETR+QiOwRNmCJx/JQqaYAtFN98GalB0gz tmM/P6XQFX1f3qszcgFuf7V8vl/KnUnOBRKBbHUALW+eV9NTuXM/Slg9Lz5R5g/kj4pDIrjr88oY VBdunBelvaB4IY7+ajiN/ZhBCfQ+OQJfpvFf0tMfpuemDKSSDmwYIe8+TxvY93ovHgNhld7Kc3i4 AV2XIgM6oqsTr+UCr32u1CG2zg5fJAcprt9RhTadaH66ZaYM9BQkBG6NJbBQSfPLaAyQtqWL9mnP xcw85caFVUA/ZepxphYAaHtXCbs4R92xBI0Xb2N8xFBDBMy6j5djxXK8NtkzxJnfM+9JvOZw/ZKq WkHn97c3tvprfrJI4W1zqdW2r5ftD57KUxUnJT9LouEb8yAm2fiorwhKL0Rq04AgUtqifhMltUFL uDPpEV6EPeaDRzLruqrUZgX8HysmXM4zq5s263eR2N79jPo9UHNVC6mAO5cj27/6/zhKMNtkCNYg zeRzEQCUZ+JdBEITYzYWMNWxiIIyBCeCMl6Oz3V8i0x5K8Zld9Me8Nwd1c2V7+1QcaZFssPBKGhG KQQm5rKhKqzXKiNHYOwyBqpGqnSoR7h+UrQAV7NynfTbszOJC25cV+rUJlR7Wkt16DZIcCSKaev2 BXjhlHWZ3N52NPT1ZidbRfJ3kAsH4YS8Zuij3JHXcR2Iixmd1M6REmZYI4h15w+sSa1+WCxTXpVV NBxkwWS46ot6FfOkuQeM4zpMyjOzgKwdU25kC7YWfBCmiBc+d6XKvu4oHqLg8EVVAWOJazbDzrUP AYKJ+UU5IeuhjO1PGONVpMfdXtJjNeRnUEzbXepSvz4cDMsApRRggAPPcCQPAb3la/zgf68XG5It 0yPPH4CWnMpq7qXP3xOZETRyhLVcnBIykorkV5shsWdfI6L6ZSikdpfWpG4L58BAeoRXfyIxmQ90 Pxbf+AwKUGpt/L69DmXBjgkcNhx2jTLYiZe9ec9g26KmHoPdTvC9F+VY2xrkqqnV2dNO4yBFQH26 t6lWerYWAG8IaKO/YRxYH/6iAUVaQxHsIkK+QltrafQDCiojvuVbRsSOL61RH+3kBvfZn/PPXrKZ u0BTbooA86QR83I/SGB2tzYZbISKROvgAjlq9uCAAu3HM2TzD8i8YFEQeSr173s+8cT1STTCg/ba nW5j0kNVyuFZYmNOH9sHBa/DRJZbLREFJvxT6GZ+T1aC95G6ktAquMUAT7n1jzU+UTULBZLG6JVa CHwAqO1KI65qIQ29vuxCCHkqduj8iArQ2XY4IRqC088eGduT6b7Qly075ByAnc6SI/ju6gSR90Cw FBOkqkE/E1DX2q+2uW61jT8m2kq+UswqQ5cyeX2HD+XiPQmBdt1A463Y5CAEtKuVT4JrfPc3nMHI YUC/9B1Amd6vypgOyPo3/Tr43X3Wu1G9KR4wTeJ0dPT+oLRwMYyCoTYF+9+QMCMQ+l6VR5hgMreu k9yoxefB0N0Eaqt9gz6yEKKUt9b0nOHW6iQLzvhx6NnrS9gpxR9ZwPaIMCBO6Qk3l2E4Jcv2KmMF Pv6uQqQ7KGHLfAX43Y6vzse0qm3AmLF/35y3QhQFpfgly42Q6mTY5qCYwlfjg+M7YnYnesSKJLyG xdlGTQTvxO/tUnkA97fqk6AHkFusWl0QusDTHUyHK7wPHqmf5LmhnOn5V5WUWuJ61j5uI2K6DBR1 8wVmQJ7xhGmT7i7ZRGilI1Rcz9AAwKl0vVoUwbvfSQQVGLHSnvMuN2zp/x+b9wcYNxCpYDwRtq8b krfRgzJ5THvvT6Rq0foT6N3lKPlMSDPleAkjIK6WlTGdzT3C0vEsLU0QeHZzsNvm5867bwfl1ZA7 wzxB6p2DsTVzJhtgJ8hZKJHOQOuFgPLElMXD8pb8J752o6g/o9gOzDj2soy496GOl5lciDzFo5tj SVOZ0nWjl6XIRYFigXma51roKFnuXHQYnwd67AIv1v1JWuO7NBpX1ePCUDiGjGGRmGMPqwgd/KIN z7XhAS1Z70min2P1jRBfTdhn+SbBkHYW8f+uLMNSn8V0ME7Ob3dKyUOUrAweWMSXhntqtfPMGVRK Hm4dJ2hQXdkqVhnjbc5mqTXMK72o3cjD86Vm5MHz3ijf65zJ4Xik1IWF2r5pXd6XPUiuTdq8Yf4C BfrHH2zm0RFTId9dYFDEcdYqjOLD3LpkFWz1pwpBmtE2KwFr0UmYRykRudNJN5ZOK5EZc0StmAcW vnSKECpDpEWtuCe6POVABWnjJ1R21Uwbm8wOeMU5xV10MnurD/4cG5QrFbP1vxFAtC4tvMAgN4HZ x+vuRt5wgyKeEt/2EADO5u8AyzpX3ptHbP2zFjAddfkmL7Gp/YHWOZcfwMAkmzM9yu0BCuNnirQu nSlhUUI/IDNMGrU9yPY+dvsde00y8ax9TrqrsLhZHotMk9fJHDjRA33SQ/aFVFRIN20afwcaIG4Q M1RecM1+za1E5HS5MOmSDeLOhUs0GYML1rXgmVrjRO70uKb71lSOx981QyZdi/bAI70XL8CZAyml OXZ1LoaRCFIZgeR7SIzX12RWcgvdq4nA+wX+jFZ7NbEiaHo0oeJKtcndvfZEN/9PwFisWSHD9IRo xk4SAon9N8FRW3BfL2vUvg5Ruwye+Ivwn30pElWbrm3wEA6VQ3eNqsr312zFoben1blINZmcXxi1 F4RiF5PPMuoMF9RhrJXM4ZFmWiaUt1+nQ3u0jQrxZ0l14S7eEnvw09eRYGU3gq88yytLHjNV1sh1 1WkZLMRRJR5EJ3JcNE1MiaBr2jMlpl2EDqbRtng8+JxzhA0bRDpr+/YjjTOgV04ZokvKwXHrWRHI +mfoOKMIMXgIdtKD5d1nWpgWb3n+Qny8xcHUndISSMM5FOAsdTa+YjhYJTpkDNV7su8EVkvxQXxO z8+to3/FIVdCn+vdnJY9lBCqwKCXIpm2SIx7gJIdm6KiSA7L159o3tY5cM3Q5Y5Wx5W8T5aCbHfH /OmT3kj6M3SA69VsofDt9GykHstXDvAzA72+LG1sY24iHt/g3TGyxbsO52LQaiA3DQH2WlWfRHSz caeaplvOBM0rRUGuUx66VyuEhqiQAbj5y29UlRW0us4OrUOPOagixBbcVZ7RyAOC7ESQvfbFX6rK aNerdeMHbdQY5yM/5TxkRSgxugje6PSaRD6dnKknt7bWnBM2RKot5TQ91pjp0jcICVaTaBymKayx YJIZRpMZU1xNUQ0tKqPsHEU00EvAK8ScczFT4JT9/ZIRpKXdgErm9fAGScWSkof8iyP0rF/IYlhs dP2JfzsKQYmR/ro0W2O/IhQK8GTcLc0DONCfqUdxf832PHJMqXig+FuWeZeMONER7cDVGq6Gq9Mz SvA+CG+6U0kg0ZRCsQNd7STwEDOhl6EEfdDNG8samX3MZCWlQMckcBgB6wcfei9ZHcJbgJ+9rQ6N YjGlJKwfkvPV+t9KMrFHlvjkDfJ45X0pWFQaUbZnjo8DqDD6gJWVxy5oJbPczJ+sruIvAaHhCGsT /VreMGenrLW87U6WHCMtolmkHAeQ/iTI+Q1Zid7ldHVltcv/YBGiuNUR/iA/BwghcRp/wkyk7939 aBj6ZZBOjOkT/68fVtmJH0lxnK6KtAUQ8rGS01jS54Hq90ukRsCP5BArIax2PSusw1RUI0/Z4Ra8 rDjk7AOlyVXHa35SNYDxC5PDH4eTXedc3C95xYVvulkqHhV9TGApSPL4E3ydD2Li3iP2HQO4Erf6 lTTwzttsvZhZ7nTpxIYJMVZHQMN+ob3cznLEYPoad/Rzh8nak/COLejzvJPyjNBkr9/vwnJfrUvR 6UAn0W8jO+OXNxfdp2ctiecJZ6E8id1HghXhzsOg/mZ+YUhrPbZVBoVxTkpTxG+gDrNiXyjAOLEL TWQAcR46LuTnDUAliEa+Q4yduIZZcCMOPlHf2tJiUkHPQardJPDPgTuStnkgg3EwATb923OqUNqZ MQT1jnUa5AJkRttiAV0fety08pR01oyuq02Ttsz+Zw6jzU3jBlag6NKZQ6lS8Gs8KB+2HZZn0g9f Yn10wqU96suERpembjySX+uKoAR0N7t5avpLzvrSFQQQrbQwCBA4RPbn7PCyhZSpzTgrsFGURWub CU0Vpd/A0qIsvZVDPRDwWKdF7Y406gOpy42Ev8va3t9sJUNAgOKERVrjujHUOzMgw695mry+5b5q rTMkYC1VytFy0VhXs7Q7s1H81AT+bpcjJVqxDTrirbYJNmkYCo/PMRWBBqmtID/b4brtIm36pGfH Nge+bjGiNKoW4yBDq6atcY66XghhU8jkppDCVI/WlEYFJn5NNmxNtKRmbIYWn/3Errc43z6cW2mG dWAv58frL6om8Ivy0fmbMti0yz7qPpXH3CTehTuRjRQuR4MuEAe26wVxz7+BxfbpuhbEZuJXsuhw Pf4hCelV6bhYobNQ4pnyK5ic64TKoLGEJj6pXzTK54XOcbJexbGTMpXXFsHY7y90TpAk7o1j50kv TUAo5O7mCSBnxzXZukxIhHBsdmwg4mgBHmT/jLPkk8YbP90TmJh+bdj82O/yQ+oGOOxVKOJTOdtp zdMcG5p9kK3aakvjXyGXMDRAbDkx4Delya5h7uloCY4zPah9f52bisowv31VsNWAfNKLD48UwGg8 lLFRpvN5XFFPAT3kzJPefu10stAJBQrTHRhAw7UIKyAQynzd2rRc7gyWiIUvF8dcvdaJ+6hMip1r wcvPR8aR1Jcfworlviv6tXi8ptkD8C5brMKd4ljykbKzetGeO7kMuAUfd+nSWZOF6TpZWnZijt22 AJKCww1ImubTUmqGrgw5rZvjt5a42hf/cqr/mZ+oTLLznUT54nrHtsopoOUMtnw8NCvRSRv5V02x R2WJ0OWUE6qHASDQ0bUSdqPXW7rhzidOSDP9toEtLlOjpRmYn8GPIUMXzznZE9ve5ONMPFiob2R2 W0uK/qV++4JYTqvxCEb2uHk0uhdOgEGc9iDe+j0ovlArMDCZPuCNn3TcWiKKvNv+bzOoejbJ90yA c0W6MNfXWIgbQJLZ7rMg5YsdiBb44ZjzL7Ih22iPmp/I+MOvVeXYNMYfVglwtSzaj+vTIkX8FDSK C7lqNQ5/mQSE6DdPD8y3DM5IC0N3iw+lYk8uGPnPH+g77MXJsiWVKG5v6YE7MM7QTjZNE/1NiCPi vkGg0SswLZ2fXEHDt/hmkYwuhFDyDKM4g/YTDn1JO0j4DnS8OJJTO71AFsC72vjU+zl6TqXywYVy wKEQGt2SPtFkitmJztg6qtpnO+cRAvr7WhtmZKoTYOo5xWrS223vBKuauZ18+eIDPZDUtqFU9MSR Wc1fFcmbUUeB+ZcAymZJnOfmAjIUnMq/OJ6M6b+BdU9Y4iZLPCowJzIjJs0PaggGb01B6579lhiN QjeWVSFdVRrgXUb+Lnam04ECXSX6clVPzETBr55nOf/O2ata00Giq9R0E9DGxWGLzgN5MxT0GInt JXnv+wl/DDdEwC5MJvjZ1Qq5Wpa3GMB+Cr8Md0Cv45NdiOjcz8VXpjrLBosODV5WXffFEk1gdLRP MY1YWhh4nK+Pz6rBxVIqC3OKFoaLOocUQ9+0sPskyKh7fCbwhTwcPg930ymBkdI+k7G+ndiw00Jb DaGxP4RtbECMmPsJXCPT8ZwSy5pqpMoGZfidLE/9kfMO5NymeC7gbKAr447syM3EEGtlEijawaVM n77luwmORZUVj48zBxnvoabLlVGqYfh/n1qDn7J482h6GZlcIFxUeZFVpVKAKJ+Y7LnIwxIVm3aM frVVe0avfe6zcm0pvU6hmt94ZzrbKq29RKOIDljrC6BXz3XH2WWOBBwwjowMirevakaP3UdIa5of fgIJLyqLc0+xcHkUlguxqOS0D7MYdS3yFXkjj0uWrKl0Hbg16sIdZEjOJuPCk2SecrBK3DkL+w0Q UvOUjvh9R3sN2kah0dLisPrlXoQoWs2NX4uSQVKO22ImKAysncW9P0GXZfOJmJFa680WgENUBm49 rX+vqIDJweP1cJ5HlkaNI0Adn3B0UV8qpmWKDhVGAVPUrurWr99eus4KCau5qa78fZpcIj0ReUEd 19u5m5FWThm7NCgIyCL1Re14mW/Q3JJo6dxnmzCMWqjnUgzXV5t98Pqi+v0++w6hgNN0CGztZHKU xWPxy4r+GLh8WKlOCR1wU7xPrcBih3iCBGoHuuGojKcxxgabs4pvEKfkOUEPd2RC+UiPQuAveh1Z JbKQis22K0K/UbIOMxDfI2IgBfWd/KsPnD3ha+WkLXaHFG0PSsI8jGEk9pNXsgsEDVHRIb+Xc4eq vB5tjgOmMhhGDWoeFNUn9xoeNYZLx/s3WLxbO5s74nBLYLFSJx+PIKREI+t/pYZBuyAm3qu5z3iO xm9mXGynR3ZezKp6FNbeAzxpkfuL5qusAw68G78/R8Xf+chW+NuyqwZYgZ1Bg7QvuA+XsqwLTeaD zWQVW4qoPDH4HDKElHtigogVmn8uN6NGvfohrfMwzgax2igZ91RF6Qiq0GjYThuK63rIgtLXxzb/ p0azExHgre0X6o59uGMhUJD6q6uPjUYDzdPXStXC8Hsa5QSBn0Pv+T6T7hZSI3WV0h1MmxR31tAM VzbOql6o67SbuZbrOx5AzPgB7w6hLcr9KBAKp9rJKXBNmxQZt4VWGVby6VpMkEYcJ0Vn6tPxU2z5 UPdeRs3KYuyRKhab7qmicv3N16fH8j75/DRsVXNsCQwOnvFx/zU4mTlrcWLi/ewXFNKlsjFk22QO qXmT29rCQaflo3nGCyWstA8kc2XME5DLnNE0MX/uGBVcjySxZVVgu0rdcddbQjvS7EQcsRaLPR42 FsrvYx/rJRtKSkec4JM4tkufdVGTprawgn1ZuFMAQwmcI0H/VJ9YBdY0k/ccETTY0d4wrL9WUWNV EgvfSprJJDcm78jbegznHSQG7radj2vEKpkO3eXWY49XCg75XmvZ2SjcEURUhW2S15dlLv8B7XFR J3oXu8HQkErlgIjhuoQCuAIuIRq0LR7O/ydoGSDz3YOT+P+hOIAs4uZM2vd8Yk6vdTJbtvwYWDdq p6juxKsavRCTguFJfWikmq0DHBy8/whUuyxQCrnH+XAHfAEvTtcvAFOgqVjHmMFnBLsMbhLx20pf PSqicQL31TE/R469u16oVQW/iai51y9t+ATtRLJ/c0oPWRgOpZ2PC+PDwHpYKQKZ5jywWpJmv/nQ sUXguadOAv5XVOdfoZFtQ9edwoR0l3QN0adjYXnTsftQ4rAKclonVBMCEnHWvr0THWLB5l7yptrG Mz9xvoYZ4Bv3HLvmcDwohSUYLwvXTIHCZl17HXKqY0F7DEVuSx3qW/plnwAOmyZWd184udd24FkC E4IebOmbUKaIC7Jvm2DqhvkqM2ar5Mv4S+z3gTW9zS+AJ1tYGkvjFVDCtq8EVzPzZH2ljQMcIC7g 5g/eMWd5GZbaOYKssR9Liapo0RgUr4hg2R8Q7y82q6Za9VDOZM8cwc0VcMuFEOXhxx3T2N5apzoN JEJgAUXqsWKWyuqpREc/xXgv/OJrPP9JSe2SB1bQNl7kMdPYrnekOpSp4xUC9jm4p8n0lAap8l2m i++Tfa7A7Y/OPeTKUEBGtdWRZvzkvPPlXqieg8Efd91C6zeOXaKeSkelTZT1hZN2pvLWdWg1xdbH Vs/IRe/1p7kFnWBrwUlBBRsXvKY66OFeRlFfP7Ph1zg/EpKhjA+arA0FoSiOore2Fyh49BAmxJEX FEv8vneT6xZM93a5z4C854chO5bjhWWMrqSTRYUNoBFKTwV5GCn8QDLe/U4UBttLoQx44IKEgAZa zEwQCRh9ZR3FXYw9GF1eWwpII9A/hHrIABa67mByo7QAg405lhji8DSbCkvuPGsdeVGnHoFcZCgI Mw2smUysMHNUmZDs43HWeNSXKXgsBzut3KXUEXdHCwtK7d2msdHKRJ7H7efeFZ3yrVvVJMKxL26K Kzw7xqPQLaRQTw6k3wKsT0iEikbmxU2HCQSOvzJcGZNWQUO23Zk1jngtfNCM4QDiUJeM44V9QJqb iJ1IG7gB89k+LLRtu7LYhFcvrO3WTH8KFB/6MF8F1kW7PjgHdepTW5fpNW5HmaGtOrFdE2a2jwds Bpchky0m4aO8Ne6lvWEpOlcNR02zI0zUMP+vUhgeo6H9x6uPVZgPTlAsWfDtup47a0uzy9yVUroZ droGSn4Nob4rgCIisfxhn7SIvJZtAt9vvCSnTKXbvnUVys/yHFEP1/pRse4lU0cD5hVDynH3FYRJ z+X85++2dfkNvxAh0N65pQ5vGFOBErHdvRFJnvCEqohHFGj01Qpo/GH1RRXVZ/lItPOQrOl1a4Aw I8lkAKa5eiXSL9yJLPJJMgrUpngclfltChDKfCKSSYiSryTDi+d/C9Z1zzvh2eICGYdCzFhixaKm KOb7RMGf6FkoScCK39NaH/Eal0Y5BoMjw6jPxepy/xYST3cMNulHaRqFw+3FlU10RmKuPXqnVL6n AacTIRqTKX1Ujq3w8zm99H9zXsnswInmWkZyK3HNRrf/UOoHOW20Y31p6xOy0TMOzx+a9y5GJ7LI uWhF8Qq3/HqkhIhqLu29vDtPTRWfW+wnmuRJABPm5FSSpryD2C8psFnOSUhsQ3pCqug91QZJa4Yw s2ekOsPZK8RK3QTpWMKobBzK/K8SLmWcvMVdrPvzDoaam4ZrqgNU5YlHHpZcaMbs1neBY/WnnQzp S9b+CViqudhhxgR2ego0d/eQukV7ELIyz4RtRnWpqDHUvCVkeLt1tHeg5NA+gS5g4+fwXJ4BNZzp 0zt/lUowSHtIVQOwRXwnwBQozPXtM8mmFU817EC01PXA9ofyAgtH7/dvftDGpxCrAuXUnIurHtWr Wdu6ez2PZ9do2b3C5+bwwixJFqf07113iyjonRIuBGMmQonJZxcmEuApfRTOW/0F9ERnEYehmMF3 Z5cwsWduSETYTUx7E7id261MV2mhZ8aD0O6eAjnuElk09n8dgC1SZtEZOcUdT91THLBIBqP1l1sC CLNtU4LcKGU9VfxVtoM0uAmzxJkyxSh0vhf0kE4iLU9XFoI3R/W5EPSNRz+Pcy/Xyh5p+cgVgrsl MqFOLUoSS1DqGKjUFAHpvq1oOHtp3Im/m5wm8Qhc5eAhixHThPTz0uNGIT5mNRm9jbTJNWK09bTE xdAIYcz4KGjSrzyV8HldLYOTkLn328c2d/XLQlfbXrUiihPem/sIW/Qcgh6ausIy6/2fvUFDqu9g juzD+3z28l2zaJuYT2J1NIcdFEUzFTJ7Em6DhkJFhBM1tRf5Vswh1+L/Rd1AJLGH9BRntMKgrSNv wTvIR1khucInF5Zi6R5RMJ2V+3VRkytXVj9asxUJ2xRkzqd/9fdKrqzHmEQaocOW5GusUa+nsrMp tb5fiZ5bJ7IsKI+sxCxT/2nHgiIA5WnCZ1LFRkodc5dQpg9egtPDUH3GA6sGf9WWunhE9FRx7QiW wpEC+dQ6/cJLO7XJ4VXxiP0bQFxrva1oxAPXVHC1bgJEkZpX/NQsL+jzIhy1JGm59oreMWfO+SNP UbruBhhzlMGfha3ErHkE5c8mKa8eRZque6xYZnDtbdmcMO+M9NXDT0teke5M5yRiqZ9jH67wF9I/ E892Pt9eTC4W8XLfPDsglvrMDZjuwnmo1BzzQjxUIKD5ZzgsTYfVONlvRf38FidU34OQXHsJ7AES IKwpALlYcbD+bMrzJxhDPClawEKfEAjb1MAg/2RagvYHkHH3GPYYgSe2LD1v8qmRh/dYI9GfJfMi 2zgVDu/3+jbJwb4bVdHPLXmdqHFWuzMeqGo/gbm36QENrtdwZ50jFUv6Pj1NOVTguOfSx9ZjZBNp 6NBydLDPZghZcJKoqhNSDLPtVWbivJXslcqjAfPkK7hXtnWU+LUhHP14bKsGQBYw4pSFDsoXbDj2 gZ/KnDqJElOxQ9nwbqUDdwDu8b/UWRLHPgKDSRgdnKJWgB8e9Duyws76B/Jy9vj9h75x9EgZ86EQ 3+9qbSJxGExzEncBeWkyeU/gEGDPvxXH669gOPxTYnsF2jaMmCeCwBGSCpEhU1qok7FHIFhKF0ib YgsQiCdaSjmNSqXV83dCyaCsbjDmDNA6jMgh3xy6YyTO4HjLa8yllKeWhffRI6y85m4jXZdLUac3 jmZb+S9uG57htiWO6R8tRSO1ZEB6IueMBIg5mJ7F541XRB2uU6NpOx3LyR+H233t5KRl+hBr4414 CjSjHYXhcQAfqd2qUaWxzR7fF02lfuq9Unfyy1vejYuo9YFaZ7n8znUamumo1BHPyAs6nknzNjud 9EqZlzWp50P7GzJD4Mse8NRUhs41zEDlyznpvY8S1g6IP8o2l0vV+2jLPdPOSGeQGvaLRhdMpMSW G78CQBCPO0rEIkOGhJ+ONMVS3VIm5QP7HYPA5KaNEb1WNbImZqLy861FzYkcYV5gITUOKr/2fKaT WeEiRDwucp8eXjRqZjaSzKqHsvWIGxmGctumLWZEImo8eIkSW+UE8HTFOqor8AP8YcQowz1TNhg6 +QOh/wTRnqK1OcwGj0+oXZ06t6t6GODqG2G3Ket7zE9IAHRGaC9Df9Ijt8knzscwQ2+hY10CFFZy yFOuNp6cF6RyzCVG6HwqLYru7UA5VlAQLZEYy2O/md3REKsJhDJdXU/o6r1e6LzXQAt2jEnO1s4v Z7cmlU1p4TLmxdwxOPaFNMt3HCUxqyrwyYHy0Y47jydxji309oleFO+b0UEn+9TCQ+i1ZyLVSyGp zY54A9+khJl9JXCXjKd4qXUNveHHIUJEV9GoyzgOnupe8WlIzxtcsDUon3XRhQx7PgISpOrbwDBO 5J0Ox0o5GIra3CLy5ZAo48DCF8oi8/yIKx8ez3GXTr73vcddXM6B5bhCHz9yNPf3hiaQVAuDhiAK 47I5gei+6uVZF2kN+Iu1QX27IBfHDYDZOPYPwyRMUd7LmJDsd+FpXre3Vcxbc4UigtYIvDxHx3QT AcdvpHwE94WcnwJu8Xfj2fO4ac7lGK/7TEVpa1LIzpY9T4a6Ym8mWzewgRcw1gq5nLXQAYtYmF0m /YdvkOO4uuw/Ymy4TLG49tlkHruCf8DjlBjII+MkSVeMywI8m069+EK0S2ABVWqhFDlEmd/t4J1j QzGydywhSE1AQUrO0SOhbPrhTdVTFwG3X2M62w6MN9iEBugr3gmjJLVLmOn/KPd9ShT0MRvedOqo +XIMYm6WJareEQ/zsZjfBFna3ySZgQgCn/gmWsSHozvjC+3hg1STw+bmWzryPZLEPBn5fSTiDUWI 20vgu1ipv3dfp7Nu6kxHsrl6c8Okbw+YklPwJjsfwlBGPDE0AT/vklmsmHK7sk1zE9SJJos0dxTf T06AkZBrjlWUBT0zLvW1xUdHsy0XRiJAbsYGecwK5i3kfUPvaFfNGzP5Zq54HOpKoSK3Q/lxPuy/ KcUl8JQlPieI5gJVnhG0ICE+79bDd8kpvjzkb8akEC54gqcYonRO/YViRl6jpDfZ/x2Zia/K8HxD Hm85W3g2xAz5rfCX86SK2E1FSuoTromoRHIF0Uri+Jaw74rVFlrLYEFD9XEXA0S+1iqAhCQcpAiC N/W6ZyNjfry4/bMxtVVXd5aNl3Jo1UkCnuCOnnJWNeB3U1g/Hx/Fd0CUF6x8ys2/z+tSh1a4aWCc Nyf5pUet1kbENG/cItkQEx5LwNmhCjOIJxqteMTvBXwrqLdn7QODO+U425orIfiIV3YasQKkyGmG fX4PCu5XfnLP00SePCHVTH9kxvpBzvm28mgcUC9dS7B94I7ciqaO3FgcARe5uff74QeEkdFPViSO UKetcLNBMQROZYugk6trsfB/VD1CL/YTr7hyN/xvjZLqz/YzlpNu8IzePE4hV7pbBEMQQbxBTH5U dwwQuoywwcu+HnzWhmeLdQQA1tA3pztBKsIYxCJ6dhDkncgQz6CgMb/OkfwUtEGSU05XV4Z5df+m Hu4tJnR5dL1t3WusDuPApRtSeZ4Ue6sETBC8nvhAErpWcuBunA320BA4nnt+kERCmcrpnkL+A60j g0WDpxCsOmiyl6Pv3P06TWgbW2UWwv9cE4n110OxZTGVDvOcbbM3WAqxTYkbxz7tjD/bpHwGw+fb UsmLrh1HBFXawmfOy5e93DgODcCpKzNRviVSjvJ0ZQsk5WCoOu+HLuy7We5Rbpw8ZUBZSoE3kXv9 5fz/sk8uGn+GSO2Dq786f1NSvKOkSx34zzKaG1V7SoSmA03U3MF4CIR1eMQnCfI0m78TtygeK3um RPfNdmzCEegLZK4aKo80EhGhGHXQ8ARjE+tMnjJCoUanW6HE13eJ6v8mzgKyJVwmHJrqFkU6jqk/ 8OavZiZ+UE5UO7GqEoXwHehI9dTI2pAMttzzYXWy2SumT9lWZqN4GE/oSXeMpHjMGDKgBCB8ME0U HZgp6gQXOT8+964hJx1Mpv/dxWml23pl5UIxcADIJqA1za7SHKn5gQerd99jI02FL93oYi9che15 0297FeMpS+zV1A39/SdzxXcKiz9GW4AXe9OOM7s+hNP3TSX3ZHhpKniMPCOiaVanVt5FLygZGC9C FcI2EDpsQzVENFVTe6x6NdK36rHiWHkNzsTNPWkj4fdv2erHoSvRwKKK3B91+Kt++HVQ11pWLqNT NMcbFwoNGIEsJ4057j9wRIv56KrbVxLhvse7PGz/8GaVzRSZL+f8xgFioTRi1LaJ1Xuh0PWr+3VD 9/k0Y1tThehESubZ9mkGuxac5BZ7ZfTdFsDX6yJy79SDiO6IcNADEgKle1fFkJoyLMj2kc6ylFXI LT7R56N23kcWNyZjAsai+K1Y9ENtYws/fWX+CE/oXWySe1jlR6vOJ0Dz3FPd/KDYZqgo7BGH054m MOqaKORhX+UrFfzPzS6ZXiTlYC7RdY9m2cnxjQKbDmlzhqz05YyrvYldbeE2M+Y+M0uq1YDz/7sv hIhH7KVAZ4sXeHCfZGFSIWfyApWb9fkO60OZSxg2MR1HoHHkuJgvNvJLbBB4zCAW2wOUIFqdynrv QtN4d+bZ2BuSfawpAo0GoZYrSQ6sBqyEgFDz/jc69n6cCQdXYgt+mGJrzi/62/5SuI1qUKXyKsH3 lUOUR22kC+RO5GfsAHsZBD4MdlmEA6BOwL6jSawILpnl9kRSCS62jC9PIiTYdLB5JYVYuzIFfTT2 WdUukoIr1memunrpqltRTISJjxBHca1niK0kB2pAlz4M5toyfboRnMOO1uXKbViZA7iHHYQcQL0N pX8TKKJtt1mU5WF2D/A02bA3Z1yvWQU/uCpoJ+PdpqjbYhaNDyvmLPb+cNHMo4ve6hhIOozKdMA0 vEKgPoSVfwtQ+qO5QYUH9EHcAdsT+15G2vtxBXeImNaod5wP2SA5SqHk4+irU58BDF2uTR1TuuxB Z54yh7N92peKktW2WkyZ4suBBGnMFlPvnjAbctHtav3Pr+ejCaZEgYry+gT+gr3oh/UyM9b5w5LU b6QAborE2gpRQ2R8BN1QESfntOrHclfbqWU67/txawn639jorZej5CgpjNij8qurZFqr8eNYtaK0 alvQBr+3ga+JY2Qr86lV1Vp2CTh5FqZjxN7lYP0yP/CAIYqo7Wl7aB7SG41ABJoMmG8+lXfzK/rP On+8Ga7kZquWyFfPsoAnm1tWAtejxhwplbCxjbtyQqGRUmk0R0NHfxwMO6wkCbCglUjvWLX5+nxi dmrmO6HSAFQFBghmuNsueVB5q3Qzp8+/1EaCNx1FEs+MvuZZ5+/ZZSp2ubI2MplKJiVlJL6l8EKS TVN+fhBMa6QvNu1y/cGcP1ORbCvWhu3mi6EhU2PfAnSVhZ4TU1Ezc5ptPGMfIVTKXrkKpi7AU3B3 nLBAF7C8Yi+lU3My/3TstjSR0e33Yu/4r66/C2vdpERGRrmEipwTztJZLTkon0PVMPlGfgMcfOpw qvrjR/eH8o+5OqIUMWoaxpfpm0d/sUnU7P8SG0xcf7PyeQ1Js5VQ+YebVgaynU+KamlP+3hUaFbJ iBQgehiVCKXn1Mgm+atm04FrJL5XGxPe4Tmedd7hj5M5dyT32bRRD5hSjL4E7doqu67eptwpscyF beymsy2VoU2KnMLSxknuqgIb44drXMZJYLubE1qSBbrMAwLMwM86B6+OORtUwIRRp4AcR94TlZeh zlHEpF72LFg3FV8bBnyRTdjUwr3NeDeMuqrxo0XTQhCrNeE7dqwynhIcuLo1jBEZzQFez9lBdeXo 3WCnwsTFgzmIZ8cyUd9fkMOg/JI1wOlV2tbeduJlicfYHcSh6sWAGFT4Ayvttb3BtfuIv3gv1pXA uQWh3IdPQ5n6DZg6+cMA/b2Rbeq1a/jFb06aK7vIWq865Ykw/z9KYrQQk0JSfo+O/M+IQd1X+SL3 7T25N54LmgX28+cm4a6t0264r5df9djjnYSSy23DjVmKrb7n/urtHk49Qx7JRXGIIBl/N8pyVoic BVP22WLDSb1swRk8OqHtmZrIWb6//Bvv4dSb05SF3HH2aO+p7HBQDNK8a3Rll4wSeHZUPWzd2hIg UXCQWIjSBTnwFMUAFZEwwpT5a/elLI06obzCZaNXFk6m9xieSWmopxh7mBMpjJTU8gXtOBhEg5wf fctWfR/RLsdorlcrsy1kZ6dUTUaL65PeefEim/Tb9OwmoXyyqS0YS5E41eKFzgwUqCdcba2FMKaP m/1uVFzTwfPR3YbL+q97Fz7WO9d3aHVHUczf9SeHvYf20d5vVCAh169tynhYADAuQC/sbsdBVkru Xf9G+y+ggj0WuQFSkYYoeWAzvjxVA9JDOeZGlwSh+wb3Owzfp5JPzhTM8/JDzNdq04zzfRSbh5+F yk2Ystaq0U9TXJ0UNv5JS40gO6jKUtdlbXHju28Cqp6i7cOXwTP4lGci9DWmnggYtXgYMhmvCmYz 0M5QxYUu7b2rcSIwBev1pFuq3U/P6LcY1HNUmUDME8uzUkAvd6BpEQAd/Vyt9hJSy5FYwc6N664G WGrXIreDaIeXwt500uu+C4vrj9Znoq3tv0Yf9WGAfG65jTGrlkmrReEZsr+1SlV7O7MAXzE5nhvD t6usMWyt3Q2cB0zN9usZb5iIJ2mzH+s3LmfqxHGTW0trl8gIVSRUfjjqcCjyOiLtFOOZwZw6I5xi +DpJt00gHFvuLpII0imb5ordgfuED5DgjVjEGh8LdMtCMdIho85176gNgDIfcMZtPiXfS048QQyJ wE9VQ0YTbzS84u1rIeBIavezIqKmCsjFCyCeH8bwe1A8cufDkSD5EHg653oDOpqGxeArZioJ/xTQ Ddgz1cV4nrDZenAcx1L75lDdP6SCvnFB0l5V7HR96Mq44ePNNWYH9QXnsyW0bTk9e+A37DgtGut5 6liJXoksv4mSEMWrwRrdDXqN0kQxxg+3qyPdcFAYReAruukMSrMdl4prQjO7ZY0jYYP1G+lOovpH uS8/yFR9XH2OOXEWex4yC9ft22Mg2tUPWdfjazhD/s+jtRMXrzw+ziZCC9qXHj/qFAb/ZO0xyscx Fx2E75YnmbA5YP+K12p0JQEm7smiNIuTiwUI4jVBe4vNEtYC06FU6ySBXC9vfjgzo52NVFalJa1r FUrzk3PhIaXDmwfH224jpMkBeFsnvQ5lJuom/T+v7Fk+6/uDPCIHkWV1M0rtbNEGOAhKQGngUHja UWvsnMKdjFRy703Q2nsQx/ftuP2WkO1FCOWos5L/bJJXqpiw+OxoMbxd40QQCcctiDLWB+mqtLWR 7tSk8lo44RGrENsdLLsHjSXCcYidXt7AZO1S8EPlsYn0OIGcOEf6kpZukxJHlf1Dy20r9BJhuNnY hM1V7rl+ZzXMr5O2GHIymnGWLdQ6vAFRLX2V5aTfsHzW1m6HhlwbW+9LISTvIE3lOEvAHRc4iPvq XNsszN3Uok8nrNJAAK9wwt3Jk7JM9cwvB1ov5kUggKF57lL1a2DVaD+r9SKsd4qgfn4SElfEu1aC 1L9qcN7s8y0mLJOnKZV1Q4YquBeLcfEXkqVJW54cN7cSJpeYk6NM7ME8kp92F8oC0ob34xwwuz5M 7EFpLZtJQbu0biPpt6LPnMx0WHSzb5H7ryOBQs/oYl5baIXLxQEnuhQBjoiKuIqIdQ//RliaNKq5 wA/AWl6zinF/dYUWpsWhgGsrAKANWddnKJc8TZpVqZpt7HRXAGV0zWD4apJbP925wtwY6FBWXUeS X9MgDDJn1Cv6ii5O8SRvKxdC2HChqbX23eINfUFyCfpzJRv+y0Q2eDOL5lhjtdib4/CksK2Nvlqv bLJbDqP8FKTGc7WQtgZO/XZdKCzeQz59Fp0cPo++bUdb5GisPKcP5ZCdnuzkeGEcmrGyf861fFzS Es2jiAc2AYjM8h93KvxxO62/7YLEQaHApGm0aozfrTEeyCFIAZz2I2cx7aiZ5vB7Rum9mn5wdbF6 DDH3KtCtCGul8t5e++oFqn3zkBZM5/TPd/mhbIKuZuhVS6edxFoeq9PmVxHEl/SPQ7GAdKfdFD1B WaUngDVUvoqSeUNTb1qCjuFwEwpcXWvEJD7hWN6/JEl2W7wMzUAmocPWw6S1JBM+x+5nZuqdMBpr GUWtJoliB97GpvQvRLMHWCxhM/Aw6Hqf0pvMOVGw+gIVZSuEA/8Yf/4+6tUUjMVA91ZxYnqVO93N RwO/tlWne+yjqknFLhVzB8zXqffaBz5viQUb2dqNoYdEOEbcI3rp6QgSttBZKKOdVgPzquvnlaMy WA5K1dSzcGhSYWb+H1ZRMVmv2GFnbvtkZJv9yRgOZRWnc5fXExMhTC8Zx7fTMMC5w6l7RIRfhnYE Gg/p4zTiDG7ouWKjkzMZTb3WBvMOO4cZK+6dCHaMH3KvA6LUH0s3/36B+mMapQ3azXbdVbWg7liH wF78U4qyrguuQoGKM8K4UloOK2sSqXgXucYEephecCsD/2MHgv4YRFcYLOOzGX22LsTUIBnL9Lkt oJeVxr9AcgwvqaJYVsFSOvpCT56ylbR8JEeJbQq9UVhggjlz6Sn7n2B/PWtqTtC/pPlZq8bm1MVE 7P4W1OqAl82+p8kprL0EAgt6G1jgs4gGL/nTttPDkuj/uoZ1PGJGLFjpwZfw5lhVNLIOAAUogMcr p/FkRqbStmv8IW4KhHbPt2VagbWnBzG7Qz6dxFfA9SkIbLHuXBRdT7fj6NNCqL/qLwi0pcUxjKmU M/LG4X9ghUj0uSZcPE0rDAIw2YHB1Z6hQ9zcCGVpKh30DEDnNAPyREbx+usQS/J1bZOJoC9i9+fj vU455Nc5/4GlChZe5YBP4aDoJ4dXZ1mpM1tYQE3a6J8hPsugQtOlvtOv2ar2eba9oh0DXRKbhWYc sUieUgW9Xwos35d3KU1YEgPk3kO+AqbEn029eFdhizrXToOvov2s9Ng8gutOf3Ip9VE5GmNnBtyu JOOOYCWQKxPx4w6S4wkrTXaulKwECwNV2Y/AVc5kvcxX91u2feJXBAnaezD2vpY5x5zFVhQEBFtY Ks0ltwQ910TSUfqtAU/ISbRP1+xvUO0knoiQqSe+AxIYDMJH1cTjC35VaufLUcrXU4s73HLDtr2V T1OH3NFo+FIlpihn/2mRx2lTRRhA7VAcqWDshawmzg5V4j6vl1vEE83Sx/iR0NMyu8ME5W0YAl8E eDgfzAZnkBJmaMiHDQnM1volFmqH2+GwIjBUPp4mabJgKAD7JCfeh4f1ILdwd6/9ex0s46poAwXn TnJlow+L5WzoyJoJDkyHZtKwQMvx/FmCJii6LKdVvPTqMbrl4jPeha96Xm4oAzwcXcvfZBALaSUD Cyg6TctyrSmGem6Ba9wXgXx820/uEMu6r/onTUrgk0+mMgKfzUNg6F0LlUTU3VppXl6h4oy5ilR+ q/b0gFbMl9vkO7S1wuliox+OkATlEFyeRObO7PSao5tvsc55A9qf5tBNmsfFMUi4nQCwKTO1TfoP 1g4cB6NkoFWfbH0vIzfNG42Drd0siXJ8ejnCxpM91YdFfe2x1FJRmfCuuMFn201rORQuQeIcMF7d oEkOxUNfGuWfNqnGmA7y6N3fLFq3/HzLMCz25B+pvC43rBXSz5IFQL75cgtNAkZz0TP5lX1PH8mf rUQ7XOM8JGBNvG7R7eCt8oLVC/wlJtjWEg6siJECdPcKqnu9798w2QhCGdEH/YxqHQ57F6G8yIm5 un//X6FQmbRPH8LEAEYgN4lsala33NSBvO8aVkCw/4CST3qswmUH+IQOk/QHQvZBUQl5QgtOQRar +UpJFKoWZh0Yd6G1n781jmB2bpQl4My9qaZjuJuwVLUdZgSaZBXSWA7NDubAdWapyvjGVQ54Ew3g mW1+tP3WsjxgkqqPRqHN3Hoi7jfJzpsmQpslPDFc3E0HB2GblO74EPtmD+uJu5xJqyaaMuJ57How ulct0+HNWFlI6QTnCeOMgsVPMIXhem0aJx9vy6YTfcElS98b0PzG7SlVzgqgOJ6Yd2qU2lvW+Nao Oah4OPB39ermdgYG1xR2O76Op0aQDpp4XU1Vh0AJcPl3bUs5mt5YVkxqz2R8GqCbyD4b8XS9+jCS /019++7BanCjuLoEPErWevSJ30RFjJ/cTQPyWjtSRTp2xYUlGUYN7cbNmVnzq/nyola7dHvxiHVA RIkyIpM+/vXcj3bV2pGr1Zita5DOWXPM5KlShTkP6ix/JJdS8INGU3PMm6Tv3va1g3icCsKjCBa7 1oDLyXw9VzPx1kgnGV0xRWizgcszMkhiE509juNjQq9gVvq/0mkU6kVGem2HtgKYTXxwQXtgS6q0 06Q21DaaBloA5qhttnnAVwmLQy0Spp03b2mXzKFSsGxTnKJe/l8FhYr/aGgGy00cmizgri1++Rem nar6lIHFF2Z/ALUCI1+W8ULcExRB6bizJbj1wNNbmZCsZxjiZUhBhrMPYsaBNYkERuDgyGaTZwJO ouBCMrA0TZvoYIeztckAKo3hF1xPZk7S0Xi3mWgNq1TZ0vfP3Oev3J4u0Mjj6lrAzjzYdxDeNFDF 5p48jx8ejpHVOyIntH7qAx9viAPes2z9XLt9R6TeSWp8krnkaJ4CBNlAkTa67LkamyOobwlVtgRu 8BUsTT7a0KdBSmkoIleKh4XZV5HwSFR/CHUF5q/JVtu1o9FEzw8BB03VPojSeXUu/sqOnv8HB9LD 0e5hHwZPaiptBAIKGsiilkzsDENo1qw7eAaBGT9CmzodZFahXHnZr00HDP6l4BiGfR/d2Bvp58hY Mml2NSQkgyHIywRVVMjtzar4oAKyX2VIDdPcjSpy6SsTlytULTOQZSPfI7/JQlNIN2GjkXRBylZI IulGnUMISFdrG+g+D2XY6aC+gqozofur1lOYBaZ0MnTl3Hu87ZDloMJLCXepy/dLCCdtTLEXSK4d gL24xE+tii2gQlr1L4jkKzd/TwoEU+zPhi/SaOKmjzu7PXh1HI6GFXtG8YH2KHzQ+Zoa0YiYsqUk ayNRIbqFMAPKVIHbIjh3D8nZU+XeCz6cDk3lgXwOe3VvZGFxD9fGmGAZL1zPAo/Me2O4VmQqoEb7 PBkZpFHRrP2GOlv4gKaIkBRk1QFRcTjZT5ieg349uODunf/40fSAbNFZNFMwuDCt9f24ysDJQBzF M9RJYzxGxeVlTz5WLqLWKwAfX1NUgkA2th1FtsGzudY2QFb6CGmDcyrXofsLVPwNLwJBpd9kpl9L 4myePFflwPkiy5l8969z0QZjTGvkPagkLmV7WMkOLAqjNC5leRjovLtJEpGoLp3cTujxS34NV80s 88n5FD9J5gOfyIh/+AXZzTJagXUffAByvPuAArX0aBQEA8GnaoqmheTUkTN4g5WGyfeAzUJLf9ek b8d2AnXo3sGDU7aD0x39vN454M80Oe2gvQxGSVWrYqu0k4VOvxG5+1BD3/eXK6E2t4eBwk1q1hKC 5DdwsiZeM4hGtTe85C1U+JDvmbSvyzYhLzVR/sKGj+AWXZ8hc9Bh2pEzA7RiSmVQ4o/8fUvRc5Dv VEsRQ6oNPtBllXi8KjYHN3P+O2838p+63XAmlksEeRuecAB/bnfVxZSb3d9fnDNoHzAmCtp3mkIq B/MB2+tp0RKzvvwnU7ssIT2Tcny6UVWRKXUk+q/m6CqG3h1WV+FuvPWZheCPanfl3uim6pd8Axid uO8tV43K6Dmgwl1usbdTNJ0UUw2ApjJKgASg8BF8x0WNWdc6TzQimH9w2WAbvrvoF1LWQiyyis9O +OJ+teVn6IItOPwYSrN0CoPYxklpKjI07EJFNYpTjRZrB8Ph4+0G4bJmo2vL60qUrPSbiYnt9Ql2 z1QLGUFfbS1UoPXvxxm8eGMrptLnCCOo3Iz4hvI1uWT5wIaDGH7eZ+0dTH766HJ4EAO0TK6iDqjj 76xmDXLZcjkmxq87/cZZf5GiiudvlCsOLsgEpM8qUeL2sJh0bnZkpQ0aMblenPXntXJ7HVHDBCbt qgsJHyhKTGICdronndDbA3Prh63Go18y3ZAFB/oO+rT4/CnWoUz4oZhbzOih/qhZlfUsQ/CwAVYU UPI0AZ0tld//qQEvsCVDcFAHzW7fCjSj07gindUflkvJH9THlJf9AMgiM0+lHCZsx0tG5MsEYyAT 55WKnDnvCVTwP5FFMeZelTas+TSjZckVkWcaUhXcoKYIqhN6G+d33+PCTZ5CSy5XrWHlwyQzvc0h Efy2L57Pi9c3n68gv/joUDSwPo2RV3GboSI4MD5mSTsH8gAsMuVy1mOo8OsPYGe9Fqu1rjIC0PTY 1GD9NDjtrW4sVTzHdayBGEo36bTWc3cKk+Oj+rOYJZwgIdXtbFgPcj2qlyDj3xQAHIxURBAUF2IC zlBmQWJ+QEtn38xHXjl/LwJUGbhmfFfrvEN4c3BIt0ov0CQDjHG3kPAiN+3EwQiKlYZ9as9+Z0mF M48TVjiq41gNzCMuATtVY+9nvRDMCivQd0ZgFL1D2AKF9ctO/uZ3zOaYARP8E3nmNkdD+IRuJ1nT +PeAAvs9TqVXF5K2lFMEOhVN8CtbZtxUEhuh6fJpr2zUlh28t8ErdE2t8Lh6VxnOSCQAWGqUNI9R LlRNmjpAEe+zvB3zm1+hGxJoMuHzhcno8Lx33B+EylB5sUkj3Yi39RpjzOyalOsO0mgyKmtG7yO+ bnrhUYgRDE9pvPrhazWDqREzTAxNoZyVNUqWHBT6iRks4TebzsppaCeC5CxRdfEevHqh4BpynkoL XQwlcD/UJ/FkEHUmkxja6x02MW99qfYBMgZM1d2pTPsNAG+8TfqgPlx/ulC4E4qiADi7AcQcbWs7 h/MesEHg/U3oKeebzj+dcFG1Jrdgt5QLQ4H7o9abZAycbpjGKTmlIHRt7d+fEZYcNb4wCY5I4dBL JzL20OQSez2/903J/9KejeFfZ9w3GJU7tfrXuFBBVirIu7x+q6R4NrWJmwFCG5gJeOqTFpbF9X5Q 6CzinVA9/cKGvmVsS+cOawjvzdy1Jqx7UIk4UB9S7g/xiTp1boMeIBRYzXXg30KgndVXRBCnuyKU i7mp3Ps6wLgucIBoY4FlSFMQmEojxr8FLXmSq/hsdeeJ6Ke+Sm8BE0y2CJ8QcoqzbS/dC5Zp9Vmq LFX42SD3mPtPDVmxGH6YG1exhvJ7rlApKLgjCfouYMH9fiadHaLNMAXIoMWCut/IJdqRATUqFU7w UyuM+GQtnveOQQT1u6GwfAgP5/uwit6YknaMtLozzLx5F0kq/fNhSODEBtdgrgUcINPezxNKfiS5 mUky/T6aLjYDWQK5pFpu0es3AoSuBft7xuX3C/OpbRJq2CVovKUa+RNGRcQuo08bgfK2KAjF/noB DbL88YPzsdWs70O8e0NfVlfm9OaSyiFT59kAgm2qqCZq8tYW8OCamFDGB9vkUsr4R9mFL4Cc0bee 9z/xMFIQ/fO9lmq2XHKo/MyP5kNMclEDwRBJV4bXHNOYMsYOUREdBQqkXJisJNm/Q8N5UjU3Vfqw 3PEpV1HdnOsHVRbGlBgC3e6UexsquIIVuMubDQzpXgdkV/PaaW3eH4eS21a1O5JvDAcv3Mr/xD/+ iXBKNdCQ+7cDs+L2x+4GG1rdbKINsaf10e78M7bscPxhcTV2bx/RwGrd6nVDmceMt86VF9yzuPqw p+MHFxffUSDkmCi6Xl8KZibsHfmqJ+MueiKst0Sixa37FJslF8Qqv72sAVehjj1f/n1e4xUQ9mCA 2Z235k76dFxEDG5A5TVtbcp42OLWnsILq5GfPDPlmOdt2eir+LHod11wsKS+T9w0JdYOCY/6nQwe qsYlITv19QKT8xM5U5YaAf6+wmGpN29ybYz0XjCASOFGMH/cxbeMcdTq8LDr9F0/IHA2utYd4d6N YTUzOhDFZ1RTfsd6CWauRH98ZGMZlJx9SYVRnqg/h1/z2Z12/bmLTB5MlsaL8ebWI62Hx13XJun5 VTNag6Rex/CeVRB1I6CalNjgQ2fj84oU4ml9gPSvXVVBLQZQHL3WxwkdoIOoZWBM2ejbWl5Xobyr qjLbEFcVfzetDbAPaGrzL0HZeVA4aAqHVC0l7sTgAkM2F7UKf0YAlCFEuiNMfK5Gbt65yz/+y/ak WIWgzSnVocn0KIDEpTGdvgBYwyHiClhfrnwq33+MqtBnvJCBrAhTbFpO1AroDp6t3Tw5XGrJtkXy YD6x5UokVedxC+Umc3/pVfNc+2EKzQHspu5Pwd2y1EjV432b0Dm07fo1AJgfhZ11uXbAJrkr+HmN bkLhOpiVHiWdtGaB36Gmzp8nWlyIuIFhRS6cpcKmRO0nXwjxFvDcZRjtm5l9vvgMy+I2LMwvPJHa /OhqgERGzHW5QQfDJjaVRXyOHBt19V33qTSVHlA6I97RcB6HC9ENtwRwd3ugEL1HNuaFMzyqiyw6 bE2b2/61UvQbSMiLrTgHahMDPrugr10YJLlAfTcfZq9CNEOTp4Y3I11DuGpYEoK3XzlGK5VA6ZFY E2gqgmOdYMdzmV7fK0nPMcMXkOtp9PA5MFcFLRXT8jaoEER/XRjnlRfh63TRAPyaxBVzk+W0Jkax ZXWd1XJ+iXU2/7oUgC8x83z+g78t1vx6oXVxBOxNwuDuDarShf5eKEKx5BzyRXw4yI1dF0aXhq8r P7Y+GABjBLLaeoPBVu9BDLK9K4J43V6HvJUagbhsURW1sjrCJ2Hsjy4owz8X6enlJKlvz7nHj09h VQoq/N0ajhx/s6N8vRyqbeg2Ims/0zpJ4f5Gg27M7XIV3axf4k7TAlbiROyBKN2ibWKPSkZJfIBv BSc/HUUUix0CA8DY2jocnx5B90/u57DsJ6UO023vkTqUVUbOI5nvRqS1/dBp/PEy4eJp//ajHnRR rO2UdbBDCuLIOmEwCHEN26/2IFU66ePgzfToc8SqZoqmDVZT6bI5CyA1VIU/CNiyX3wEfi6Vf/YO fuNvym63v572tR87SAVY9npsL+QPNGZJZGSX2/FsgZW1RXHGoNgT+w6bpgEmufWaetWOaPVWShLD fgEe8VMFshOfJVYt7cPHAThltH8CHr1Jk7I45zPxY4h4sWKesi7HUdl1ZW5Yg3fo4i2279Ozldcx o/vYFRWC1aK2UFk98qHd2VZ4ZTzBPobknVfEZLwOuH7qoEhj8Frv9PL9n/y6wYBQr20XbqVChSDe owMCCEljMt1yr3wNxIOt5e7GZ+Gw6DddA7c9ZaCNkmcNiFh7G1vrN7NX9gLkgz7unLf1Fegb2DfU p4jfn/8oqfJzMC9uOr7PGqQWuh0XO4Lfq85khAp3/F+a+Pdq4PSCTVJXeizwO+PX0Rpf2PbsyU46 l7HA0pOYAoA+PaCHQCVRf8tKWIAYm2q+opKWzg8Cr3Bq7HUfUAE4eyWU9esrJhdOqGbRnelf/n2c y3+LfEXNZcchLh7hcVUYQAIwirEWntZPhHlg0xgnmzGsb/SJa1N4qHsU0FqaoLxFJR4M8sJnbn7O uXaBo2iDzSUtr6Zlk3BOIXiDBspt57119lt2EnYFTaiXjiEoHc7n1dtWmGL7pMOEnLYor5XM1ZTT Cpu4NzO9vX6DGLrcEwYxueqcOXY+yYc57sGMVFivuBrjU122MMbN9iJBpDoqpL+7S8yU8oN624Nt enphXRtqkzIyLLcFGEL5cy/Bt8HfNh6xVDo1pE06H/YxNJehTcMaFBwu2oZWy5lHWoXumBYd7k8o bXOrbBG49HsgjVEL80xxJtrDdLwvR4n7y0S/tIhMh0QdM+xnrffWz5JhQxNkcwAPfCoQrU0oaKSt WevUb87SldL6PZjgm314/u6ueft9XKCYBmzGU0pyf47bqqpIEXaIJi2fJxHuR6B96IbIjL4Y5GUa eugi1xQzJ1wC4LRX0e3GL4rxODEiyT4yv56x+50X5CqGOlgxmGagBVIo3tK9VANTkrgiUce6/IbE 9tsnBTX4X1i4tGcvNm8Vcv8eifqW8CYyQdVot5yAYZQol/CqoPIpAzBpnzF1+h8q4x8hBJ0RQ1gB ANIDv5HKIqK4y4NnaUXGxQjCix9nN94+NIlEVzO8EUz2HYb6NOMhsX9wUTVXKyRIj6RQ3WQ57Hhe bWGCNtoIkzYkMeujHPLhK+j5VN7w47sYTq9nheoyjY0IQaNjDDXCrRZ2N1lui1KLnAc+a22gudY5 C1zFksfyt/bFFu90CpagLWUQxCBjLLOp48K0k8MM3I6NCQyZqHqddUXFkEJBOC74UXBHyU7XjRB3 a0VJp3gGsLtYbkLgbsUWaBplC4yS8e5BpcGKb+LahlWii0OYro0rodOXZgKaixu1A9UoCe+9zYjN sUhIMIviSAgrozsTE8//aqzVzKhXmxJ2qPYmsDh1X/lIKdh0ja/wMUazMtaTCrIMRQH4s6dSvQaV /8W8mv7nJ0diO+dKLROs6ml9YZjQ8pe5d2N1/eUAGpP3EpytDhjJQGhBL/sOTIlRCSYyTtS8OBWW 0egmVptiy7P1gDhz+9iZ2i2ZZ8UwzYW03RLLxcAesBljut8sGcCYF5LHqESodulwOMw/pEyr6Vvm 7Wn45I1xCfysa/tb0fRuuulJRRQX5iGcFrsDoM7/6q3ClIMfrEQFM8bzt1YKWcxPVEq3/lf41YAe 7vOAP85yTxqP6bPeHLabVdpa8YxJvJlC6oz+UJoabaA5a5XS+RrvI079GYSSVR4eduw0yTmQ0eGn cbxo2f0ejxGDxizotu14xFG4jdUo736zqkyTVMhnhAwu45BozZ0I3N8haDw2hSPk7mxJECagCIGM be+c/q8vpFJGyNRKUJ1nENy7etQYqadujlO1kW+5Sxlmon5XGK/SUfTWD1qiuZ4b0GjqidcioDuo eeNS3yyITV9lsThoDKOc7jdwXTabHQuLj9zsaX5JSOIXr6NrmPfVdJJISxtCUYjJ1ZPmjtCGwcPh RYmgub4j5IRhVWV12SPuPhTU0olw8rfFPC/+D2gKzuuPtsHtONOQnkjR10+NvEKoFA5OFTSpGlny lX+MIgtG++Aq0nV5/zzKozQzusaE/Gx32yyfB/I88m+aFKIytoOVhWf0Z3bLgx5UMCnn8ndH9Mye C2vSCV9ZXFEmn8mV1LX1+Nlsl3p43vkvcCtEg7rqC84T40MT62XtgSfktzGuSzLtCFe5hic010Zp O2Z+fUBJfT3lAykIN5fY6387vzGfVyqOsGsiBAWBZqbHxSJVYC1iMGtQWo1knk+MERSEds92dngl fKRE4w9ENhFXBubUP6futKRwDzpEvIgXVc2D5HkCgLmIBk+meuosVM39OQVbsFP+F6uWrOGtdulB R6R9X+AKCQnnAUMLFA7ZyJaooAXHxiYfJ4I5KcVE0pYFNQh+IzKsdwvS5SQe6DEo/230UFdmLric aXjQFAacvEXtR4l3GF4+faSKXtORKqAHTfUIWXHax6f0MP2iz55Nv9sz29VLr0ih2LLuZ/IihGpA NQKleLIyFZx6foS+T5w8QYO8bCIPuReYVPdJ+bEZUnx3bD+uEIAkxolECptDBpCIkMmzdIhtF4I1 d0HEAJKAcWWPrSZtrbhgNEltsdtyY80+XQy153TXp+yECTjLGKPQJNBa/RTznrlwkZfoVa4dt0NC G7ElFBGnq94RIa4+lAS4CrXZSKvp0bId2yP5tBc4+5nyrYQfleJnOYfpPmgMP9RXjU61wKuit6hg +tbqjUKjmJpsxqughhle+jZMk+1YBy26NNGFQDONXwJQVd48eSe8G0pWwvTfm3aFY4vJmB4Vrn5L gsBCxtRqOFKf9jtc5iEbjkrW630h+E27Gd0IeaxCbTrGcpXa4ar2OmvI0xAqUhtdfJ5zobSjE42w pgI/pabw6nqkkhuMfUC4kzLqs2bNKDEt6r4LSo5SWqAcPknZjHS/0EcIJuxn1ZiF+xFhkbIsLdhs Fa8aQmaoW9yADj163xIdsm6hI5KzhBMzqLte7W/Y6YVWYASmxFdcAMhtPHi0i2Uk5z060IS+I05d q8oJbOY7JcURQ7rvVT1YKYyZ9+hONItVckH6RBRyUkkjLJN1vCVu4Gs4+J0WA+2IJmNpjtq0fW1j adbjK1mamEeQy6cGq8jcQUjyNq48XFRfqMbKnon4PyR7oxWAm/7LZrRnx2XqECWgJaoEOLxfU+h6 UMRZkS4V+QKeXf87uz2K+/wsOsUP+WLaL6eo2gvtX3+AabwjoU2esuUrnrbJiWFgtupkVaa2gTkI nhoRfhvP21ZWnco1AEzZ8MkeRXzUWaE8QvR/gY1uuYHcxNz5+SXvhaKQCsOYVaiRpPBnpkvf4BSj ztf2UFq5OQYilolRUQAf/1cGmklBOJ2NTUwmBgL7lN2R0O8VyqE/MkWe7bS0Ugqma0B3OTGWiSB+ cza4b6qkf6HyBRPjKsqah4MxDJxsmMxFBLeOwKlhOp0uOpAhHEv1XW6BUzWUFHGfph3XSBhLsDJG w97krd0B5ag797/wn1seHa8pC+cJqVFCau22fFrNGK2DBAtGRd8fVBoO9AGv25dePv48DTjwKAuU uulManR2nwrieI9QOh96J6BCC8BjXht6PTis9/vyczkZT/Guqzm7rSkK3/hnPgHKN9mTAKkS7dre MFiU6hPnZRuloy8Vqw/w2E48jFEl23w0eIFqbG4G/MedY3IJ1Toez5RTUSoee9mOGDzht/4Ku50i 9mrcNg5wu/ZvIBEc2hAgHR6iOr/fL00khDgvoMKhNhL1POcztgcY99p4oVp0Hu/vSNp/56Mjx9/h qG2wL0bGP0O2srtCQTwnaSXtEkDC2HuPqAToPPU2YyKMItOQszLZuskFGjp0EQHEk9SgKZSTqkcX CQCSV7nNAdhjODpWxLF7c/4XOJ3MfNFRYFsoe2VP6YTsSwy2NH6otAwXxNAMwtFkJpzmoJozwO8Q GSIOAmbWbzX+A4eS/zDcCdpSjB4vVgKjgzY0eNUlq0c/bcWzgn9FzahBCMZTsW4y78kbGdyGQEB/ gPzX94qnH92bTw6V/rFOQIWlZ8nz4JsHc4hv5l9zDmyKWt8HxASgJpsHMSExcErrvEmyfSgdJ4yS BUqg2vuwwr/S1/YQ37eSyy79+AyLEQk/7jMAtbCUSwyNwYZwrYkzJe+Kn0FHDUVO3DT1Qg+ZB9m0 J7ZRsRSw0tsdOmP7EHPDqER67bEbNCBheslWZnMVu89aVuCcPvvlZRSWjBYqsvfib7+L5gJQq5O/ U7YlFVWmn9PN8LEUaanWAH1u8rOwGTbZteu/6fIuTl6PR5afI629Qi2cC76cJrNYOSBJNAavqjAJ 4P/ywlww+VZhz6l+yjF0rpLmrgTwzYaOKMiqmDgazK6pq2o7nY1M+Jdfi4uKTDvMqElrDRYMYvTf ZaWopWIuN3ZG8r84Uq73HFUf6Pkx+KqHlgylWrrh/d3E6YE9lKN/4HdyKzGzh1DhYke5Vyw+yzDJ TYICj/dlHSz97Odrh6M8z/Uij7bL71aBesB2leQxIPLKrmfzXCMoxg0pazZtxMHbNdS1sesHaQM+ Dnj41JfJgFgIg8tsaf+xruUHTt2IjSuq70W9aXUInmi73Y9haVBwn7A3E7y6mgsFDvVCuDfHzTmi kaQyADuDfUXcSZ2/6gLqj8rXEULndnI2K0f5OP4VFrpoAURmGjCCS3b1ZOTlfyImeIV99fVuMmr3 4p4JzY8INkR+ZmaKE14wrNj2uTYKNDztQIr3AwQup7e345h9zHo9HOOrgnbzyx3ji9MOwDumzYjN EQvCgXNsQKTG2BsCT+7OaEp87nCxDiXIH8M422Tmr7nICWSwB3ylft57hlaMxxJySAYp1CA6G36F qk5vJ1Y07IOq//Y5gSfNZ39p6oYNWvAnoO6oyeVFRkFJBo94SyTIPHFzo7K4A3UmCQsjtm0+I/oj 0jfxSOgb97/Tw0L6fZFH00+DPOjFrOCgVX7YA59btrVYGpNLYHR8Rcf8KqAFIRO9T+c2K/WssyLE 0vfxw7Wd1j6+UcOvJuexS5XBoZ2fxq0dQizIOJLM1vuZDVFppHMN1RqbkabYRAf5RXA82FhTWR+m W1zg1mWFg4C2FoYmcSP9/dX1ZQFJO4wih/n87Y5rXtQEd+pAiEZ740oVmlIoWrRs66v5Xel2HH1c ZQB1108gJHkmzu+9beSTWYPs8OUimvABfCn+0ksTONWQ6EAeo4c8xNhrv1ht3Obfk880KEDHMrhC LEWI4AAnk0uTx7rJ6EpCR2YeUGoeUsTSmnp2zVFYhFR0FGctCyCiDACSGWr7KDxPBPqMV4Kzpvbw a1ErGV4Hda18u0VGRKx+P+TmVQZyaczJhioYKuk8CjuBewE54lOgNW60Oob4NWOZ+mGgxK2PTfko GmDSdiFga8jCD4Wd/K1g9jopc/kmfDlLCcMqprsiFaIPGbdFqFwFMCGZqsytk461tQOw16cByowT kO5ktJ6ixyfwKcT/yhvWe1mhFz/sBO8CkpXfiR+CwHwoyDWqIqumkLWw8Ow8Tvg6hfc1SXpeCDqV pgY2SbJq054bwm7qtjsm74NDJYSeDRfJWB3dUUuaflKsy9pfw0VThcWAJwNbFAkucz9C9kW1Onkj Maqo/9IHbkbNVI6wOf1QjYscsl0oMdOUHprKPw+MIyZu3y+5o/zOu8JLcf95pejcuYzSP4JJRMF1 qSd88CcWR0D66rajcVOCt/0KqfElHNyzTSnBwycUpUWU/zj73xP+90WLSc0mcnkxbbMKswaqC0lC XOZIux2Jhd5dm/2XmMHV0Ml9APhokrjYMgEGK7YFUktdvgH4zb+g1t2JLK8Prj+PJVOmW6bir83i UemufFrbdmrU6u9LftEOLkIiHCHoYEVfUzKf+7uAZv1vM/yqCGXULQ73GUPCdppt9fc9/kPrUjtr JrOLx63NcB4hWIh87NiHVjvPKOSJm/dmiI23F9Sk+U9nCql4S8wxjJeUC+hUkJdIz2IwHs38VBx7 YvsWde6vzv3KeNKc7IxkYMxt4zXCPfRh+JPQj1hC8uNaDsaaEEBcDnyQN1I8UiKWg7fey47qi2NC VyitetfIKS/vZ52K1KR8MRxHQ2lB7VyAKm17YP3cT8eKs81foCyAnHAD8WlLKW7EaJJn26F6RfoT ypjYMBvRNPlg3Lbqw//IhGQbpsm6Z6LV/gwPCj8rPQ+g0wzAoJfx+2OHaE9ws20yM3V2LdaY6SE+ /xakaFYLLzQO4/0rWWgObrafNKFLDez0cLcfTP0EvvHge/L3uK/NhkD+9ppvRsQ7RH0I7ykP8mM/ Yuo7lmOAyseZHiq6gxFXL3ej+ZYOcEeV9TGZrqBxLUuO/4zTayXkIchT4npXvQbXbP5VDkhWmDoB ydLPUTS8wic/W519UoFCF/eEvQ5Y4os5DxuyhwkRIj4i6RsOB6eesPXSPRpcNSX+VbIaj8/Ayk7k QLhSV9eDSZH+ZcLbE3wPzZhccm0GRYXNv1i2Omh55CNUDivQLOXR1Mc5e5NiksAVBJeClGEZQbLM gGnTQ4KJgZyO3I1oD6NArrQ82pk83Dt6pgyEDWNJQesJdtmN+/jw7m1K0NrR+l0wOFf+uLwL60rF MOUpshOpqq+yeYsYnyg45ZZSGC4AeRlLaues9lF9JjlhuKdxGwKHzQpq4qsxYfmdsmr3iYjnHlOd tXksRrdinGgQ68pUShgnQ7s+rqfSaWXDvih6OKSrkKo1jxZ6xUDjxqvDYb3cJceTCBNF2lcwMNk+ 85FK1VK2JgJCxytxGvCHsmjI94CfrflRYLl6tC1f+OqY3EEo7ndd5ip9O28m8dO5lzV7lVn+tGVy JYvK9GpCi2/3ulGx2hxU/WoFHK/47JA9JaYJ+m5FKBYP9JvcwQ8qEwDA8Qoo4kIJMYvu72JJP2BV d2Nm+viJCnbTtJluBZb0H0E6gkZ7xdDKeRcrMvYOgVICdnyio/PW88H3UrOpKJLM47BX/nIZEJ2A mW5r76biRL25PemhG/srX3OJQkbrjTFXRxIti7MhWpIhJQ/dmfAdSN6V84vFTysgSBieZGaOk20f 5OPLvwDKV8fXHLqNEzKcw87Z2KBwODWjdt1FogELpg9Z6xmBnXKtsW+VfnSPddsT3ZL5oxCUEJGa 1NM+/RXQUNZcC5vxtUSGyect2R0Bl7LR2PrEDHbJuwNcPliNyinc5shwwf8MRraxUrWqqBiNnwAc FI/Ptoou4PciDu6G7HRKdgFOY1MxD/jifhqQr1ifwNTCgIxiWr85PFBmZY1N8tJdXoX8Jwij0hYx Qlnvx6rLrIFSL/4N5OuXLW1FhFxLAm14LTCXqLA7Csykd0bf+RVToGKXo7rKUbRli1ZdIqTPUxSb eUH6J1IT5lfC1ncHfbqon+HlIzf5Ot1ggoTo8dI1DJr/i8bMb2ETntD/12PkZSH8AeL4SqWaIvUP AyQqPk9kDggtoxbSphGYn2uSxbxXkLa0/gzORv3BwVAR+/vwSZEbhMjOnIX258RNcDIeYJ+xbp6q UH7fxQWvtHvJApXuW/uC9AJTrnCJ+f04pg0hD1d2id3SQXNNRJTUb7itLkBlF/Y9kekGL5mV6C1M TtxnfqyZOv94aPEeWd1uKeWWHtVz2x0r6UKkxOW8wJizQDFQ7tXWn+cb6Uu11FCiLBWPqvLC5a5c CAsNUyjF1UFKUDyJyLFGfXKwzoRJfMlqVmo81fb3f7dD3SzYhReUHeu0l4gRBZzIacFxm3ad43XL 5txvm2Nh3/RdS9YEdanTjpVAy9Jacjp3gwRkwIFTLfSheejtczYbyEExLNNVClipJReWREOasgMB bn3S0cLheCUlV51xK5rrpIeGuLunbvoGAy/H76PJHeFx8lGRCz1jMSoKF/J0RI4blaXhkR5XskxN CIzHMS3fiteVupEAAiwX1KhLVxcYmsWVeVQSAlrPPP/E5C+0fzGNCFKvSm0LHbwHvVuvyHlIzZhk YoAC1drMtRUAfLFu56TvbORMFrShZxHBlHxivWSWmeDSFatGIwsSzVquHQYz62OtM6AHxb4jlixg E/6S5lVTwtZ4upggy1hugYN/7MwVmQpGxloESz566YNvwxchB5tn7mk7JplmL7hVrdf29Jy1PBC3 1IS97m0o59NGiRzPYRHl1YH+P+rIIE0bjXdz+iRy2e4xXTdYgQOLenJEbUZ8d4FhkB3zD1njmkBs /O0MRY7uveAUsPLAqIaG5vvVcOZ0qgIk6Qq0+p6dihFdPfkRTfr17BSPWSRKsjMo578RHktLEbLy jCRP6GVSqxK6hIxBfixtQRUCT3VjMFblMLG0wn6V5XH5WzkINz/rp+IcjSpcOkcw4OPZk4/M4f3O Egt5a4QQbQiYWBKjWaQAZtpLwwfCNLSWvajptLO4iW73Bzd6JxsfK/2KV3yVQ7PqcUAHAueowz/n xZ78LqthKYueLyuLsBpt6aXdsj0CDCAZBeRhSuUUB/NgqLo7xn7jmSmx5iyt66brhk6WlIIQkpMD LXETEAHDeAAq0e4PvVy/Dv9JRUsOSFFJWsuVopvk5Y2TNf7PsJZ98RUPRyNgMrB1dnF9XtxtaK2v u7pbAoKczd91hWAqPFvGAcJLJVOlEQ1o6+b7Dg2Q9lQDE8Z/A3fGMHnijpFYQ/2rWaxX7w+2mVDx 6WF6/CSl94rGHpu8DqI91xrReg678Gun8yJHTeb6yKHdKoJ9kzRdc0VsbqJpCEeU6TqZZJ2MjwHc Fll7cadOTPDtFmaHxQp+pG1a19spR6n6BygDsNGf8BLBBqvJo7Wh0qpsANPs7EUs6ma+Bd1tDu0i wyAIhXaWhI3aaSF06eneXo+2Z7Ey6wUgrIYfJWCrsTpdSi7+0YXAaI9vxCoytxXLrTrxTdCwEOjg flKkgV73xFOT/X+TY8H33gLa4BVpFJvTr+y7s+naQRwaqHb7kWkyibzOHWyvLeFh/CPF3Gur/Xy9 +ug0IaexUpkQ9q888xTcONTID2mVAic4Cc9bgcJZ8hGkWjf4fsUw4QtqFp89x/f8/Wcg8TaNajhV 3QCuKOASc092TEk+oe227Cf6YrqGPYAxyu5kGjbD2FHy6IWaWTI1xJNFXIpJFnswUTF4YkLl0GG5 LvbB1kBJAxfPRD40poLQ5oMFu193LuSbcL7AiW4kRQBBefkLeFD8KAT0ro16xpJzGfq8d+j8s6Kp R0i0TsNxi0Twd27Mb//DrLKY6m5dA8DoZ1uIAdfmVXW7HmjZ+PvIgqCzVw9oPezb3mQ7KFW7P2T3 dI7yDMFdJ+t5w7ck3sJ75o55Gz+WrXwvbbFoclaMC6M/Fd6kjRJM0uMX1PKnM+o2cX3NA3OzrtKX CRVERZ+F+aWr4L0sp1GSMtUubiMBZzPKq4oJk6Q3TbBqUZsLMq4sDwIbglASgV1xvgGgP6ykAwkd ya58n7mf2NJ9BEoq0yAey5hvl6hb1PUBEjmFk2oYDC3u0bGB0Q8IMO1oCYkT6sfKyW9QjMvnXPpI ZHgpXAOH1z8/JVGdBAdIGPWvAXt9MgnmdEhSgTNXG4LUOmIZEK1P6k6pnzBN5OXDpRakNaBR3TM6 dNjvxIhrQYCbzbQhxNsv+YsePKcpZHsEdcIXST3eD6Dq1SBBzbzB+7K8Mxe1QlM84OZZ+y+Ad7DG omyeM51hyMFvzcD/kWNpIAwnMx8RwNW9MPejqkpdSjcuuhl+9UbM9OoIh2KGDOCPCdPsoRymcoNi QN2gbqdOvbJif43Ir8zWmLbA/AUHw5MuSLHXe2T3GuFGfu2io83wd/RItyklssZADyl2xm1LOxEY VqPQXK1ClSDJJsSPeusbuErlen86g8DsQ6dF+U9YGoSUT0au1dKtP051ZydijmTiVPV2HceL/8Cg qwvtmdyRGRCuMCVUnCXQw+YxR4RsRJhCaoWjNJmXoNL73ADldld5Si7Aa0Fw0KC4Igj3EzIWXMCw PDcshhGesnkXb/gb9eB804K8ethRXY8NWTS79TAt7pcY7ioSqxShzn6yv0UA2S8+LCK6BT2QiVXG 8FC18fjowhYPJeQXdCMy3k+zxH5M185M6qte9qtaSS/Dmv65LzG/61jchfrcGwie81V+s0WnpkZZ hbbfarO+U9oYOD1KjXBfphsbVVistsRj+iDvWIoM8J9/WgaIpnM8+7mFc9dw5tgeCxqsYEgVl19H 8uDjS/tBSv6HS/HEBB5iNL+SuxVvrY8Vyc2/SRStUhXTHYn6ZDahMTnKk5ca/VnCoNaoAwMD+pjm VDXuAFGXhBTIvOwFzpBfwUAq2I2cpMt4W1q6gVcDkG/+WVGbh9KHrYhr50MC5D1ZkHVtYGdGc67K uDIfcRmJzNc8fjQjpaCAy6prQQXzlVY2ZCreeiNrsYbjAyeC6YqD+GtIPvdI5CbSjdFZJ4MlChUT 1AKI6v+pmCkpOLS9p1Dy/wbV+XWRloQgNVoC2faGq4jgNnSYOyw4+cqWkx+X4PfzB4KPuNV85YCA l5tovTOfZWZxyStRdwHqIBonvoUZ1d1Xjqzl6+RESjjmwzIfPlHGVpMdyBxWIWuoRguqeslyc1WD aio99anrgKHv13FTJcCuj/tee7E2gEULTAqpPy9XZ5qgWApi7b7W+GYr6uaJSK2+irgvvI9gbLBz fZgwi/PAnMZWWkXhYmBFkZsO5wmpt41kaVX15sl8dMYu898Cehy5ew5l+qxBOuGO9Hb52PZ0Jc2U T7nBL+N8VMdEeK3lyL/JcNyXluV28cAQiG0MWb2jD2sXMWmye1VJs2WB7UEbXYZtWuPNaiDo3Cpr S6jPYK6tZkJXf6dSWi/rkDlNrdN9u53kdhVWLjunngCu2cQrrTjxIkFLDQ3TbB9lR7uymSGn7sub 3P58XfgvAebOKvp3rnra6s0996bTW7U4iHzYRful/GXgYMlsIsAMEo8MmOS05GAS5uop6Vi4Wzwr ZfK74zVCCe0PXikvXUaz31/3sj7cDmtWaWsrq7jl+z+thkgTbu5yVscwCLROEG0NgqwZD9Z4hw5e zDGpgOB8prd7Jmsw5OJyUURAwaTePVJwreiwZqNyO+3PYfdp6aGr8iVEgEA+c8uuijeoQ1DrDaHP KCOOurlmJWIv6m4mWXv1LIZ6AL+MRY+i58m2UifF2FMNfIuSTkLTDZpAbaYTzqAZ1hANQzd3qUd0 WoSGQuo5Lm+SUcoPhs73gD8ALfSvDiZqI1o+Q87G1D3h4qRs5vXLcfskshAFm3bM1oz3OSlemyKH MveQeRwQsnJtEZUSCrX+mwq+dXp4F0ZIGJCr+EWd8/D3LUkMQETJcOn93ZuLny0XjavsawQV2SeC YP14huPLpDNr5bdAVfcOXMs/ust4NEHzBKeE6hDMDS4TK3fzk8vHk7EE9LVA/loXAyO906t6OvVU E1Zqzn5v3eLg52BFBf/ZXO2hkV2c1/078T/kAIOyOWE7YAe7sAQPnllwW7Ng8XqedRDY/s2DM1An ReJuQQGBdvmB0qEamvuCEWh5MX4Zd6IbTuz/ReZLzu9sIh5DJDgKNrapJcfl4XZjRTraIrG66lZ1 csWHxXBNGjQNTEhYJrifu1ZWO3/TPOVmRe/uXZQmjs6jUu/UDoh3yQRNG4maB5fGpGHpZ1YT6rZf 82V5mdlSs/A7z8akCjL9sWNSiK2umJje/3iC6Rc0ctqQGnMXbp0AX2iAfkdwKFulU34etNOIl0Og 6NsgDppWYG6kT/xVXC2Un2wbF7NtLCV4WKUbJjTQk1dNwZjcctgSloAF1dkqmeyHhczphAG8GoqS 6Lg7CJUqS3dhSNGVCo+BznF/x03CykCY/6IYYE3SHGWk3X2o6tFHj1SPJ5S+fBzFCnz3f8cW6+eZ JisA0LHVcGVGa+ma30UGCRyNIF5/k7OqqfSTG2OIHv2nqty8bVQGF53DwtV39Hwk8htxrb1ulVxj FHhQD3gB629qDGLSlT12U14NUoQFHkNnOBiz1r4WeaJYlgn8k5TSKE9DDskagZe2YL+VuuRK7zBR 3VLamMX3n9Yge6DKA/ChJBTWwF4KEBLw33lHcQ9U2NWc35XlnezN9D29AJYfUgHMWuNtu6+fHtJe mzH+k+M15O/2DfVEaU94+dNKM9JXzjcEdu104LJ9OyyJeZmVAcE1tcTVt/+epc7EtFhiQjFePI5u FpgtmM0to5Onw/OsMDrbmC3AZNYm7Ui/aw81cvZW/iLPSbzU05lspA1cTKuu10/KB5xOeJ6PUy/5 ck5QqITLdKO3o2AxBS8hqv5SuFg2RzGMUCtl7+xvW3tcdfnAYVpTDDjCloCvij1/v4QcKWGhTnKT QgnZ0KmWCpqDBejYoMHJM9Q+MahaMuph1tg+xQiC07M97fChGlihjgnGceI+31hFIJq0lu40q8Qo pIc7vULUdlP5ctXTVdAQiT1H7UFjOQ7Htw3asD3eKA/bib2Vi1XtDmR0lCeshthuAS/UkR93s+df A3uqbWvnQFDRuApDCYS9k6AGtLISlkNy5jZ4lheFXXooz1/R02MuP8IkDdFaxqF3sKgtFVvVhzqv BItEUjAJntQsjm40B2sQeRkxYW2O26gWYlAbg6aWMtfWn2SdIEf0+uXAqkc7khTxCDxFzMVEr0hq bYxtxlBVrTtV7Nk+A0bz/23f3tx9F03etllby4Ew8yjQrMZlsHb3EDv+Ei7VITfktN7i74NtmxFB dTYG9fAW+ZgY5kz6MVNMbdQ/GzD4/498SXD08qtKSJTqEiwY0zNYhM1FJSbsUlUvE5ScFQtWtMFT 32qkmgBVsMFkXV1DS5kRmeKwv2iGD+QN648a3Kfz7sN8o/n4m9cQQhKBAFze/H2UfBNLd98yqhaa 0x7r8dbB1nxIj+c9lpr96sUHpNORcMg1MN0906eBJR/z2ShpH6EpaAScwPxkKzmvxaV+0Cht1lEv NJH+TbXNe5WWLH4aEJX9NWf86W4HfcyZNg0uOUNPSRAAHXbykOqQhkJNaiZer7jpdnthcYWoKh68 zgJee2KWVPtza1oii6A3xIbb48pbWsBdaAQPtPdf1zhSq/SgUj2dRdh4/p8Lac6GLbnPVtApPmpX rXOW28L4b7TAQqV5F8JFVnCfaoQZ3kEGa6l/LlMdXUr9jPWKERgKnCxxrHqgF1TuSGMy/tcYwhV7 3k+LJk2d+hiATvcseYuFFbajI2X32ZOdOKprN8xkG1aS2WIvDUgLlI3KJ7B844FhzIx+B/rIMH59 tPn4ndK85/kKPWnYFynOq0wAmn07ZRA4poEksYE3yYYZGw43/CEQZqeRvM28GOtQjzLinv68fd5a HC+xiwpejs13Ug1u9lBBXIoO/D792TPdNkGedE9AeRux+kO1mFMYEhRu1WND4gU1hFnllbvqKUiQ D9cb7i+zb7ta/n/CjHCqYB+9Li55Uj6V8uIL+wjH+bB+2JOq0j7rsyqeGHb8ECv3/Uu2r7CwxFsh 7ER6KFxXfwORE581Wanm87HgZz211/Z6GamMs5K3qP4mUoH4ykTOo/D5V5pFBvg4XxoIqm4sL0E3 EEqaAeigKzDl1ZdKVFz4KV1TKeahU5HB9LPAy8AEiVe+U5aiDDmhVUBPrISx3EvGsPwTGXFWxqD1 OZLzRHzgnBJEttHp6CyuufY0FDCvLj99QByeYOfSXwynrbb7ZwymWoeAiYGsquKkQK+blsutM8IO CXaGQcNQpeY7IjOK4uGEwEBy6GJpV56372QZtWAabDRrNR/4yWSoWA+M+RknQSn5RYLpKJ8r90pA qK/qhx5RH5VHhuSoCg3r6d02eZ7f+bFZuB59zQYpXNpmJ/+M2RL/j7qL2/dMbdnmRpFt9P6MKj+U yOmxQaSNNJ7aN9bnxX/hrczeZ3vzmMrf6gBKqOFAQFmSj8G48TUzykD6ebvyfKS1a713yG1Y7pPU Incum0tooRSBufutY0vhPgrOuMArhB07gW7nq/CIp/rfs3w5BQgSOO5qkUADWz6utzqYt9PKbmE4 QNIZ4ww5aCsf4j/kwBZq76aqGxGkKnqCIt8oBGbohb8Ahtpmo7xz0sIITQc34uuVDIozHe55Xmun 6titXxnnf3pwyBuzEqmJDW75KiDG9wx1Tmrk4xthy/z55KQ+nUxIxjlF3aPPbDZ5ozBoNiAnwmqT JyVHQgYIZDlxV2jeoBtFIIPyAiNUAYGOpu87r6lndN8bQeLntG4hLsBCBhEQ+COD3cMN3GgivJG5 9EIPN/fUbbdV0P3HjMqNpyUsnpZCRCuj6A4M+FZ9rKjHGnpx7LMo33Xe1YL3nSDviSopgJzfsHkO JVwAcm+LoukRsyg3cfdlSMfUesqjmByN/SVMXVYeyb0KGcEBSeXuyuyDSabOZIfoAvtrckPp+XID XGS5bGwveu756mWcwBeWZE/rSWinxFLgzSGnZq+cysyWl/JlJy7wX+ox1slekKnkCJ824OtHrfrT NrWqWvMmOsaDtgTUwpZKfI8GPnCjGF9ycVwPh8BtUNa0B4ult1GuNjsis3QiMS0kFbS544XP/FPk bNOmaojAx3Pwo/MarZcD5nKBJvq9Vct6MlLYEMJCPDCAasqbT975eUe03qH6xCd8Kp3IjhWlmkIK zblboMcKTj7Np3mOtkDG5z2Zn3YDxCnoild2+rDsQScQiMOeWH7csGWcO860t2sOxvKUvpTJTk/v erNpKo7VIJ+OPHvwhfSS+OCBLpaomJ14rArMZfBtw1rOpJVcXUW44TGTtQp6aWxaGgBxjQHK2eJf yR5HVGyA/8rXEdYNZRKGBPsZLgs4+evofI+h9GM2YcbgVH88RdfTfA7n9X74nRlrkJMypwqv/+hA NNC/rdvPYKiIIcLxZtatQEpKtEUR1VyKa5ejC0YBJSkzxjZAwe2UZ1LGTK5Xt7GxIxQod2QLYVG7 O+6IhHM1ANB11w2MP1GJmbhkvj49E0DvaDzBC6h3+q4Go73fyEVFdni2ncQhW3TjqSxSliFFbPrZ ZlVP8vosD3MvF8IYkAC1NBgm4RjBm8RxT1tS5aMIrXOP6MOaGELn2sBSoJvP7oYhJ1JodunfIsK2 fLjX2seu5AvNDRIn08H/Q2MeaeDgmS4+kz5qBZ09gPb3Jh2QmB9TfEqNcC8OxhK3o7iVggrjbF1o Fr35YXjHVGe//ka2M3kUUttMkhMMEVGVNfPwut+JF+WHvgGlafXnUVG4KvvXuneQDZvhOEWTVyk4 x+8xpEknZtZYLWP+STydPDPk4HpwxcHNljlnW+dYjjiyBGq4axNnVPuhkHL3jGspQPipzgs+wh5w CdXRzftpx+imM58Z+pj53mOhxcCV1sLbebNnHEChljhEHFFiasIcoZTOm8noguQUDWkTVBAfyXZk UJ6iEkJFqiANGLz72PWuFeLEZlkxXM5CBsuajZDtqmrjzONudhWVAaSJwRK/fycF+obBie4OgFTp IV4EsXIQEkRjObNe2SMhTU6sSx6nUF741/7tU+DFNokQpcaFsj8jkLhpgRFkIbsKczwgQY0d8ihX cS/T9xGkg15fUFl5k44/73nA/RJtfGJqNj7QO1oj88XbePajN2up4UD0OuAHfKQDUFGk0UFXBibo WaPfODHIFNZxJDZl3FoMuxl5x8NOoA01Y8PUnhInf07krP9mz1aoVczWgf684KmAHo1wLbYIZQFL jb3zbRIwRAT49fvOsgvM2pRSGxIQIKu2EnORK9yqopmVtp5x5nUfWrpYjZJ7BUMlYeU4gQoF+4LQ fiGYoYcVB1HBCenhEALpBtMJmYIuN9DZclZehsrS/h+ut8r7CxTMFufd6Y1cmYdq6NDXja3zTlUn Sn7FZ6378IjhvctyTaMCVSIcjlbI757hDVOtAmQ1IxufxjUDYdNvwthwyIWpC9UbwnF2F0rTMsh8 Q+I5ASBkyp192ZfsKqR1augVWvnAMAHQzYcb1e3vEh255h4y2MyulwFGnt8ZiJ4t5A4Zx0JwDwi0 3kmQqm6JfFjuoLXRnSybrLESnjIfZwjluPCI9nKBVVJBYj7S1+C+YFEjxdZ+rGI2xNNZ0R6Uc+f0 b8c2GO7TOLHnXvTUMd/cCNfVro9TMWD4V9akEdhOMyGSorGXyuT7rMFgJiTzy3px31HkIiagAbJd RvfkONuxcE8+UXRtqy5dn8iimjNIcbhKDCDeOIEeg/NMLXx5u/4tWYWMKG6KqVfCmct07PzEgyLb sJv+PzoehwNLqY0bmvZ98+km7l2dpIQLyhcn2uQwiG6hpuUQo9FwyUPvyrc/rS5d95Nqo/DKIeEf 9FUJRXU1s4bu2sI7QyxJA96M1526Xp5QoPft03dm0Fno5fsmEbLOneRpjoTND7NOCSHLRjJCBw9x V9MiQfHyk97cYgzIl1V9AZzE7QLmvowEvRks2v4pmxv1P/XdeKKgia9Kc5zb7l+PkuPp/7POF2oN vKl47SlUtoeURBEIuc+zh/DELtyB2ud9K6qHS63ttOgNKPks3ZCqEaMFF1gd8P65jfp3EkYWZcXP GsTtiCpz4bcLJ2NPfpfK0lCWmgKRspi09sxcdC4hjEUacA/7Gtcsn+US40H5NY0zJaTSmeZ7oJpd IAq3SdpM/fBfYV1NonCKPirbCHCDfRhZ4ffLYC//EByVlcnjUvjKEfqOOO9dG2bBNu3HPxTZ2pXO 5a4zMAWgBEjjg/K99NPY42wn2R6OmoGmOXs7kVWlFN3gUM84/zA3CTzj+iuIUpvS2PIJ1CGPfc0H ef8VDUtzfgsbnJPORxFlXL7dtKtIjIHLLy/EjVn0MTEG6HICVd9D6EMm5IHfa3igC74Q8Spn51WE fYFT7co9FTIy/8CQql7oa/vF/qe22QSAi0N9jWprGtzuPz+RaUHlb10/sBOr2lK6VOU1EJaFfncz K7bH6OmhEioarVLaj9BDNJc6TRVt3TUZ3voydkApw/50MBcY97qULt1E3NmWnAmjy64YDMbofGEj qjToU/s/arjOQJBgmq55SqMpo1vujCSTwwnReZUnnfgVhdnLudwD5tUmIzb2kibl7EvgsxIcm5m3 CPmJSUzU8iEqNlolr5iWFTmJdWlBgHr2zMKX4Hds4GdfTM72sVZNVLwgAP3TwHQF4D7baA+u6DLA rSRlEPh4QFQroN6ZFQ2MYDB8ssjbiPTmLZzSuRpXBfZWWaN5WBmWnELsTVrE2KcwiFnNDlCnBK9W Qmgosh5yXKLpTKQiLGXfz6Mq9v6M0imlVKpq/Fo+mEKgL8Cs/6k0xasr9txva2h7eS0R5PCGJLew 6ryQNEb7kZSd0dkpdm65GsXrCsEtf0GR18oeePjMspiNEuAon2cgPQxg4Ym/pY+IHEjH8jAGlCqf CXOw3BUleEeOpkP5KMXP7s07QvBJPX6lrfwlFJuLX6mV1Gv8u1ezZmTt4XrFN1JUJj8GEre+Il4E el0MyNzO8hWdX7+gIy4nZvH5W5n3v5j16fWS4vyQDbX3/wqKmOjwi7C4OqmTvdstMw4qRQJNQ44D Pr0/N1YFF+Zr3fzBg9nhXlFd+I+ME9jm/avgSCM1ZMrTyTF+ichwx/O6xTjRzSFNYx5BkFrrnL68 DaMnziT8GoaGgWOkbDj1Q1YHVDR8CwmrfXEbzfGocKckodl/RXDR10kcC8yHaSTKqGu1jfsJW0bB cyJ0nzJw/+nzRYWkpCMBNc24qKMU69DOSEMh1Temi7yv9YVc3lNsaon8jDkBEdjKYsmGPfI9myEo U8Vl5dGyYDqZMyXLjvyEVDwQMB6z00mV4tWTW7cFUWE3NHvcxi/TtO/NQ/9ot2lwXADq90fbILh2 CSHxGbpTWd91TLzUqKo8AmYIkSiIe4gXBxY5dYM75I+9b7R/tkdff2INfHEL7m3D+n4nyH5U1TMx d1wLRvgRPTyVyJQd7kPLPdSU1aKmYffGmZr8JH15vdWkjGp1eE5+JLG00q2idEfO5uiRFIfIt3X3 GYBgdGWCbdcfXLh6w6onB3nRoi6nu0RYGT2UY1qhLH0Zi7ApQrJbarlvpsQCa9UMf3OYvZz5l/D1 5H+nzwD6n75h7sbzErZAX8liZ09Z0HXDqjnlhNWfX8q2/saxA+MfYXYl4eQO9e+iU/AGgGCkBNPA ppFCMqDowpcvBlsgAKon7P6LIyt8rVzAut78ttxN+8Rj75ZXJgO+tUDz94WbL2jqznl2Cr7F7Gog LObtmZZDnFjUY1pjR6MHTipCtKmb7cUGkT03i9P5OuulO71ftzQsMz9iAIXiZASFZqgfYZ/g3Pog crt+xDx1ezDtq1I+Xqt2mbBD4DfOGwbRDniz2ax1WMlIEK+Mz19nTHOudGFrOqJ7whWaCB1vVa5c RejxHMfF/CdXs/cii3ayRNz7APyHXVwb/X5dfv7G3T+17R3J5eunmLmbIOk0LL0zziheOZV1imKS 7sNnbAUJneCMOY79wgSHHLQ7mwADUJ4f8HJAAxXjL1/J2ZdzGOOiYdcPQXHH/3srTpUYIniLj8RI BI7D1DZ3joXap6TQunvPGOUvpPHtRNGQNHV7YmAIC7dBJwjLjBoz/ONQUCJ9u2sfg/G7w+QAGWEJ gQN6z3x6YRtkcSChPhToFokr477cs4gkpe+8GzkmWVP80v8iBqtUXOyrc6I4wDJ/ZMuCZNwIRhl7 6aFno50kb859GOiHnqQ/Cgs/nnyKQnsZQHKVA/mAeYzU4mDMcPxatFbFjeIaDQkcTJ5gKR05io77 HCh3kOx5lgU3JmkiTrh7l8yEh/METPLpeNQcjcWNvU2Khj+gRBAyV2fDU0rbRZijbFRSBdcZN9iU ovrj/+iR1OyQpN7IVNokVeWwhIGLLc4rtoue3MGyj8xNPEMGBzXL5w06kv2Dhq125T7w9DLobsYh rS5RAXSP3zoBmyhUml8wvAgMrNx0oVHHvkd7HxGuECN1rEzOUOGC+U1AgA6uvQ1ajD9IG7KEQFy8 LxBk/3jWl6c1Y6p956YCBz1sGvQj1+HBYtH0JhRW9uIexpVejqji6eyD+BDuG0Sldd+eobHfsFXn 3+PQTkOmYVv/AlzuaGf/UyiIHU90V3eAfedmA2dY/GHBiaK/UFLMBEW8hZK5dveOLwEhmhpxcvYO dRmTTbw3AxSWnzVzEpIXuF9/haUXMK/d3wDxtvD+13URb7NqMDNpPNSZtg0Xa3gnIAqchoXvNDPF ro+AkEyvsh7OxAK1o1Hy8ubmzp3nuaT+6ydsHh3/2+QtVu6vPk8zAOeerGh2EW6Tqp0NDbSsilan 6I5bI5G/LjETv/Y1byc9H3jqhjaDJ4OXxBQnxQ0pYmQLpxhZ7H64GkCSNV926v6DUE9dnsGZ1Ug2 +tih3f60DXSHpJvOETo7DhUGE94WUTp87IZKYCKsjtvahVHuKp7iDJ8xzh2qQgyEtMpV9VpiwY0i 7eU0MNSOd2/OXHlKsRVwr33/BnW9MPQISp513JKwnSlaPRQrS3dWmHFFXZGMsV9R5ZbxxuxIkNRC DwOYpKCDPZhps07yP+zBvrF4if4iATDb4CAvZzvGK4DgNwHe27NEvhPSThcYXFRws+7Wv3Oqv3ay rdvGp12OtvbqUjqRtJc+V0+/i95wRetMX8g07LIf6GYPCD6heVL/2zOcUeM5UAfGfhSzNUhmGcq7 8T79bJtuitqWrBpp8YqGb1t/N8X3O5/b3TLC5NxgSOGVb/2LWiIeX9NwOB4l8nwhYhgMeJSEcMLT gR17L8bWatzmBBDEYCSTPHclFbu9qvOFKHL+XDBmvyAaxeL5oMlUZN0YBWgdLAR3omN3WBcJnCul 1uUjrk972WAfa1oMXMKXzgP2UstlL69X737xa9cry/m5rZPF5aF87u6bg2MOAjC3wqCiFsBj08Iu C3X40IijuZ8OpAwHiTW70xZ3X2EQry5qnv9HUx77pjfBcuorL2n5hDhPNAv3gmAfHhGpSKnHLH22 PaqNnRM5H29WiDlQsathrAyV9sKWFPWxFbv9ZnkTHl3Z5PVDp/Ui8GqtpZ0mygyYHSsEiWkYie09 ptKWySPAUwoGCxidQ/geYt977PG8kvS713F9HCIpSo4H6rHnMwvNUGSZcZAFS48D/pZZdo/rt28B yqNL9sA6/+xrVny3ecStomYcnwpW5kO5x9/mmH2CnGzrSH43/XhH+lPSLQJ3BKbcZMrpNM61MNbc ofITYbUOPHtocJmryhw8j2KJ2jR9iANirXEWrc4bxLEHaC2paclzzC7PWa3sm3W5BCBnj3ewChlc z/YcoCROaTggwbuV8zAfIpEdUQBr/Jhvcyv4V+v2d+8UG/nBT7oyOWVNhFT6UT2jSe8mp7QULNUf iR8U9QWdts/rJGlIBNHgSxV5eFtFOCP1EVepfOWNLwOT+g4h0G+2PNdY7D7TzyCN3685wOEGaYqK X4aPN0L/Ndqmfxoxr3oTCeK7J7+o4311SeEG75ZHFus3yYQgjS8XEQPt4sY1rw71mTCA7gG8MoEW v1ZbrDva6dnxoKtJFnnhskoD6icf3aVNpr7nVhfTtLHiMxkCKTFSZJTgnv7ejupTBxCO5xXElmPd ZQ6yxZ8Jj927xJj547Sr5Y4PjRimaJ+QbFFuFiRDHptQ0G7Hp6U7ekE8pa93mTbcBAtuzr/i30h5 lZYMXRnYmF19+h42obKVIX7x2M7zMZbovKzfyddQfqnF6/V7OvheGcVAcS01xUiQ99h6+PaFnxO9 onUUp93+DkN3VmDv+1btVCuTbHWjTriRafMf/0hZ2SKIc/DJHrY4hoUKIGEW2WQQ6QqXqu+rjfG4 /NMbUslR5SWh3wGwFp6NQ3Dm6urAkVOigiRqrrPYMrr7zV/QFkq+ltIRR7EcHela9iiDf2bqGRPq Rh2c7XjngkgeQkQ+rLAr8/Pfl6jc55dPPJJCvvC/gYZEqIeaMMfLrxDlOVFTL5lay1yVOPfq/RuI aG3UkNe4vSHszieDoMruvkegqijgjGNpmDG9KTZSphVFKbWaLPNevjqZ0rYZUecJ9iNMKwFzRGZW Ky+QReiZKU91PJ7MqvNUyyt+eqoU9CfAgIKNbGMY89atWx3yotwT28BXfAr21k2Mu8lDyv6NB94p THxMSuLDQrqYiwqJbJ5pxcnLmGNNhoVZovfHRqI7zcxLQx0pbQCGjoqAP9bR/Tul3drvfPXlMdKf 9yzPhuEWc6oOcXWGDFPxl3gItlAVNALbXfaWImM3zrIqGK1jWL7C4N4OCBj3Bj3DS2/Bv3GJQwPV TIx7DwVBYXGafdiW3h6iUJcao1N2HxgXHrRvMoeab1eucqi9Sso7jvk6oWJmS4Pw5q01pTR0bKsJ /lS+RhrZ5HUuNzx71EhoRXgOiEFnfqXCOcq4tUtPYDOT+l8F0kujMja2FhPGkx/gg6qtWt15krUB nQ2Ek7VAN7uVX5rbdVE7xKLoNsx2Nr5x3MwQolZhYrc193mBo+e9+gzJU8PZc7emc3bohyTr7k7d LdX3EllEA/swkXXJ8XrKu798Sk8/XfLw4YBXnws4fua/LzZVvUq5eLnSfMNXSHIBdPGNhDZaGEBQ ssw8KNNCfU7Vc0ljTamJmqFWzu/qLROn5LV+94LmbKvywovotjKejEv8caXc0X+2L1lFas25YFjC vPYPe2vpej5wH04dBgPd8IO0PzAXcEDF+4syCzqykFjQ2LUZDJ++B6AeKND6G1NuJnypwRnJgVtC chH149EIiguBh5PwBIWHYObVWccwPKlQ/NZaHpQ2jd3ihmk5Qu6ohRQOVRan8MRuFk3HQ1xqX8K3 lH1UC0ouGvJ4EelGMahROGl4rd0cAv9jQbxW3Atu+sF3DhaaEBYIuXujru5HJRYwmhEBM97Qwh8V 1T5b5REIsW/zSUT4paQG/Wc3nt28uZ2Jtv9hrqYE6duIuh1WZN3YOd1Y1ZpQjKHztn2SyLbZPQYI h0ydTcX+zZzWGwYRDwPvQuCXVMZz/GwEe2YcVwi/MXLpU7LKWsnqWQd7qNCoqry143yarrBukYOv Dbcey4SegNOPP218wb9zlqhJ//CgKCuOxdvdvLJxJqB/FfdOUlmalASWqgMB7e9+HQX5mRnd9U9i SEB+xorPUEeK6GMQqQzlvgxGA607L1cGFijH6YUZbOM3CnJAZ5vBNfZA9zj05stCEWtRHv4JMIXw GPo2q/50A+bXkC37XIg1eE11HgfFA/5qSriPddTXxLih31GS35ZYL7sX3aI+Rgl1HpUcnBXBXBgq wZMPQk6lgqtqIBpqCwRyb1qhkMoo5/nThyTztDb28ud2z/RISfl3qu4puZS4BK6yqZvfiB9uHgqh XWAGt/UQi0+7oMluRecaeXatTPHCLWZj8a1DPt7r/hZ2awM7zNapdDH//csC6KLDxXYmNfGhCF71 B9T5d0FcFLuAHGUlrU01wIWS5Lo0fIr3V8H8zrA1YZBQFtAQwAGkNQsUkCEKxxKyr72UYaACTz3S 5QVsRaaPxl0uTKP342bhMl7W8Skhc5YEvinJvzzfr0ik1uhnkSVd3ypeNDNHpD5UoaWkolKO6MmG BDnlPhGy6cmX7He3gMtI5UX8fzceIrjFiqtAKsCGtk2eSTHw+Utyl4Yjh51vV3H2BwLprsAiuD2P h5lxbfN/M83ND8mf35T9+9IkhkJDxHUmBUZ6DQKicl5hb3exNOuSKQGRIQ/xlC0W0/92/iy4i5LI x4KoQGBvY/ZiQy9XHz+jq9StwIXj0v0kcL94wAnpHQqt3o4Xsk5rGbJ+DuMKYhm5b/yN+w+dVV41 6YOurN9RdQrBL9dz6lhBzi9ci/xUpulrtUv0e7t3FfikZ0r6z+8noRa+97EKEX/WxpyizYvu8xnC zHpuKZZzHhZIf44OnBlWUQkLhkqiwHgE6NrHBbrxAY4GRbbRSwInLaUSgFfyIYf0FOJ/B2KaT1YV PtY7QoUbB8cWlRXaIZ74EvV/6htc/8Y3CTwusHTG69dLWXBshHoyjSzt+7YNssrqWZP1uODePuyo tL9P8xcHFG+JzT7yVCgpiOgJoRTX0+/BLGRL9SzQcrqadfJU0mEKeN551inPc+ueKelyJAYYx20s 22IftT0mbUqjLeiCeLz1yCsQgHHB3f1DReY5yMbBDZHYwP1xAdCMQZIEjBqIv3acsrxJbApZCTPB zboxA1HLOBBB+KW+Ft212J6HReK0dx28fXJCKseYVSrP9YuL94B8QZc41CdnIl+ASqB2aBX/W0UL l6qDBD5mxVjucZTcQodDMkMODhEIjj19TkKxDMwjwz1Qs3I9rqMwbr2pCHHEzteq0MNAEWOZccoa Ix2ae0HIVpx5twWL01xvJQqRLBTTyF8qRnOR72cA8OTuXVcXnyUk3rfsJcbAm+FriNyfs2Mt+lkP fuzLqGoOj/6pFVxqGYQdt8GU8OAx8ftsScEEsoFVMvERFE47b1+5W43S5oSoQN2Macvp20xUQqvc tQMlzZgvUknLtpVAYX6+XGEzHYemN0GaQ/Fi7u45JMyKiJXG1AKw2NFsDNXQDRvKIm+IJgyyKfzy 5tvinDyMFjf2OmFHmPJ44CiBynm6RrBa2sbemu9FbzY17Y26hw0b979vJOM1/++sYGAMs2j1aad5 vyj3BucGizCVGsy/Hr0cSewp7nkYdBMXpLIi6m5hMZutcmvikCRZHyd03hAOOW6lNuIoymt/JL8P Nm4JjUMr3fgNrzvqLvNWV7pjKINqSFRguQ3LbpCFAhVRsQu5fCEOOABkO9crIfeCrK+GlyraJPLp k1v8J7O1HBpX0KD+DDrySIgDWzZgR1bMrGioGWgwbJ6Q6x2/PZo5TAvRsIYITWVdiHMXsEmX79IO 6jRpumJ4KyyNr4GNwBzhYwdKSdsdPttHyjzbVTj2l5kwToLQspbFg5ZAnR4YmmZn5CCM2gvXKg9h zbms7qRvtDWgrmyeXcVKK/DPytPLQddoo8xJiCI2RKsH2vqKI1cclTiOiFL2glFUZAsT4NHOyHp3 JAPKjD5H9yAG+6L4RK1EIymV+oO96fDqbGFpsOr2T0loJYz3lYInjsRQx4lm1ktbPN+MwGAuiLcD kR9KMnzCsV1q6KoEw4THBvAtvAjCFY6P22Ijg5Jfk2GdCSLHS7Psk3uVAWfNHMqBmhnIV7cQ/ddC O7PGqzXTjGM7u8U+vCYZ0FjT1IwsibG5Vplkly3vQVH6AdBsdCwpqnZh7zXEmRBkgnq8E6whZAc4 +EHKv3f8XZYdZtVgXnhu+PzVOg098kdm0N7CAtRMyygOFLqkntrwMXkNQ5+qOlepcYp0BnBRZ0v5 d/bRYko14Fp/JABAgib/R/1q4UkY6ifp8Gsk98L1A32TsBsnEap0AqQfO7FgIjsI0m/TJqycaM/A r7NWQ0KEaNQDi/g8W7ddJiO9qm8kY70hG40JYLxodneS+O/pfyFlTXU2XAEOHGm3mJOU8wxqmK2R OxsclHasVQLGcC+NNPcRJ6L1a7OiF/RVXYJb2ShqIGp/or660G04rwURXCuE7FO5DttNiAoxU9XF Ysx0WaDldmwVeKR/ePyMajmMNgsIzrW2zb9T9BG4D+QndI0Ab/Bsx9spPDN6dAVflkcTcPzwoWaJ bA6B+maKFRkkLbr7Z4gvTUG/UxlCK8acSM7q9jjZO7iITGN/gfXtyUJ5wxGINZ21W8l4IrruSJlv NjCFwyf8vlvv4q5c2ZViK0c2eD3pyuMk7wlTSqqB4Np6sTXNC+q2l/kCDoWIteFCgfJ7z0q9qbqT FZp7QrSFS3oE0geNxkRvYjJw108oi1CP5BznU6zTMI4bbpq/1er8fxTCVw3gZ6GcXeAgQSo2tKpp 2lXmO1/CTeP2SvM3AXkKaCXSEzImFLZ7VqWsUYwsdTg4n1FB6JTqdjT0deaz4/HiYsAofv42nuEW 5h5T8SDg6HdVD9DdVD+SYEkstX7HsL6kbuIRBG/zAEOq8hrprlDBNFw5nu44O/qePO4kOVBsNFac yAWdBb9UxeHgIaZB+Ucmj0+mPvY7fNAr3EAPBq2sBfGDl+WFS9ZDfRD9/O2iqxx57ijIQFrxVXMv 1yBnTHU9WKFdZ/4Yg08WtGef24BJZBcv+xjg8fV4n2xDHpVa0dE7atfS9C/+yjkV3D7aUdYHBy31 JM+9Ud5nQbEx6vNFlnWKJITSy0giJI15/+PQQMLCnf6kRWe+wzfSgdHmnJRWr2AOgBcF6VhG6tVF 4a/p7D+rTMSf4AVeTG8f9BonGpM66iNFwPSvORYkwpbzksSmAmhYKgqrdfHfTpbLD+G9OBvXHocQ PoBcZK9ft5KScpkdsch+Raf2gm/brmlMbAu+9h4SJBB1Dnr8RRoBVPhuP4/5DNaNr//ryh6Mgsm9 u85sXGIPXdj1VCfpu1aAL0PbD3FA6S9HbrEFA/CLEZbMED3kal4RePYHZhIovtGlqhRPavfatoez LPUV32sQXN7omowM25pvvn46RRpFXn2nbQpn1gqTPYl9UFt9NdyWXzPAUPO8Ln2z3m3Poa4H/Zo/ 6Uacqh0R1d5gIpoAach94wgL+BxAiKf5q9yPxqxlTXkJpEZe8PLwBNPf97Bdt9j9eeQGMMN47bGX qofTkRyYWT8lzP1qKl58zV4b5iuCeCnIZp+/tCx9EMFRrzFUHli/HViXKQKulQ5uGjrLgfiDMlfL kWXHncxnmSMbHrnEiD6+dUO1STG/tm9+wV2PIyINh2jAgIB5r9dPYT1POVIYr/ci8hifPBF2ZoHd /+MbD+7DfQIf3QtvrAOcnd3ZEH8Fu/s8Wfzh9vXxWE+r5XlA45NVF7Lr2UrS0z+42hZIU9y2k/+h rl76p/rK7Mp354jY66/HsStiwBI9UyBQfOJJ3wpjGDO+6LuOn0wHSyYwVXTBFErZ94eDOXRmTxrF Tur/+96pOmaGEM22XnP2+R6EuwU+pzF40yuqzrRg+d5cmefuT9qaYVLgmvtQ5LKTRfpSNJyNpneD iqQICkNPURZqdqXBvGT47UumkqCVejxupuwBMycwZyqOtzVsJ97Dxs457WTdkIMnGI68GKeU2eqV aWpyDF/EcKja38DfPa9eLvyRa2bpEsI5Lf50FSPWtf8jtn0qBOj+7fD196OlrxzG5HnDTU25k1tF rKX2HpZpwbTFW2UoDkzNo6wasU4dsTk0q0Draw0qJuZCBq8A87x05YQbVLfBEGo+miv4SCylXXtS zmDXg3kpGAXUpmZkcCaFK9OmNAB5Mt7y3K6cUFWJWkToNPZ0/CK3VGM+o0y0uowzqqgV7xij2xnm uDAnlHqsbKZqUfvBB9oaiqvjIFLAMDXOTrR1p3EEKEk0sGmC9iAe40jr9fxracvTGtAaWrGMakiE kO/bLYqY1WyBZEuNmn94hm4LBp/90wc0dCgrdNxjVmDOrwX5Ks+pJVlZ8FOTDHGYTlRdppqWVn4A 0ArHwpEl8d5BdXsqxLxMG9EuSfRrHGtBVSyJCpKeJaR0woUm8d1NTP7/2Bw0ovoEYCh9crpMkP5w CzEwWXMM+Z2N/eM3YCj1DqByo4H7uoNdP/GfcJnbuTlKSHpo9/hy0jfh6nb8TucE/Y/DNf7X2pGr 8msVCmEwXj5Gr4H6cYJ8oQKLOECdntJ3H/1FSoi54FkHgQYa5CPQWstDXcK0r1InvrfQHRjkdJbd 293i75wcmUbZY9hCwXVmW4CY5Cgyx5+nBTXS96RRTfO6XChcYnmh35vhffzxzQ/LBo0J8UkvbW2k 3AzpaploI6fmrB76IN5p70iDLE21Ca0eDxZ9uQIZIB2W1bpzAvbC6HjRN9PMLKtWY0CBs2wfEwDR uE608VKTHygJ7LGu1mgER/gT2YVpM/v7P+suUfmWE74QEZXl44wRCNgBZBNmlmzsJZiRMSh0/OU7 q//3PlcqX+oQTcVFuT/AkU40VldZk3kLrFrzvEQH/mlpjvDFE0F/50X2NVvYTfRqsQmVPzxv/V8F jrf4n/GOkv46VDtS9lyCQCHvnX94Ao76xwa7MHYWiFlrDF70q9Kw99ZH/l3ebns4/kyjPYoxQ8T5 hG/tMcJ3/7EL9nsqiflikjyyxG0FBdJ43Pu+xA4l32nfXdTm5tjlNmsz9cMs0JkgxO7M8LNuDwjF 6GF2QMSWHlwZejQTxXVCbm/W1aNxKHCoB/TZJMwH1XNkmQ0iODf1yaFUmIRiEBYLJ6+Howz09XNA oHksqSoV9P57hoNe7RMnrEfauYqvEh77J/dJBOZah/3bVnithecXxjht7vsQ09djyoKvtgkDs8g3 6a4ow+vy2G8+vhuTH4OZuv5nCGbFWwX6/8GPGMMZqCfUFJ+H76Y3rIap6nui6BZB+j1QlOP9GT6B R8JHYCxK/UW5+gQaMpRNJim+XI+SeAnvlwQ8+GrpenET0eZ+7BuPL6fknlaYres8NWPswiB/NR2k F1N/VQN2u3eVobnZhwPFnhcpOwJBDb6Va0RuA1bNeSlB2IkFeJhpaI+tRHu5Hv2Ia+0aJn2K2dbs Pz6FHaLJmoEl2yj8fqrwMAaMkaw58IvgO3cnunIvKYTlQZb1rcV5ujpFWoosGVXDgGbzxf69SlrY yWNorfsFOrmHro37/VyBXA6CrU/3RUY+M3SMWYJalfs3cmzsWkpySjudQxlRiMPf2uVywKPKA+F6 4wc4/u8dS7WuC4NCTA4BSCcxQXIfOhI9e0beFSgq+eL/j3jgbXw135rsJF2tphO8ertSeDOs/366 1JLdc2rzuGPZaxZCTc/JfTvwAMGGLxj6zNNSTp5H/qgm4/X7kDLOn2bfi0g0qE25nVr8nyvtD38w HV0bJV/zJVwGO2ECEmHCqk0QF88n5r2lpo9Q1bOOrRqqe5eWhpn8nZ+JjOThnj4RPFfuHFEFBdH/ kbImcmaXsnqgb0jcFY1vEBOzkfEuGWHhE0enViuUQQ7YJoGknmHV6APIgWEAu4O0KeJEAvvdg8AI 9wp2FzW1eIaD6gTRrDDkbWmWaWErIagE5J4/qpQvDOvcp1dAariIInKKr3aLjfSvELQ0z+NOkSP3 XgZ+ZpakAs/wTONqSkRqF3l4FYuAsqP5HCvincAVVnaCgQfPg1VFv5Dg4WRHnfCnzQqwstqWjQGN AA1p7t1yUlU1LxL5wRPrIkJv8XotUay2b/vFFU8Skwi0sTnPSX8/BN0dWW5XJ9OV0ZzaqbYEzTEW +PtsJmt/FR8ji8cxZnnFXkvglU6ujzL4hw6uPj/W+m8SdBjhsKils03HM9edbK/mQntPt/DyEJ9M /q6TmclpuMX72HEudJwurCW/1HNs2M/gQO9i3snbDr2WYZe2m5hRZajOA9v4CQOGOS0ukCHxYmn8 MPIJ3aPojkWU8nJhXf9oRXhk8BS5iAWg1fIXNEoAQRnKQuq94JAsmGfdlHzKGdLimEz3vSsqmR1n WkIaVxi4REDdo5+RxVpazqU7cbYnyBHVslpvg+UonOnHCFlg0CDuduY6pWH4baGUxkj60NnvYw6I 9ax20xjuc5pk9bt3rW/ykqPAc2ykgfRPg5J1KQnalCGoU4Mt6qqk52CPbWZZX0Ty6B5jmoNN+Qn6 0SaWzowNYWosu9y/mVCwRhgcBd7ZO88bMcSHDTYkpF1YNEHGAl7yCD5qdlQ3dcu5MRjYYPKqLUD7 YYZZdc0rMUbAo1F77lpbaBphtW3yiszLmuCJYR9NNKUxNCOyVVWYSr+aoarrtW6Yq1uFDev2CIQV +YzXEC91pjnHDkyelphWv/p4q7weil/C/oQurkrGJWnxe437+3bXUSDY2JynwooFSXXRPexbtOOt f289vPTkZFFYPFAYftMAqql5LH5l2JXwfja+OF/HHSSazSeY7E7Q8E2jeEAYMpp86rxji4FYMqYI DXmeB37oT/l4NrdWnfPeXs1YiOTy0gth0WY2R5kr/Devpfey9lXKVOaa78EYXl6ocWxUESD336gq KK+aJJeaNZI1yB9x/db3Ayh149J/XS1gye5CJPwH0kYBFxTdCVBVbIoHzegRCKORxVfdNaSxG52n cHHU9nPubFe07IU1fhZlpFUFICswOtEH77FtrW/44qoU84ksfb3JqsIAJA+MsTkotmki1mxWixtA iyitP5xgaRZ6yaBpWnZHnJeZEXUD0Dc33luOq002ZDvgjChvpoyMySA3meKsGGoe0o2SCztM90vd BJQu2z+7iIiQpFuFON/oHQtCzTCrHe23aACH/a/CXUhXHMap72kZjdtMl1ydy143cbjup0tEe177 J1P+tT3B6ZKriPpdqcPQDLb6ekifEIDvlcWM4tv2YX2nT+MyGa+D0eWKhv8s+80GKXHFsfw/8pJ/ /oHTUaxI6dPpCG9THDzrRRkmCWSgYXrQJVhyteL6mUGOUpbHNTjenPiZ4r8s2xH0Hcx7JfBfPB56 2X48OfnxxbypYtMr5I76ZkaPDNwyL7EFr7agPOLqNDEfnhv1RqnwWRJvamDV89ePdGTr5Ld3orPC nW1JmYI/BmxZ7XppJlDh5XDgXP4quilEiVKfppxCl2mvKgisAxtpkfNJW+7flx4SKyvoflxirPVn T+li4aSllJMph9yb6AvVjJkWactadqLqu2Gn5P+dnIs9BsXPLZqZ0kxaDVLjuUbnL9gMbtjac2Gd hrhC4JWruVft8VkgTjOR3VHq4kAr/Oi3KAqGsvw2hm8nXa2vrL0j5c5wXq8iaC5koVvpTTpMsmSQ gVuPoDyD0Bti8Mm/BYay11GeCZTSAqfaEFno2C5aQJtbKx/3+uv0Oi14QLpNI9g6boe733L5V2Eb Kuo5nj5rg03N5f3S7Cl4g3+x3ksLt+k2IxrYLrX43WgaFvf0CJzuZhmFcTAup9cNQbZBjqXgMs/N 9oFqn0PwrE/FfjlMx4g09wf8FmwQBLeVi7u/z6UMPe5thgDPUADtSI0TO20hitq9an3xpiRruWxn F2KG0jJeBdSrYO52fMtp4SB1sav/AJTcAmbQMo86Xg3rWO57ZynZnGiPvgq5mwaE/G8msuZ/3RwZ 9Qc04d/TZBeXHkWibhME6GEet4iXJBJmIWfZ/gUqfVcRbq/8U9Or1d9fe6AT+/1lj07MMpYIhnIA DCcKb5gZDweEQoZ27iVuzFpRiNN9wy1DddHwSIkd+pLxL9ITJeSZVa3HQxrjRaLecJv7yjS1qSfR V3AB3N0Wcu2xFO8TdZbk5UabtVhfR8Jrrfi8BBW5MXi80LB6aO6uxB58mYLjrvMd+aNB5Z4hE2rb p6cXq5IWCOOJIauK+QMKwhnfuC66WtBvec9oF2soGvVlcscvo/jssUsSApR2jS1CrJCCLJthmGn3 QJCyo2enN9Fr7c9wI7dpybA8D2GL9V3zXe6ykFhXSe/MPGtJjNs/EizMnQyPagcF/B2/lgQj58qx AtX9+hjxlaZnKQFA2RnFfMrt0GhBz8MIC2E+397lkGK+Iia3gYRzsJPACpiOGh2W/tFLMsdwloZw p5nPzeJpoNoLIJGTXC4PCh4NibLeBAzHgpRv336IXLEXtQXJT2ym9TL12uPhflor9DfsKw0DqYOP zgjp341mVp4He0XNoYlCacLc+OsP9WXXlIqcldZDXaksE11ikac0c6DH7+g8QjC9POVfPpP8N+K7 DUKp6C/oCYeARJDH0KWhvd4Hg+wser3eRfxOIeUKxPqyjoatIAKVV+ZPsmbg/V98Vgu0+VIEYqXi B1V7s1a8Y1KiVVeaJ4SsPKVF303R/BLpGiVrL9qPr3IV4jK8s/3tTQbJoKFp3/FK0177XuxM9rkR nSaxE+2A24+yUGaW9ETcvyffO/pHgIg29V0mJxmsYCh6YivQumz707WSRDnDXJRLkx3p3vKiuhQe agJBHpS7EUIR4lWdhYVXZ03/ubLV6C7SeKEEYAAfpoTJbUiQ3q2f68KVPt3hNIBKnvY0zvwF9vVn +rcdjuoewkrQ4STQgDnmH+/H69maMY0A/18Rm25olZ3TxECclqTjotxoYn5pBwzcojYjRZIzBeIQ O47YuokEJCSALi7nd6rmlADiNsDE6VXEeodPzXkN7F9nJGs/1Wye7vVQcuobJJyaTIjr9Y+HFgdu O019tY1xRJPnwXUDH8wFN+1dr9Pbo5ZY0GjSET0O+ocOP+UaL13Sx49qjVjatIJb83XOCpQcZSYB Rnx+AqGCOokTxW4fH0d40yrBb9rCbIa6aIKO4D7wVP1ZEsYgYZjgpCQ3mtVoKTW3D98a4sy4m4NT gfin3YMzmAZsozNNrNOiZzy1brjT4r+8BONJqLt+iTaj6bzbtuRqwlBdGlbKDEqLAudLw590RIF8 zi5A4Nzb3F5urPBOAWagqIOle6PuG5XfZ8X0+TYgCOReYR7ROYZPNFXmyeXlAacx3zzO8njG9bCc 6rFYNxs6N59wc0Pu6VeytOmTC4tU59va+/FS+/S75MU+yJX/S9DOr6rsEYypVEvdWITaQW1f8+e5 VQY9fepJmg0De5shDe4osE/lPXQS2w/pNcw0cctR1fvi2jFtASdSnxdxjHv7V/d3KIq5ZVUjBlNo xmqOzskZDAMsaUtb5A8QM5M54Dnni3iTGfpWXo1s0b3WtMZr270QyihJVDjta7A/CzTHYvKKxBuq psvLCwJ7lFfHqDe549YgIoCiQvOI7wGhxENuep1YIBnC2iILmx6wQz14eA1/9ed/bhZPV3Wc4jaf Yu6ysoFx7NzIRql5lx3Urxho4VDH8U7Fjz720PIidCPabPdBC7k29A1Yri2P/PGbOA9wmEVvVp2n sYSJoo515EEXBwxoYEx7o5MD5By+/e3AnVlMS8F8g0jQKuaNEqFlxrnb4FSnAeiGhYMBbpRF7tbg 9bu8hBvOdNNCaQa2S+TOkcSQfKbESMycY8HkCxfN+ubTKOQ0UjPUf1O0TF11F1W7sJVnWeXZnumu ATVxNXYl0TfAZq3vkcHdmSWJSnyr0Oc6ekblOoFeNic6TJeMw4+qxtM33a5uGRFo1sOeRFXmPFRd hKembRPK7zAhLmGzRKZNUE6jaQgUs/cZGCHpsG1r5EJNT4HxmxefzRtsDCQ4pBgBqdOOqpFJ1ObN kzKXMkhrawWE2tkaZ+YMPG/FQ6z3pYC0UOEGjFgle/L5BQSVD0xYrLiNh/K8FwGih9+EajPtZJWR BQA8QmH7T9vxIsL8CYYumyirmcZxyFzJzJZzBFUhqFtlR5yIazf6jgGRS///5isrdGysdBE3TDM/ x6adEqnHLB9bniJthEFPbsoF/Gos6zI/ucZ3esVUgm5XUOb7gfwbqevaRiTpnjdXcLAVt143AUYD JOL/Yp+kcZ41cvW1psDUAD9gZBpsxWyC8YqVuSESlkimLH+xXtfYutkxXqD/dYXpAUYhWegN9dpj 2ksxy1X/kDsvjs6+uLMVcuzbFNoG7e7m+4uF47Ae1XopuaFf+5CYSrhetEpyKxjqQnot/RcWMteH nUip2o3j2UDlJ0NO9h/c+TD/OgK3YAf4VDYxAj55qpN9/7KcQx5x40umCgSqbEu/Q8GnO1F7OLea zL/EOZcb/vhWploGP86McLfR+6Vp3+2RRyhLyhhwxjBb3c/GNe5VS7SJgBoj0eHXimzlEShDcY+R Ha+tZyTFq4h2iTeie5/blCgcrguoxCdjXy0K3sjF6qxypTZZcLfncmIDPW9W/gzXPqRxPfTQgUW/ lv2vPHxRtBF6aMu64CjZREh+g/MuPCqhC45N+pR3Xfb7l6eYn1BHKwT2NuqQddA/UC35zRTMjIZC 6T1Nh0XFSoRW80m6RAS4j+JBULWhfUTVfFIsqEWems/j4iBArMZzRWzb/Om8QeRvkonyt/Fc8VFG NHocbBad5qib3xaUc1lpS0kcU8QMV5oZINnN5MmmFqLs+r5WrN7+ejAYwdf4i93VfUijAP/9qXho iD5nsu0q8O4mCMxDvBj1dEhZhWySCFfkwtsNVHtfqG4uLoQV4gOHYiJuCOsDpZ5emLVdVFzCbWF9 J4PILFaPg8E3ZbMTqSH8clle4cIY4vMK4COuHZr7GZKO2jStpdv0lWxaIZMgZXaK5IPkYVKU9Jgm pnDRgUaRRt1PZDYcg63qtLZtQDWvf8OfjNy6BQrDDjU5hGTIEjQ3B80GZl3VLywfAr2FzJ5Fzbb+ 9zXezrDsUu6lCGLTn0Oaxt6e+vhP60nMW3q8R61oAbibghnXUFK56aNCJS8B9RP8MM1Mk/5UWMbK a9GUxHfvyk9HPylcV4POmL0UEL1LlPoheZZ18iHP/fBpmYzo0FDwMIruAuunDEjqhnnu45tDX3kY V/Pk+G3B8cMAyDQR0q0TU4wvKZWkFnzaIP4stoYsxSQFIZsINN3/ovU48CJ+Q58dd7FvQ8RefVcE sb+IbbX/t34LYwOrKAJRMumGz47c/YHID3bFzJGbyHwHTfnqXbK4tdWhCECt3yiCJfSP+gmIpaVi IwnDDkcLoFCxhfJv3r2KWrvemZVUKo8314X1WHlbDlBWsu7zWshD5Yi5d8NDwAigpv1mFR2NMqyz rsrcZdtLdS8CEktCrCFUj0i/VaKznguJDcL92ihOrS4yg2cOxVFaJZLnOIuhIhdX2UaPsMPE98no XPjFcnD44eJRTaFyG9RF0U/HpGXocDeO8BBeJyyfxHIJd0m0VvOiJmWCvjwttufSJugGFDiScry8 zc9ca2H017sX+k99yry+NGeeMM2LpSHuK0mD4THXDMd8vKlWA5KdzvtCc0Wr5h6rZncB6NWK1N8u jWm8zcVoTpccpLR/5m0YD6AnzBOT5Q0mqJKc9kmuanq1svnpv6NuSdcW+o9iv7dB+1q9yHbfLfbs /hDuzxw9zRweAas8pBguQ1bLiSQlVZUrniD9fFH4xGXHqFUhu10Rfggriv6PgVA93L8rYK2M2kVm CR+wVI8XXBg6dPBQ3zz1aMO3dVf96M9AXVlm3OyY31skDUbtPnoA9zYzLL0F8Uepau9ammakjnB8 ylvG0NnlImgOR3hI7JKG6szD+geH4fT8u6ECToAIFQJBzjy+BcL1mi5mjQzLXKK6h5WWv8gBAog4 OxLTEAx6rgpY67KIsJyJOGVw9c2VJzMVg4v6J+5Quxsr6XiIDRbNWL5Mtn44wjRhUzjDHgpaVR2C VaFVVMSOpwbtxJfF4IwQtYrQMW2U4G2viVbGFLmd0zKYUsY419RiK1yC8yfbCeJzSbFBeEaF7a2j Uwgv0//LGtek2v2/XBYu1+jnQhjQ048eEr6WAHvrd+sRGeXTbpG7nLZQE9laxLZLhRJF6PZLOxC4 PMfujMr9KLaQDbAduLuXiCt7+XgKCoe32J7h5q2P4R8RJTQ5u3sod79njl77ZlAGDBd1H8A7k0Qd /m9O7v4+1B8TQdnhPQrLt6AsFwAodEkTMRF6/ySeRdpgHsDiymX6N1abmGdqdF8ghL28gEao7cNO 7nOKmcTejFjUMKGcPtoySOX10+lFqJ/alMUK8xNwwYH6WfMwKs6lUDPnQ4FQBqVNJNGzmBEWu4Yo tu0m3ddzpsh3szO7JXtP5aDj3o0xRJ+kF/wYZKYcgsOEOOJ2OYs0/o/aqjGGNB26kGp8YO6/p13l uPj0TAQzy+dAKo4lAhJluWFgMlecsYHXGZnKjKIQSHYp7YhA1qcy/eNZ6MhKBOevc9CKpP3yiUJQ x6MDKyF2tHFzbtfTvWHaFtxKPNw1WkGgv+7lwYXVcU3qJ5Ai5zFgbP9HHeu8hrz7tXpNgNtSyxkt qyZIIF92VI3xmXj7nWrXPbfyxAWhZCN75EWOngIsaZqJEoxp4xG6id+m5gV1LVnMx1zIAers1JTq fgoZV4LR9k/8+6OmOQ5gn4AQADf7+wWsg+rB7g2fIbjebrpovKhZPmALvtXFEfsGo3O/gi5vKiR5 R12w2zt9AMWt9y5/nFeilYq7M71Nsur7xca4VXMzxwCCaQUs2U4/cDWafNITdjDWapTZIoaH2ZVN tMxJ0uN3UI/h6PyhJpjXrdo3h9EqaLglLp5UtCDg0O1tOmbINL++kumtaCVsY64AReB9qfVZVpiN S80++F6C3eVESLxC3pJTYQtHSO9Dn+DXOP6Keis4gLV6AWpoiMUgy9UA8H/6tJ5PJHADdc9Txlr/ JmTRNtHaurQecPlfYZ9n69MUzWYS0puZpP8A8rOt4wCHIWtphBeHZEuuCt3hWSqU9v03/aF7zv5v DDS3Owat3H2KzVAUnuyZ6KYG06NSjTQGjj61CGyjBqaoNO+cbbTa2QgTpNfW0Hgj6XSy4Lpg2IKU 5qgTl2B+Tqt5LNbX1oxJejm2p8Lj2AayY3+RTbMBaqQKrrfIGHSrjzjRkB7EAUiq3wYlc9iMglxj pQB0F81OI9csmWt49PmXtaa8agpBUpTt1ZFScg8dwYvkFRfg9nRcUXooQii/CikbUm6j5OvGf+Cb 8ljxcUJUZF7LYp/mSKG3EGx7S1t4ajlR4gKliddn6Qy6qKRcib6sSUQkL9cGSOPkdrTqsSkxyDnP VZRa0/LEaw2HyC6cWVhBh8Z2QNd38k4osQpuXXS+A6VadmekvWv0vG6okYLmjvdJhIFzDmrhVnFS LNyUH0MWQvwJ2jw9LxENnJqqj3NqKcgR2t1JoVAKrCfEuJpqT4CXkKuOJU7rVoMg5phMHYxCX8f8 To+wsItAvLdpSMr/q4tbq565/uZDuRg7cY2BPyVYh1MtM+l1z17EGfWTfb5MJIXDqdPOaWlBl9EE 7acsj+ds6WrqjbAwJbzy5qOsuYcxVxgFv1aRkzmrD3OShQULX3grLMWUz2icmRuLQ4/bbO5i0HCt NxFgb8wlJ8cQaeS9MTnwvuJ8hci94ovbTuEaxG6xmAOai1Yp6nGJqJvIvmD8YzCTebv6i3F6wyPS AGobPG2JxcooonHk+Z8sSw2+AfUCVuD7/jKjdet3X5cBwAddg3H/ov9kLQAm3IsHU0/8epvivWAU PaNC4tI7uy2cW0CPRj+xQQR+w8IYxKKxFAhuSTOgNZL/P9WzWMmw8wQQPkxcX2bAK6+NRNbyKbRV 6eHK3ZwUOJvvutVaZ5EEWEfrfkFJ3dTXLsSHT4v/g18dwKZzcyP/F+rUnTgj6X+LppyVs6waIHHT YBhY5VVUvrF0YSbXkxdsWYmiEpeE5cj+h8RSEu3BHY+PoWkBsZYJ7juvcylj/m76DKVWe7TUMvWK gwp4faJJoSa3LQiw6wYHEVG0JFOIwNVhxrTns2kNujXLWAUz1DjBRCurJF+PtbT79hjmVjnylxH7 13kPwIQ6tB18Qv48lADWrJs1MsZUipGfX+1p+ihDw+0EVIhyaENdLIdNB1w8AQFls/5WnxeubpvX X3yPt53W5bBeyHYz6GN259eTAKtqRM5dUw8e5niqeDTcdY8KD3uajaePvwS5oXC/Jk6yx0a8bj80 Dqqjsv0wbD2dNOmBUEiix0ELGSc5qE8twZqs/lZy7Qm8kpFAywNbFTPRLNisewFb1oyudHzrllTu Fidq9Sq6GWPAedfUUeh74RGR67dIzb9K49geTByNWA9bDvIexmRYCRsJPiVcD/MayNGEQGbz9/pY RsXzA+2rK2F44M+a5QZuGfZPENvVr7b6P28L5XkU59DsCw1DdEHfMqr8tA5iPo6VEn9Q+1JEuoKI Tg2dVjGWFD8h4tJsN6rHQ+7KIr+ndMlcDHkpEFl6GSJ4dYk0/x98e5CS6VBPoldGpmeaNC+5Ounk 2G9cVBewbHdKmNYfL7AzWP8LLHATlMxaalwcxCb2MZ4OaVnEWr8weT5ik74K1GY4jOSLy1rQU1a1 g1aCFC7jQCnRVvVIN4aWPTvO91HM9T7Hn/IHff9YJeCwC/W54fYqsdKCZf3kSRAuHd/oilyHTM31 hgd5WlasXsTNrEyfWjU0RHUsJC4LIGjuCwuqm/+Ljt5tIGlJlWvNdDAzXO56PPh/XRUet+k7wr0u AVBg5mVCz/AYjlemWTi+XcZtMXG1sIcmP7HJUhK+znB6ZUBDwpSrIktgT7NHMGgby4ocoiXdfWFx mRasNcmFIA70hxsewyQNI8HztgsUqHtZtNtFkYGFSd/qZLPueQUxsbRFZ4VLt6qrB2Mcv+2iCNzm +GYkRfybyCrDf/4Lb6xVoGB8zSu2mDzuTwxNh/a7N/Dl5f/9znLvfnHyshdG3Ish6wUq5mSTKZ20 lXRjyN0NP9RTWns/veNkA+ivhElkReoaZZgHjDbrLyPrnwD348d/THPwjjfo5uEYIcsP89UrrPhZ gLZ1hg7HjoFHMbMHeRTaOZeTyfgFDB3O6SytSb08NPZ+1uTcKFm1OLm+zdi9Z1d5o1s/sUw5s3Um dK6ljzgEyM9utJUATHtWBpnXfgoa76aX2yFPXqDhNUO8DXnburgKL0qpGfxwPGOrClnM032JnjPs MWY3SjK3BpaQTHugBixWvBi1WuHgzsqoBySQ18yii+CC5gq+tsH+noj0TSrGPpd0QB+uCoTakYUB V2fCDYmYxddfUavbeDetENg3BNm+x72llUjVfkwhf4+M0HUjOy28k386oDyXoRrW2XhMy0oX8/rO jdX/FAZz6bNZcTA+ONlXur/nNnMNGj/KSag4w8bG3NfnKOvwdDbN0mxNvAER29HQf/i/EbWaAT0C 80aXht8lpLYOeZX3JaA1cZQXfFfY+0FpH/e9rTcdMQN7BSRYO5GqjVxiNwcfJbVOOjZ2c7OagzVw Jt1yib76kItOHkDG2v7hhRwdxxl50eCYbkVkh/GBGuktsaIKguG7mMmhG8QbADdJqwHzcgDRJ9Yp kYHQmxkIPcBKnXgeYTe2peMfHjdz50xIMl25lMsh4Wuo/pi1FpKRDZR3uQlU4bKWBePz/0wFZuUH tK64wjuwToyiNfeNQb94W+FQU3QQT/4noQ/kZg3Yz4aMZn+dzCcQHYH/JzZZJ2fVrvvS89CbZ+Ov w5uVvr6RCjLtbgAf5hdKFboDSkJoaSSZQbYqaAiiyGuCFtKsEPcDzNHBXXOrYwDQwp/p6K/tmfbW kc/F6CNU2Ll+WOqRUvlbyPzoMddlBRZVAWPnpD8Bq1YvDtdCvrfXfPKtg4IV7jFpNae6zo9Waimm 7RaVb5FDiHyf0f5UEhauBeGHBnoJc+C1teRsMdJgiwjz95DDkW3rRfoBAQndJXSR0n9NyzzY/mfo 9RqbAxLDGGHwVCeUKU1y5AL7LBr9ZXq5IcZnYvErwRlu6YIN3JfZ44cGM8fkM3GaX4BXzOaoO80u Ie5ILHEuLUKkn7OGxW0zpnr2mAvxGDl0BhiH5aeo0R9dO+V41z00wPeewwHvKJ4istUIlGaQndMf 3F1vG2ZP8Ai0T6+QrjpSuTVSIcP1Gnbf2ghDjPzK9RDNOH/1AuMKD3WaC8HSLCVTbS7MARVj/wgi Sto4X9oAXTERnVHfh8sPIYdvvDm6GI1ScQolDprsxVsLuuhgeccv2Ip0MJtqudcqdDgEmiZfdwxr rJX1a8/7yZIneqXY08vddKJZEFKfJ6ojJ47AlYxn+0bt2A8wQanE6/RwtAIDc0aAcXgXUWm41SvS 5haJcr+yz29Vmjd5THPPVrHVVRFUXmz1qMadFtA/PNEqiayMhOw17vlW0OvrO/g2PKFKCZovZRo7 Rs9Qe+29o8q/6ZEXKb4qi/pxaaTEKecWGYppTR+wMcHFrr/aJR6InvmnDM/sEAYZXZSo7g++aa4F XLR/MIU7JdpZBtGBhcbYij2uP4EftebgrL8lcUsmGM54kQHqzn8tJnU30+6EdF1TGZsp2KRv1eb6 BdmXvxtrnLXfSP7tx1WluO0vs6l9zaFMhBUJOuhZClAzI3bFgFq0IuXeObfzx9WUf5S6vaRk2ZRa E6FF3UpoPc8e3AMkbOPzN7gc1eFt8Q4P/N1r9ZwE+bnWtNcE9klbCnXtz4elFeqdCqCcrpRfxF1a QMXV43R1borrE6klFOrI3/GLPFaHsofo1zd+CiOTzZ8ocGM6kEOnkq3GumYR+5FuusF8p8cz640G zOJBi+o/PWDAGwTzYFRrExW1aaYbxFEJaQx8JmqDTD2ZUcSFSQzeD9zwlEJSZSi6EYjeBjGE44rs r2OvXMQ9MA1Yb5j2OZgMsIExcAqZGpl/hEfgnsQzhQLjMtvqyevyYxQmiG4iG/LJEJp6/LlBlesI nHkOklX62web3io8uowDzRVeePv6p/xzAbUOcN+aR0ePt2B8N4j30Y4XeKs6f06u/H6DKp1qVOCt wKxM1Qg8tlyzbz0r `protect end_protected
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_logic_pkt_fifo.vhd
9
43848
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lF+ZGKoWQmSbTQRGCNzzZ9fcAmiPFoabo96xV6I1LD6+wTeZxGCAmFl/2E2yg5h4ESkwGsgRa4bp rqPsP+AXhA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lm6Yz5Mdoa2EUAaNpuDONBfFsjKtn6edtOrwpkKB/ckBczgqNQyfoy4flG8ID4Uu/FC8IFwBe8kG MielR2HlnzjmqS5eio33aN7wC3SPq57NNwvevteJAQfYGtTXcu2QuW0hQKiKy9w0ulnWeP8YYGtg F5D3mOi066Wk6XOGgVU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Onmysc9PKoFlXe3xMffNeRz786WIk/KXsOAPKLoeB8NBNVIqiK8Qv4ENFtUTZnJsj+zQMhfzI4Kh sb6iGsmM4OW8vlkiBvC+f+yS8BRikjfKmRvPur69ALWezHCrm+gYceijBDPvm3HqOgu/QNvqCNB/ bVUhVRIVKots1/WwGOI2KE3W9G0wm+4iDmCm1jug12mRd3KktwYhykWt2k7WmYXiw2Fmd+IXeJyj nIv+12nLrymdMMQGfdZMWnK9IhBNRJp/qJMUrSi53yr+HwD5svecvHN0wSAXMD3yRlzjUJrq3NYy /aYb2k3hWvs7FeeYrCFZiJuJdWfz9bVSenqexg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JN7BVPFLBE13VSiL9fAxgRoZhnfL1c+mynq+VbP8RrTd5d8ybFoIn6jrRxorWKrXeNVAoIP/cKnj EMXfVGOXkiDN0eTAWMS/QKLO2kw6h5t2FKlTZdewpzibOv4KxtqMoYhEbYTux8sW2OFt9TQDN/xU icqh4bjF9/9MTAjkTs4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a1VaxJGDXveWfqFw+YuXkoXV0yIQi66s0HDspZJLoDL2xAXspsABGapZtdkmiaMgo4NPa0tzl782 9vmhPEhkY3DC/uZzYVM3mYNviphxKuYA1U8s1oHXwL9vOLR8n0W3ErJK+Hf+bR/KTyLg4a2WZ+hF HRsgzplTIz2i7zV1D931iCzHnWjsKNKaJ1ETLd/Td/VcpNimr47dgA1rUHCUyMFVttbFwRf3LgUh TKxqL5o4l72D51XQS6TEBE8X5Q/wWpFuteAqvyDIX/zwGVKJlMLlPVDXO/PDxgGUnZ4I8/aDprZq IKkItdJFWZ9qHXpRJ/igPQT/q9jtgzfVmHt4LQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30720) `protect data_block gtFWv6SjCmsgrckX21Q9uajej9U74r7/v01y9rsIQhajmM01mh7HVgzP1/wCssuvgRkkAoC0Ec3k LbpMDvie4ySYcIHGH+oUmv52y7c82h7CYgRkwbSmF9nnNBZLkhtoDelN55t5mb53CqIQwv9gNSrr HiBTWFNvVIFKjojucTmLunjkcP3W0g3bSRiU0BCFjYKWwTVo5hZtTvJNcyuyggekc7ihg2s1pDyz sSGp1B5Kv5tMAZmEEQKx2y9fHX39qLdTqj6ME20vzut0KwsPAzH9prQtWuBx+jHM3NytVMS0E90B pvQusuFiKgQZTcqyEowWpicD5eMWYCnAsyBsGLxrrvr3/DsuVqmT1kJh8EyGZt4lfZLb1hKiKOnR mi7O+Na8CEnXq6vGmIJKsiJyw6u6P+zzENXedMxqe/Uqq3yX0X3caWU83XI9oeQGQPoqrGIjAe23 WTCQVdFMiDjgOxwW+EZ+WJ4yp4nLMs87EVsGMtB05wHrBVpXh57eIMha4rDqaz6kLUcSQsUuRvt+ ZEUmk4dYUZEabnZUQOi/2O8Gk+rFMRXAG6br9tjOupcXbu0T5inC3/VC3Y278fWdK2fsZ1Ze6ISx lCzorfqGJwcXsoQtNhueGAHz7/dXeP/CQAuP0dpNQJI52VAec1Jp2CVfex/ern1J5aC4vjC19I3A N5aHgTNvYFTEHOg7KW9tglA5T9NT6NYW8v2oE+zJ9DIbB3LYT+izhwzL8jkdgZmslZFZ/Z8He+Gy 39CgWJ7Zn79en3TCcQMCRCspGEYwlryfkQyi+pTzB8jA1Hpa1VN1jB4CNZdGYmtk/IVpVwSbuguZ IHLNXqQ3p1CEm1vMdYvmcN+8AtWsopPckoQvszvbF1vEHuhBCn6FHbSCVahn++X9EJ0quG11de0u rWrdV3jkEMvbWctkHldBAjZwbXZ6cr1Ozr4nmWsVUAydZW0CVHfKIF86upIVqIQgHLYrHscG41qM IrY3nw/rmQED/uaCxkXLVXXW5P3hhT0bZ7uQYxCQU2gBYNZDZI/ePiHu3HKMXS9L+bD+XetitAsu Aa20YGI9Wk5AcdCLHgPu5PCq8DMZFaRTfLAuh/0OwCbuz4xzmJ7vEIAtHRM4TAFLj+e7/GDqZnvJ 4Hi2GsQaFzdSUhaO9th6oXZsN7g1577qaCldD47BqpR0C3Zqx/8tIAXq3GCThDhsklBZy+H3IZzD MAihMAuhrbGSDixiziNELPbXlb0Oei8evkBAcEndvivfSeUUaj+8leQykBh7N7yCZYtU35OPvylO XMSGVjIfhTJfIu8pep3RJwTelIYg/733w17SW7RGlNzlB3Otx/VlRZ+1r4x3nGW+6ES4yZKgT3o6 DPfO3UqZ9o7VAiYK6eUu6Z3dxsAH01Ybvfy+HG0yoOxp68LtlJtAOwQ8yb54Abr4CwSbf5M5Udxh pqLLod61vrEhNcHsWND2msEGsf3rd6WfSeKhZSEb7VpwwLywA/Si5IyVLzhDY+Di9YgUOrmZLfXv i9EwMNcDawy8Ij0syuyF/QtDkkY80LV9pSWhem/J0oEoqs6fdKT+ax8n013mGqLATB+XDcReRYvA dQD8zhb8kWTCMnXBVeu53juV6o+OBJTyKNIpkR4JV35BwV3UqNZb0tdux/FBLJ9yX4d3gtv5AqWN xPFidIpaKUPprONeL3I9XRSLp9fJbkuqPD4/UG8B4w/eFLSrIUKvaYGDUm62Nn7qCw2f2HMD+Q1i hkqShqQe7QGDSQ3KISlr2ottYRekYz+9dTMNb1rszUdCPtnpIc5m3Ig3sAicIoG3LfCaOQXjyGYz x771yLzEBYmm2KdWAoZ/PyA06Z8MD7VgZZY7aZC6bYpF5AFcoWJpy+AluFpOicMz/QyCc9Iw0BAO hQp7U8hFhDkOoD9iR3aISHNk9Pcx+sdKwCPSZaFFElxrRSELhhJD2e/KQhNC3j+gANWoj3ol59pG gF9uycsRb0RNrrUHSYfjpJ97A5GAyIVlgxbxr0qSMqHPxay5zkJjI3RwHkcCbUPEbuez4iF0xKxJ AjdfrevV0nt0AFjoZo+MZuqumrDx9cAqopZm0JQgjru/BUxqjn8415D3RlwdooPF7Q1XCVFyOv5F fK7OGMQ+BSQwn4ruJ9Hs/bGHa8o/pDgAV+0dzXjFN1AyTwJA4tK8wNynx3RrICCfNmP+ji7RGANC RaiP1ZguvUMGIpJMkDMSYFWEkz5rATk3i8+Iqdf4FTSbO+7+xPzA0phvsBg5iIyDMBhHYtk7SUN4 sOpWkgZuO5crv1066SIDNc4ErdeMfGzbwllJn179FGGG5L1GtFHWPm39WslpYNst5xK3XfT44AIU JcNv7oumdMU+bgiYjSmLAt796j44ygZSkjP6q+AeX6PZJsuBDEx7uek7Jg+fjdh1Jm6vKUf1kWRD duGMi/fOyzbrNupxEjbFAfWLAwEyvk1tvilnC6QXpR108hd7WWvxjvEc4Pm7VxwDETXO4ebafqhp n827NVP5OoqQ8MOlQntLoON9Jzovf4DzHdAqkr3ZvsftEyhjnA5xiazbxBE6dq3yxnL+r332j5Bn fCG4aezhqPZBkvij+bMgP9s7Z3EIWOyp8H+8UpHONl1dwOIA3uHhlpWWTUWAwyuCG78bdAdINfZj qPwWAV6hUAHBedO56kbv53gUUeu4qPvG9GcAEiHkYZbcCjS+AMAYrF+jcLx0J4CAoCw7wo7NTdsA 7YNkElUCDJRz3234qtzQwkUR7skEOG6wS2mFp47eQ2rynJK6eB7zmniam+D9rkM/Rhp2Q/gHdrJa VEnkLUGKLTq7jeVRoQ+ydoVRFLC93dP5HukXC8m992VgoQjsito/IgHP0XxPsU0/5bCVFTsAmqkK ptajTGS8sITa8lJ/WW/AmPHaCbhLWAHsQtPmoWlPFqKcb8blaNdFVsDYfnmP0Eqr4syH5jvvIjVK 0mA8rMFKoacd2ZGfm+cCrEf4u9aC1gMTUpZXjtN9321hrZOI6oQBqO2lKIlvX2I5SCHDC66GzKZk tyb2E4qk97eWdy5mkQ2gLDdoZzoW+GWQrZSC2vDP4P+rn4dbBf12zNOVyqLAfW8v+Zzn1V+IOwHP LwRAtsDJH05HQ50cSGiCkM/8HxOU7/VgAykrJyNymK9b9s9BT3M7n7OQPVxKL8s98XCCFQrJSsAB YF/YROxozD29loVZMN/6sLK+84M2g8FZ3vAqCUVMZbvYSmcnSPbuxnGIJ+FyyXxXiRxS650SEVJJ +3YWGDAQDEtiOWoyaB6uLwJUH7HIq/h7f6L3A063YSqp5RxRcaL2PmMKv3tQiDjLLC/5+y9GwMbE c6BM0qQi1FkZRYh4CC5fwpswv4m+88gXZgqJyV/1h8xPIlkDpgn4x+J5SPxHtAJtTCSOMze7H/kE 0mr0B9mSlYOw4R+MWjYiNu4UC5Kl7UEZrug+Q1LZfENugjwHXWmJlYHUcGk8L+179IAPmDspSHOT 171hrUMiQsw6ACSm9hReVxix17oq2HAzrxhe7OhBU5BUkqVirXhH1R7SHiYOSqE69LuhLvs3DIGJ CD46MhWii5w47awHdagq8xsWGNXNt2GbzGyAskxPdMiEaOuti6w5HMZDUd3BLXMyQzu8toChLaYp QkN4PbOnOOID58VZZ5Bfk4HbBGj+kRw9MkNG6cwmr+p3jokDS/s81O3KEgFUtdKq05t2wvP3qt+s qjbgIjtTmzGMeUK3Ee1hj7JyCB/0M1xwWkt0iez+pKYrasYMm6bOj5ThCR0SnGgaw207XfOPntrg 77G7Ed23gkRkRHtgXHIqtPNQEpEX9i0vp8WWaQK9OFB06QUPuWqHa7INXTpnBOQm8GAIHK0jieof 8jAjKIQcWfI2XBA+J43bP4G4evFUrqb7clR8rMIB65u1PV6hX8lc7eCwlm4US4Yil36h/2NrbNte 9Zy9uz9CW6bb3jyezfSBi0VZA4J+UnjE0XO7+pMCOVDOm8k2BwZLQEOjsu3Ql/WckeaWMHpp4r+Q Hy8KaplSOfKuIfNUmK+FbCorEMf4jpMH+ybzcFZb0uO39orQ4Q+dm35iLBn2WZ6ByTWzA9mC7VJ4 NTlmh/tPHVHRd8KGxTOfJXJqKolGQNVlZVMuLGc0uhKeyDanz1GACzl/yz06PPmF4IrLWz5xdfGg n1fqNi2+Q1Z6SyQfQ2Rt3vOpqkThdgnwkcAuvTpM5FiybOQdY3i1S/xUHBZwnIOMe+iKxtaL5mQy j83c5MJIQtKAkKXuvmTMnkYxgd02GXErunAGuP4F6FqFRB16OoBhAteHxBo+p5Mv95cmW6nUOgQW E4rQ1q7RimVZVsK1CiJi+Uf62r7JkVahAYpxtaqXUANmQYck8m55jjZPB9tFzWaTKUwZeA1cpvwL RpWad/I/w7NGU7qgm0wbdlSBNgz+CQHdq81N8C6gV2akuwzD8abPAIfNVg/be6bW/Zrt7OPeqPmS MkajGpeAMqglt7jn9nJbaYK1RHAk+7I72By0kPyAF9g9bfpYwVn4hvSWlWPKbdFbBmVOHbwhiipz cKydg7aibo8YU74zP7iGZjpsYK65LtdshPqeD8/PxX82KqEomnhzbi8IFLUUvT1MTI9dPZVPrtbs labLb9mT4lCk0sTGgfWbdrbq/uhi/yezCNsf1p33zHp4+aJyNU8vkLPqczf17ablXWUHw0Auwqu4 l10snXCBQu87RzKEgILetsVaA7cxOnZpg/uh5OtZoh+Rs9YfKJbkglzohRekHTSZE83cvNTma5U8 H3b0ftO5/opfCGbdh7rYAE12nO2ko+ERm9UTiKLGCk0/NhKoWTFZpHnbbR0byO5L+H2Y2LKSOYAD mjrCK5yGjMj63pyZGwyuiAACq9MVlYAP5KE/LfWj+/XHJi5i6mEol5ymReKlPZdT+qT7VCt15vDU VtU1dEC6rAZ05LV639aA1h0M647Aq1QSH3goQ1T9eFSCRUG7ADbRyiWEERprvOvJWLUyqBwauiOU eXzP9h8YNvvoPiwUpN+/UwkJBfaidzXz9uHF+pXuBH2LeHicVam7g67LiQXWNFll/cDBs+v+aZs5 Kx1AT2U2zTcaqrwsJKv1pMb1njZ+KP/fXCn8CfOEadguyAK9cEeKQiIySBB8k3PzQkmwjPmmCxmc 7oLukJwmEiNumMCqe6Anj0PdD/rAtTplNN1cfqEYzdGJRfe9usixJQTiZtBEopb8dm1HCSc6flvp n5tW4e5YouvMHq2EfwJC5p/vE2ulh0Qr+cr86edgBqW/Nr5GrkNN26wVKn1VLLUZy4kWEWdedPHE QUXy4fJNTCw2AKOoDzHWMbP/24E4Wr/wUV589I2NY7XYyFy5Ij7bHk6FBq/lg7/CKIERD25RW16l jX2rvBOAAq79H7qnffHWASudq0UAStXDfWt8Ry533defTTo5XQCDr7xni3ughgzS62ZJg5378FD4 hG3NC0P1uGQ0jmiMRNA0nx2jiXuq9vOiN7vcc56LgReds8o7/6p+ISkSmjEyOYtlpFqw1mI3NnEn IvTccCon/Ecz93UQ5VF1moVw9K/njtj1Pq2tkwbVkrKe5V8vTE85PJvSEDhKtHtbt4Rd4GGL4/+u 173ZxReBt/6zg1PYpbpfXyiSq/OJz6PlDX5OgAVY11QkSpyARkN2HcWstF6/eBc/QuSO7a5p7R/c m0+vX2/8DEktaocce5zKfIHuGFC1k6qBbhqnLE4tHehxbiuDZ7Rc/en4Ws8k2mQL/IBNCEXhIk44 9LJoEwh/Bs7SmQ83nLpZ/K4Y8gMg2ZYyvGMtwKrmZwVl7NqhnQa78vcUoojh3sPzPz/vj3fEV2Hj X9+t6ekJ5WMbzLbhxyKe2stT+fSpbnf4wQWre60/ir9XqvODPusVW2Mr4lh7JGRJjkt+KV8MUXfp vkF7FlrsjXM/gm4EodHzjkq3rAedG/0/RLyAoknOJoGAuH6jigrSkXTU9urStNi398+rXZ3db4/t FlWngpwv3+E8sByUWyS8Q2+C2WW5cme/F/a9lFqYSdBgN2tLaA5/ZE1YirBNAGitKuXJS72NlFFN tQqa7HW6Gh01QgPAXU0m1L1lw0tespJxfBoQvG19M6bPRjhVqEHXUwTg89Ud0l7Z6FbU52/9fEda lCCKlKyZNEg4cIeCHGeaUhnRcDDheQ09XXhQKz6kiZf1cGGHEz78/2AvkRtEmLlWktrbUtBwev4/ LdW0hMpYJkKdfrTjuEkdF3D0NuL2LPKxAy6TR5WcVy2NnTlEJ50NWvVtOLDQK15g0a2dm1kYcEmY 4a0NRGLgR9FwEhYs17HyxLbJcB544tHbUR2BWXXlTsLJTz4APdgyVSuWehMElzGnw4RZqM45uML5 jGKXaRQEC3h09SEawi8Y/e0Q0n9Q+++01NLOHHS/ALOjwLI2Qzjl33yzHRUAjpVv65v8nGfo7WZa Jzfb0Ev2qbf4rZIn8NWNbCx9hQHI8nLXdzWozcOLWpcgXSceCVzFo9XP5b4qoP/FdHeVqJkTt/pw BETgtMGQeRFFEofY4ZscZAQWfb0l2LlzfYzXjS7YejqR2M2L5RWr0B2DU3rMA2XqJLeEdkLavr1u YV8CWO7Tr3LPSnJi0ivo0vPFgkYarVokcUF2cgZHb6QoIGQLiDz5ad4JMI0lRviwFrRQgbI/PJp8 7+8UDlDHuHjwgov9KHZv+A2+heoFIWnGB7BZajNSm6OJbSfVMgztHYsdEuMRlMiyYj2GIXYJDQit snmmq52SdsiSFFg/hxEcMYlRk+zfnRHAK7vsLTXXYMGKWbfdwe14Lt4p0vFkZ5b+88QiHXoposmA JWvKJgTkyK3l4KFQzZ5i7OHevhtbf3yJhVD4erqt/9z77GfHmTlTjXZGUsQt3L0btjfue29mPqC3 82Lke97UB1PPI8IroMFcHGBQlbBSVJFz9y6wGOcPVKDRg88YE0s758zSdmAYyOG0FCge6eI34Bld f/Mlm0qeLnLpXgtLy2WnzniqZYO+coMlbVP+3XuMU3lPkuzPuiiqDjKc/PKio9GT+u/yCvCyKkKt 8vdm3UJNd5RKoKr7X+Gis03me4fI8pL8CKxATe11812eBNI0rA/6/wCqal1vJe3mlHxvN3QrXiv6 D/KHACuqAmWoVXtZPaGm0ioE1kWkfQEnE3k7arBTXJhDzvxV/b1HVacBWK6K6va68eEfwshC9lsh H2cVpfQngKtPgrGa6Lp3yBvZCV2lO02y/f0tnOFBRKtOF0QB4wSsIhWpbXCEznXOTzwBLjmXqpAn R+cENooUA6OcvJgYksXBw+D89kUPaCFMaxrtow3m5DlXg4CI7x78NaAMs6dzh7L9ETR591/v6z3n q8yQRCn5P1V4WS9LrBup1NmY4iyT7L6YnLLJMhXEKZRZCNxjcz12Lg09L7Fv0Akg2V+lTLYRkMum 08fLz9cmqTE/p+aRF3jMMk6D891ebNHcgAGDDarpt1iU7VX+Ek7mKXuaJ3WH3N40bS2Yogu1+yIc I1I7aoyN4JlwhH6dPZiEjrrV5Q1hm77jiSrVTzurHLiBPP7RPrGGbre23e8IbzzHfRyrkJUl/OuC Kf7FAbAyrb97ISUViqEoN0vy2Klapc1QpA1IpxSVtV+cxrGzhvnuzMolWcm/YE9hi93pus4ULe2A 0enYcfhZzfRENc7dN0U0zdmE7jivcb4pNK1V1nzQ1XC8LBq18Ow2z/WAzwayIP1O2dPjNXwpo8V5 q5TLxxGLrwWwvbygH+bpBioIFDYyC6JEJLDqxpB5TJXBcsxkMmZN59gnEHwqXK6rP0+ZA7Iix/2o HHusvAHM/LL2rcO5wbs1nUIXinmqifdYSUuUcqvq6X4FFEBN0chrHrQfi89yLm1iFKWrqYw3Qyj/ KjNklzJ2mgppTNvnYDSmixFVUrC9AAGGkkhEMw20NBkqgykualzRuLDcZHZq3tlBrheC2RoICY3d X9HqEEExZqhjWoUsxiw/9m+UAvim18w+YhEZs/rr/j9Ot95/UbOtXa8omynLzj0xc4GGX2Ymu55j r9IIvq/zCx17njQeOfgzZ+oIL5F42qbZ4yZWXyH/YN8L8cjOIjuR1DPaMx+2yOGyvPme+tK4VaUs PZxehua0tpf4re8hsIgjbHcy/0dMDse5s8pMHSSuJj3o+qQPXQouWRoXUprQ+cIGb6IERfZ4xu00 52j2lFMfNQZUd42jR47iaCZ/PBZbLVI2h/t3zc0zTQojCBpOKrGPo0BdKXfGLi2wMRN65zRypDUh c9IsShqXs6TZjz+NIpoI13y4Clzt2lDctM8/pXoX20SiXcymcrtZOZ80Xv4CBt1norBeZouP4Mzf iAR6RVYrWewTbZkqY/P7rb+J+Z8+CRSnkh8cSHsme14wr538qZFcWARcicrxNZ6HkPKrF53C6TjZ FSymuSMjcRWc8kgivwkd6OcvvhuOyIkAiyrzn5qbfdmZFzEjJ6bzC6TxMvFbLgSdSt+W/IS/2WVG tyVZvs7TVgwn9pOV6PyK7UohuOY6oTDClE6Y0UmCH6b6XRSCbDFuxRsi5mi+yRqkBBLVhTAp5UrT 3k9R+JyLFB5pWJhlycPQXj3IWF4YZSrI7UeKsN0qTwwe4lPJ5g6q7LqmwYqzPXzZo8QG0bPQ/IaQ JsF1mfI3BNhDRsspSEhENUtCWj7YdpEALL/u/JFrdK8HB381TzYwuMaFqhpv7fVRZzrD+TlDz7HH fi5J35F3clIX7tRV8j/s8NZWNeToXKneOHuKzjwkddxLdWrFD+EvqySdm/Wuri8E2SG0b4wcFZIy W+snHQniNxz9nRhjSPoywxjSrwlsDyr4sxugJMxUrPqOsO3hDETuBjti5kVIvbVgc5WUk8Omr5WR 6wtxEVcLMXnV1PXnhAx0xtRbQl8NWu18to91G29LQKEz+74LlbXHXaJZSsNOVrR9HywW7edsaA0Y Ljaox1jj9JtEoUpptXi+O4RrwwFm2yTSGbuNKkW1cgm5epEdGZgUocVgu81IoSHKSvR1JD0YNHos liSizS+OkoHz+yApdW73DLOtiiX+Em4feILrstdnynCh0+9uQjZxJNwci3v/jIETVm81DhLsq3CJ 3JcjkKC6oitT5EcelrS+lJKeLrbhT5hlamegEiC5ufkKyIxJMqDY6gSfEvi6+V3cjeskKDh+lDhY hUki2ncyno5ocCzy07s73A6kKmiLCwa2pbd1GV3Xp7HTsIEX2IyGAg+nOHlzCpV1CemWT4thWeSd uWpnWqkqRPtKYYOrDs2a90wziTq+WtyEVJGADohKOmqVLC6QQ7exRUzWMIuQ0xP6J6gDdS3sNNpQ 2GOnxQvKdH5g/68gWldS1l8gC/aAiPueM9cf2PZcnrX6teKPo0hSGuodppOpuqYRqU86SJKpadnL FHqm39tucb5Odt97GV/PgPJXSI9AUJm4o2aH4Y+Auh8BNA3fhuED2YymmfnklZc4Dw4jVWG5zmok K5VpcLUN56ko/wm8If4igwuDQWuThfIAQ5SQ/4yr1k1eidDWcberaGijwsRw6r5KVu5hGW3ALf+p H0xflLXGBQ8hGxzVUN+1Ai8epBKE+G/paf+u2BOBvXL4XeYvuk9iNKP0UTGbcuT83A6gELki3Roa Xs5bTozxwYyHylrEH6+CATKq7PUEvVkalcOG6n7+4M2R9JmnXmcFV1gUdJwJByrhwrHrOpo7QQb7 9/yQPflV9SD58GIqat/oz9LJ0OIMxfxr4PihrnaI8QwqRJUbj/NcWjRVcPoiTMC/lO9Hf7rA2Aqv axpQI1Vec1oGEMM5b7okpL1iRwohFr3hqiDGriz0x5BvQZ0GU0cERpcu8ExmLFqfGKgBV+AemVAr iPF73RMQSnySl+aBMc+SI0zfVKJF9QxFc6OtqolFsV9FZiyk0U5kjuwwPGdyWP+qnWqI2Ez3eEpB gpz837kspr6pFQsALLv5tgD4cpVbajVV+ABFyR+xyfzPA1nsRLi2hcRxXKCzpf9NoAhGG8A/iicH G+kgMNAhEE+cTjh6nwiXCyY/DT35PwarHT6jVr68NeaySlfYcYfWiv5MMJ8kkG45+DS3jhbfNNHz Cw6j+4i5E1WHHvgUPRi5LctMNsFG03xTpG2kGaij/2CZ7K/YHIF9ZV63qbcatSYGe83pf+T4xJf7 V5k6JyM8if1aFq+2t0FmrdmsfQ0EN4yV3GYFnIEXZTqW7uHeGllivWkt7gWe4xmJeUWxBZThSS/x EotrffS1qVQdQT5f5fQwScxSPpiwYkZ9MXGX99LGQTu4jeJ1YP82GGDLU06mH3xkkgQ79iNuVKcj whgP+f9mjIBqGqK3BY6gUwwoBTAKJp3QpKVD75V/JshPik0Hnw00MXFIoJAwjh+Oy/wpIgCvWe4F hp5qXAe8CAfvCU2Xfk3EfXK+UHSs3YZt+TrZZj7jpdXgNbesoWSWnwrVMOxLUQLZiD9WbCojiw4I eyEpqPsFCnIAfWfmeLW4cV9auUoRrAeGfzbNxB116tipWy2odsjjIJn4duYFv2rPKllQ2MftHIHu sZXq1SahLPMBI2LN93uf0nnMNXb6tUDKwBcZulw90XJ1ZfHBOU0ZmZufCp2JwbWHcWfzWUxcaeH4 y0Z7N2XhhK29WIFwUDowuIV7LeqZ5u0ALxgS2V5Yn3H+Qg4o+KNeApyATVemdbZQ4l4bYlX3ip6w hZSq+8SkZcxGp7RSKnWGiESxo3d4WcCBzuwAuUapgYIYvaCVcxfF7Bu5kI1/4pWfa8+rKUphQ5Q2 6lYhj6Xni061QomygVwxIRWBkdS86kk8rnFprhzBra1XMXNyDxtD8hDqsYVr1BBr7L9IuEKADLUF ssQBFPV8VviG41asbAJjFFnOO59OozsiuKzcvHyBokWwpZEWkHDBfuK05EyW3MIXdl9jki//z5CA k0eIyx5nT2Ezmi5pn8SiiMkFYdWrRiy9anRHJGBnPDRcIkQBhf72d8jIH4lCFOfN1PG+bWdc1lkN mcchM7LQcGr8aS712VImSXVtd1NaNlbRsuUSWctt7fA5h7nzxd3sOOdZmk9IvHa3swBNbDmrFZky zOj6v9iF6JQS1j0r+J5Aly9FIF9CsKau4q68OgZIJee66DBMewHUiluTyy75vnbkd3wanJjp7Z+i W3O+qnawfpKUE/T1DTtVN6kDH3Xz4qFlHiAbyhUOWlYBKlPNTKklKMV2fYfF75bkeyreQXbqTwWo DqOKvV+3pNmChSYZDgOO+BpiGU9awaGU+0/9qekjHi/RsnYvZ438v7ywl+Tcrd5fEVihkAQ8rOdw u+bcPleee1hDhoucSTBSi5jCz47uQJcZ+ocC8cdTdPakKt1SoKbF3Exk63rqWACkXaa3gkqh8exQ UW1QXCMdgWiVoZ2NHxVU44O6K5J0+unEI7bSv/gu936gShHbC2bvcVrSCjgvvRyIyCWbd7rm0FV9 bKQZ0I0Jvg5d4qXlwHfdIb9ScLQ7f9gMkoCWzyQFg/StJDQT1AKXRC0oeii2Wl3OOnfp0qwuqKE5 ZgKG53S5fz5PK2P9vOffvQxyzJ2lOinErKFp/OWbS03TUSvBWFObaP+vfMQz3bx5VyVMrILPsLXN 7+0GtTFaHF0V3zC+5g/rnCG69MM9j5ITyQ7xe2RY3jz6xtMhBEJRtu3qItngs8Jy0PdkBUQnRhaB 5OVr6710MQDhDNCly+vR1CBcKzcCrrWeqG0bJc/pPkkj2fKjiBtbrLGXhHThrkcMIDAdCgTvDAU4 CuatBzZlOAgAZxIA3eZz7V42oP6JTfIS588NgogS2Ntp1irDAcGnv+ZYFAJZ6dk+L8IZKM5eI8UA GATVJ6/cJcxGIDHQDC6Q4d+Iu7cnNHNKwCjRgGGw5Z12tKt1qszssZ7wevw2W9J+tQ1qjUlqNH7M gpBJtihx6Jv5bcmzoOjspGkmK3iHpP2dc/UTPgrQpE8OmFH8+hF+l29yC3BftvXv+4FMgBvbbJDJ ZIsyXEsnAdMttrDq/rP5wTeIgI3IQFKYyD9nfn+EyT0BAlwB5yyemxCxe0SU1mec4XTNwvmMSoOo mb0+Ia5SX6DYRPUYkkVJfy5YjU3uFHgQM+nTF8v4G8Mnyk/MxT4uVIn6S741Z8I6oLq4CFCVEcav MwI0c36aztWozxsz22Jy4BxDHyoTOQM4w5y2Q0BM4R72VAEmojCa8F0L5LOCFXZ2sOmsGOrhDmzG F8h6dvMSe+1Xk1SYnJ/XGD/EzwaNWB1CaqDDf2eiK5LkCd9ouD0D3kISpSDXaPOpQiapDlKadEDA raP8SB8pPG8zQcBZzi8k7Fjsu5UiX4RRyiMenUY35XywORqGni5GXG5WYRv6/CmsiE48hAnl0gWg +1aK1+IrXQByRwy98EHZWCpFosqgJ1a1xIj5Jwnd8ipDcHQc0Amdlk/rFdChuedYbKMnIoYKcNnP VUtz9NcObmxMJ/xPRR7BkRKrXVIHvx6mozL3DU6ZZVDBHOxGSaJBu8fq0b2P03lZLEuEvyBTkTED MTAfAukJVkZxYZ01ZfQ3qZ8M8zaf4qFDcqVhcPHDfKyPst2icfT+3acZhAPQbgkxE3AaJHT21Cqa rrBV2jhk2451k5gI9qOvhvt3EFQCavn5NZS/ujHsV+ZINdOVQ3bSdYucndjMyGxi+EO1Ze2yjYiv R+TSyxs8HjsVDZRn5tIRR3TdW08Uoz/e9x4XWq7XVoFuXJw0qdkVxfVgSqhZTnFWfGsEir7h4Ozx yoPMHZ1/YvFH3EF2HVNT9DfGSruph7+zpGE0YSOAJVXXM902Z1yHH/2HOlSOZ98NC64zs51fW1qN GqJFSdomf8RKNhyZkQlwEhpJ1k20PHBgrAXBBxcz1pQVdbFZQocEbtrzPYpIFBV9pSXXfrabjG4i Vfjs1MFWytC+Fx4bSIGC3RNtvx2j2bfm3M3PrWfV4Q66xH1t5p65ZSs7K4THOdXwpdbuJfvSwJ7K HHYeU3b6eXlOh4elxx7+rNhh2WMQ3R/E/8tYpHjP/MuPa8LI62l7mC5Y2dZyvgp/47ucVhTKN7gY UTLhtlFytqkTA3zi6LEAKgv57PLaqRF2pVro0AvQzsDYypaeWuiBIkdMufCTShJiaEV15GdENMvu ECJmbO2HX1xdL2ZCnQHZctzWM7oP7prNoHmuG8n3r6lJ5OtNIrOdtmgYwg4ApbQA89gZmpe34ckK ktQA1idxi3nBJn0SyqT7jYtuGw8+5UFsXLgZIbdKaESB9KKmBqdaYLxJ8A0weAaJhlKqi2zjk+CG MkRrt9BJva/u43E+XMk4wDkjvwQ648P9+TINl/KXqgs6xZLqXues0d4nexKYPHJHRPYQY7ClI1Y/ anZCVrxoX7+cY1QSSWn589iHCj+ioIhfX8Q45oj1EtXpRmX6B2Ild9ZeIJfQvhGNqQNicqkGaxY1 YKnhopJvy/WauzKrle2y1URa1RQBchNN5WLT4IVJR0PM21nYbxsJ5K7uk0wEk+T98UQaaK1rCYW1 Uuk3d8iSEKca/o6GdjozdJJAaB0LJwJZSeI7s2MugqL/RUzq+YkD8Xj8xpolfAIF46ldx1g5ZrZN EOPKflpfAHbgm39ar5PAxmfzaLM9FoZrtyZ2tPcJ9YA3RGqxvzUGVaGckpPb8S15fpp3KsgLHMcX quXB68J3RMtWDcF8s4X4N+JCcvxmfbDXIpGII4ladVgGhfFTyrjFF9H2nlnZLTCJQE0C4n4QRDGr FLSo+KHSWmERWoCISmnRf/jrcZtWqIDuVmPkjOu/7PInXf0WBfvDRemiUbhd/owAePco80QOCsBi Aj2gO7sGcePan7rewT3cClhqXoDJ42Ck/iP8EL51tI4YFX/omW7K1wluuA6DkHbUMr51Vtkl5UvX jsHRhtITJhNK1t/Q3ruLHoN8bKSFPQMI30Txg9TgolGQUo9BsbowQyxDGeGCpvUEjqNPjMmCVJkY NtAF2SgW90TCeQsb1BglAv3RQgdtO3HXioNl4EGN9RR+XcgTAUG4XBnfhiWWdw0zGn4y1pLAXNLs a6nGZyEtQPCxnFPx7dek4i3mhlJGjYZNxvwUhHc+6ULpv4sMm0UdzU1N5yu7jYXaLIBHC0HJYAr2 96QcXhxc3fnrD7m0oCVrYtQKKrCHeosD654LRuiJ3hnWrlonG1osWnp8LYv2RAQ0XgL7PfeN7r20 KLTMCXUxzQ4c1ZCr8Ujzc7U2aTMqEqkEsp2tSqw5H4y5nyqwpkCvpkYubjmZwnV42vv84Y4WQ669 iOJReVdoPjQq9L10zSTGS8TQ/kFUoNV0BNlUhityL+Mu5Th2BzTMYsdCfS2P9CmcrfYqPtPul4Ax xrf37CB94HXEXLqJVNrR3aF3C8KJk6pncvSvRxOHmLr87ngL6Har/yJY80xnFs4DTPw5XBA7PRcR JcudYnroTB/MH5dhkD8v7L5mbznacQk5CMLyUlVYAGnCGMxoDi85lDduDII1CqsF3RInFm58o43v ugNhqsg3WzqVn2r/06DPS/SLIhm5bxIcSr0GOe7hjFGVFZvAnRjGBxVaqlCQXtlxtnyclsBCRRit RXBaJQUHUnY98/lmdZgLfIgGeCUISlm9F0RIRoLzJgvQB4q2ssIWEnqWSFzTIHYy/WYpGcVbZo6A 6fA6Qa6V9/D4W/fkt1Hkqwk4rQ/zZsMLQZq/iBy5oq03FNrdcCXhN876iNjcwWa7BA1ZG+8CBbR+ sysp8AwsTl58PPNhfmp12JjjtyDUbV5ughwwhfo1+9bsJUW14aDa74JyB4/m80oHYObxKIZHu09w mPoYyqhe1pVYoGXLtq8iMaHvrvVe9KYqrHax5dy42TdAN1eImJLvenVcRBPZ8/RZtek/wlp0e3B9 EXG5yrVeKr5qe7o1nSa+DQO0r5RbO+ZxGT1RIaJAPXL9/aBOfj42M2zaEpvyMiO9sR9hiBK4ePEc MgZcB5tgMbXXcDyrPYEFacCUxHHXQMt4upAa6opb8hdxk7wXMdNLycI3Rp0lPYO6lVxP6BRs99st 5vY5/+8bzDGHx2JgnEO4Mx914Wl9hDsXVa0kXWAQs/LD4GNukxV/SX4psDVO+m5IcfgFIPhiCfft 7pT5Ck1lilT4fV5ek2gogLNwNz2jHf/X3qQg/JRkLpQ37oHrw65/pJDlAuhO4LU7/ZKyd9fxr/Ps p/OxKMQqPa6rkVjJ2LKXATz+m8pezLtPBTNRprJ8p6YWEcunij8/yJXqZRoISowbRi5CCfNWpQsp yB1Xs32jZ+gtF9b1HOO9S8alGVXak/eTpc2jX0QyUgRiuvSqDg80HDKBTpE2vlvhdGjivNSICOhL O3+Ee9R+9J62Uh/J2X5FJgxrKPMy9KYYvFXJkTiXZdvelvS5O4vdzvkPKc6p7AKp5ilEKqt8bUAM WxffzzBpgdVpKQUAAYGrbNQ6hr5hjTOeUQ9IYg8os/eZEyOuHHoAyXhTtRQW3EW5I+LK3TIjT/RH FOb335638XitSaiR1cnfYWPoS6cuXFM+tLAoKxPZBC4GlwDPuZHfsWXw3w96sKoxP7fwoa11YFu0 cGUkhj2jWpXERIsmBq51DimrhAhQstF9bbX1ssKZa8w8kspKEBWqK+LjyUZiyCY7rpldJF7xLlAr 9dhtjkl9DrJqS8SIO6iWgJ/Mb/RAso1XU8sRR89X1+Dn/1tCBheb0MKX0kRMyYJFJmHq9cNNnsgy 6q5XtwhbLXmWRtqjvCJ9QWdklwjUUSyZCJCDcueQFcyJDgmFIx+AFrx0oy4wq3/cNQ7TzJBGwVm8 O0iYC593CqF5Eu7KJIdxB6tPz+AtQksPPNafCW7MWTaCOyzatVm+Njl0qT5KLugoSnOwL4XjMa50 Jtgpn53Nd17fNapUHIj2M5kkjqwpgckJTDW1FG/VKen/m89zfjUPewpQgIwc7/ySWIF+2N3j+HVF uNacqwvxpStslQBhoznoK5lnrVoeu3TSBz/MKcOCYj3XspJtoVqockeqEHHi2fuR2KllKTAqsg6w FIZF7wO8vNnFFO0ojr/4c4dYJWiI2+iHTkI+SaI+Tp4FBKPK9M2HP0elULZncQQ0Gswkr3ONZ5p8 9tCF5DkuxmQBQcF69phfhrIY9/IXFhPxd2XQxr1Ls/8yRJAOZzxIUutNCuOpDDf66Dsu5doq73RV VMTTbFy2wOt+dnlsn9Fvznsfrf6rF8NuBwG3dhcVUSDBMfKieV1//18xuWxwAG+c+4BZy7m/wiaP 6qlydFWHwcgvG8+8GtOD+mCdjFECm2fCuaopOnxwSKNtqRPZVVpTXX4wPMnMfTj/00KTEzCSmOIA ek6AJjcXLAAhLrEIVVuii1n2+eY2ZwZi4YpgtsafGtStUGsGgLR9TIFH7rRieYTfgJMSk2AP/WgO ZMNgGyq+DUQVPFMfoE3A7V8kJTyCnF9C/3jkCRZsYwOBmOcIXQbUcLg08TTPiIk8k8rXf22CTwgZ KyUyMxWC7c7ArJZJXd3SomesG2qUzCYn3HsTY9jaX1O9SCpVz9IurQ7k88z23nrScto8TcWkhVyA clbFALASrLYyZE+DXaNjFTMddMLgsRZualVIzJ2V3gk8h+O88ADTYjmzF2641goZ78gAiE/ttXU3 +pLesxJE0vg/XAAyRvE/RfKTczj13LJqPMz+L92rpbhfQnmcxqnO7G2SLwiOwzsx6hPzNvTjVgV4 EPAM90uGO0fvjqN1luYpED1s/b7VROYmfOYn4dMpwfl+GZeckLHiqQo0oNMJNrJtX5yEI1fugsPp 7fWddD8WL3MFHVGb8+xjzwekejs8kUtoxQexz1rJHoqRE7aRKmfktcqTS1Poh/+zXYkwcjFjnmae vlrhsZcQh6PNn20sbEajwI4mlFYBVbr6SbGY1MdbJoCJ0TMkOg3qDaNNc3w/KMPA5pr2TwMF43J4 0g89Ru2pFIs35xFwapOUbYXcbGBneD9/FCTfndeXpY9LVYPSUpQvFIl9iZotNP++XC/IVuGSmPoz xsaKJ3VM9BmSgBdQsKI60UyRfgVvuQs+NBi4I43FiamvwyUNLHxhLvbc4rSyTVdOUPlLkeNDSnyp ebmus9YaYhe+N9uT1T6AySuMyFb0Iu4bYjlpkejJ0SgHhxnNzkKEs28Gz24OFmfV9IAc0f/DunbU w70SPNyQgvkjfXdm0l5G66/S0/s4Ni1hEWv1iDjiQeZTJB/7M4XAjD0utL09fuFqIPz1+u3YCZCV xT0zus/tJKjZAvraVICIpr+h7HA7OD30cYfZ/q1jMwnsNmTj4ca9+hzObmDEkO6rmMFJS7CRiW9T hgGrpgiW53esN8F7JqNK/aSGY+HKKqb6/qRgIN08xJBQu2YqshXPHxdG36+D01XBFiBX6X8kDpV9 5fo2GRLZ04GwLRtiYd5V95bdrvL05ckTdM4dQVXOO+2c2h4FHR3Zx6yX5La/xrZV2SbH1CuUH4a+ MTSuugexbipN+J7kraY/SK27FUyqNEYPf+cGyyRNR5LtYYOBQRMOTaITyqz9hTP/5RjwA+ysV+I3 cz07J1+R4wKdkFupUDygIGGd4ZkZKIL4l8rTX6WYnl+gKw1YK5yjyLqAanQ4+5U7r9GOcii0ZROu 4+oHKdAt9ChuivQziTmFXhHrjthF3zmljQ0wxrI5xWFHF4MRZwQse/SqZ+qI8/ET+f+WkFRp+OX0 x8o2MT162mnVHIRlzAkYNAY+/pyMu8kvgFlrVie/+/5ajRxNr4BQpfzZrevM3n3FQO44Bi6IuXfY T8M30fEbpG9wI3Z8RanCxiOF0ODDDXNmvrUdZy272MjShqk8isCpnFLpe4Ya2B0oXL7/ZkG2XoYG woEffT7g4QoG6niByFk1z1tCzxSiaAj7g112rvkCQ4NL/jnJBtj8IIMgjk3FsWSfvLq/un/x/DjM bfL7LhjoSBo5Xt1OPsVuEpvjM7jMFFAQwprAhgLo4MQ9rH3B++jW4VID553kQy+MgNyy6GrR4S+R RFB0zeuo3+Rdg91Xbb8++V+IH93X6OfOrN3Pti3CTd2OZsBFJMVUNlgN4eCVqPhmfPmYD2iCwuOq RtgP+M4SwSi5/PVcSlvcycZgbI8Od0e6+PXJfU8TYoA3BaRxG36cMGudOeoW1/VYgxKc6gWzQN3u Pamd7li7bViSR56rRZuoGLvJTwuTS9P0+SSmmf0Zaulh9zEIsw6pUtr8wY9zhJHF8HjFIppdNGHV A4WcvADJhekcNHyPl5ESZlB4H+p4PbkEnoHzAmRv2mPZewrDD57tQMvWJdCnmEIE1MfGAoKoXFRg izpPbznBXYv71KEKdFmpi08mBxJnauAzzZ37f2ShzjI4478dfeR7mznoBNuico2RI/eprVF0tO3T iZdug0i0sc9Gf/rqyD6Dxwz6a2h6SyZwgTjwoARhrM8sqCR+sdI2HC2s7wavebASzJT3C3BugQ2B wOB85DCgtb7xhjiIidCMMY1cOnK0hSujH9aokEWUp9Va1vUXJcw1ndSPxiv6x1HWWOveDPS3DXme 8ZrRzhNodqF+IhdHr+1CIaE6VCNg/UVlJmDKieIIkLytTFEDHnaq1WAZxxIlQ0KQ1SPyQZ28TdFr iikFSS5POvSds7B4VvJSXt/jkIul3p5GX0oaKkoYcyRHX5akL6fvygkEwFVM56oDNckoVzvXS2VT 8dkC463g4jdKVu/vDmL6tZOA8ISDB/D3XUJ97sQfWVzs2oPiO+Pe9J4vRkk1dROZFUqhbasJ2V/n JhtuVDbb3/qF3c1v82zxO3rZBa53MZZYwKBYAQsh7AX4siym7sxq8xqkvIAbIOQg0Nws4d6g6yi5 PZYemC3Q3uvuEgPZq3fRMojb6ZvkCo1FVnfI8svKaD6xk8H1RHM4VS3V0Vxd6M5W7AzHwaRsprg8 29nb+I+gH1K4tr2sh7vh6g7/WmhmKQjQYoD4iFEjMgb4axd/tk4u1EkN0GjQOHZ6HxSRYfOQCrhe 503yG4ZjuXXe3SuLyRSI6dcZkzPI+55mBwBuhtuYOFzIYTlvS6/qcMlJdlRastwE5g1qP8+fDq8r /o/gm4uAhPiJEG6Wyi+MrkZoIwGu//TYFAhk6y/4hu4CKcdD2KVUYsYXbpCCiI9KHYIyJ/OS7vrK Pm6n2Sdf7xSeqM1BhFkEmDFz+sVzVbQtvPxPw3G5eYMu6ur6ttvtJMbDUl0TGGEzteDyGyLgFny5 EpiBXZaXaTHryg8f841nGIKjlOZxI9AZPmK7QxmjBiAL4S9bSQUOf1QTDa8GnSwdEMEcnuSujSiy 4UB0Xwbxbe2T9jhbL5M0oRi1z0XM2YhhnQupEpxHnGnFPmFTjfZ3o5KtRB/k8pyI08G3iC+104R7 NzYQPyola8u8O5g9eA4uEv3pDv5NpM+xMdNICYHZ6rj8y6O3FFP94KrABLFYfxw8h5BwVLyuAddT laogvGYm90nsNEzLgZiG+tNBDlAU7LklIVPiWBGSm4IwfN8P5egHCsWxjwmCf7NicU3dCctsYAFw TgLTu1MOj9LSsYL2KiGC/nSJHtA2/Z5CTOhmCTnM3o0qXSpfeMKdXa7LI9PCqbB2qs5IYswuKeMl LzSu0JOV+g1Vfo4z0SfuUu0AbPTwzFnj7lS/eRG+na8/6FsuEIRh8QaRnzDJS3JyhJryw1/XJQyS 9CG89p+GXH0jXpGQIfht9t/A+EuuJt5gvLPfxXMcwC+Sgf+uaOyHnWmIs0FMSk+e1U4OuGZlsx9t K4TMRvced69w+raKhPP9TXgcfGoGPVtTmHassh1EjGVRrT+UO9ES5F3cS+77IdJqAp5AB5LvhCsj SH3nzFi6LaYu5TqvQCvGT25lsNMEc11tr9HnubYJQSPelxvb18HKlBci/IiOuRb308qEiewTHHL0 xRK6rqZo8Wp0fifOeNBRpOdtcCITb280BYvD6Pkju9mYczOa4M2laBu41KtjyrdHhBABNFaFSBuY alJBd09ZgAWm9hyCny+h3ZS3GrEC2NDz28UQiKr2973dDUV6hp96KqzQ+DYooDrtyX9HZ1NML3sb bVtyoE14zs4RFpyFJyawOO/qxyCzWoWhywXrUMKEDE7VqfH3CSSqwTPWorKaOyCOX7kGb5zQk4o6 Mh4mmp1T+FGqFTwJJkxCPKFDlX74PfPZuUAM3hZ58yqfgnUpFHVbVDS2SLSB5CwR9Wn2XlSgTL1Y 6nlRhEBm5GgetyYKcvwI0oQ3tf+hIdceyqPew/E+YmNB+FiFOuvuLJtcTK3FT9lhx3D2ENZJjFAZ N46Q+Thbk4qYh1doOXWw71/pjFeKEIw/syn6cbCeOnAuawEw19tXk6ak9dLoX12N5hauD42i8VLA IVep2D2qKzf8X7cpSrqT4Q0kIyLWs2tWNf3eksz+7M9y/hvM0RDnDo6sB7dEfglauEDhdYBrqJXb AQmDnhtylsH+JSJIPPfagLSukZFguRXVHkaWB83AwktspALkfVXSwm+Z0v0RavMHpIE061OG2h7V nq1QqSKsT6JSOGr3rPuvXcgTA+zeBhMazaQEyRIBHwwqa27s0aGdWX6fio4wONexMfdxbE4hmCnM 1KPaRYiK5V3y/53BqWOPxUhJeCbprK//nibBkCmZBrezwAqMZ9MsU1139uHMPdHjFAIj8yiQwg9i L8vsRDb33J/MiUu8MutDtFBOdLpw88DkavbiD4ybg2fc5zAi4Voij/BuVSobHY01Ah/yCK3SO0bw 8v0i9Zlql2PsQ7P+PlmWhoZ4mnDGiLK1ankGJQ340n/AKHE5w2FCFTnQEIRiNui4gh7MpgdCKLiC qkx3sIRQBncYT1n9bLwzu7JY2xVvSP+Ka/BUMBCTSr9nFg8Fn36AKSDQqvC9nVed9UfDY75d8J7b x8Iwhkfl+zN02NPYk/bKBEQQcEsNpwFMsWGoOEBUjogSVSU2KnagU74Yx+yDPOCDipS6v/QrFRHd Nd5p7hAJaHmduDqpGwnGpZPAG8uyijDCQHH+FvjegNkG2pvTZznGwzQUH2RUqLKWS/c51e+RT1/f WVBN/Hf6eXOayWrDpW2DuOkJMb7gaJ+WNS0deJihNhfc3OWvuERuqD7diW30KeHvIIiTo2WVB6W1 I1v653n/9CJufmDZn5VKvQAQfiPXyhi1ia3v/UFItpI4V3t0sgcj0ndLGK12lTzQApjpnvlSDvF4 lf7p3V0bTjxj5EUfj2mFy06ubblNq/nG7S+bASaSV0+7M3uqyHxfhJ+2Qo+QIkuc3N6DzfsXS4zO X+cO8BLZc4H6BBi5ZtdRilVzmcFfmAnpV0nB6H0RlG/zzRnKHMujld8LodLMq1CP0iaQFflMBkA/ PEfE6qZbWX4lSnSJ5WXptEts9aOqMIYDDLvXoRf4qfUsTvg8jc5W9pWruPO7v230R74nfQAqd9h7 t0k5e7OYPZtfVCDe4vve3iQgX0pv4qDIMRweWJgOcG4iv8XGbY0HyJwGW5ZflHk/XGO4HdBE2LxB dadPbndJhWAdZin0ZMYgfnCppw5RV8sz9KB4sXeSge9ShYwERWvgUDkLtKl8HGMy0tRs8NuoS4go zK+aaj1Dwgi/pfz++OqaYChsa0PYx2Cf7hThcuw7MrzIePDX+uUArXm3UWmvklYc6rhGdB/MFyTs Z4yjgYXfNfLPrPYNViJmZcYdd+fb/MHR3QCjpHjLrJzrfIvJi+Q9twu5RlECX+rHLV27oAyfWuyA uSbDH0U7yNW2duC4UY70U5sv79CrGSq5fzEf0FAWhKz6xLXnM3ALC/giAiZlGm7tuNVft73bytwU SN2OsRtYqAer5XtkXysoirnuTvJ/HLo62P6vL/0c/2/Bdbqu3GyrP6FxWDZnwE3EPC1wlUdtCBad 94NPeDLIR1XRanrP7U3r8+1NGjT1EvteyJuBInnSrR4riKDI2bGhp7PMrXz5kXq8gxIKZr2URBGS PNdeJCcuuq+gCSX8OvtQooK5XN7EqHgjaoqfb39Zaw1+sg2crksPYLvfYCUf39Fj/mM0YZp526gv YhvT8jxqdsvY+yr+V+IDWUaUwkHmMoqlC9vm3RmA7w/TFJq9+5D/wU8qxhBznT/GJgmpQWJVoXyl 4n4n1qdOX2ZqRN+3cdxI18sLdz0E0K+FnbGJxzzi1Y9CIro0NTuqfztew2KNhYT0WwQmD5TwWPOe urQte+fnTON2RxNSmuFWtMpyZGmKlMEDPqB2YddEqvPBVrPDPIo6zlnE/bFoj5q+GjCr7uLjRAvn R2XXwIW2DD7STfnZsSjPedbBh+pMoVuGH1ewvWYVEBc5n1fcwdPAck/0I5ohba4EqHRp5FwyW/Sm O/Aoi46rlu8AVHGfMCNjUhtxWSOu8Dfpne/wup2JwC7DrNPFd7F0Tu75oUgMYB20j98qPpr10Hg0 KfA1Rv9hPr0w8CcNytk3Pgd4kVS0r+XIAEnbfZxhpsH50EV2uItW4ecE1SWUJdZBzcJ8cU2jgACO 3StG0bwg5klppQVpq4NV77qR91yrEAJgpMQMTJfoIrTJdno7IqR1uI2WCavXxJpQCHezqKJmelhl bXo6WTPNBGrcClC8B5HhVqOdal4Cp1bFA4KAr4pfMRCrHTGAvjUJBkdLQVLAURONs18AbQ2Iq1q2 rJj8pHwqYw9pi0+5+zigxXVcmf6XIH3a/9Xduk1tR9cBlHzPp84VF5f69I6aET1YpfEMsKNBYEHz SY4XxDDHanGUd9pXaj354jHuH6xDOzhWl+rzcOEnqXVvEJvNPphlJb9baZUBYUzxJ4GkO7du5+pK ad1zvz7TajO3SYVkNqzQok52FP23DLUrwCTcEdeNQ+T7zyhh4Bp8pFpcdbPyXBhmQVdcKNEj44rR JvA3lfj6oQZp6dczhushkaCoIpX7+3WBMSHK7JWtOuX4dk42kUE3NkCRs0d8c16G2sBSdTrcagJK awSUFEm3UmiHJLakcD2DtK2vRcHFgonqeQCQpL50L5G6o9BmorS48eLWiYrygoH2rfuGb9ldzFI/ Lf1uWCBc1jXQt84Bv35zSA3ospFGa4h8jcufMVDlVj1J45GtGmZIYhJ6e+er2lHgwevZDTw3ax+T C11ZPKWu3M4wfCTF195Wi6hlsmW1KYFo0xlPyl3wKw1Rt3PO+enmimPYvMEl9+TBFh6Vi+7gbJ0P Y8K77YqkfkqJWO0VN/LwY7dadVqKVyvOcHbpzF3Kl4Cyywns0CWDCLAxFJkqvDyJ94q9HO2YKGGI QT9cx2fqDjNYH/9tldJjWgCsm3MoaEtCvOjnJd5kiVkFtAcKLvKLt/8nAs1/swglIH3sYM3dT1aO ZiQv+x7xlHugIEVxigX5jxTH7guWnoK9tFaXOSK80MdB7Pql9FR1KlJjru9SWze7w7hZWV3zKl3q t0w6OPbXE331sC/18xoYtaUcEWiJcm4jphaq93Cz2zS2kInQ6dKAJQe23amv1XXfNfqoIEGSx1Et 6K8T1NtFdyA4snNOE8T8Py5IONUZlpFCHWs/ftSteGFrb4co0as6ZlpFnEGby/ErscRlAAaVfVym +Mt2Axh2Hf04IPhIumMW7lDOZBd9lKliGMc8B9DskOD2tKKsjqunta4JWg137APjFF39f1f3RYKO aP6+pZDqJ0SwQ7PZ8uwgrCu1KbTjzfe7zUuh+PEIcsFZcPz25PulKfgvJZZnKnC4hDPLYfMBEP8q UGFWAzTYpxvDAVhCEUMmqoRkgriBmQKWXXC87Sp8gX33pvpkiVw2dYz0CSk169mxEqv4RN5Cu8ig 0eQDlYnfqkIbVhyPzSRRKnInLlVEYV72lsfJjoHZPvlSA32w8sfyBkcsoPlsRjNcT+2eCy3Mc8yc 34o6D0dbpqeuTjcYUEa3Zf72sJLVkyGQlVCP5BcYzRSJvfHFxnkEA00dr3CY66TwTVJ/OvaZ3+0E tMkymo+OtbPzyoGsltcgsVDOjbMS4O+alCgpGs+93/hGHtIhh4eifTtgCj21gbJDrIEEOFMzLB9s Y0j7Uoc53v90NjJEgvYyhjMh5/AHp4Uwh+yW0ukXa9zntStY/JjvRRx+eWgUIBkAM6q2uN49MePc mFGwZI0jKv7L0Ll4x/VYS425k86ldJETx6CEJFg82g2S2LWhga1qCfsfKfBYyATLsYRDOCUfa6nv x/QpD2Gsybho99AH2zDJjnjNdDjEdsgzV8SGQdf0ijjx5YV2IoGJyZgIvkMXnmItzqT0Uoq4u4cL ykOOajhwAydnO0/H5E2KtWa9YX/ygrXjY1L8a7v88RSCAN3xPSi53vbUugZMkPUInT/nrjK89KZd 01oHj11JEUXu9O3wCA9YoRRaLfSJilKumI0ZylpwCpL8aSx1g+X6qaDxzjm1UDqDsT/35eXhufk4 yLoAGCWSqyfKwf5LlHnVWFuFdJ0wImBiSGX0i9phvVnmZzQs1IwfVsgoBSKR3o46Kc1D0rvvw5CY 6zrrsD2MKjI69epki/QwjHinoRaO10jBbCA7pFQlZzo6/TYyB5Y44QU03thMUg1Td44gZolJhKOw WMaggysoGVOTmx3840Rr1pMszyi/x/JsWTBL4NajuS5eiYLADAq0SagI66RHo98EcWzsAjc7y6t8 4FfQi0f6BO9WsFJEId8Ll+oBNyC3IsurjnTQJLzjUcm5GTiqZOJHGjqwAgDsYpIsPMC3mBNNyX8z YeyB6JVZf0+2xtD5BAe6sB9TX55bQbHUWXauTOwr9Z5FN8HfaAxRiUNNPVIjueJjcmJYLfkIC+87 k38V7uektd4WNB58nx/nva4T9qKximoue/UX5NdH99cD9llwdddxp507ETXBXvMwPkibgZItRcRO HF0MhRzFuA32TPomOlMVHkHgqn40B36+yMf0O4sw2dk8+3gXL9xuZdIwHjAOG5V1WrCfGv2Z22SJ RUIKpD3WZeeW5/nd9vcBKAywMzJdomUsqPsvJSwx/6Z9bs5n3pLx5f7sKbAWL3o1WsWiWts1rIQj P1tGoFcXL2T0dBfPwicYv+vmai3rJKI+kSiVs83yHg0dDvPq5BfD68Vxe2b9B9NDEunee4NC2G60 1XgCLL+begb/FlLeAS9xDNW7b1ZKtrJ1riOvnmFS5GwysE2gZnSK3HJ8RPxfUUZ+tQAnohAXmkje d2Vni5v8BJ/1J8pxTNJXdayabYLcTI+k5V+lLxZRhZhuAxAsS4et/Fxn+2hhKNh+7/ALmf99pltR NYPlqoyRLlhG1hOz6xkqRreXCYd/OQoV1KYl7onwsU9Kr0DDpfFPqWOTfnPQ51H9f0PKvBA/3B2T WuHm5x/mx3Njwihf4TYhgAUClhIQgveCf03S/PbhDOimswmfVKdPBPM3VJ5xYJ3drYhKfVYnSW9p phUFAwEHnNX7QwwGgtNJR/my8M6kzgvstL2leXckeZtU3tJkWc3rg3KXvfpn39FdsaOLt+o1kI5d kg5kJIwqdvLMXFX+CDZnh0maYZdqNmtEsFfdsLpFgzU/d/l6WaoEPnt19x2BatVjVPSzhk5FdqlX gy2S4Sjcoxy3V83E90KDbhCyU/A39B2oL+RJ30PSTIrA+gT3aZPx5QhzJ4b+ZOksNUE1UYYvLPHG HU1SN/7dkfUIJ6L9ltvrsDI7hshuAuv4Tb0LIgHi/4yRuNkwkJytRiH5t8Ug3m+eRX7Ng9HRn8mE +/2HTftGnpRTnPw9Br3g79bGXU8giC+LmdJSSJI05oCq2pAe6Wts70hMRKNioIgu46QfMUf9YoUg y/Ugfc14xdm+xHojyyuejsXGSMkThdmVxxTYlC2l59BEEA56hufvI9QM52E/WDtWfyOd+sU3QbKo wemXrVlzGUQtrNEsh25km6c+V38g7Laby9GLrxeWpGEtrpJRvCkAdNKJBquQSFGoB2Qp598EBRaA kYCmsOe7DDhuo1fYrBRzv3RtczwGZbHWdW5+Ciku8io3lFLwCu1h/AX7piAeL6OKe0EMsP9luZKG n8xaWHAkvQFNHz3vkHqmJm5dFI2mgeM7I3p7dVy5bdGoz67CDZOCQMpVKX/cbQRWLJ4a+0BnkRhm aP8WbMIoM5HEzi+5dzzr/J6YOQdDiZyIMv1lq5uo2G8o4TvCTTqfWjQ6jTk2tbUyiY/v2gQObC3j dI70Fusxb49qcxnT06HEWIhCXQpYCUHbcoOzSG8RcHbtXmiuptdg71QiWLv0Wtbd012RsD0qdjYU vXDyWoBDaRfxthyxRH35EnYdRtPcWbt7kVOizIyO6pdcrRa7Dc4H9hKrr4Pwx/3oM2NxHA3xWW5U D/9FwbXNa1OXuD+RQnVHzmPwBznHHgMhH3RxEUntjXD/+FVsPxdP0d7UreJxawhhBSPboVtnlP79 ZR/+TOCx6ENQh0t2A4MKy7gjz8l95i+kz7dU7seXBd5H2c/S4bq7jcbvg94JjPh2YApxxXpoXIGG /uXxD5NsGtUMu6/0F+FqCcH/7aIhePx3N8cL778exu6aUsubJoobTZfmaOUEibTKl6/MYUw6vJdp XMrVAoMcYhhgv+X/Atwie4b6dLseJPgTQK5zSHdDp+UOtHfBBzgDVJoBt6fc1YKjt2HVI66wA3qx 1as8HwUDMHCLBuQJl8JjxnBmMTz1GQTwsJV1iwgb26s4dar0tyVWzF+NfBAoQj09/ewLYPomv091 aLGERrcrODl893JyFouv7MjWsSE8KO54++yGUjRkOrUXUx1vyPYOQi1FhPjqvTLl99mJcd/siox1 msP3ivFXdl1UTgVPjgBdXWBBHvkE1I2jrxyU7/V0uhOFQKxIa1s2j1enZHy4POi97JwjBXbCcKKX ijRXNAc7yImF7805TAuc1ZXlWCdUlAEM/8Dv8hKA64ljFbl9GUyidASNEDFYc60Gphh031qUXGp+ PF5ST5eTwv8Iw5lkw3NEe88N981m6W+jQ7gKsvA8sa07nTWlAhi/W2kkM9fdmwE03SXDznfcakIr JqNZ307aQSGrZBwxUbizQThX9BiunshDYTAzNmYeAWupgiFng5yAqh3x0f2VpFTW4csByeeX5MD7 2GCpeSpxKaEbL2yvD0wJevW15Efe18vt/s3tkeQekj+/rSzUqwzfguoz3VwZE/0nv4sgRVf1biTV hXWjAmwLIJxbIkpzvu2Zhexn/LtiZYZSsnGhS1QLHNPRh9Gy2P4XylH/gvtjUHPMu9wEwXrZ91Oe ry1EmunkTUEkDed9b1wEQQjuTzzFs9uwhWFeC7VuuYA1+c5wXehqkT1RyQoTyArhMhMzM0Wk1ETS ZT540J6jzhGTBOEus3JG+jDs9YmnDA+p/X+l5zqV0vQH7mxPbbzUSGFkpBlZUkaOvFnMpssUcyOM Xenmhe3x+hdaUMZsKsf9zds7Tp625pJj2WupGrsNRBQ6fbVo9+S3Fut+nTrA58N9kbMsXblwPjZV ZnUzuoAlI9sO22ihOYEiFfj80kiQtoADJJp1w9NVtLGzxDRE483oW0zaJHqPdNWt8s4wvfT4YoYX 928Fltf1VnXnUZYckN9wucMXy7I6lCIoYCgoIFrX7jh2DW7ks4r6f3HHwkpMxwddHjKNyHIKMM5j UebMRWPfW8DI+n8XKjypaLpjUCbRcEJdbeUSqgEeUV61CyzPu4SYkUzlbrkzHczgNi2L6njRL8ht /4ow8Uvm6U24f2AF+QaZsw//xfqM+5/mcW5VtOP+x1y6k8O53XLof7okhKqqHJT39JIAY0AjgG3m XDud0LfU1INcpBR7jaSwkF414DVvb0CDAuRARHuhcVU5vx/y1qDXGEvflRW8gcqd0P1kOCGKxno3 wUoeOf9TUX+oIE4kVssDO1+YIuWWOsU+Wttx01XWtVEWuNbWFtt/regRkLr8xNKT3TnhhKKfA2WJ +bbXCFjQ46sbsi9yCuE/TsJAnNSEFdUX+RY33CCl1CMA55FGaoicGYva+cCGyNIbBp537LeoWY7+ anPXZ0zM44Wvx5m51+iICyXIQ1orJg888GqXRnCp25NpN3FJubkLHQqJmLLLzX15LDt4QxtsKeys C/COKaKX99BHr4h4KlKCvcuS6O7KsGPY57hiATk0krJuk7v1TLPkQoHECsxmUDN7LIqALzc1aHHE vApKXU8DVZU8BEDVEUw9M+atmGvWxCWrnSnJ5QgBv96C/FenjwvAwMu5dQpa1Mjx2i4z7eYYfXaR nSwAiqYsE2z+IHZQnJ7a6Oz71sAIFyca36CaH2nw9yUEErZt8xb9aJtITfNrlG0DAGSb4ANeeJ15 LAFmYHIKVCjk7Dq+JUODPvzRzDyOJxyEen46tGgM/W/5OdDDYVShLMFhrueQaYJXG5Y8Ngqy27so 1X2fen+6Ey8qCQ14MH/z+mLER+llw1TRT1Wq5xMkWHQtFQHKzlGBydIeJbWbD0EsbU+q1v7/R0jZ GUuwmd1AvhQSOWK/KqSWXwfCE99LIi2K/rOqTLUI/P84A2ujyHQ0VenSdiVV93V63auNqbq8Qz6Z WQXtXJEphQEe731081EdxYl/LLdBRzypp4FUKC6QYWln3sEBZ3G6tR6bcmm7XIXGGskCnN+LGaqB V9q5VsQ8Sgg7FA9PYbqLVfGvplphM+DMbA9TWPcBO2ws8HfnGRYN+ohomnK0bS2y3AnT3kNeD/iz yJYspLFAzlevgQo5UsEb1JttlWWkwbmNnJU0Gw6dGXRBRzBpkpCohAiouLLKCrToZGchKdmhqPRz zndEZLuP5M55q/XEBHRCBmv9y0tVZeIYd9OxZrMHtsUBTDptp9Dt2KyJ2nlMPcMLPfMp42xHOH6S FhTfn0Y8HO0FyZYOCUz6gdIAWvT1yXumbAzsSQ5g1SAfiSU1Aw0yhsLYxEEt1jWdBnc8SZTMu9oP nF1F4oEAkHUxYQb2e6m2jeq4zn0u6hFuHF48QouAwoMaTolaTl2DmuW4hLWOaXG5M5bohf4EkAc9 FzBOZnaSN70raLycpJR7bx0l062TCt6RF/VRP2PlZSdLEMaMiQX6LtQZdn8HYmLlPVdY80cZ535Z TihH3BA1WKsSAPklqhNH7/P9mxwwagJgpH8MjP5YyhZ1lzfEe9c2bWH0Ph210Zauun3F/NMBegn9 lBia16z9TdafSGDNTecKG3MVpoSnAMci8lX4GRu38RmG9s0TJcNY4vxlK6wMB4QHevXJKAyneAXo NTZYdHkKT3KEvtd8jmxggTBH5bC5qRFaxzwAMDJtsGRdJZ7i+sT54Hyg3KzbDRtJhv7LnuIAh/FO Ko58aYHDHj7AjV4UwpjtFVaJNivwset3+LNzFuAn5RwuGC+9LSq6Rq7OiNSekWtV9/qxZrQqLQzg RcLe3VVVnPsAZ1uSdzJWdEgDvXS3QWce/1Ms88ozKPPS8DzrXNfyroWkO5VCB0mQgzNw6TvwW7eM cxbA1UCNL1BmBtM0cKJ7NzSbKMPKtElcc9r6V9dSOPjsnve9ZzisEpwCEa5KZv9n+C38fTeOMe00 NHqj1Zp2DOV87Bz1YNNjVHciuuuC+VWn5YRANP0neqQgmAW9d+CtIgVWa9pdTO74bOd1gIVQ/pYP p8MX56pw5duOBcdKkGa3Wgburlocu6wIdobaV7jAVChwV1x5CxZLzIkg3j79lk9tNToj5Ilb2WP5 f6UI8Idpm/0sGTaEY6sJP0nsyq5v04i7Z5c7s7lbHzxsCYHyJY1Ah73Q0p6ax6RZsg6jEGTNZS+c iddEjpR4X9f0QCbTvqKFIgs9ocsLZWV9N1Ye7q/jk2m+4YY3T8LXLZzwvvHzpc6DqOSCq+L9tIoA jmhNNDoYrr6mGVsWnbSM/jHk+2iBr5jkGAdBlNBJbzqjX3/3MD1tT5le31uBjxi++p2Ue2/CDb+6 dm4KbVS/M8fjQmOVNYxUXarmL+drM3SBvS5SlBw5+Ybg338AzAuzhfffmR6ii2nvUIUeHeNKN802 zeDyj5XUaVwd3U57BGjgOQBTjV8w5wYqvQbVtmDEufesKEz8wXSBCfqs+z6TXYKTSf1NY+xALHbN lguaKVtxykzsChrbIwNLHizwMUMIhGiZ7A+56kyB957HQzRuth18pqvDBvSCY10LCW6MH6Gy1lLr QRUGtIVf/ReG+YdXYckwCrqaGTG0YCHBD1p5BHqExwQTMDCtDTROH9AmAaDyjZcqDTbvrGBlbtCk J8I4THp7V+vfUYHfRGx/f/q8pgHsoIiyhJfCFT+VZR9WLyrDG+RKYwj/ynm1pH+W6zkC4Mh3d2dm 7UtKBNn+OycV/Yt14TkkglElNAqW4QCstMhrvefPgEtez5VUpdZ1bvb0EaJk/5m2if5nkjLTx6Zs irgCCe6m6n28gpK6dE+D83B4T69IF5pM7N3r0vSRZyDhncuqE0O/lC0zvCABf+LbBlplJFkhY7MI EE2a+cPN7q5E6ddlDWBba+wvHc9wjgEqJHWfpbk4k27bqaSdXShdaONSHfzyxrBbjskjEJkfTKIf JUIM1F+uK3QDZwqeqRLWv76MQCCO6SaS+vtIOu7p/IBDCBPQVc9tFZuFpaR+WMNfxO959xztq90D KZV2JxNZRtXZ78GZxd8UejGjEKqHX9ZTMNpBQqrq+97DEyn93NlYEuDsFPI832L+QO2jiz4WOOWZ 0zg6oqMsXUAeOFSyDE0QYap6pP+tZ1A5E+/Mm9ee+utYrZBkmlvE/5qLzsap2w9YNb2zsky+YC37 WHZaZ8QnvT/2Yr2Tt+heiuKAYx6PkaGGIzdtSeK7UdfoOh+epsQLIGSb0P6bQ0dTx05jMFD+rgip RFi7/jucx7yvw/nY6s9FcnE4/wVdKOhe6Vl0zoL9EToNDLyecvYcPJjbxN9As+R1Y3iIgWkIRd7y lUQfZtcC2WmlgLGhD4wbUfg4EhYxjx3ARjOfMDEo9Ba5py3H6gkuxOUenYB4Jthac+eQFohDYzgH /y1KEqvDYG5HXfuRhRpVJpLlTrG8FHrYsY7+pWDqvz0N6NwmenSwCRK6KPITUIc3Q7zeR/jpm+8W IZuCFLKPi55HabA1Vgaiesj3LItPozdvWxwK6g+gwOI3nXGjwz3ZYOhQlADirfoeIqXRTJ2CWl+u 5FNcHUclSSMRsH1KLogj+gXFeJM61qPUymbY5F51/PusTy94FY2l6rUuLubwr6J9bGAs/MjOiUV6 yIfg2MGNNvv4kv5LpTdguceCDBJ6UUv1Jujgo4vXDMtANMfxsJ+NRvzEhsghsvKwzM/QzQXh0PPc n74iP93idYBIr8GNoIdmS+uU7ln9BDwlh8EauRPyUmpteyDAVe9X5sY/TIpFCLDvM7d/v1K9WU7A CkQjkFs/duepZkyp+9x2gSRdZRQQnQmUl9OYCYjJV3e7i1R4o65W38tdxr8r8XCPsTgYNgtcG7wu qlEJjR4T6CMk+mMwTpBjoN4GQKWS/ZOWcjBJf/LenA3Pp0506bbcOAAv80+Y/8bpEWvdb86V9h/A tOKAJYMd3TlHSXSZlpJuTkvuwhgzMds4XMz8nAtt6DOHN4u4K0nKX8uqSX8qeMoQWMNBDc5P/Gko aqVGOlZi4aRVvhzXt1tXQ/f1p59RJFwuaBhdNU2HueD3ll3b/0qA73q3UwGBZI/rTUbaO5BBGnw/ HeuEAVdS77nbtgoymKMiwRrtLzUgcj7IEZhqZJlPvz31wml6bx9k3Kysfna2HBcEAEoAyV6utCWo htIldTdpEuUMqG9zIiZi6JG40Jn/T3ydxxTB0kQj4MiT9fMukwn9HfIx32sLA8402Yz8gJSb2M5t MTnV6qOxHS/b3OFrPGfKbklYgEoZFVFYLtjBPdPmaGHRMZYsyG7Mqctf3M8ev6ooVAR4+LXbY3p+ sc/dl0jn85Ghv0tVsjL6nBm/v4NhmzHRZv1368cLLQzbpODN/QTAVvumaQTjek4Yn10Ow32FrAcg K2EuffApyV1k07BYAsSs/wfAxVy4CfvTYQ9583oAdAQQCAYsMM2wSHLJ+pCfOG0qOnJfQrVOexux yUCwsqRHwkb2FzZbK90DJyyRcAzV4YYsy11kEBZUVYbaLMvs1Npko+7JL4WSy3vm9JAWgsPHw8Kc 7RIChbjbk9sEWBXTPAOXIWYWvEC27Jrpd9WAnKFIJk42mkMJTdEJaiGb7TVDWN+r9OeP8hkAwaem t0DlMJh8OGm79Hto5NlhG3nLX2EjzYoDUprecFGCCkkLim6qlt6OZmAA5ghz2NhdvLIrxOVdDwTb fICMtMNUvnvSSpVlSR5B6CGoS5eMlWPxyun/l0GSq/IZmzSVMqsI/VUPUinQsj5JA4jdyY8mivHz Qarz4YC6elMN64o4/U93V6+7oqJo/TuA/RVJXM7E4Lz2QzbrbTzw3YlNVSxkqBbpj0cP7hiQHI9u W44gx74Lt3umGXKT0hJoXphVoDdDqLnZEkHQQkSN/QUn+17MP4ZI0OBVZL0UCrwakILE6lmZDMGg AuWW2CH11V0pXWoJCMujtJeYXhfI0LCSm3oVJuzBOQKPFAAl7DPq/GdUZuVf9THkpWC8kiyEPCjD Ck26AXVSV8z6pIshsZvkyhQ6yidQNrtPSLHfsEKjVz4O+/SzVsvrBqERNkZcomHKEXSYcA2KFmG6 hNJ3Fy2D4k/sNt3tLBbnv2+s7l/RBmFTcdN+yz5lzgnTSmOdpGW5KgV1859MokEWmFRFk4wcaxhH JUv55G7MmdAeFi7XGHQ5rch+IvF/6jovwVEayFsBCbSIfxNaAtQwUb2D/R1beHVuWZ6vcQRcZIrs f9vc9HPry9l+8Uij5rU89TxTTe5LgQOAXzavU/WHXd3W/zAkrnl/ExtXAFRvhuUGYYmlQK/OyER6 YXbjSL9VwhcU3JuGFpjiP/3RG20dNaALa1VpKVxSjK2V6j5hgddFZ5JmL/Zo2vZuQei6ozckmd4w vnpjADww/owRaiWYMTFfTZJUa382gwGugwn9k/NO/DFYxxb4mIJcHGkvKdLzICSKeg81jtaxnSXP S47qo7nX5E0MMOPLJLI2ES5YzWTUmQZtt3rfHRqyhfyE1zl4rZBLr7jyOXjk2a+nOEnbYDey3/1J W/6U++kNjCHviTXXYrN+dIxfoaeB1z50mOmdQ0S6KuaL5x2RN+Ilplhll2b0+k9SpGTu0Z08wKiR eOUldk3Wd9Zt7hFrAJ3eWbK8ai7dOQw3+YYTRFbrJ5sKD/ZQmrya1mp3w2ze//b/0mzExLS6/zVr 5qLeyrA3EqD9C/InfN7fR0iggS+WndU9aVG5UgfJh9hIIxcE5DnqorSMhO2uOoxcITRpkrysIMH3 ZPlyVxslC73lifjbw30OfSUxPhLvIhVBB1Bl0EuzvfBQG/UimJGnsm1IhYx40O7Mn9Kew9J8cr2q 7lNnN1EtG9X/3Bnj/591eLaTuhjx9v3LjUoC7Pswv56nRyS3MzfqEkdYx/Am8Xj+uJzrZrFZHeg+ rRW0bOw3GNQ1VgNyxt1ccffBJWjm+jR0fNH7oAd8L8IRjed4+p/I6LmWOeTVYuVcjpXzWLY4i0AP /EP7HsJpLUNnwErPKCVYQYIjXGIvmCfr5aQxRoLwq5XdYQmg+HFwm/b3VY317+SJEcaqjQx70wDW tYYasDfsxiy34DwO2THnx/otvV3fEJWiRs330DouOCJhDYA9RPjxEvCoAbpqH5HKdYEMtv6n9VVE thpgIPTuYwf/B9QCQo5OvFh6vbqRySgYgE5PQck8ulnYhdk5USsTbO7362DaBWfDhSnQp1XLhQPq RxhjpijKQKo1rn++nYTUI0/Ab1MAfdOk8v0wUrBtPMkJdMiKAN/Sz/AGLzG2Ouu9uT+wSo9/lUZn hJn+Z/uSKE3bxSNq+Gn8ykRiQVA/OQ+86JWvd7fTuxa2dI3vQ48I8wXhTmz/Jxc923w1l6SA5DdE 900iacCjPtD+c4YtTj3HigVkpE5Sl3jVaWBBQetXEKQ1XCyxK+bDVdMvEJJYnIwczi2/h4LVJZyq n/Jhbp8aPC8eBxiQbQu3eOJW9UY2EzIG17s7p1lsBai0wwLAnqCL+PTwPKIFpm+tdsKJY5o7/ysr P9LOyirE3VbIOjQe9aKBf2Xzxz4MVBgsmAqw7DFKrnSjNKPoRz20SrkzKB/5l+tTzPH2A4baKFBJ 8h9E7uP+SONL4JjfBk3HqbfRKv331rp1+b57U4ylyhA58/pj/SeEGGGPQFjvgylxUXZtVtn6O35A WtmumeRu6MUz4edgbvHKogav7bP2y4xZMR3+2YK+SnQyYw/jTfUo2ALXksx8sTXcP4d33+WuiPKP nZpWgcVVRSXkMA8XMhgWIprVMOnAhi99tWOFIbqz46TQLKn1Nv2D91x2MnG+bTTOECCQEZh2yAdl 5B89CF1RU5JVY/25lnQZkUT9OkzWwdPloVr6IiQ+cRuMQONWXDKVcb25e/beLs5z84XDECFIZKMI E666W/r3/UHswdCNKZ1r/Mjk9/KGCJRlECe3/mVYKXRKBBnLFRw/eWdQprILUNfltRmRzO5rSp2F kNF254IofECiR0M9cO4eneVirWHis/8iNmfWpIF9LuLnFX883tjPrTJS9eww6voErUGpjUUMDy1x ucvuKDLQ5OVScVsvNvFgOMG83eqSG60dAbPSgZUbXOF1fxTiN2pK4+lIoidGcbGt8gXFar1kqUEz F3RJZlQf3VcLvcw1/hv8CzhFn6Vn1MoPifbvCNlWzovZzSnCvpsy3PCbwWmywuDKK8dgZTUeD37h Y6upaP5dLUqcgNgTHXsyoaFeZqPz638/RC2sHcKkvpVgV1/Fc6QPrMc11iDi4tFSlr2aAkYulaBk vVrbUNGrXE5SKq6lxXAWlrI2lBkfWdF+pPhYHba3K9QhRRY7WGhvTA3W0X+mh4ge4vYjLotD0UkN 3PMAqYIT8YRj+d97HlSW6yxGNuh90yvF25QR7kVJxAba1rI+mIq3B+7GOm/uAR4VGzbM126i3gu3 yLqb9LOTg0hI+0ZDexQqIg0D5aibuG7PXxpoChFlVumHOUyhoEB93cpx/o5wrMDFQTV2wMm0Z7vD aoZFKn02+tsBJJHOFBBf6SKx0e8lmxGW/2MTRNIAsLBFrQXR2eQekQAZMexbioriaG+AsLKXADrG Cg8DhY88oE/Jk4AaOYA3gopWQzD+rgknoFONoYNIha6DytzQ37oTv0cyr+4pU3Yqz6IQMXX6fC0m 9uvEomyiZWR13AdDTFQNewLnINV+toMbMhqTsy6Jajr1+Xhl8ynFEZ+viGOpQ6TnsSPkDcBkr+lR K5QLTyph47/V6I3lGfSmm3LxiUKTp5vZu8hoT3qXIakcwa1wUvNwkKBeDhJLFbdhObwO/wu4TS6A jgl8oyxHY2KsvAqBxRs8MLNYnPLsBVpSyqLEppIKfplQoNSKjOZqqogzPlReQBVY+y/63ihTg8OV LeedWwgdCd1qVhH8HBjds3bRx++Iq5t8/VIpCcDtu62OqtubA4GzXhRbLQrOlnPP13CP8ub/FbUf lfLLW51cxcVOTwWmtfE+KNOaMEqfQZhgEGD8A2tlTbB3hCLLQMIFYZie8cVJ9HtySrUIh1mss7I2 Vj1isNy9ka+d/F12htY4qr+unpNT9ccFErM3HrHlqn9c0Jowva/uw9y/zkH9qUJdrk+Oo5xL+VGe 21wo2dKRHdSyVwK3X9hh2PJig9Bgkte6JnsZp5oqlZqG8GuI0KxVoybosmDBgMVR+gv8CL2qFSbE 4VDYiVmzV/sf3pQLtMFpuyg+9Xy9GPBMnnvVpLTRVjEMny0XOnPs+0owcKZHVeJCKQQnwUBvHrWm R/EQDPxPrTVwg4X5G/V7Ay+aFFluYuBkxKm4cO0LnEDQJyOa3yU4ITgV3H2CV7aNRFuW99H81WOR 94qwADYkB+BkRqlFwJr4saV4cTyuFNsGySdcHQvxj9oJQrj5AXwOmCIlnev/8qRcQUFOFMWjE5TL zahrSqKDON5JTFHBxQ5QwyRBDrlRQVLjuNHPXvOxScKEQ95grUFbu7NIkVgOGgALVjbN71s4J9hI GlN6tACB+tripNFosPPtOrOhtzfLTtvsdGyr8tv2JSXIoSKudzmSUeLQlnYvPeQEcQyyRwiKoDBn AQbZiQSgdvsln0cqonV+uHj1g161LEDstwVdQS18lEQWM07s0IpUbyTqjOqoDPCt8mx8wMxt/8oH A0LgEGUqYJbOizMd/OlNjgtII+RCr7owc7dZihYLKSM/gsMEimeqEx0Jn4kdS19+fusNr89nsOIw ddvWasF3rwAxLyY1LW2emxWICPJNQPBk0ce2DKEyuxI9VH0X59FgKOsBrhCD1Fwqwc1E/FjM8iij 3avix4u5/vj2Y1A70WL7zyC8n4VWguco/duLHFiDxmvlIoZ7JTES2E+nFw63WAo2leMLQ51rE1Hu mw2n8xtyHFtBjzoHGZndggyHn+sc9eXUpfFLqVZqC0ig60LDoYZMb0wxGjC8b2/rA7Qm3CmPPQu4 o03SBHiByT9TqcHSTWWIgeLHo458wZTlg3YVroHTwAg/6JgkXfllu4lVuzK57oI5DgjWy1KqRC+a wSTkQ5pS+vi5baJX0+mBuALjVzKIyGp2p5QmP1eOciEgHMaGYb31tSs+mGxT9rLB/K5bFXlKlquH SM5dNXtqVWLwu8KN1GqMt97d3byT0YAi/BgRtKsHcitrpWE5j9zYj/wYL1N244O5bJqpZGMAZURs c/ASic+bbOSBaAx7utelIMF2Y0CWFvru1x/kq08xpv3h3b8HBS4VOjZnkVeG3VvQDUSXdU0C9u+6 eeZStmxfAdvI4M65I/8nf+moCV4NXaywilJftnYAkhVXypdl04x85CFxzV9TOqSaTrKZkvbPh9Tk qqe8bV3fqu/mXBBxqvUMeGqYcyaeoXz6ANPOJDUtNQblIGM7G7OIPMVo+1v4H/ChHa/RC8uFMdDY 6ySEX2iara3ufivgD/UFWkGNNLaRhJVZgbixJPMF8p03VsYkISIHMtlofEHHn1Bk+bacu64PJAvq QCE9zjCFK2YXcdreLH93DrsE668y8beVdhpBwp9hH4ZB3rXtlf2v8gwnMhHwpjbaDVLZTuUjSpNy hXQJnTGGtlkpSW4motwuvRCSdrH9+2JnihZG3baQK8b3KJ1fxg7DsLem74uXrwTSHPwVe7Wt86zl HC0ehZTxpUoxfQ+vJwsUkqMS7T0jAC6c05W/LRrQz6JA2GU+IyObDVfYIDLjDsI9dl7nvcEL2hCc BmZxq1scVoFl1/Dy6GXUIr+7ZUOOoNXVM2boC+WTERZh6l4tcRuVijA/Kx8bFQ5hpyKKfYvgm/Nq x2FfOB+aqTQeSwB4xbEVKFJ5rxS3LJ12SlOQvWifXPiRd5fNL5X8qBAcNIKhx55Le8vgHHdYdgKc Sk2DPUaRs8lUZPI/tejzuFcxD0O0mUKMtsL4dJ+SZflVC5GMI2CiIY7iSy5PJ+kZ8DTysQ9S2yqO N83JBN+n33aA3+qc8WQqsQN4fW6r1Ckg/yHiH5Jd3WQ9DcwEDqBTt+y1G4jlR+gDrzY89OP4TO/Q jysj/z2xpbsord84bS8+NOUuzKfdbik8K16VUd5Hbvax+LlJClTEfh01hILq5tlpkUkTJ1jjdlLC C5veqyd+CcFxgAUQ4VLkMZUQZJZj7qXemAnHlLj0gb9Kl2ezN6qSWsYyiWmsftH6D7B+n5jtqEqd RUlYko8FQo/zonbgvt9/X91YyKAx1oZ4ksx9uQsJSNh2g2NCNR0ZSqPNfTVd+CEJ+qRUUrwxez4U RxrdZpVrNH+KjZOoMgAF1cSy9XLlFfpVYntu3wYDZnBSUTZle2ERc0mkfPkH1WHiiYdu13SZR3v4 gZH0iMd9yURa3Yrm4Su1NwZ6u9p6GJ7vTdllkOfZwsNtkgN/eyuhgO01UXwjxMdp4dQkwdy1fwui J5jjDVrqdAqq+rM44vwU6Hr6s51A0dg417BAwnZPb5epDJZCjBpgLWgjZcc/KzkFvl00rZHpfcLP mWhWTkBe5HfFUVZkcb/SdmjV2+3KnKaBQElt4LCuyKrN79K5lKbmtTLRlkMmLU4mAc3oxYADlAAb IWNrr94SmrcrGVJGJM+r12g1BWwu5ZdBGJ/qiWh/R1L/kEuymoLaL9hmahdgrHhSulteq218sdPV Xghr00JD91+SgPAm0JJTTVjxX04bDHhMBzEeRTgZdk1qsA/ImO+LqaVKRJL9RapN7LPKLXgZzPlB cx3t68IXDcZMloMt+Qv5bNbYdX66YCoGsqwsVz7O10uOZZEqD3nB5cwnb8aGSYPC9DwJlI7TbOjF V5JuUTodLEkjQSUMGAnBjCu4mOsrGFlnu+8VW4svXHRkU9SzndG94jWdABALNLTopM9IRs48XrnV LD3qTPmR3UDDJOFgyWSwjlYx4sCQc3HWxFkTcmZ2BtKrzh+U2WXeStCqzg5yAAVDoFpJN1619T32 EIrZ2T9l1jfVMOh8F9ubL1x5RwGn9eSM3bth7m8AyC1iTp9WDK6xD7nTFgcnBKdKl9VrwvMLRqwQ zXLVcpK0vpIGjYOpma4wBY/Fzl077mbYomBAp35wofA/Vi7eFaLZdVktLHD/Z7AZvlB15pImEw0M ZRQ75KQmpCQR6gka0jtme8Pu/+ST6yxjTMrCYnnlG8hJHoCyDN8E6XdOimYPLgtsEm6R46YfaDZC jSNqXcZKvZ41VsBzX6zwEnEWNMqknIGkaL93Zn9qdgnKeqMV6oPt+/Hn0n3W0apKCJm3JcQ2UBwr qmQjJtpgRtZbXPWeXMG6JBtyKQJpa5I++5ts8/kTZDl7S+8ssEim9aPXbeJtAC0ZxSL9GkmIicUd ZBmCCptH/ZwWjtkmBAUFpixiPg+tSH4r+qjaEpzbPdKmpJCT/tVukMJJtGd3nYLB5TNGwTor+Ayw K9J6kdceTyBtXBNFay3UR3uHlM3/gRFaj3aVs714FMikemMIM5VYIGy+QPAx4ar4pLKqHIiJJL8I jLTRXqB7sOBQ+ZflB1pToMvgs9836glYmrgxwc3Nk6XwNaoW9VdlQ77ggIYsRpcojp0kVMRQpulH +XTztR75McuYLsb8Nda4uvv6G6ugqVNW6DBJa0sJC+2iV61hzquYNJNQPPiDihFRWFB17CSs6VnT 6qpfoDT7kZ+wfaytVJIFux0NiGom406nbYIUi1zu3SzXBqvKF4LIJH/NMImnOT1uQXCcgYyWN7+V vYhrYmKvAnn90a6pdLmhMnsWJ2zGrNjbS4uye9VWr7Vz0rmSGAzHtKHCcsq9fWa7Tiq1FZI84ROX A/Q+pU+DQgPmixA5nR9NMfVMqTfJNb39DcX4Q088GVOOnrgRk36Ji8uRzYN/8leeqJd9e+3eqvqH eJhibwzJBmXJ6y6FdOaQpcVWiNIXsw+aN/HUDOuKqNdi8K/ARykspRu/7S4yPbUF+bXq6kVTTGjD iCurEkeiHf/RZbKbsM/GSscmHmBqR+WPcv6VUmJYoFpGq4w4MDuOwrDv2e+OynMXhyG3hyaER6I2 6istxUk/S2yzbE/dys4q5B/XBOLJzELVM4oUVOfzImIdaHk/+BOCbLBbENtIn38cLzPe3dYghXsq Ov55tLOEIialqozkBVWdOMkTKViUWhD9v5ZMr9nDEwDTMPetJLFgY7gjbRxxpf3ZqAuT1n9K2xGO SER/R8u/IT2H1ulxq3aLjk/vqEEQbi3o3oGXb6XA0QKrjxe+kkOWkmuiGal5M9z7cLSSQu9jn3uz V1i6fbeBh+CzssauYMNJledZYAWrh4KSbX99LITKmltzAlS3jUVAlVFyPVC+L6gPysThwibI7ZLS d0EGdq648ssKKOR2RLIl0z5MH9vLdSqkBlou0Fh7HHjuRDlrDgB+bCOVURtR1Bh97epigB/cIH6b abZGaQ6v2ZzveU3t3OB6RajiHxzidtUC8etXllSgRPkHDYegAlDmeZnwsA+JTjM+lsDMheYl9sWO u0vx28D/qsXXLaP+9iW4QIYuzM+RhHhHI2thsZD1bcHZBnX/wJ/CS0iCmyDmp8UL8sVYXWohPPAz aFwiZ/9sX31iMoUCLaIRI1MyVbrObTOaI0rOiqAWxzsOPkthqACQlbU5nEj/AM5V2rHWKUqNfnQ8 see8KPOl+hv+HIRXb4b789K6HnR/70KzcdRGZj7fVzwZQjxK7sCrlSxdMPXQtnDCVmWtpx2499rf zIHLRiboXylsbd9RDRcCla/HB4Zmbeqb6XNUaxuGghjrm7H0wGAgCldrAeacymwtCKhBNsFLgtvk UJslPQD+z6AwI/pgrmN+pUkDgVWKR6RlXuXnDEoRfiABZpKocp6oxMLEexZKS+6bXdsULI9GMVEz 3Px3IlUfu84SKPxn3XaJANMz20LuzjiSmcxl0J4vkTp6HZ/1a7fMEleGlfoAZ1PuFDH7fI1PtiyH RKTnpAmlPU+oqemR6mKWmg9nqUwgX+VKk0QXjpbpYwXuRzWM/pL0DUjnAKxfmBTwrkNRlYbOlUG6 vXo3UnaWsIGAuV5Cf8T4PnpYVcc1hWAbjbBrJYmuiiJKNcZw8Uv5bI7wJTpUgMkZXRLAPjUEW38i 9NjrY7o2HoGhBK80kT21ceuEJl8JOzb5w+UFaidei6BPxrD8l1g4hO0aZKRGhLtw8mIBgY6xGA7a u7pz6o5++BZrZl1L9riBWH6+QoQoUZzUKhoTGLz6MN50j8MRBkayxbw9hZ6C3Vpavb0xi5XWd6/n Z2XoyeoMGwhAQKDGjtOgVNUd9BKapIvQ6SvOo2OvSBAbZOMuDxOzi44F/+eySbysNFcx6ZfRBI3r Y1OrgV3a/iHit1OqtWRgd/rfbcRsLxGLRMRRZFNV+KIThnv0/jfDwgHv6dO2RlLuRUnbzgbQFwck KE+Qb2Hq2URNaX0ESECHJS3vKh+tE79tkC4UGwes9xndqvYHxT4xZ13IZU0gshaD Vauaju96 `protect end_protected
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_top.vhd
9
37688
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gzZf57lTALZV/gZOPacOWpic9JsZZAL2OBkrButTjH4//GWUy4rZzixc31ITfH/F7QKto1//ftdq 7GfCAroG6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TdO88XURBCJCjYk+hiBmuO6mNqCI/mU+IIQP/f3fedDWQzXA4HMbjW2YKO2E9zG69B9tuLcfdw4u REKQCSUY9mH/VXNfFkP5Uqwm6+guMbFAfssCGri/WUmnHL9jADI1PCrsK+Pu/Fk4Pz4qkExasa2O VjehDpoald/8yqbPoak= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BgCwdLGF0Mobb0sKKJT1a+9emVAc9polzoVUVI50N2bOvQiU19i8V1EaBiMrLFBtIbPyfFuTDG9e bCUuW79L3oygPRYWQ2g9+WWbDAIEYNlsjQZR+zEwsUP4zx8BhK0gJP41lnx7FeGiMJkztbZjghAD UIqwXzKXUzsEaPIzPly44Oy+pP/ItYDOWQw3uUfhcckNcO2oAWWy/peuIAjufy5/aLwtSq42EcpQ edwGD74FqvDRrK2aoPpLcd47ZDWknuXVfbzDgH0jqmqcuSLInlSD3zerQ4krBeNr2NlvPBmIYsRF dMpeO5zu9reLXefLuy9YFhXtmFXjJVuehT7EkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OgDeUvjNTWmnxGUGCnXkYDSI84w8S+0KS1fle0C0B7ViujKZwm+jxdKvCDSTRWWoSXwIezyFjAP1 L3MZyYq5g59+RhhYji7rbCbOuQtjTfc7NFwmhc70WuEAz2HsmX58aDkw1fBFG3RUzSyKzM2DoVIA 0Mzp4HGGyiRZOkXXeAA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teNVES71fzigm0mZBxeP5Zt1ZhCHZEYsW27bw6DxB+X1Hqo3ub/yEzdmFft44EHIkC5FzS+JFHW3 6CfEAgquLkCZp1iQIkR/UWYH2EGjbFpJgtRQssBoO4kE7xIMEXntBOCEzAJIRVyZrULL0/6lBSZ5 Rn0di8bvuxdJrrfJZSzGkQ6nXtdheetP/HKyMhYHsdeDBEvs15jtbrxjs5sA4q3eKvgY7ddkkAFp Ifz0oxrittaTO1pQt54Sd47GV682Bh5K4d75MJSqFMIw9weJ3bt3nevTQUkK4t4bWcxi5OQg6xoB zwMdPW5UCrMCt8nqk5A5zrXfU0qT5FkG4xhhOQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block 0NTduAO8/Y7UHc6i6R7iCCa5KOPVTrLggIcF4VKq4tw47e/mwfKh9l71g7d+9JwqzY7gV6afF5Kz 9pabPQB+ztbPGIwUHHsuHR5fgqSBgBE7oNOE0cRwg7EeAWK6Txjc1LsEZTrINI0LlYaexoiGfZAu uVYCIeQ4pPiG+YYtYeaL6PNdUr+RsQj8XZ3YmsJB67DmWCDsooi5KCA/KtLAoGx8wsDsbn83sIUH kYeSbba1syHrkUCJsXMloVbj1HDawZ1sR5mlUluJnKnZMFIa0pr3HJxGI/zDtv1QzE+OtNFLYyWo W5dBAWdWrnnpvTFEWfVMveYlINXgN3VJj+EIeurUfIqomil+fyy1P8FU9zp6LdD+L6FwKP4qgRFA ZHpAPmX3b+CnFNJklfeemOgZWSHZi2tDLHxOTgrMEx8UJU2cBOjfZcDKUwLZWsk43iPJlBHNRgAz QZBwUh6pnDOA+dw0iJqCm183REHdDw7xbn/RnPEa4v9rmCzFZSH6iSer1QMYnx99sL587ak754tS 1wqG0rn38ZtCEnSwm7QAPfExIoVG9fz/HGYBesKa2Amg+F+ZEuhyllNrGgsWEn6LWvXqrYIhF/FH 5qxgj2F0Vtomjny/yd3Fv2HKjf5ZqP7bKy02GfgKztsf4lhqzevEBftShBmzR6LkB4aiicfyoA+V 67+XCX127WzY+C34r201hB2JdPd2k/I/pawaQ5a2HfVv2QOhGnE8M7+Hz4E77eYOhNm86x+5tRc1 4Ku3AceviqMPh7EY63OeWz7IliVKtHLLOl9Ep8tfliSONQ0kcGsqsyU/b0+quNWChwPXyh+sFBzJ d9rBmlbNvHUTKlh/gCARj6J8dC3qO48eM6VzyrXmv0yQxm8gEj+0ciV+cg9RvUI/5ni4lkaL4xrP 0uwczWE/EKKeXal6Dxayr36SlCmC4WytY9pxg3AA3ycU2sbY3GoZMEVV1q27Megk/SNf42h5w8Iq 7BwMqBNQo9S7k/aT/kTTvk0Hqwkigjgx3qxDzXsd335DuZ+259ud/TtmqzRhc2fzp387CbKmB2B1 CMtfkac70xt3YzSMoJypfQg+du1kdKIXwD5cBB5ftmjxtFNBJTeaEhtCewkM7UQSIQdht8OSNb1C SUdnAUa9RIuSgj1u7jia75a0HGunRwvu/UYK3VAOy2++jD5Mcu/n6B1hudqHOJLUniH4L7U64mle xBI+n7mxnzyWXct0ZCVgaGY6PCijoUYAaheWP4n8gn8Bq0Y78fQzrLOJdNc2CQgMiCpMEC7zlx26 xRsjCk42OWHuqcNbupOYC1/KT2lIbWQU/DkX6RuNhPDBlicOzjHUyttbasW69k7G27zr7HQCBQFK PhHKeUhZyrc/Cmij8gUJ2PpfLIuP+Wvok0lj9n+okUp++AlFFQZ3DXY9vJdyQORhHAprvaGTJUXQ kOp5fiIT2t+JVneNTTVoSs0iLP84pRiGh5c/pd3XVCpmDQT8ofhZDvGsfnTDZoXpWO6kx0VMSMeC Go5f91BR0WPExzOj8RsWVEz2kbB7xXE0MEue27pr8tKhuqZneZX69liOT6G/gYUt7qOQFomvn9Gc F3VmKvgmhSJcLRoDS2MzM1LffHgrM9G6IcTV3nYc7UQrKNPmDO4GP3nD9iZvd+x7g+zU7JuCO4VQ k1BePJyHTkPYkZza9xzgO46kXxEVpUtr2V9LuRiUZ/1EnJbUkD+FWnwqmgTcIjfigY22vt4VB+rR HD/n+CRXAKA24RufzTOeBbN0avlc1aHpYdT4ClPShj3ZBaqD5tTYy0V2EHwC6pRrcdpIG9qlNeX2 ApdcMQQXQPSuXlZ1mbJq4FW975I5w2//hHRGnwyBN1gYuw1Q2SMvFOdLx6Jz0q2SXEQFGVo0fH8t Pcy8OOn6pi7wI3NrgHJOhg4NjgPS0qDLrrg/BnIe/OSSioyBn8kpzfpJauYDNHV7wP7ZedQGfpuO sNORx19C15lKs4bmk5bStvbHeT8V/BySCGXGPnVnSgxyWY2QYjVYR8lVu2SllAHZYBD1PdmWsMw4 lAYy8dRIFfy8soKVlcQZOB6eCUEj79b0qQVKEGIk5Si3/6pemwTDpFcYqDcEzlVMDjrjfCGaMdBP wJKH+w2OFQp3lSXWTPhP0sEaFeBqulROlZMNrV0cuqNfAnwmZEqPQH4ABlVWONo55qCW31oAOPS+ bBWw4UxAzDhmTe/P8e671ZHGJ+kJt7UsPzO46GaLDfTAecknN9aAKe5EvpCnsAeGYFVt7pAaqG5g xYjlyyTkou7I0Hs93dvIdx3JjS7NtyF27utehIDtK6eMzuXaMGnacTzMwLaQvkhgGcQ20TXiqvRl Wgm9blnhI65pJ80lz9RSnqtk4iOoqKrv01DlfdsiAuqyFJIl+Vt/sZHwMdw0L3cpgP6OnpqyGGYF 8v0GZXcOHg1PJZHAANxUmFSJaGQTvUD7dc4QoUsHqNCswVu5Xesd33yiST5XpDv5RyN4eLoRz2Zz 1fypWf+r7NbqfIw1fqt1aMUwbNhEXbkWji162ezHPb+rrcpPmreaVHSbPMiw/WWNKtjmsnvofIHN gx+APWueFRco5VIlzDwl5KNMyAGwAhVUc3vkoFx3/VYTi/z6I5ommL7ptvETmr1L/aKdRaOksU87 SdUbR8Zq9DuUTWNlOwY81RkugAZ1FhfpSQoDfPp5EzpOK6fLYeCvpYAm1AyP6fWRPtB9aixYYhL9 OxnIS7g78GFxTdJfLBrgRjkGvkKGTGmtyNJ9ZN/kw/Y5iswoMYtDCL5Dgbi4lPuf+gZk0uPOscaq f1mxdyPV475CEYOyGhXPO4pOaUFIaJCNJXW9lMNtu+NYFKSmylY/aiU991oOLjCyD7UOgn0GhYsi LQJn/DcRMDDZmCG43ayNQ9C61NDdu8NRGidSZ4UuN6Rd0rswUtacy1tgl6IBT1B8TGYXxm0z8ZEc M0KCvTY+wyzR7JOiH6QfZbHFmhK2RWTPMVe4UxkJ4b2kSG+PtTGTqCuvMvRJ5iV4P7epUpN4ildH 7yuyQsRb/msqnyk09dfUbUCf2daISEwikOJZ5lk5oUExNPzfuvRyE7VRkY2Z8cfDTXA++FlCMjsy lROXgezENO22nAWwkauWKJ/TTKbYixRZU63OXDAKi4vFf++Q871i7UiEgu5LBB2gcz1pa66ALa2D V2eT0OTaEL8zpirlfcrD53WoEgLmxsUejZjxzO2E+kcyZCe4LywxX07kroXAvCI3lV0ekRKOVay1 vsZZtg0FSfcitwlgFwrFs0KHhEXu4Y8VwS6HAE08vjsE9cbeZyJuTmGGBkJhOYeae2AL8xMdDnqK sY4qGbFPYATPR8yJUBlwb7phSeagpD8sriqaYZcPP1E7Rwp87+2Y30cOihVcFrFoZwqin6INBD/c PgIO/rleoPRfG40MvJsNXPM2oKOdrUipjCofxVHHDokllzXnkpi+L5TpskLFCtzAAUKdacia46fa 6EICKYiJA/6JfbZHp2wfV/ygEHVsyiLyunPkjwgp2SHkqoDazn+6h+u+gKlgXTb7ivvXYZrG/XH8 14a2RoUcZeCdDYYHqqul5c76KxiRLenEDh4S9RfVomHQDMWw6In+O5+It/n44+hQMalcWe7Q7g8J E+0ThETVyHABknVhyWtiperLf+wzWgjMLA8h8lHC6J06eJwpoqcDJkz8WxzHeN+JgSd5OMSYKDdl Xr+7Ktmly5s/ljE4ClguxrF2LVcFVmMyrhrJUbqou8aKgIytMM7c4ug3qM7JaawDKQFyzNoRiYPP dW3dgnMVg4AfQ+6CZpOsIShy4xV+eK2tmefk5s98qbIQ6ScX/Akyib8QfkCIVzsF0DMSVdGulLW8 VnEZNDPXBTRevgXHxkGQDsnNJnDpFwGHSfCgiISl23seDFKs6QRhjMh1UjX3QsIbxSyvXWEmXPHy 5vNz6JYaNZMjHCPIva8+J3fzi6i+or9XngIEm21aLvoqHL9a+AexLxRlLiRPfr9q/W9FjT0mKKrj H9Ob4AtHXUZn4ah881yXcqOzdkyKvkuu+RCk0by6ajsRLx5uqF4bQ79+/5x/NL76XxXKsvbiQE+V XkIGj5fptyHPJBarbHlT467MZYNWnNf+LBFopNPgZW5wub6l2JY/o8dFW1UO77l2QIPpy6dvWWNG 6k0Y8mK32+WKxyxRwEz63mCIJJl8Ha1oZ4ZN/pL4azN3A5IGPH7szg8mhoVZbcKHy6pLAaJW9uyn M9XMGhx3mRVbkfTbyACs5YL9j3580050qG5ab6B9RiX4St0RJS8ewVP2TzYTxlBKCsYOmMdpq+QO NgZxQagfZd0KwhboAwE8xNutUQN7OKj5wMF2rVE0D/mqWdTMkY2CCSpPUZ6tWWU4ySsSlqEOmfRo TCa5NWYyxB3985QKEFMTuT3yiXHRwL6m7iQ/0TLTfdi+3v3Lfw/XFcqaaqqX1KR1I06ujera9mji p5iCApoGSOrI4KXadxAxDg999uBkHZn0o8oYTT3ZrmdRv84qbsBvPPpOaACau1DJuKWZoT34PKg6 qSWfnBchCBdu3ZxwVdDeZEwPVmiQLxcouDMOenvy4rDCWCCTLzCKFtsGYrWHtYe8YNQzkDVvEIub pZRBSO5h6QQ/mvQL4LrTosQyeMc3zhWjVcKctFjiMipXoVdiuzIHfaa3kGOcXJcy8gH4aFIWMwP1 +GqFE4uKlWkwH/DNQSerdgteEY9fHfjl8RxwigoB1ewF9L0UMA66iZ9P0mJQmfUBZ+ShRRKxKBCo Ae91HUFRkomM6h1XTkEycZh3S0AHVZ/nlCLz9iOWvmqub6dAtj9Vbccmc3DfAfIFhGDnZbZ/nu37 FzjV7gur4FiHs4+3JJ+m/u+taRrCaKhRLkrRr2fsYsZdir1T3vZklINol3Nou9fViaYH+5l5NNh7 9hUEwBv/nGXyAkRUBNYvyqBnshk21NA1oOofAmJgvjmTWI4Cx1i0vZuCnYgDqjLHeayq2QE+bz0Y /NpXFUAJKs6g9DERTersq4vJnKMbMalo3MCE8h476Knkf5mfo5xQOPPt/5xoRNNHXNNh0vr0a/lH gg7ajEs9J7OtHP9UMyD88jdGIy6MU6FkEtqiuz9eo2XFK2whnoJCHRqQXMEFErqgwYOGb5pH2cpN rU6/1dXrzaBvwkgdmBijA8jPQ7ON3gVIFS0G7QhriY/jR4EFkHxj0xYAl2Wl6FjuMLS9CoxzgSKc 22x10INmh0aQLpfmMel0JHr9YZ5PR14ZZU3lTtBQRU3RRpI0KyQzZF1inEzP7Gi/QMMh/+Qczxk5 4YUeVQ/nbZCrBtoGP1vSi/MlO7QEW0soJAwiKVWjHdGPfdXjtrKrGvYSJ0BJHCvM+FYR9MM+JNlp LjXU9UvY2gbd0xA9/ESHwPkHeIVo+JyfWPEugER2Tiu4KYuIQIcARHlKrJ+Rm64u2VTLMYuoTEfi WmOponYzkq9bV7a6EsFeK4zWt5F2Of2yKKGR56ccYz5tUz4FxawOVsaqxI216g3eeiUh1AUoG7wS j1YfkDz09EqCPDVhB5QzKfhM4KTUsuD5ScinsMa+e2GbmmHkMbTv9fi31LyhBUwF3H1bxRViQ/X0 Ss13rbqEUGJLWCcu5mqAaawvt1USxfZGsyuv0qW3cEIVojFbxk0w5xQi/lug4N4Z9XdnSQh9laXj nv+B6eD/ur6KQ0/Bg7h5i/xbQle92dJ2Eahxl0ejDI6Iuc1nS54PI6cCjl7+qMo4r0B/ibvw6bhd UsOYvEt+aJ3pQ1RGuR46so8E4tOJabMFflHADu9S4pleD0428AXI5WcI66O9ucEkKlr2qMIK4q+R fab6GmX6t6ImgwwrLMNrCVZ5k5csWqcAVtXWU2o8XgJ2qM9bzirNQQxk46QgxTFj0xrKQ/xHzzEF NHcaYXcNi5F6aQqQLk2eqPnmIZ1VrdC/1nB3ytWRAmuELZLGeyv3tGUfcLZP0unD4cqqhZH/NIyy EntiPqIdH/M6my/REXvjVwLIt0D4IB5sLNAcb8FsLhFQ9rSJVuq7OGEP+hevXA6lfn4uN8gxBpsy zFreiENnUMr9H8YIbDzA+tz+ktmpBgr+tQBQbgu3gLnEKCBtTA2tGG/IOtTiuH4cBHjdtV7txOco LhEHxWWoxDya3IuoI8avame05njlpnZ2yF3vagofCmzHkhy/5dzgdSd2a1VfuTMtHU8fewJnYKLK zNasp6B/kc+z8Ri0ZUgWO+RH575V5VnZZ+lNuKBE0w8Lsy/1Qi6hZxIlIPNVU+v0NCdlfCp3sezC bbtxKs2faJYmI1NavDDjj+RvWzgCOe+dZDXfKajqWzQHJrgHuBgUesgf07q77vUlKb/l4reMIYS/ mklck1Af4ZANUX5fCpfRLD39LoaZLFaIn/LfmzzqKk/L0dg1npuQJXdsoLEf4ShpKVnMQx8BIdRn sB75yIV+9gVWdxSC6UrRKSJzYdlREcdlc7vxwVrcpxApCRBP+9yFtOV6ccNhLsnK2AofO5dK2/g6 TRoCqyHhjXMP3DdwVgzuRFeg2sV0Rz//I9QPCTuAMUQ1cGhd7nxDAcm5DlcQfJr/ji4r2ZXHraYk A1FmI+nkj5oHksHjlPW5KhULEs1RSt7h/reboYGojgyTgxq5GE4Cpc3vNT36IB4Ihp96Yq5Lu2Hr n+E/HxqpfQnse+YyZhKBJCSlTfspG56cQDWcD/vMTg81hKNivIV+HteLP1YpoGetyYjQJ7Cyogu7 Dnu0nASMm606oi73trao+Rqa564YtgNU6IGWHdEjfUWB5Kxlo56DLE2HgN8uvxq+CebhUkaVI3k3 Km2HKBHYhLI4Z2A28LGJQzMzCeyGBvjsccz6yIcodW9S5/LRMRV21xFVJc+m9gk1PJ6DELNsyDx2 IREhc3B2Gp0hG/CSK13mTGkg5kpUqhLetplrIJ96B8fr8BbZyjMkWNFytTEEs9IvoI6IdX3z/oy+ tfkDABytWV4ckT7kVK5OqAaKH7nas6saV3JAVggoG7mXpmJst7GpgobwR4LJmi8mVadPjsxJIgdq ohKmF+zj3dRQd3Bm+uazxCaffM640IsoYOLcpAk0BFpX38dQvlRXry1JdlTGi5v4IvXgTqb31gpY i3mKKzTUq7lZqTO45rdGYP+3x6jAR7hcrOuquKveWHdFMvZliCVefdZjYJW8+PDauvx9lGtxU9KW ymhC7QtuB/maM9XYTIlK4eBFLf8qfrEUqi9SQBsF2UZgfgpBvcIlxbZ26XhfE37dmcAJ459+s/Zp X/nZYddJeJNRQ2/VKgJ8jgxtijTAYyVueaLHIQAR/Wbxn/9qVnu/qWYOh1DnEEPKRDJe1oyJ8e9R pnlAtuxV3xUSQF6iDFRu/BRlPLDrVNGHV/d40GTrWY0BAlUIQmw3e89vZpCe1ya4hpsMKwYPui3y Rf/k/8+b4WMsVoxhBYRWpiauZ9S7oU/Krnex20qocPq8EAJ5//N/T/pc5Q4fsg/u45ovzzzExfF3 CWN2xYuw7RfqMbPcTEu9Zelqx1RtcC0UabaPde0B+YA4JA0ecbWeMb0j+JIXCqYApHAfs/KvX1HW GbCaKnsd0FOTRA4N37dB0GW8whnqtKGAs58HDqTwy6WJN8gyftxdjqPUuswBzydCydy0mmoHDoDA Hu55NRzkpwrkGu8gGuX9NkdT4ZeBPtaV9MCavWb01wDzD9U/NnZnF4OiivTOOzkHLwdyy8hNAuf+ lRtF1XgeAlQJ8Zp5BMZwuM+2JFu9IDDSIMrTKyqQQncG4BEmenkmT1q10/a0XwPgBNblDCyhJDY9 Wjfv680wZOUqJKsUm5yiTa1DQ6/m7RSVguVd+9Vc4cUR2r1SxxNf/6hAZwWSMFFs3ZJdetSoPDB5 ETEjbb1OcFqkYArsrC+MuT3s3101YOJsGd5gNIePb/j60VuJ70vgsKEcC2tF7BhWsgpp1+J5bjLm 8yI7B0mUxKpP+I1UBRkGmS07Utez5GrhtnbOHAOKSZbkFJWwYsIM5zThRFMPkAjN+Pfpmh2MYXbH Zxy9jFQRwBmuVDCht9mzRSLS2H6zZR3rviB6OiUkZwlRk2LwxKyLa9FYn55LGzyO/ybyU7Ao5YTj VBhrQrCDQK5TZrsb46BPx6cTqUFRB4D8uNFM1wxeyriJtDb+uOcjva9sYd+N0Z157qGK846vOK8d /KY8sUCwil7nwGNrCoxsTOKviJiM+kLU0izQCxq12cSfFfc7q5/Imoo/4l4F7q4uufkn36vfKcaQ E+dr9ZFJ32gVg1vJzTV7v9LEGxzrKqrfue2dvKPBAjiq1irDb8QoYnpVlViNHeQpqZqqlHxZQxwn bZPdGcu8nMSDmHzZh3AU3XmjjBHaZnMaJhCClKtHtMslWaOdLxGRZ/QplnKk2G6IJ//Ek6etrtaX vi8dR8Phc43AHTJpPedzcM5heGEMNzxWcvKDPgqR0wvafrHE+T63e5+tECZOepg5mLhWd0ZJnB0P yLycG+xsQkYuMfIZQhHI6pa+JYcWpMd5csKmpMNScgjzb06TUJolJH2+2eKfSFzqvdSXRBcBPEIi eQ56xC/pweL7u1wzI5Wis4hJRhRkAFVXWQFA05lJX5v3jLT2xxYx77GxpyQRQBYxIJ52APN97N8O 6KPnqXbZJPLcyqiXWNU/2OY6KqzD/Z3+OyqtPBmLAIot1yzzdHEkzDi/xDmE37jdAnW0pHFxyluZ O4fIVfN/w/1SY414Ve6dXbG4t5mJxgoeGBcmCPWuV/sRuHGbxKsYbR0yIT0g4R1IXb9R0++7a1yM pxybC23AMTdvCLUelOhG82F8dGtVYwDZJOk/MUO4sZKsHtabmXte7JwQCe2CLvfyr4zYuvBuh08c CSWcXy8IVmueyLvyL/rT+X891sPQ+ESrl5wBe+2Oa4z5UJ1QqCserR/7juO+L+EaYjz35AGMo0Df pf1cteU0JM1hN7lNQGH78qG7SkJ9FU3cQ+7x8No3fICKciEn3L9o94d+RnywgTNKZVwez9u+MGVZ w3wSlSiZRR0b2epXM1W2bo9oY1UE7zQ7lZHF7JwcyxsgcCnZi6W0RMJrxBXEOuM61jJhQaJbVY3I P6RjlTTA/pETeCoGZPJQFa7WZ/YFwc9dO4IQHus76hv63QAVPB54NTJNW0JwziC7e9wsQyFwGpsZ dCVOSm148pxR5++wHZTjhKUHam6As1FOv9e/Z/NqgXVf2G7uUQSUiiWAhTisQta8zN6IB+Cilpw0 8plDSJsv2Q7pT5DQzkDlxmptSH7U+hNSKmQdmVILmC3F0dj6KpI9gF8P9lzEUo58z3ySKtP1Quha kz4XAtpwRsR8jv8dPSUnkhP2t5hR3DYRCfVxDfDgoBVpRN3BawWjpHeJx+4/x9OsTPbt/5uZ8i99 sUdLdiug4xtgx1uG2a5l9hweuHP7MNGCBPWd8qRaDZb10aIhPdov0DH6BxhR50yJBVzWzmyJf1+q UKzLWQl4kktKOzJbAP+O4wZYL8H3HpdlVjM+OQ30pz15hoJEKtJJDYDD7t6pukh5U3RcLiUCfU6A 7fxRkhatxk5/wKNq0YP6P7+AdbDr5tTttkkK8J5tsld1KTDfu5LJInfCiNMEYkQPM7LvaycFUhHE HCTfXPc3uuUmO0nP71Y7q4IvD9Y4R/WmHefMmFmxrd6vIZwT67OWdyfvNiEYOVxmsF4E99vuyS/f Pf3zQttCLZdAiAB8GAIycJh90x1rUcxaZXcWB7oqlsEfdPo7revO9hma2sUskRIfFs7bBcdW5ayH nTtklVa7bM0l/eb/1OWVa0DAhzHwMqwqeTHWI9hBuqGUaDQbOsD7L/pVosSHXxTSCa45nlPqXoIb k9qDnKeIx8DpZHexq/IZPnTCIdwSylnj0a2jiqfVDZ88p+zY927mx1VrhEtPrrtE17Nv/zPVIwU8 fuBUK5HU+vEyOUjioNBLMLUxwU42hhaf+CjobYmvKnBpPBBWj6+/WVOD6btBrJzYwjyuTuv3vBre HSNbqdZ4wDv9nRWDlmJ0RbVeAvoOK9JbZb7Av602jdU8PP6WUzKhUC53vC1t35JKqtbR8QjNbuve v53W82Yriz4C316Vy+CjDwXXz/UcGmRGUbx5N7gg2l8v5T7ytQp86VlFxDQUyXPRCM87n5WZi2QK 4fSoFNAyzjnmvMI0F/uWFsMhLHCin64/ToVhEPTKe9UUWrCYt3kbMOGDFLWE3LmjcmFEEeShflz8 GK838VBC05mhRgm9EOO2OspXq3qhPHt92wIZeVlRbrrw2Z1+rOMsVbE950x3P516tsGVng0rdE1M srS9+wQElUDvgz7p0ALfpFwkkOPmSkMYYd2FhWJm+c036zSV+1ti59bmI2r1RWTLwD6gp6Lug0EY oC6gJi+ZWJD1N6XVk89XfqXVCoN1xkBfgaxJ1yvVV0n2RlHaWvEJ+PUSb17Rh7RxEnYlAGo+R+ND W5sm9UOAlK+yc2P3qa34X98kUIJYmLkE/VI0mnqvwEIQ7oibKoWjug8/YePPk1emW/x47DtxlMmp uAGEFufVzqPYmZNwreBHQkXwLeiZ7KGuDCb3FdCa0Vtoey4eXC0wL0fN7xEYVFIJPK0L/hnXSHez KzqOx6PpdErVbubbTXGsLQrd1zD2umgjkhQ9lvrpZKfQDccv/61jfnQk3ZGMmK+Nkoo2LhpF1Ivk FRPU3HGW8tTl5U+SzZdOttTi6YJBXk5gtCTBHtaqW2FjNth13cbbShBox3ISfycD3Oc4+xeGWkB+ jgoJpnsFSKxPiupt9eIV+sf8+XXR/J4Xa6W0lQs9XqDiW+4HFG4ft8YQBQkOXHP+DTc0nWTlMiu5 9oh9Q1PtniMRKrQ7vg4wKHWLJZ22J1CjnsIF0mF4/41YeJy6lMQ/fibCxY4HrC1ukFSDFmKyF05r h+QS+qBskpcTvYMFK3ElRp/gM1DNf0JG9X+Kew2C1sq09QCthqxbCMEiguBzwj1gkS9Im4nKyQB3 EPEAm0QNm0OtKkWdveUMZNZl29KirUWhx0jW3rIgT4MPuub4ZCvVF2rPez3Na8JOmhyPM71C9lkB uRhr3F6HK3Qcq+VnrnBPh2DSS5MXrYasJeiwpMeK14vd/zZUFH3rDemaRmh0joJLqjaVkxfMvNnA p6qb+mYMu2wvqEAyBLEfrP1F2mRlPh8fDfS6KG6JRehi9hzGPimk7LEMbm610Xk7gnO+f1p/I+2h HSLquzBtJAj+uyMEw3cYOvmrZ6sTXMrPt7VVnGyD3rtU9cNgp/O6k6pBXbysadVXQJRxzMmadAjU 5CwAXIQN5G3qP3A9jiMI/+Qj8yy1SwnkT8pm0eQv/QYZIZ0/iW3rde48mCKkyrXCjJ22kxgbWsUC 0lu+3GLWgkPBY/6eQrelFNH3G0ZwUSNZsKNKUPl1zPVEDlh+T4tUFpD1caDutiSGquR+wC+LKXLj qNa0mC67c1uP93Swcz3M1QokPLeIiu9TCsqRLSHPrQPyYLl/qHyJ3tgfflT4/Da+qf8lpTwtnsqy JoUbt6bO1O/dX9JkKNo5MCbJj/jrsd4hxXutMGc6TXDBP6TMomqZd5hvviELRElxpdpDij3wQ3Ht ElOlhBLkFpfjBnKm80e5AUKXwgwnA9SjxGqoIc95gyhMKbI0ldJWopwWUD4JOJi7WOYIQ+llwcT/ HUwSYiIMB9MzcTzGMb3zy1yO5fcSy0UW4uh2eW672nk6BsZqps7ydPpbJS+8sBZFFpkeRynpqw/0 1/hA47W7oD9AFoA9tIEVlGy4y2zXRb9zDfvnl8nZGD5/RNZqGYTG/nrLTJHwH9svH5m01hArq5tN PdP89tPSEICeR1Qhp3Sx3tgxgdGMuxe7Xl2lqzITaqs4QcHvKtIlxCUrnw76FScO+jsgI4uxAL+Z v4S1qoYb+E9rBsQQt4jY5L/PzW9/EB4/u615QIk/IUs/NEoX0utLb7JJnpVjXRQUGARy82mMJAKL dVTOPAGnB+T5agg2qurUz/4QC2AXK6ApbfNcnU4hhPaByoImiL1/zCRvbUnsC+ZcCE7yJkXlPQ13 Mph+K/Qe5o6cFphdLolF7g5oznGYdZyeu601jnR5PgYokFsSZB3VsFRS4KFJbFJLEzYTMbWnbfNw K/twUbqxEoSmVHeL+GgrR63Czx4m7KcIA4eUuOu9ZeTzMAv3J5IsXrb/NE8fa1n+eQ6tOnQiX/KQ he/0JErIGCwouzYnATP8Ba31YM0IbfMR41vd9MDxOT8kGIhE5CACNmT0kt3FXIOLZqPBIYjlR5Ux WJeS5TILHiRsyG6PhiEznVfKhbcWi2ZwtufTlj09KzVtlJ+Ap6Z3hcw/C0yr1EWOmw7cQXP9S3tt XnuG1uPWTOtCX4JZ1pfDOXf0w8+ZTeWT7ZWK2aktNRg4dwL8R8VUTQp4SKhZ3xEjWr9+vXyBl9NP ovkl3lmAEcR6nHprZSRW0V+B9tp7PgWmedSINSG1qzZHL4JodkXs9SkpaI41u0qPGHIig0u+x43U UGTc5U7q88Buh97a2UlUQ7Kkn7TvOAlpqpB7UEZaOPYhshPcm0aEQlEOTJjj74B8tpDUlgVjIUw9 8FKfNhSRMJbJWmvVcfjYlgNTIctUsi7ZEC03rs3i7umqihFaUZucq4SREIPQUni6eUBf3MDaFLD9 /gwnqW6Wy5I8Y1rcI77T27cLnuaTy/LxstRqzzAd7/UHHfMjfpgnIEd9qGjw5QauhU71X1QvKzzV xPhx1KhsqhDZThJ0iprGuoXRHwu2YAaSaULPVDPhUAkkbRjcGxbGsJ1v7jRmb04UmZgILyVQNRhB fjvAZxfPnc//PKMFUBeBTCbIopUp5RGWLzm4GRffnXRPoN0fPfEn3QlsF4o6555Q+A4g3dyYxnx+ 8qMOXWK6f1V6t49EppnI06EgH+T7cjQfkCSnT1CRxdV/eA+bz4dL95Opr4vp0D5SePxMAkso/L/Q oVGvpHg4IQ14atLwmpWXTGFWtV5knps6awGIq0CU42C9fE9HWLr7b/MAtHDvAV51Oc1mDAZzDOdJ ZiLV74HR39mcuBNenpSdSTwtorpFIuDumx7CAB2i1ruPq6roNbV1t2X17/D3/qwIUvFqG9HY7E6Y Kcc6FUaz7CgkHa13ohJooDfX30+jzSkULqdVzt3iFOC3oY+XhMcCrk2gG5TnO3f9nK/9u0B6e6Zx yiXsXknhVv7cOwULpmZTPU2Pinc7KpdSs57BOoCIsGv+osLBbhLXuZujVHKgjn0qX33lHBzIZaL9 8xqAwSczLJyZx8Cammg2UCrTgm/HTk+aSwHQhwa7KRHiIRwYB0iT0CdTMYiUStQZhZbBQNLngqUa xQ4DLTdXg3E3l5HrPkgp0Ymx58bL9Inue75oOCErOMqLSeie2f9502k6uwy2Q9R3jXOFnhtQr6Zj hJ/pnfCYnBDCoOuTaIuCzfx7z1NpCdgRLEv9iJO4PrTkSGbLohOOOvbTtqclMFJE0kSgDNw1+JD/ uEopR9bJJi598zhTw3qJzinL+4wiksDCH3S/VCDq8IXxaT7D3BQnkQ4M1oGPFD2CIyRrg/LkNjjP B+r5zSXAbPFqdTjovY1VrJHKTrsKVnzGz1KXKG7gnWMCRNB4wxUOjwTTXadPqElA7pwGwRL1TJeq iTR0HN2jqJUDEDw1M9eDzMtTLlQWaC5eqGC4s7VwHdqpPloPRSNt0iwuprCHU0z9nrlcbIPMwbNN 07PJnm0pewYS7bgo2zd/X6nkxW3CmyTaS5/rP6Qfe5SL6k43nUPG8V6FlKhnU5AoO060+NT1gpKx j/1pB7bzZrSCN9q/11z/F9y9REKxohcGVCUzvs6mi6vM+/ih+PQbEnwrXfS5OplzOys45byYOLVn vhT3xp8zIzqFkfHeMu+y9DIGGXKOHV+5gbI8mdzaMRED9onmM8x0uugbU36EJuyDJZJMo+c6O7br rCsG+bixcIhXvkgeaSPOAYKc85Cm+JuqqJI8T0tDkBy7+vEmlE466hyeRg0oTk5epj8pmZW/pSTl fVuK3rjedm7UiFbfarO2jic7IU1ZefdPBpEMQGyboafP62v9oMzjPmHx1AGK4XDqBzGkvN26HJja Q0H7E7TzUI8+kaWDyFgqCijhFydLWvJVeVRxJLLb348zKVcPIUYfNKKzvaEPrwQG1gh9ZuZOMhhV yYsfDApGG+EvVDh+BDIHd3eN6FVwkj6gajz4rQkfYqiNIXNesQy3nI91ok8SV5tPc4pOKvY1ZdKF 30jH0m8PdAyZt0S2M5nddSGMqqDWB8Xzcr82Fr+t8JpMAyAzpfKSf9GWTPQvFuMd8z5jpuAP+VcS Bh4Nqn2L5oCJ6y537Hg+sDtMHdr6Y8PcKN6owmXe+wBC+tPhb8VzUKoULxhXS5cxaOCluWQpoj2k A1wJ5JOdBfXPAn93Gfw46/rPGXbEXbwB3qE1Ei11lKoxoRJlTIYUol4qTp2IxcpoAhVbNN6tnxPC rwn3JfhYoMQZLgCM4lHsg5lpacecPc6dHGON83JOY/UDhnZ5Flk6JSN18HvFsrU2EZzTsWcNcTi0 qReFEZZlSLaiIHBQXUsZXzbY53ti9+BdtpGNIvQ2cvtCFGjtI0VafnRqQojNFbw3ZSCQIS7mjdum RGtMSY4tPuAVKOltaavfTM+FUS7C8m5RylP24AnvvsmfBP/mwdQMod2m9yHuxR5iEUEEPwA9A59S sxDBo5hGeaXyv7NFEk055MN/Nhr6GjFcaOcblBzmB+cHXg9VqXHM71Jsg+h4x5h00p4ZJsYQt5SU GHWK3A2NtdxAvpdiIIpzJYmcI9C0fByFN4Ujuu/pvBmZyaQJixAlRgl6Wr9D03JvtXKLJK3dtYCk SB7L6D/VHtt1qg+0xInr3d6glmJ1t4zIHhGImU62x+RJg8bymMz8MOuG2Zzr73ZV6IP13jouYFby XNYK/+Te9lfbeaPoruvzccULUfP+Z88cXFFD4TljxUIKXT1AKfpvCQ87eKnWK+G6ixUzr+/WGy0N VA1pd3vZj787SBwZBJDDu8IR19+fI5bDnKZFbbYLcOwqQUdYx91DYmQu9DHuB/kwmbVa0vnywdEM Z61Uc/YEH0kKMEE98G7FcGP1qgDUYDyDZUKoTWF/tG9gFP05hd/1aM2F0bOQSnsmtEwjMyJCL8sE nNDzAsEAQT6NDjsXr+1Zn/DAY1lNK51uvYB6SXdwOJm5QShDsxkLbQI8UjGCYUpP0wrq8UPOHxt5 yYOXGc23zzHUuL8Yp6wos9szeQ/RoVUOKHjHzIBgH/MYIXbej0Q7/r/uXQeSZ1nZYZn75S8e47Fo LjquwcGNh/osn6Ovp/QMtpBIdFfxZisafI74P7AFMQvXtZao6QQkXfrQP+zWqJZvvwdfkY+h+fcL O7Xk0A/PaCr1pya4SS+WLPoCBMzkcqdsGUTuOkIn2agIUOUPr6cVhtUCePsuza7FFNT1Q1QSadAK BuToKEdQbW48Mls/Fpwru7lF1SJJSHLxVUUPlKxjPW6s4+2yJ+fQqi6uDV0JQQegeLm3LOWVbNos zPAAevsJnWU+bECW/0I6OWluTaDqbSsm0c08EhJrUCNu3tRekXJT2aVGE3eYs/cPNi9kfy3Emjm2 X9u1APygsQ8GER/eWkwSdlqMdgn6pI+cfxPIs0wBp4AF9NeLS9gycGvZ3U+6nn4Wykhc8ihz+AFA z6+aNcVygHQhudJOK/N/aapJPlUcTO9IPRvi4ix8DRjOxGekO9dAQIoVTUYGd8G9IUhEBE7G9Y8p fsUyiHj8wXDuLa+zGu1mLukE2uaJbc4d81b2TcLri9PIJevKWfm+3bCFFSgYjkOfOsMKaA4zoJBU p9LtDYDbi2iMtO+NnL9MZ4k/rVoihoK51vJlBtOiAX/fvfm3z/3w8nEttoA3sOUNRZO3ItvCVSW5 V1ZE/JUzY0LkWldB0E6Gw2f+aLg4Ov8yc6hg2ACrj0boy+hpqVVRhhEXN1TrrpUSirDfie5KREmL MHUQXBzzS3LpoQ0g7vqS1I48iDxy4c4r9hkgvr9vQ4NvxO+XBLyvPIt5FRKNJkr5jpjPwM7u35/f QvERDS6VT7kk0wTsdfLfCJi2ZAGSFyfWRwULLWD+JgDd/n3EhKAcrJtihXu/dczhc2ca1n/1wysu G4qCE1H+3f069be0IV4Anw9swVfmBXty1yp6SX6q+CXIYSA37R0drrgTeUSsMdrNe7Enpj9918tf nVFMPccLzZ8nrjJWu92wP6Y9iyi5zo/Ktzu/v4Z4umrVKw85UkFtC2R36YRl7fIqAh+sAXKqk7lB qwzIYZ/l7Ed8T5gjxSLrw1KWVntykKGtnCx9DkPygIgrdm3q/K1infwh0Hv9YrwcSGidJm/K6iwj sY2G7VHHQOncsARl11dStUpPn34slVU+AGsRw3mYSD9DZT/jvE0bT0P9vkpVSE924koq/ebSxQj6 AqX5CEBatT7gXZp4MHCDUlN+6HJfeA4CLQ7vLEg7VVBfGGY+N3vZ79j04KxI5qHPam/TfAIZU9Le vUITU6BCPfze/EKToQK07bXXHrt4Zp2KuaSQFoqJcxsdQ6apNAYagnkDnKhfSGSfQ8lCPTHcYG3q REmBHe7/JXC+r5m9Sz/9NL66uec7I8Sast1bc+h89MUEmLHhonXGuHgWP3VHZCbiCJVz92ncPq/B T+Mj/UQ5Mta40HgJrrR53T8Glr/xGThdzdozxu53+FzlaKwuZoary/FcuwZWOgkB/CLMY3/fEn0M w+IYQL2wvqlXSz2N2ZT8yH5E7NqyJ7R2o7yxcViSUYM62VL9foV3ObngDhzuPcLc9ERLKE1CHB79 OZFu4fYcU7zI3iUAtq0B9f8Z6JZC/QUwOQLOrWB7EL8cCsnMJYnT5L1wQN3AH3bTkCZjJd/R7JLN zfFtKaPRc3D4DWTizMjkzWDFMcAaG27ZhuhULIRo65IjGD4TQs6u/8tjCycUWfrrl+iNy0xn4fAY /gRUcrXx/2azQC118HqrP5Z9bBqB5vGNyZKbUSrMFcjIsldeDOnXr65KnaFsiApR9/ThX4BY485o UumxuhiybR6DyLLlacq3DE3+msz/s+U1bvzZgMednLUGKFTnK5qzngUTLLQ7x+ePKfP+Aq/ExLVx MHl+9e31FJql8bzLur/9ONv1tKYbKDdt3YpNGv+Gn0JEQXcBVBLQ/7bUsH70JNy8Cxl+8uHr/OgK SC885pEwCoxR7l/U7fBmhdTjFfvqcfosyMr/qRlYpjOfbVDOQimWH6asAXydVupDCThE9IWhQ1yP b5a+tsI8NQnS6DaJtUHR9iexb/jdBukp2LPL6EMr8F5ztOknixyivYTi4wbG4yOWKOFnHE6mnUVU x/Q4n15YzliEwm/f5XTWYb0yz+wHFTmDM134hlyJ2whQ3CEus57U7wU7+BclVKpVjzOn4ID89Chk 7hmmqStn6n4QMuLQZlS8DgP+n7FMtdQIpd259zH9bm8yw/lWY6rUkO+WVo4qGyEjDiXbqXLZZyA8 ZrpeJDaJ9sl1EmmCUGs8NVckBhnM/lMPTKTVUblZeY1ldrzoTwVzwYp/H0GRMjGU9P3gAhFkNaGw R2ZtijB9ShHeBoaXp+8EWnNSyplpy79uZUd8TjcLcOYCAa/vExCE2AI6qnMoqOstZKxEJvmiHOMd UuZ17oxR6mFudW9U/PkCfbqYbZCMW9UrQ1387cs2o0RgjBIR4fmcgm1sTH3N0YPb9sM76jGj+Dsk E/8ZTzXZ1Eku+twwttpg4ioG/tMWxC2uwVMjC2sVT/lpPNSIsKkHWh2YYKfgQx8h6qm4HJrogjPq PnETxTQ+Yerj82MJ8dJWGxFOU6MIsJGY6hrGmK4ciSyY3YEKXzf8XPhe/mqj9FgGF3LzobEHHT72 3as3DAVk5h0FWkkn/p0/tcSp2l7j3Qjs6DUgx9MA4il9iNX2YtEH6Y8Wo1vuoYl03XzHx7hWU08X Dm2tloYaVnh4dxKigDr9x7EUUMAW2qzP66kfnrPG+l7U0FFdQTw/QCodvT/YnPglJE1PTlKN95ig Y/p7mc1lXl70ySj7m9TnU8OISOvDQ1F3hCL6D+9kDEo9I07VkrPY5DsdGcad/PbjCq5tlhprfyha 2FCbdve11UlsE3CiV88l6BQVQi9ZB7BYNxevbU5r/GO0TR9e9VlUWox4Ua6EMm+h675hA3k3mWr1 LwOxJJ8Ur2K39t8AgU6OnJ1kPGb1lLAIPVtiTwbkuEfR/8sU0BpEFVtrByiURb2gtP3MYl5IL8+a DPhbH3FVTVtS66uQIo7lRkQ0Atg2RYm+NNdCoMwseHBuRNP8Ivaox8RrBB/ngb7Y6I58NSI3Ox3E Zi1dDn5NBHwCUm4c8ZE7qF34DX8m3KkfAsZZC6B8skX8zUwKay1D9nfot3XV1lQd12PkJ0m35eB8 BLsszKs5jh23IZaNmpN6VUqVjicvlCJkvt9Li5letsvK7hNvSpwlYH4pthQwWm1yiLJur7FEsAdj TY3cp08tfQ1OHVF2ipABjgXR0gEIvt3+9x2VFRKb/usTWV4Le+tSQrXZJn8b+FfW7+GlkFSNzzTi wZq+E4/iYnt7rVbVlkzqvxbXl6GUbts/bViL6R4e4WBtkl7MJ2cv8hhc1MHZLhWq96L8wWSteKXI R8T4SRP8Vu487usd4KZJtk1gFBQSCqDoq9fbaNzWySvroEt4zi6FMaiQt4dZmXJDRlq0muQJ+u2/ qDK0vbAW4z8+y0YnOPZL58WGkSor9PbSzep5y91e5kis4MkHwQXaSIWfzenete3TaNi9ifsZxc7Z TVJQgQ70ySa/n57vfNcbR2c1IoW/RV2+yvwTcPFW1pc6oTZ4WHNE+AeJ+OZzosnxAfC0nrK5G5MB 2H4fiV4ce5sm6gxs3Vwrq/oe/0pMOVUJ68BbYrBJCDZjSW1xrw68xst3VJX295mq167Iiq3VPCE0 kq8cjHPM6UbvyDXQxzoW4znh5U3drxk8xyHAihY49kh6p1OJQANPDwoEnRIA63kS7RBqfb4gJ43P YzNNdmMIVmklMv5vecQ7+uLWogv5pBxlVfhB5huDgQ3fXodvsGJv3bX/3+dTx7TPr9mMgVLnR2e1 Vm8njcQHjXzfuWxXYn2eVCaS+V7JpDFXo1ZKHQBlDo3shm0rcvHpkvWCwW9Z6eWxL/4/nadEyNvs sloEoIEJAR7Ny11Eix5FRSLozQyvb1vPfk1nF2EcdTdZIvtyDeMQfK147YxZyF27a5GL/HKjzzPI UEDRbS4LiBaMbxDrv8o2X4RMYYR0BkaWxapUyuJa2C+c/0jT0CnEfulHHc2237L/HPPLZQZfxDov nL+vBdDY3TpkWL+SmeiDlhLth7LYWMqnDtFOvZFD5vvNB2UEtGhkTwJxlxQVCspu5F/PXaGaWS27 Lr9GHpytiRzUo1+z91/mpKs8tIytGHNvM+nTOJvCGoZfr8ioiOSMKaxX0lzXxpsay2xkERDwRMsm YsnRImIaNOqM23xR+l1C7qbgPjqL/mvQa+P8LN0YLdPqdFqJ15wzzqE7ICRnsjDaOUGOT0m4MwLR Q2/jCkff9IgUM589kLXQm4qM8/BmWVk2x9dfyZyt7/CXA9mQjyQu0Kxei8IPvs/nDnSc5aMZoSWs 236So0EFPlP8XcLXRHiA4x2W8BVWl3FZ7fKJ75OpJPrNojp1YN7Cd32DByO8trLXosX0+RsRPirt J65Uy31TCsCIRhFKVn/NfchjWkw16oZ1mLvirSwQ3zvAkhZJjYqjogISeRLjlDGBfJKIVbOYbxym kdWJgfhERobRrwL9+Y5Q5Hi6GWjKoe9PKf6L+1OrqWoNx24QwRFzBJ+XYjPMz2+WnutLqSH9y3ns gUAqiB/3uc9vcYRDi+ZAoC3BYTh8jAbq3OSpWcFEw1Uinx+d1fnzzAWuXFkZIn/CS5CZlJinxwW2 p/hFvPRaAbvmEwFOzWNyYTYT4OU2KWJG082tVfUrP08FdCnj1hEbWaZ+C6wez3+z788+DaB49iVE Lu0eFe4PNQrIq2RkYMa0wcndvOl4gvFi+mJ2b+HujgU1GnOb5HTd/UanTWtH+kv/1bcq+NSM0OHI +mJfO3QHiCYVFofVk8o6NqI2SjeY+eY62R+e/sEuRUmtHhcDJ9e6BfvlwKCVYbXjSfR4GBJAzcAv lFWZhOouV5cIrCaROtwNybce06X5LC+msAlLI0xLyPwwhv6tOFVOdKigIT4AscH1P+/D2DSw7+Bg MQxfjwc122YWkOfFcR5igOfyMtd01BuUzZJ6b6ydCoWcmXtTL4gG/q0Fmj2uBjj9twcvQ9XxGrY/ ippP0OrDQhifqZs/rllQv7SOiB8ELUrx/TbqFrjrEiaEvsQYbfSm1Pg7RArT8do1VIbbfebV38C6 hOucJ3SEwT8nByShmWEpl846Ib/Igif3jeHHiE8XaOtkd3fGzhTBC4V4G2vE2EpgG5WgbA2cRnWh NF0rfh5irWYJ6lujqlMwAS6XKqlvm0SVfn3jtb8Sq1ovjqDIHs0TkwhBco6HAZ+sM8TO7z4ee5B9 O3gBiv5uKypLMRW2QWlYNvGp5tCEoI664k5idL94UYhlRxcz6xdE0Zft1sPbVIm/l1bR2ke2sxNC iJ5yK0cSRsxXPHMllKFYz6CadTxD4B8WuRPs6nYwpXFORkGs/FU3VfhTc24KfEEfjwHwIvqEOZ9H /zCfkLDUelGrrWBbCKwZezYKBWjH/Lt5BavvJJMUUA2B7o/wUfVmPsm17Cptpg4ri9/3AUPrS2qZ ogPbGst6hjLdH/ufh8dc7U3FGEW5Q9SR6Zu2K8E7vv0x5Q6dDCiJgYDcoEdkg7q3MH2ZA2NAAicj THcmHyYkvq0nBOXOEaagl3OJEa5xK9meKQuPGYU3hPOIiMgsZJvXWbkelwc9qmk0ZMW4hEnE80+o 6l4J5Xlda11dczp8j80rEH5CBvxM4qXsRiUSiqc5wplgIA0A+tpJ5jqoLgWrDucdaNbSkwfTwHxv 8JOkzqdGbMmDuQzvpiMVIIYfPf5BIjVchLrc+1G8idhSTDP/JFpxdEkhD5MVyWFi0fYftjm5Ct7H 4QtZ3HtXSDZf6zxAHOGveTQzAZAcZXfqQfVGoBTDdSyVUfcvRmWhpre143pB1BJXOCYP0BGbLzxd ClxalLEMSo+wU5A4h34Um0vjDqZa19jns+rIBNGr30a1XHSGNeD6noKMWVvdn9/gXedTooHWLC17 rNylcet4LFjn/UXjeR3Tiv1ZKSEWOzL8iRgo7XO35QWTW6toNX6MneaycFNiUpjjLIoCmGSdYy/Y IPi56mnJldn8HCDffjP/cMtvX2c5UOYbRU/HW032sgncLj6p3fRjqKZOwkZ9e2dN4nWsBHImtDee AF9NKai8Qprr4BhXQKE0CH4/50Vcraq97llijlNz/iFBJmYX07CyNiXsUAJjDG9LQewd6RNYnuU5 uJxkw33Ysg6+HPPrWRNHJ+xq155uV+JIYRHg6QP/Eu4BQDzQZtALe9O8vgdNCCigpHW7C7aMcSKR dHBTyereUyCdWsrDhYzaDTpnse+dcEEzAWe3l/kBikliZkcJ1ksnjGcq+YRAITdv/dZfLvJWuoxh u3jgzGmoppiDW430MKCHuLxW0DLRT2mqFeUhlaEEjyDqzGL6LkEvnb8w+vatOM6pVXPMAi5MVriS nX+E46Cpjts5fGxwWpf7OSvXfewj8kU7vsv8mgfc0dgQi7v6Kb3YZt/B5UiSckuaSN8ca+oDuUX2 U836Ix/3lnGZnvZPtK7I6HM5+3qoHNByYNOk1FuuKtssrHUxPgWSsff2PAb8CaaOWwWuNcrRbhvv yuj5S1myH41OC1RrefqLsJMs6kmYWROVC1VXD5laZzWlV4MB1m8rFvhkfAh3M0Cv2UOdfL/alzvR WnNRes115esY91KhgOGLeJXDyOMLH+V7bIpnvzhAJ/5rz+ckW03kG/9aAXhBqj0H6lM2/CoeTIXk Zw3gGw9WLfMW1pom5bzRPWtKjgVTr69mvR5pgsFFPaHhmjFt8wHLpCTvIrHn8ddx3IWXNZOFXHZ3 +GgcCEKL+MfTTM87h8aSu+3EPT01LovhDcR0X3+5vr66v9chAlSa0hABHHBQozWYdPO+Lmrzpmu+ HmvpCO26wBqbT8+HPUvftX8GEFL8DkRKQAZ3Uw/Mu/LjOoiWgscjlZIQSoTNXpWAHMpRsRssta2m ZeV1hbJ7U9vdgTNY4l1Hy23rDlJpiMAJHhQjqq539vM7678R3ft9jVjXhN0hW5hTpirnmnb3d5HU pA+nB9AQDskwi3qk5tpQft4pwSBg/+cOz37mgLY/uGf9eW7Wdg8L3SvibtRd0nDBp80OzztI/fpy iTt2HiykY+QAXImmM2tS8kj5uhRz6TdZHtNu5qRJMgTW43+DVoSoe6u1n2QNFoFdyN/hn5cr/tgG 7KeVnpeUxxKUZRj61wBIRSvq0eCDFsCGHJqdvkCrjgA1zH8Deo6WhHX431MFzFNK2gXeS969zVST qbMehN7G+asWQz6HSUkP14jMJ5akLQU+2RSHxGa8yl0e06kUN9VmOGixR733m+vwy4gHhU0OnmGv 25q9o3IuIGgsO5iqDQpiKTdFujORs/1xdHlGAZPz5U1cPRMy5hZbINMayPZiMrCS8BZKAjBHNWSC wMJa8WcQi02wm0psKBTca4vbMELW+aLlb6ERHv4N0bemauuISrp7KV4lh6YkraZAQxmZ9tKoFsTJ /BTlYZtmRo3zpmnwZ8ouoiHtQFVv9cHdNQQDolJJMguO9IPrzBFzVZaZm1phukxOxmn8eHu2isEB yonCkrKEjitAJ5B0kONxtemEy0fnItbPW+0C2d/gTt6XVxQDcx7F7DR4Stb4NAS6RKSjA5DeQ15R gKuN9K5QrmQcb0i7RtNaxu6Pn6lW0u0K0Yu5Osm3tLxvYCYU08KXXgLEG+6GFzukKzDBlfM4dlcN 2/SRIsjSZfpbB7YBfqiY/Uzoqv0M4GLBRCt2Q0ONFthdq48Cgg2hZyFNW+GX4SxPEV0VtzBwpRtw mrP7Hf+cODe0792KmQEgk6LJNyPG0/ERqQlyKXE9FQrHL2QSedahg8iqGYYDWmXNAuHRcZL3/qh9 iFjn96BZNoEZKJFu3wqWSHf+U7I051VRQMT6T+zfSladaPXg5RsCIyBnUPYkBgU5qcoXvZI0JGYt LwEEJVq5aesxfke/fHRrRPw6BRBiq6FMR9uP9bqgW6rsTM+IuCl+1dbTNBqEa2byFD4ddBSFhvas rdqIwZ9pkGqa+fZYuW4ttJwUlVVlhOq+jfgFb9dx91b707FZHjC2cvK5qMHhFFRBoLiV6OIKfY33 K0u9A/hk7ZvfajsM6qVWVw/inISLBuBZwKOI8qKGLM1s6K8L7WUz2P328ekXMaNfw+6SeV9WvzPD P4sh00ZQ20wIsiq0g0f6j5ypV2zax4Eembe5PnB5G3wTxGGLvy9rbUIZvVatC54nSwm1tzfDAA2L ecWHPFq1MDSsPoQ9djLw1u8zQMlgT32r+Sd3agWK74/1eoynmZXkUjFI9Kx0vvd4mCMy74ijAaRS 7G8p3tseJYVPVvohKO6f3Wxmd2n6RN48UF6Wv2FjquUpBw3mGrPb3oYRVXauV1O1OB8tzgjZFIUG O/FsbJpFulN8A0Y4R/2Argb44Fb++B7Mc9q/qgKHJnIuHh6aYGlORkUJ2kI5A7GaIGIat0EUK9V8 DdEFQyJhcZCiBWyTY2X8gLPf18TvlAeGvQj0J3hpxz44HOn7SPskC+ChwjPV3c+pHDjnrrT9OH4d /SJPKZG91tsnBiXF40gVhMbI7PhW82fsXlLhsiuLKhxM2GB7yBeDniIf7Oujbch4LNq0Vo2UFBfa dBltF/aaqMEMvcJc+He8JMz/FUet76K3sdbF9Z77yL2CT4CxrSWWy2X3Rmj1Ztq70JiapLiXsYGk 4L0lah5qkS0CzivjePUSzQjflW/9CYUHzsSnyVC5R9I/dqpbK22VGugHs0HJ1pggeb9vi3nbI1N6 jn90uWQy8yJaQKseNOTk+jbgrP8CzA+GsuGMc+HK6Lsaylv+1pIhHXBdFDru+QnW3VoveFCNVpwp 6mu6kvhYiTy+eAE145sYFuiUUnlSe5nGUZ2YkBQsjusgGug1GJCipDVSFY5dhko13yC77J36dQbO FrOeT53F3byWKBN7Gm9xmoLZnNxOiqPVVIB6HeImHKO0KDsLyztkW6GT1L5TJzKva/KdF8qc0tUx h0icPhXWkwPJazFCILM6VZ8DnZSdFEX/CueVoMRsvsx+HKTE3FdBqfGUDFCXZBNxqMgcKFT9dBWj 5sRfixDtoaVlrxpL9o08kQw9Xgul6zPDpYFbpzRbda5eo/TRVQVelJ8BrHPa8tYEZEp8+Mcfkc4j 5o7CM6/CjpV5ry86+zszst+7qRw8TjTFJKTOVX6PUYu3w1bimx8kAOVzmFOJxOAyp+jLVX3+92wi y2OuZjzIxd4R24MUpH7BnUE3Ijjt7QP9e4ByfLEIpB/Oit9oHrQCueTftvCx8b/koTfGN2iTUbtU X6/zBaKZwIOpj0Ry1Gg+bgOLQ1WNdAqLHZFplmgT0E9YIU//qlT7TmzLRqw9RxyXTYWlbFZxWL+z TX/2alvFHPnWfCchHBPbES52+xb2zd9z9NI7BHpu4yiMMv72NlftTcgtxSAqMtsVtE4BebFdzQxr HUowc9PXXKK5EGbIMxPTz+F7Ev3U+6cYtqWm3i/MnJ8NqcjZcxjWHeTztRFRez/XehD9dUgvvoKI g/z0nQLxaqFGvsRfo6nCXJQDAjbvUe9jwUyzX+WTLq8L5sFeTSQdT0WONFjJ1EpDW8CXnIQHOQg5 W5Fom2G0D+lYJ5I9H79MvIOP5ETfOl/eMuI0Y8/pmz1yVeZ6sQq7s63D1PbQoiEBg2EIROlJQ16x sBFu8EXdiBwUUsNy9r9Ts03TB2uzUb9fAzqKkDIhosGozO8NE6wfXR7PO6ko3lICwnkZfQ2raKuY LyNngnfds+BYN34/Jdp1UbaTTj4V+D/P2bnCKiZMj4yn7DzodQQm2w9+viUDEQ5O60vHYpFONyPd oLXlQHWNE/sBk/5MNO0/e7wN5t8olFY7u2KfNphwNRhCrFswMMD5UtIojgH96IkCO3a+jkG36tYp mXUvJ9AmFpbSUFf7515IDkmN3pEKVE1GQdSv8Bom+2tPpYE1s3ykU+9AbrMGYjTiXHPG69w9wlVG ZxRoom2bo5l5XAz7ur+ULESXhsTW6gvnsaZ+NBz94NGLn0DoUuCCQM/pl9AVm/zSh7wbIMN49FYf gw2t3TSwb62bittsIE7TWsf9zH3AaI36mLRsKgW7+yjj28iBqzrrV7EOUjJI6CLcYze2p2cOxz4l o+qvSu8OuqL9qVke/bUGyjEa05ZkZRIjXqivmtSv8vAvyAySVEjzT4mMq38afO6JNvETwc3WxD2x uQ95qosw8QocXK9QwaU2RiIX4xtWom4nEC9NSSUXmO61lp3GEUcU7s1jS2EgORj89Wrp22wJKTaM I0D32t2gcx9JcGB4IzjRHHV7A39uyBhIYhpFy5CjnS+GtBUtT6/7GNlNtrqSp/pMhmvMOjS2pELz r03HyOC82jyT5HJh1zojceBblv80MXQqI+l6IKG7CFFz9A2kLMihmEd6wj/JI6XM/m1OPUlHxNm8 v9xZjwWqLTUy0C8KeR4uXHM/npK6di5V6BTwTUwPMmHMBuz9L7sCZa8yBB7PSsCc9fghDRMe0dWN anxmJ0rfS39mWjdm5rCimab5ykMAwYS6D+qC0BWMXCwk3X6+gNeTjcsIcHLpg0kfua8Owi0FeBUc Ps31ds8RTvY3g5BuEXYQ8TeD23E76m+TdT0Me4ZjLFE+nefwMK65lSoBifOgt0dKvDEzKzY/4vWz pPlz3PJJUvz7JGwyIGWIGzFsXx/YoxB/skxp0093VsyJPrwVU7gXJH8KkTOyKrEw4oCa0Fx/+nZw rBv68MTTvEERuPlB+rNDRfE8SHzEbezeVljQTxtbpN8bhMynUIEmHi8e7nYEztcLxCI9zPW4v2V4 8uc4uupCeOn51BNXSn+GdxYTilWBDttJFZsvy3puG1MZa9w4C9NhAiuK+RAtyRjjWKazUnD+uGWE XuMcvJlzPb+VZdjZdZJHLXeC5IaA8gF053fr0fUxWeQ9wRxJVGx0hagrYdoGC82BfcanwryeAl82 8emB7GQtvhF2NCsofbVuD6pSv4yYX/wtf1SrZmmnEXX6pfgS4X4Bn/JwlqE63uNNAWxs1dO9n5R2 VQO9qgTZqQ7ZrIVv0mHsOc33jg3a4sli4nERCUQ/iBtSmB6U8eRIdu3qZxTLBdlY8zlYJZV7lcrJ o/UUqrJ5JVSMEucCzuQp+NovfIeJmZcKDL/PODltLvNIngRL6xh2Oidt2rQDbdwWyLWX+rf/X3WP kesDpy/ZGTPKQwjfmhIo3EMcraaLf6L5PiFSh/zitErhdgalHLt0sNoiUdVvSemD3dwKK6TgL+pb TbrXHJd7G1M7QzTdwfBjouRST1YHf5N01Big86k3dAoObXMp2K6841pBtCJyuucHXlJ5+NfMIBCB NGLDxOuN6aU2S9pWbF+OnwVQw+GdUlhUuVu5walCLr7hL6mQTpwE9ExXXEjlR3roIYvS3RVWpTfY v4CNRJ9STsXhW36tmiKooiVQRYTLz4fY7hZ/369W4FXoPw4LQ89TvssY2RRdVRWJihIE5+aUBzJ0 2YGfZlvR32XlZfvhjmppV4AmLwTSRCEHMmZetiMien/a0iT/AYbvBDexxxde8RdQQc0r4xl+Y7Z+ C6nvGCeqbXjJy9moEVcHMdmExZh3bTPni3KUOK3Whl4zdckuT8oaxEKAzh1HqhxYof1vDx19JiWw Ear52dlxfpxWzyBSP6GQihZwHTZ6fl9FF/WMhIVLwU3K8iVYMjv63A9wZlkckbRsqzeTyFfsXBL5 7S/8NT6vyeFDsaMJI2eySx5cIzwYauiv+LywA3F7FiBb2r2oprpDB0T+8wpVvuVO7fTfMISCEsEt 0s/2VJ2BQKdHkhSrjnOKrTOdAEtuho8ThIwQofrBUr6TXQ6isxea/YIG3QQC/e6u1sHNVENqjhOp xQq6ozj1X9bskxh5vvO/vdxqivu8+Q33VnPw7KSN5sh0z5Rs6qUPVCrBfq7Gbzly8vC11K+YqQ+k 1U9m4mTUcVnnATcUlSNoc7PbYdm2F7PL/F8zsf0eXal8f6XtVNqWtlROoZUR3Bvwc9X6XUT2XGwz Xl4iijuAvLHl2+Ratm6XDtgF4nSMN9UOyoLyrAJM2nY561mbZARA4tA4fOGJrIyjEez8gKn+JSJ7 IYwe+YYMm9HvAPKVaEbpHMTRUCP38JbwGROZ0wGTpVDSx7OcfuWxUfUqAX1LIsrQHC11KPTOb0Lc inAdfTDWdlKjStvOz+yYCNpnRYo7DjK3mAPzkosHxIlx4awmhaNJDujwwQQmTdIgQ7lRKLWHuOYa 0hvkhbJlktBvc137HbKlsu8AiD0Z88bYIO+l4z11eEgkvbJ498PxHXXcPKV4cIJhrwO0TTrDcw6t bzV/1aDCmZN54k6EhoyrT8CtFF/y5iI49i3d0WNEkR/3R4avlteUnVXGCZc7VHDG8Gi9OLrkIPXF Iqzm/6dQNFHZKAYa4SCknbQi6xMFXXQEADAeFf5YBM7qVzGk3Ya3a80qOn1PibIX24hlErSjtHlU cppNsgTuz6v55RugyNiq6DpRjY2UN9xjGrJXshyi/2n9Pz2X1E7JDpNBVRpxOLvH3dBPZIf+i4hm HKvnuP8o7XL7pIyXeT3IPgRNzWGfB4xHGz2+dMr1+N94J0QrCd9g0UEgr4HLccxnJPCdVQN6ZcHi dvHtmxNQ1QDjJXk8AjpjxbeslwvtEM1fTlaC+b5/P8uNJNcT1qot4Sz2y1hus0CsumNEzHg8LXei hX77cooQch4RRK3NO8ea1QSPcgOC6qPuTHIkypcPELHm8onyAWfob2JQyw0xyYZOpQWNtXkjV2EU I+aDmsfd32AhznF3h1NjaN8Cs5kFDdIOVzSXWdtOHskLTcbccsyv5sX8pfL2DpQcvAnxoi+iGiUu z5ci3IYaTwF+FaeiGBgy0tGZzwYpBYFTWp92DNaMNtKVCb/HX0vr1SRGnX90BB3nIq+UXvR8ETFG McX02gcieq14Ij+AydWLMfrgr1A1vroMFNslHG6JZPg7VcFFnri6fN6+/JRk4uGIoOFVBy1fmAjN r9kNthxPWGc+RQ/RihPmWQ75krhA5MXebYkxGRbNVrxLLvv49GZNQeOoRuIoN8OgsmwB6BN8B93J d/MmYEWVRIU/T6N8UWZ9BgDuBC4ua+TLsCkziBhaaR8HpdJmCrXYc9Q5MaOVcGRH/jiIG+tfK2Za h2nWvt1E8JDXqkiRDL8/7dQW+LmCyY2cr719jh3KrYE9DKrlIbsfvVjLLYDcay28UwVLcgUBFPOW F0H+TW/c4sqHNNJyiNBx5ADG0uskBAnZUV7hKT8Qu3oX8SC9oLAA5MToKrj/PUrsGXWU4yahTC3V oshxw7Mi80rhDDM8UDqgesgWEg7Ty4VyBmySMdh7DNCu9XlhbRL9SvexqcAZY2moGbRFTG1XOMv+ 3cKHXweVlP8+K4IJC2wJXGcURwgkR1F7Ki9slOdgZQDG8+tP1Iu71zKJhEmdavcaH/HaHHDxpx3F AWLq73r42S3SxxkVh4oJDQx1jqw5eewVr+d6jW0FHjLdeCWoM2N/qkTiZotdN9i/28vgvax3P30Z dKhmw8VI7nWlhDAs6hKyIMIhv6tu6Fd1wuUDbAM80fCZXJYxeWHooI++lKhkx/Hz3hcQLcQw0nb5 M24xiuxSREq6ZNiDBY8/R0UkqRlWa1eK35nzWVjEN0sf1X9C0hdeDvx1HjvaFuayZgdR8DFWRXzk yN8vqMziq6BUPZB84FPm0pr9cfC2ZmM/9rIGO+8JKH0eYnfayunIXM2bOg0+ky6Ms8uFAWYexqQo mcditWI4+rR0jjG67UUmNs0u6LzmLy/l+TvNtgYfH7eyVpuv4+017D9/pKx45FVHbS5TWyqEB7p4 zh4WT7gBaTpTXhZzEqJh5JIGc96XpXvT32IcuKu+jbTPoGrsoxmr2qqwOD327IwdKMvIFKFFDm/h mvPoNgU0B6vRThrYJzBP54EzYzU2eDG80fI/OLb4lY1Ye9TFu8BXp6E9gYFuvZEVPGS/X1LoQGtL x3djgvHgENaDVBcR8IbHqXX8hhEbd2wQciAVyqXZaUo+LaDB3V3X7n7tgIXQgSQj5l4QM0pZ21dV 3qoqnnch4Mv5QUp7n+ZPkz3G1XsglI1NTSNl6BZ8IuZMkOq0mRARxhRYt1U0QngYM4U+CALAb0iS orSN7ig2KxddGLXLgLrWPlBRO/J7kTOfI2ntMvttJiSAdzfbdDgO9f40XgnzXP1tJfhfz+31r1U1 p4RYQ6mXn6WeKUlh1aaVIxBOXOsOSu0DY3MED/ogPPy8Q/bR/0EUjk+XOYQxSvB74sIVpSTfqrv+ rq3ZLkJrrMy2QSn6YoU7mnDOaOtq0h/oqBYki+nZVKIlMkZm88tUdeGWgeSAu12JyqJxhUdmjEbB eJRexrhYmaP9dS2UqJfH/5Ta5KnC3Jn0NXo+E2xXGqPhOro0LcCQpaL3meg6LvEGCCH4t2WjRJ3v 7OizPHt0kpcEHzPwQbnLMAS/fKbrkUEW+nXo21wmhCP+fQmQuuTeYUWKIZdxWEB6TOJ/6Tzt8B72 sxWE/pj66MUkpOFp2mUHHImajetb+O3hx6RtU/Qupt/3VB6nN8FTHsP0qkoawmmqYOObFBExhn1U ux+4ekNi891wWsdEjjrXNxVnNTcqxqf/25/trtc95H9osl25jKVU3r80leuoogwj8TU3XCX7TQHo xzpWSnwwKuJPfXGgeP5Gp1UUREWN3aXSqpspAAl+WwugNcHYqtB+1MD/darPKLfEs0yvJVP+nblA s74jkxKBNFB+PAciK/LOdm9vysOVdnbEBhzSv7zyAqRRWtlvJTq0DX9AZhYtCGizo9h51cA8mvMV kGwdlkLvsgLHdVD6yBmpzT4EFWyG7nz5IOT6vLiG1wBmumuz6aXdCMRBKRLH0GxupLbvHaUtoAyR rxDSMGEKTHE0jiIsLtaBVBNAxZfYU7WD2aSXJoPZqJk54N7NZk2ln3qqSBYTHeHCBhnLd8GtAogz 3oHszcWFr4OJnhiI19xBXt9N2DNhq5XwhqlfneuueVio+s04CPAn2W532u4Vd302z+XA4ssJfQCX h2UlU792OWOu0TtC0EB1novHhl9LKKs5CqlYwqjmh21oinrrBI+YzhEjE7s0ywW0PgphWZ+3Vzad n+YrrKacBDTzeDCbPHESx5t4fTufkHXeka/F7Pt8046BPyFzNOGco91BpJpEw7bjfNETJxyF+Wq8 Gd/HKKC/nEmWqAkQKtgmon5vLibQfwXB5wKnuohFKJBCbfpvH3N8kO6BUycznOD+z7oka08CP1v7 D2e91t8wVVfvOKrQvDUfvSDxAypIu8gY7DDpXkNzONU1O0Uc+qyDRg1Wve/Ov8nIZzNfUwnixqmt 4gaY8BMUoaZ6P7IoBCf3potEGO1Qk2Y0TOGsSfXq007/8eLfWwLBuy/3tF7jxZMvwdmjvmdAfK2W qmbR6L1XrMpKQSpRn7JKnTqqs+b2MTmgXGW1xLju3JxScCWDNQAlTCx/aU/b7W3FeyVHIllGmhhJ C8irLmwYj7DWQP3y+oqFLQGb1I0rgEgkPv9B2RriDG7QtjLIJYtIm2uidKLZzSktegqo2uA5Knzl hXCb5FMjrZbr61FhZ5DE2hgeBeun3W6Id5f4Oe+6P2Yq8IGOod5k8hue5zwlGdVNV8BJHmWKDg7Q LHX/mM4jMeOa/fnmtn/1YRj81aNbdEvgUtF54lutFuuD2FHICkcjgkttehwL80bIVey99TKWXVtw 4xRXCFQJiszmVJzMOgWmcUjQNBoq2pNE1DZ7cqp5xFpgM+eIKIg4ije56884h56maphvif2LHRKz aIMHmdMAVeVtUp4oyAMtofFb1KMIOe5soMfhYgX+YE3qdFR86SlUJB7N2xYmu9SQ9dH6VMYgW5hw JseHgBQ78vDOQAswVSkLIOsfMOL2yLxachJe9NALD1lqhfzVTS6v95hLuamwNCxLi59ci6gnYbBY lB9RT2l639P3Xq+NVwJQRCsxihGi+s6YgKBLOa/8jiO5pxlsk1ifyO7CZTh1ErI1aGTpFbVgTotm AYft91xUgnq5iahJG+EPpP3vQBnBC6b9IRv7cMqjy6bhXzuf0I6j40flDfYOwUbZPK6AEjC0Qsyp cIo3waVn37gf8UjCDstdGfZw2ZJU3sdkqDYjYYDyZ1FU0nhl0pglXI00UfxpY0DnNSXI9AN0BuEf jUYwuvqT2hTBUdh0dvCuJjUQjzzyio9OTIWouXWVTtHAC6uyVlb7BCrYeyYKcXPEK/LJjHf4Le7m 9XjWw4+l0HjOuu7RAfI4GBXSZETnkvEN6vA8pA/tzlXCeCsGRZnUimv3cgI2IpM1wyU3jofmgaMR SDMBYH03AiEOhMPq7yNx/07PdlzphDrye1VbMc2WRQX29G6DKOOOTXHETVfZWPdOQ6EJ054iD09Y 7DlP9RSluL3GLoouszN2ZvgMOYE/p7IzH2xMVaukhXZKzjQw63nb+3yAE02bSjPO2RbdFrACLsu/ Y9huHMGhu1T+pTT2srVHawKqzkxz5xXtaDGAY7Vp/bkFhcPI3dlAyBYqIKgHARHktYvPtz6aRrTe q+dAy/JAXV8hA+9NidQ63hc5Cmk/92BjwacNKng6AnD+3ZhZ2l5n15BTDYDgOj6E9TRYeLqFNxr7 1k6sYk6Ur5xfyhXF52RvP1npLbhqlBkF/Aw+kSlsTW4O9/DiULgZBxF4ELJVcWbN//srbJRBe7ea eWE627sCLX0hyh1zUoQfzuAj1+wBfuolFKOyXdfr5n5HlhbFK2ZBzj2OYKodoPl5JiNykUtn27yE MHFJ6jPzo/vIIq3GFnhVlXziHjCRo0z1RSoCsEBJhI2FAuZ+K0WHPcEGyZ4+QL2eDG/AQMOgu9Cs KBUQLCuknYfb03vSJFrEQ6W7P9qu18JfZbq+Nmt++cVl0Ej9Nq9koMyrkozcr1ecAMBVelDqxZE6 nkEtGBMPeeAKX9UsODVs1ag7AWVi9M5ZYp/tyPwmzkcsROcYAAI1X9WW5Kgeyvt4vPMbsfH+2KbD bU7t8cG3Fa64S1eOTCFXp2mhNOlyZ0DBKoPYCwdPx9Cbh8kPZQ5wwxLdC2c+1geZ8FmFGjFDJ090 jkXZvHpa5xMLpDtpeo8NJWCcJenZlMt1TdRa43Ww8QrjCfRnKf9/KsSSEfZuD2UDUMPtWcZxMXa2 vxAY2K1ZhHz8Z+UrYcpjGiFq4jM8xIR/UNY5zTn8GpHFzDZM8y6QfLt0eOdJIfu9hMNj80s3K5of epjd/02VSnE5boMeKRDycjD8zPPiWOZmv8JmuTyU1GLZ5gjBT13qZq7RhFvFvctb0CaSZ/2iRRwS Lh3n6k6/FXW0KnHYwoiaetOjiZqhq1McuvUGabDu6fzcnrzseEFQRRpsMiAatWnfbz5z+O3i/NEr btdMyu2XtzqqKA7FI84vZRjkfC81J8PAE5eL2axBwbCF9Ev/afvPlgW2rY3MfMx/U0Jb41UIKxcC nioTwEG7QwVJmuOQFXfokwYY3ubQv5VVIeqCMwIsI+137WRJr/EhlBqvphw1LZC5oZ2CW3sIKChi MOkMTxF4B5CDMRfsWvbnvN/4fphOCxFh4lNXXDqwV/GIJ3RHCsnMwO3D1jdxXuFVrA2yIjpKmdrZ iBLLOaANjSrZcac610VYwQrqaJgesWa15tIrHgl3NUF2wkgzcB+wak9ImCRuc/0yA1/ciDCkhflb cayDkKMSxsXPJt1NrrzNHLKCqLxYAljciH35LAdU/3mJb0QqxsXKb+ASvckWsKhexiTUbGhpCfbl FjHpAk2i8uQHiUZq5eza7j7sIY+9zzpri9puWJHE8P6/Y4XvK/XKjGFgBZcuMh/474Jd4W1UYCXS zrQA0UEzBdAT2NIWV2tcq3cceglSWdCBG8lUTsKXuZp3rQVIARRa0BnStPcuoQ6PwBidqOpYkEnV aj5USj0tq3N/5lOWWR2zU8T1MKZJIIqPArUmWCNgHODCIC0jAuS+kRRTL7zXdmFN2hoYz9dykGtV tTU1cPnJm+4lgBdZ1iO5UJxJcv3BK1oXDz/VYeqrFWdcXkNAjdRbbTR9QJXNYdu3v545Dxmph056 HrSmLSgcuzWdR0HI6sOLp1zLMJlCR0Ue7/gj3VtE44MdbfPWUxHRwPPU63iJUnE/EJAEMbcbHK0n Xh8UHgELEHnKjLEWup5ua5HSVD85pXzhxRlrtGaWTH2Te9AWEq1AT0hCtfU/kYX+94o/xl+Fc+1C fMfadAzVacw2nc3noxgG7+Xj/ZMC7d7gI5N4Vv8duXD7cY954lIQu9+DZO0DzlOQFjBkq8rN9beo 079y3u1Nf2BtM2kSl1kAzQB0nAasZfRcnMNJxovMDdtvQZLG6BzZR2+xpjZLuJIYesCUD8VPBRuC zPZOn5Xvk8N5vFVzq0cOsSIcJ8La2MiNMqd9Uhd5x/sfx+Ds1U0b47SCgc5+/VQI2EbXeC1XQs2K mCP5ugPnW9Lf1Zplf3ho1GCTNn4yGPLEyI4Qzi99hXuL6G+Pgo6EQCPawSS/DGpqy+StaDXKlhPU OJcgg1CpIjNEgR4kXyAYuQW110tIVfwzJTnhamegeaHlFfRzDS4bdpJE7Ok/5ZMdwLhLZTk4y/Dn w/ZHyChLBSdrrV84Tzq0djuwBqn/Td8XNlPEdsjQu51hAP+JKnihqpIOqvlZlzrbQtHX9DkuCLVD k4Z5mDYvTHf8DGMVVgYqq0/5Y4IlIIbPuLfh97tBLv422ZzA6RH2hmLjsrYX0DeBEdHEd77b2iFU CyeLGew+upN0TVghXgxdsNJah7amlFnSHhnqutuV5ImvfXRG45A8xN3dZavCAem8bHonfz9qnz8H 7MPtbQnf9amrnaWGXlIau9oLLSKc0hMRLftG3qhiRjNZVg8tXiLron5/xeM/9Z2BdPoYJtSdjbVZ m6xAhV8fDeX0oVPWJB6XWvY82srY3yQz5HFhicdseAtv0tusJ4CxtWedZGYMNSe4F5yCF/a3y17v WVOYDHcz9vgt75UztNURt69SVXxAYq8SAa1Uvst8XjoDZQZayA4jX02/eSerZftDwJORU8kykdGD H8c2XcVSdyOWnm60ED0e7urjccw5tY+EY6WE8dZVlxQzhUDDHEDljUOfWP90lOeFE2T4bY4VOWKH ATHiuYzjem9oWF4U/zy8o/mG2U6nOhIVCbgd+GspOhNgVCVzR2JvM7WlfCM2nlTx98UXu67DgDW2 Wk/cFPtVRuBtSLGuYmppD6zB5H6sc9UUgpU18C2R9ICX5q6fdaJYWFPKD/46RfcpXvIa4nHi8pBB +4YjSEJ/1gOtSKvw5VIbyDhHpYum3KQExuT1GCCJfWaODykO0fn3gBEJ9FgWLO18fayZicP6FGhv +nrfyxrZ/IFVI6T0V7F++KIivV2Dz0UI1d/Xrn0XJM3Ggh76YpqgQjEed/512C8pk4Lf1Cc4oLT3 CJ64RwJbTgP/+ccKbqATgQmEI2hQL7SYKuyTxagEQZEPkO4vbF2hnnvQt9vPxXhX KcaoOvLV `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00442.vhd
1
3069
-- NEED RESULT: ARCH00442: Lexical elements, separators, and delimiters passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00442 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 13.2 (1) -- 13.2 (2) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00442) -- ENT00442_Test_Bench(ARCH00442_Test_Bench) -- -- REVISION HISTORY: -- -- 4-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00442 of E00000 is function xory return boolean is begin return true ; end xory ; begin P : process variable a,b,c,d : integer ; -- this tests , : ; variable e : WORK.STANDARD_TYPES.t_enum1 ; variable x,y : boolean := false ; variable c1, c2 : character := 'w'; type ary is array ( integer range <> ) of boolean ; subtype s_ary is ary (-1 to 1) ; subtype string3 is string (1 to 3) ; variable sa : s_ary ; variable s1,s2 : string3 ; begin a := 15 ; b := 10 ; c := 12 ; d := 4 ; e := WORK.STANDARD_TYPES.en1 ; s1 := "abc" ; s2 := "def" ; for i in integer (-1) to 1 loop sa (i) := boolean'val(abs(i)) ; end loop ; case c1 is -- this tests | => := when 'x' | 'y' => c2 := '1' ; when 'w' | 'z' => c2 := '2' ; when others => c2 := '3' ; end case ; test_report ( "ARCH00442" , "Lexical elements, separators, and delimiters" , (c1 = 'w' and c2 = '2') and -- this tests + - * / = ( ) ** ( ((a+b-1)*(c/d))**2 = ((15+10-1)*(12/4))**2 ) and -- this tests < > ( a > b and d < c ) and -- this tests /= <= >= ( a >= b and d <= c and b /= c ) and -- this tests & ( s1 & s2 = "abcdef" ) and -- this tests . ' ( WORK.STANDARD_TYPES.t_enum1'pos(e) = 0 ) and -- these test <> (from the definition of type ary) (sa(-1) = true) and (sa(0) = false) and (sa(1) = true) and -- these test 13.2 (2) ( x or y = false ) and ( xory = true ) ) ; wait ; end process P ; end ARCH00442 ; entity ENT00442_Test_Bench is end ENT00442_Test_Bench ; architecture ARCH00442_Test_Bench of ENT00442_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00442 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00442_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00267.vhd
1
6783
-- NEED RESULT: ARCH00267: Parameters are initialized passed -- NEED RESULT: ARCH00267: Parameters are initialized passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00267 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 2.1.1.1 (1) -- 2.1.1.1 (2) -- 2.1.1.1 (3) -- 2.1.1.1 (4) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00267) -- ENT00267_Test_Bench(ARCH00267_Test_Bench) -- -- REVISION HISTORY: -- -- 17-JUL-1987 - initial revision -- 08-JUN-1988 - EL - remove tests of out params being initialize -- -- NOTES: -- -- ACCESS TYPES ARE FIXED AT NULL VALUES -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00267 of E00000 is procedure proc1( int_in : in integer ; real_in : in real ; bool_in : in boolean ; bit_in : in bit ; chr_in : in character ; lev_in : in severity_level ; time_in : in time ; phys_in : in t_phys1 ; int_inout : inout integer ; real_inout : inout real ; bool_inout : inout boolean ; bit_inout : inout bit ; chr_inout : inout character ; lev_inout : inout severity_level ; time_inout : inout time ; phys_inout : inout t_phys1 ; acc_inout : inout a_bit_vector ; int_out : out integer ; real_out : out real ; bool_out : out boolean ; bit_out : out bit ; chr_out : out character ; lev_out : out severity_level ; time_out : out time ; phys_out : out t_phys1 ; acc_out : out a_bit_vector ) is begin -- this tests 2.1.1.1 (1) and 2.1.1.1 (2) test_report ( "ARCH00267" , "Parameters are initialized " , (int_in = 5) and (real_in = 3.14159) and (bool_in = true) and -- note: bool covers enum types (bit_in = '0') and (chr_in = 'Z') and (lev_in = WARNING) and (time_in = 10ms) and (phys_in = phys1_2) and (int_inout = integer'right) and (real_inout = real'right) and (bool_inout = boolean'right) and (bit_inout = bit'right) and (chr_inout = character'right) and (lev_inout = severity_level'right) and (time_inout = time'right) and (phys_inout = t_phys1'right) and (acc_inout = null) ) ; -- now set the inout & out parms int_inout := 20 ; real_inout := 25.5 ; bool_inout := false ; bit_inout := '0' ; chr_inout := 'Y' ; lev_inout := NOTE ; time_inout := 2 ps; phys_inout := phys1_3 ; acc_inout := null ; int_out := 20 ; real_out := 25.5 ; bool_out := true ; bit_out := '1' ; chr_out := 'Y' ; lev_out := NOTE ; time_out := 2 ps; phys_out := phys1_3 ; acc_out := null ; end proc1 ; begin P : process variable int_inout : integer := integer'right ; variable real_inout : real := real'right ; variable bool_inout : boolean := boolean'right ; variable bit_inout : bit := bit'right ; variable chr_inout : character := character'right ; variable lev_inout : severity_level := severity_level'right ; variable time_inout : time := time'right ; variable phys_inout : t_phys1 := t_phys1'right ; variable acc_inout : a_bit_vector := null ; variable int_out : integer := integer'right ; variable real_out : real := real'right ; variable bool_out : boolean := boolean'right ; variable bit_out : bit := bit'right ; variable chr_out : character := character'right ; variable lev_out : severity_level := severity_level'right ; variable time_out : time := time'right ; variable phys_out : t_phys1 := t_phys1'right ; variable acc_out : a_bit_vector := null ; begin proc1( int_in => 5, real_in => 3.14159, bool_in => true, bit_in => '0', chr_in => 'Z', lev_in => WARNING, time_in => 10ms, phys_in => phys1_2, int_inout => int_inout, real_inout => real_inout, bool_inout => bool_inout, bit_inout => bit_inout, chr_inout => chr_inout, lev_inout => lev_inout, time_inout => time_inout, phys_inout => phys_inout, acc_inout => acc_inout, int_out => int_out, real_out => real_out, bool_out => bool_out, bit_out => bit_out, chr_out => chr_out, lev_out => lev_out, time_out => time_out, phys_out => phys_out, acc_out => acc_out ) ; -- this tests 2.1.1.1 (3) test_report ( "ARCH00267" , "Parameters are initialized " , (int_inout = 20) and (real_inout = 25.5) and (bool_inout = false) and (bit_inout = '0') and (chr_inout = 'Y') and (lev_inout = NOTE) and (time_inout = 2 ps) and (phys_inout = phys1_3) and (acc_inout = null) and (int_out = 20) and (real_out = 25.5) and (bool_out = true) and (bit_out = '1') and (chr_out = 'Y') and (lev_out = NOTE) and (time_out = 2 ps) and (phys_out = phys1_3) and (acc_out = null) ) ; wait ; end process P ; end ARCH00267 ; entity ENT00267_Test_Bench is end ENT00267_Test_Bench ; architecture ARCH00267_Test_Bench of ENT00267_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00267 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00267_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl/function1.vhd
1
721
entity test is end entity test; architecture test of test is constant c : integer := 0; type arr01 is array (0 to 1) of integer; procedure p1(constant i:integer) is begin end; procedure p2(constant i:integer) is begin return; end; function f1(a1 : arr01) return integer is begin return a1(c); end function; begin main: process variable i : arr01 := (others => 33); variable x : integer := 10; begin p1(c); p2(c); x := f1( i ); report integer'image(x); assert false report "end of simulation" severity failure; end process; end architecture test;
gpl-3.0
grwlf/vsim
vhdl_ct/pro000026.vhd
1
6192
-- Prosoft VHDL tests. -- -- Copyright (C) 2011 Prosoft. -- -- Author: Zefirov, Karavaev. -- -- This is a set of simplest tests for isolated tests of VHDL features. -- -- Nothing more than standard package should be required. -- -- Categories: entity, architecture, process, type, array, for-loop, function, if-then-else, Attributes-of-the-array-type-or-objects-of-the-array-type entity ENT00026_Test_Bench is end entity ENT00026_Test_Bench; architecture ARCH00026_Test_Bench of ENT00026_Test_Bench is signal rst : bit := '0'; signal clk : bit := '0'; pure function integer_log2(v : in natural) return integer is variable log2count : integer := 0; variable x : integer := v; begin while x > 1 loop log2count := log2count + 1; x := (x + 1) / 2; end loop; return log2count; end function integer_log2; constant data_width : natural := 32; constant addr_width : natural := 32; function bus_width_ctrl (width : natural) return natural is variable r : natural; begin r := width; assert r mod 8 = 0 report "Check the bus data/addr width. Actual width is not multiple of 8." severity WARNING; return r; end function; constant bus_data_width : natural := bus_width_ctrl(data_width); constant bus_addr_width : natural := bus_width_ctrl(addr_width); constant master_num : natural := 5; function bus_mst_control (addr_width, mst_num : natural) return natural is variable max_dev : integer; variable r : integer; begin max_dev := 2**(addr_width-4); assert mst_num < max_dev report "Number of masters is bigger then the possible number of devices on the bus. You must correct the number of masters" severity ERROR; if mst_num <= max_dev then r := mst_num; else r := max_dev; end if; if r < 0 then r := 0; end if; assert r > 0 report "System have no any masters!" severity WARNING; return natural(r); end function; constant bus_master_num : natural := bus_mst_control(bus_addr_width,master_num); type device_conf_type is record addr_mask : bit_vector(bus_addr_width-1 downto 0); addr_mask_msb : bit_vector(integer_log2(bus_addr_width)-1 downto 0); addr_mask_lsb : bit_vector(integer_log2(bus_addr_width)-1 downto 0); registered : bit; periphery : bit; end record; type device_conf_array_type is array (natural range <>) of device_conf_type; type addr_mask_boardBit_array_type is array (natural range <>) of bit_vector(4 downto 0); type registered_array_type is array (natural range <>) of bit_vector(3 downto 0); type addr_mask_array_type is array (natural range <>) of bit_vector(bus_addr_width-1 downto 0); signal mst_conf : device_conf_array_type(bus_master_num-1 downto 0); signal mst_registered : registered_array_type(bus_master_num-1 downto 0); signal mst_addr_mask_msb : addr_mask_boardBit_array_type(bus_master_num-1 downto 0); signal mst_addr_mask_lsb : addr_mask_boardBit_array_type(bus_master_num-1 downto 0); signal mst_addr_mask : addr_mask_array_type(bus_master_num-1 downto 0); constant const_1_4b : bit_vector(3 downto 0) := "0001"; constant const_1_5b : bit_vector(4 downto 0) := "00001"; constant const_30_32b : bit_vector(31 downto 0) := x"0000001E"; constant const_1m_5b : bit_vector(4 downto 0) := "11111"; function add_bit_vector (l,r : bit_vector) return bit_vector is variable left : bit_vector(l'length-1 downto 0); variable right : bit_vector(r'length-1 downto 0); variable res : bit_vector(l'length-1 downto 0); variable c : bit_vector(l'length downto 0); begin left := l; right := r; c(0) := '0'; sum_loop: for i in 0 to res'length-1 loop res(i) := (left(i) xor right(i)) xor c(i); c(i+1) := ((left(i) xor right(i)) and c(i)) or (left(i) and right(i)); end loop; return res; end function add_bit_vector; begin clk <= not clk after 1 us; process begin rst <= '0'; wait for 10 us; rst <= '1'; wait; end process; process (rst, mst_addr_mask_msb, mst_addr_mask_lsb, mst_addr_mask, mst_registered) begin if rst = '0' then mst_conf_components_loop: for i in mst_conf'length-1 downto 0 loop if i = bus_master_num-1 then mst_registered(i) <= x"7"; mst_addr_mask(i) <= x"FFF00000"; mst_addr_mask_lsb(i) <= "00110"; mst_addr_mask_msb(i) <= "11111"; else mst_registered(i) <= add_bit_vector((mst_registered(i+1)(2 downto 0) & mst_registered(i+1)(3)), const_1_4b); mst_addr_mask(i) <= add_bit_vector(mst_addr_mask(i+1), const_30_32b); if mst_addr_mask_msb(i+1) >= "11100" then mst_addr_mask_msb(i) <= add_bit_vector(mst_addr_mask_msb(i+1),const_1m_5b); else mst_addr_mask_msb(i) <= "11111"; end if; if mst_addr_mask_lsb(i+1) <= "01100" then mst_addr_mask_lsb(i) <= add_bit_vector(mst_addr_mask_lsb(i+1),const_1_5b); else mst_addr_mask_lsb(i) <= "00110"; end if; end if; end loop; end if; end process; process (rst) begin if rst = '1' then assert (mst_registered(0) = x"3" and mst_registered(1) = x"1" and mst_registered(2) = x"0" and mst_registered(3) = x"F" and mst_registered(4) = x"7") report "Wrong work of a for-loop" severity FAILURE; end if; end process; end architecture ARCH00026_Test_Bench;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00159.vhd
1
25028
-- NEED RESULT: ARCH00159.P1: Multi inertial transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159.P2: Multi inertial transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159.P3: Multi inertial transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: Inertial semantics check on a signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: Inertial semantics check on a signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00159: Inertial semantics check on a signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: P3: Inertial transactions entirely completed passed -- NEED RESULT: P2: Inertial transactions entirely completed passed -- NEED RESULT: P1: Inertial transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00159 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00159(ARCH00159) -- ENT00159_Test_Bench(ARCH00159_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00159 is port ( s_st_arr1_vector : inout st_arr1_vector ; s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- end ENT00159 ; -- architecture ARCH00159 of ENT00159 is begin P1 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns ; -- when 1 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159.P1" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns, c_st_arr1_vector_2(highb) ( st_arr1'Right) after 30 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns ; -- when 3 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_1(highb) ( st_arr1'Right) after 5 ns; -- when 4 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= transport c_st_arr1_vector_1(highb) ( st_arr1'Right) after 100 ns; -- when 5 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns, c_st_arr1_vector_2(highb) ( st_arr1'Right) after 30 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns ; -- when 6 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- The following will mark last transaction above s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns; -- when 7 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00159" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_arr1_Vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_arr1_Vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_st_arr1_Vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- P2 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159.P2" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns, c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 30 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 100 ns; -- when 5 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns, c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 30 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ; -- when 6 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- The following will mark last transaction above s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns; -- when 7 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00159" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_arr2_Vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_st_arr2_Vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_st_arr2_Vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- P3 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159.P3" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns, c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 30 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 100 ns; -- when 5 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns, c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 30 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ; -- when 6 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- The following will mark last transaction above s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns; -- when 7 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00159" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00159" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_arr3_Vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_st_arr3_Vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_st_arr3_Vector = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- -- end ARCH00159 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00159_Test_Bench is signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- end ENT00159_Test_Bench ; -- architecture ARCH00159_Test_Bench of ENT00159_Test_Bench is begin L1: block component UUT port ( s_st_arr1_vector : inout st_arr1_vector ; s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00159 ( ARCH00159 ) ; begin CIS1 : UUT port map ( s_st_arr1_vector , s_st_arr2_vector , s_st_arr3_vector ) ; end block L1 ; end ARCH00159_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00153.vhd
1
15553
-- NEED RESULT: ARCH00153.P1: Multi inertial transactions occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00153.P2: Multi inertial transactions occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00153.P3: Multi inertial transactions occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00153: One inertial transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00153: One inertial transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00153: One inertial transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: P3: Inertial transactions entirely completed failed -- NEED RESULT: P2: Inertial transactions entirely completed failed -- NEED RESULT: P1: Inertial transactions entirely completed failed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00153 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00153(ARCH00153) -- ENT00153_Test_Bench(ARCH00153_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00153 is port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00153 ; -- architecture ARCH00153 of ENT00153 is begin P1 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec1.f2 <= c_st_rec1_2.f2 after 10 ns, c_st_rec1_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153.P1" , "Multi inertial transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1.f2 <= c_st_rec1_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= transport c_st_rec1_1.f2 after 100 ns ; -- when 5 => correct := s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns ; -- when 6 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= -- Last transaction above is marked c_st_rec1_1.f2 after 40 ns ; -- when 7 => correct := s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "Inertial semantics check on a signal " & "asg with selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00153" , "Inertial semantics check on a signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_rec1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_st_rec1 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- P2 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec2.f2 <= c_st_rec2_2.f2 after 10 ns, c_st_rec2_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153.P2" , "Multi inertial transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2.f2 <= c_st_rec2_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= transport c_st_rec2_1.f2 after 100 ns ; -- when 5 => correct := s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns ; -- when 6 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= -- Last transaction above is marked c_st_rec2_1.f2 after 40 ns ; -- when 7 => correct := s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "Inertial semantics check on a signal " & "asg with selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00153" , "Inertial semantics check on a signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_rec2'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_st_rec2 = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- P3 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec3.f2 <= c_st_rec3_2.f2 after 10 ns, c_st_rec3_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153.P3" , "Multi inertial transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f2 <= c_st_rec3_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= transport c_st_rec3_1.f2 after 100 ns ; -- when 5 => correct := s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns ; -- when 6 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= -- Last transaction above is marked c_st_rec3_1.f2 after 40 ns ; -- when 7 => correct := s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00153" , "Inertial semantics check on a signal " & "asg with selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00153" , "Inertial semantics check on a signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_rec3'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- -- end ARCH00153 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00153_Test_Bench is signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00153_Test_Bench ; -- architecture ARCH00153_Test_Bench of ENT00153_Test_Bench is begin L1: block component UUT port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00153 ( ARCH00153 ) ; begin CIS1 : UUT port map ( s_st_rec1 , s_st_rec2 , s_st_rec3 ) ; end block L1 ; end ARCH00153_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00554.vhd
1
3486
-- NEED RESULT: ARCH00554: Signal declarations - composite static subtypes passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00554 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 4.3.1.2 (9) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00554) -- ENT00554_Test_Bench(ARCH00554_Test_Bench) -- -- REVISION HISTORY: -- -- 19-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00554 of E00000 is signal si_st_bit_vector_1 : st_bit_vector := c_st_bit_vector_1 ; signal si_st_string_1 : st_string := c_st_string_1 ; signal si_st_rec1_1 : st_rec1 := c_st_rec1_1 ; signal si_st_rec2_1 : st_rec2 := c_st_rec2_1 ; signal si_st_rec3_1 : st_rec3 := c_st_rec3_1 ; signal si_st_arr1_1 : st_arr1 := c_st_arr1_1 ; signal si_st_arr2_1 : st_arr2 := c_st_arr2_1 ; signal si_st_arr3_1 : st_arr3 := c_st_arr3_1 ; signal synch : boolean := false ; signal s_correct : boolean := true ; begin process variable correct : boolean := true ; begin correct := correct and si_st_bit_vector_1 = c_st_bit_vector_1 ; correct := correct and si_st_string_1 = c_st_string_1 ; correct := correct and si_st_rec1_1 = c_st_rec1_1 ; correct := correct and si_st_rec2_1 = c_st_rec2_1 ; correct := correct and si_st_rec3_1 = c_st_rec3_1 ; correct := correct and si_st_arr1_1 = c_st_arr1_1 ; correct := correct and si_st_arr2_1 = c_st_arr2_1 ; correct := correct and si_st_arr3_1 = c_st_arr3_1 ; si_st_bit_vector_1 <= c_st_bit_vector_2 ; si_st_string_1 <= c_st_string_2 ; si_st_rec1_1 <= c_st_rec1_2 ; si_st_rec2_1 <= c_st_rec2_2 ; si_st_rec3_1 <= c_st_rec3_2 ; si_st_arr1_1 <= c_st_arr1_2 ; si_st_arr2_1 <= c_st_arr2_2 ; si_st_arr3_1 <= c_st_arr3_2 ; synch <= true ; s_correct <= s_correct and correct ; wait ; end process ; process (synch) variable correct : boolean ; begin correct := s_correct ; if synch = true then correct := correct and si_st_bit_vector_1 = c_st_bit_vector_2 ; correct := correct and si_st_string_1 = c_st_string_2 ; correct := correct and si_st_rec1_1 = c_st_rec1_2 ; correct := correct and si_st_rec2_1 = c_st_rec2_2 ; correct := correct and si_st_rec3_1 = c_st_rec3_2 ; correct := correct and si_st_arr1_1 = c_st_arr1_2 ; correct := correct and si_st_arr2_1 = c_st_arr2_2 ; correct := correct and si_st_arr3_1 = c_st_arr3_2 ; test_report ( "ARCH00554" , "Signal declarations - composite static subtypes" , correct) ; end if ; end process ; end ARCH00554 ; -- entity ENT00554_Test_Bench is end ENT00554_Test_Bench ; -- architecture ARCH00554_Test_Bench of ENT00554_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00554 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00554_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00325.vhd
1
1434
-- NEED RESULT: *** An assertion follows with severity level FAILURE ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00325 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.4 (5) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00325) -- ENT00325_Test_Bench(ARCH00325_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUL-1987 - initial revision -- -- NOTES: -- -- Verify that assertion messages match the comment messages output. -- use WORK.STANDARD_TYPES.all ; architecture ARCH00325 of E00000 is signal Dummy : Boolean := false; begin P1 : process ( Dummy ) begin print ("*** An assertion follows with severity level FAILURE") ; end process P1 ; assert Dummy report "An assertion with severity FAILURE" severity Severity_Level'High ; end ARCH00325 ; entity ENT00325_Test_Bench is end ENT00325_Test_Bench ; architecture ARCH00325_Test_Bench of ENT00325_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00325 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00325_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/shft_wrapper.vhd
9
13719
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DEnnGh9mJjXcPYHJwkIH/JZJD/qAuSlfHtLTbMeEF0EVl9w5R8AdpEIUCb66tbu53fg6SVu8bsEm 6G/4Dg4C5w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VtOo9e3gaS3SM0u7x24jKIgPj6FEZMKTbkhSD4hu+OpwEaJxFI4oULNqUu/oThD4PsKcYfuJEVy3 IB0UvAz1Aq7l1qNolLk5IxXo2zzVBlRzgfeg93KKb+BWO7erm2ymii8S76e1zvFWlbElqdthLaYy TlHyGnfCJym0GHEgxbY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block me2v5gKPtNf52JtFi9Wsx/QhN/L9aDakAiVBZr9lfK3pz7ajWb1O9mxn8evf2rPch3nNAkkxb6cb fUqX0RddJJpWdqRidRlW6eJMR6NxA383Z4cPhNDnKnMCmKOD7uTa7g5aScGkeD+oy97PGatXvtc2 1vhG0qcNed2m4/ho/stBnAPLADbD7JKCfaiAdqTp0XOj3eWAzRUWnarX2HO1gOooCEUxqunWViC/ /Smcr70JvfDK8pF7GgIj9Vt/ITp1dac1kLmSqDNXQY7NI0xkZCyc2VhJEqPsgIZPY/PYjtJh/diR vVrygP9CfYpUMrXslyZahfSYx+azwYUuw0MPRg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block loSvnMhFpGsdZAkZT8xztTweRmf0qFQ2E/XHxookY44FdRm3l0ltynnX2WS/4JTfrArtbxRFql8b uaX4ArdRr5mI30EAViLWgEk4K/A+XRHxUd/jZ7VqUF8zHyqyhXQN7QVcJi+zqm4wNcO/DspchPzx A9f47WyrLLf4o59SuLg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TlhU5o557lTeACOBcI6QsboDAPbaXMQ9OHhwTfOW3wNyMgmpuFyvUuHM3wU556O10QchzhsWXWGW 23o+mKC2d804qjKhlfSc26/Iz3kgWVKKk1+e8kfMS219+El6g0mdLxShRQqX5PIPBLFZ+ffFHQtU YsNMA8++8DbpLci51xJ50gDxA4ShnczHQTpO1QjkctUuTvNEtnqAvHzRQGwGJF8CmerWdnD/V4uf ksceiijjJ3ZiHl1u77sL7p+GL6vj50CDisTHO+Xe7KqadUvBav0UYSsGF3pRvp+LSM80lb991CBJ kfgA7xTaAMJDGCT/T9ELjVnCCpk1gmQun9tzRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416) `protect data_block a0I2eRXt6MN7k3b5YTCCxwMO8ZMTY+AvFTcQaVmZUIgKBuy+/jIPePlKmyTwm9lgAwImC6+LdPKS jd12nB38T89I3KhHa9FG8cC7u7raokpiYVGsgZWNm/Fh9o28TUR52QupTSok4FLkdPP0ZfjKmg+L uzRfBxG5CSM7W2480K090YDZv0sj5oEqMUo9XpjQTBrAA1nsN4WAly7PoBnfgRSwGkN2kB3cWsnA Lasqm/7nNwedkL3DGxZZiP9+ElbNjNawJW4p5H7vbm+2u4DL+WxocNn6ud0jGVz+Bo3BZT3DfQ27 nVcOEBkrYHA1s2fYT2fygznsdtpn2jcrARCoHVj6/3aX5B1LzABoQLxV/9I47R4CV2raHlvq5ZZB W4F1iWCp0BQy2FqtQCiSd6Z/D/Pswi6yfMWAqEV5J3eYremfsMI2H6q/yegu0MraY8kmDKy8sHME hfpDvALcYjnM7/yi5OtVmivz7ZAfTtk1VjtkHPUnxG/0Z9/LJKMhtRgka1eNMCGyqwezUuCqJFYY hr3k3PJ7sgueuhQmKGfq0/9RYgAZsZg2AsrOAsvakhy3LE69u4vi9yf0TPw2KGsjflm3D+AHde/F hCz2mpzgm8yob20hZpLSIrBop5eTffbzzCWeBJViK3HdhbUcAmVf7Vs91MnACb5TKXxNMdqbDe7L IVVbksHmnnZ8MBarENkrc/5VADSnQdgqOYjN6opP/hztNtR/rYhkBwUeyM1OEh6Xqz+OmfNvxUfF 0QHbNix/JDxYMlDrcnrJtKyH5UTuRzWPyFE5tZxFeybo79jySk7Vk4V6DMWYnwifUEhGXGBhctto eavsWENFe+yvP1we79AgKwN0uCxrLpFExNru8Y6cDFdaaXnzHpYwswSlnQiv7CJyE1xyGMiqoLox 1VOTGSbXhrkThdAWOaGkSwTtaNGzXK/VIv/TaXFfucDHiMk9zEoQSHJSqQv3EKgGFzel3DNBB/qz zWPlAF2cUKwd5oofPjUSH2B5/a3I36vB9rbG0Y0inyY/Pc/bxktxr3R6A1VHUJCAdUJB9WQ8/pi+ As7neHxBAjasziFHWVz3y7IgHr+25ITdzI8zCWyhhakH+Cl6bp5KAQqIlFOIFC8yssYuNlC9gc62 wrB10vAot/Uesl+Bz0LTMNE5aKwBUyCmLDPLQeeebytJwsWq0fhThJDD7DbUDxb1b6rkT+1bXsHV TKHjLvqiJlA0Sn3ZjacdrNyD4Dm/BGJLTjvGn5rVcVjZL4K7Zyh5oBkj2Uimro1VnOQNOJs409OZ b8mGXDT8Gsh0VlxfCAnZqvT4QFT+63J813cpXvtb37cLc8fU1DFEj0qyMqeV5DvsCBdR7Wp+tibY H0LCOM4pPcNyK0L8HPRVXH56RZf6lx1A2v552hFML/aH84k6NTSJY8KNGpcb84ekLZVM0S5E71ir U+E8HiC8XPJCFkqVeY0mjXPX6KmiJv64ZvljCfcHOdXPCiVcu8xpYF/Cz7AuEsYqzQQYyCDRxalR OqV+qZMpZUT70mJXQr+jfcHrKmmEFpyHKcBEUWlqAUcwkcOFB1B5mc/BdKOx2XBtzNSy5XGeOz31 4SqKY8oBJZRl7jq59IRl+x3qSDbu9n8u+OLnc30tK4a7r+zOKyWazk/ltzs76jQBghBiiCsx8Gar Eo9mPqo7sLuL7BestOVR5N1oilx+ejAoSY5PY4/x7nofVpzJcEYZaGudokb/lVjFFRheP1DISSAR IwCXIeXjlAxaZPYgNBtkXpnYOKLMtPNR0+eSINZY05cgQI7kxdTX6rItLlz39Sbvct9eUrd1dhOv AmZds9mn4z5zwX/fsDjhSEye2bdV4xnx8/sRaUnebcMkzREbT1QIwDpbqHyJpqHdW8M8hgpoYFU5 IGeLbodJxy2CEfnb+2h1gcL0/Ty91/7e9NTqrIgH+kBjtdlYVK3qFb7ovbLjxk0RgoMIFIvUhGY7 KMnrWXwzNeeQDwH+swlVTGA1ajm0yhh8I+2QBq/e8uggS/TwHBtGNwENq2bOhcncdw+j/2HwZ6z1 40tZFVtmggB67fZBgIQd1/kWnetaQRd15jVC4nADyh1z6zELM/n4zd9T42TYd0f7WB/9VegKhKOr yvz7R1Fa3U+xFC9yNPp+HPtPkiZlfAaky0RFADJNOXHjcLCOypYf3sCxBnTrIpbzVw0tniZDx6sl Cl8fX/nGik05TyZxRyy4Q1AwiFyX//ZrpdZkuHf4Dswj/eVi2TzgYdXQgOukrJZ4d2noz9qYV9Gh dm/IEPbvuCI5YBcLr/6rD7VoA34I6Zfgoe4p051GKwjn5QrqEc3aWpN8R2FvPV+zgHAXislRS1iM hncXhUiEicGbg+FPxCmx7tykIxCda2+Z6qaYMXIC+bjp3vw7fZ8XH/pzvZ/mjgLQWkcuQu9daxtJ E4qUiU++nCEMNEHCBJhJm4ZkRFbCu0fUJJqj3CAOxN7ICgr4NE1BWmvn3CLkIXJV5HJyuLX0z6Ni Pb3cN7Awcks4X6ZrGDgS4rAuHRYNocZBHe0Y3giFOAvNdvsxHK9Xjse6UnpMHqEouc8P1ypOpiof jEUL5Q1RNcqh/HMXExbFHMS6+wBOZUu7A5W0q9AYHw5ezEhNlI2AmsVOiwiGTm1f89JUON7Kzanh jqg+Vo+RAzloCB1o/S8DJHFgOGayJosEFyl5qoi/hoPA0YdISYSoUHfX8ZUXCWXCv4y+1ya10k87 u4NZzJQx0ttO6Uz5lxirn37l6tXyaHCE9w41kFr8k5O8StOhRmw/12/5t+xURXdgnHOJb2xJymoH 95Ki7aDIJnXF/XpD8Z55DW4xMc5ZADK/4gCOvpgpc0CY64AltO4JqwkXmOC/UdyAUJUCDwwUr/Gi f+5LwmlTSyd+ti8+fyFEdQ8xm1dqbNwSYlnmKtezEEdLdvmQxjDnq/3Ehje6i1UzGfuo1eQIrxhf YUPHXGERZe0HviL9XvMwcJvQlrgJcrd4oRbYnYdZ9pvaHBdAy2/7tIPQU7/auYk3DZueGIWHEZeL sqz1VdH8xfFERKpIHuIvn6pzcGUC8DOvc6w5j9AOBVMZXDnibRuV+ML3RafQRlmw4JGGn9BFadKW gf4XOvIEUpNZeh/Qm2hzTlEjXrCFu3osvoxLcKaoWueF0RU1MavxQOgtRcOA4tSU99nRJ9sPtTNx Gu/j9uCNwbD4BUtT2ZRnrKfnvfBshb/cscLr9/v0ntKiy3nCgVMVu568HJplMchKIH9FaTOBglKi vOztyL6uP1nGnVN7EdVpI9BF9SJPneHwA8rT9KgkgTlKFsdvtOq0b9LJPwFd8cUI1193hkMo+3gA Z66Uz7hrYiJSQxBd8TV+EgZajsPxqovkh8KSrBIO7NyQ5owugL6qG93v1REu/DFX2776OTzB/7H9 Pm+4iF9hhVeD0uh81Fc0RdzqLoPNqqw6EwzfH3qtPjNemGKrXJkBb2oXEnDHIdc2+jtR5fV4YEmj P6OBkRY1imEbEnL94Bho0LIXTswVzpAw2TUguLaCVr64donjLdiGBUtADrpA1ApCgSaQP9FgI/RT PSwr4hxDZ7tuASiXOmvQgAPLtcQnpvWiAjFpEm/GU/uVxGNldKfqc6lodweuX6kUYWyE/vq4S1EX QmZ+N50A4ekRCgbDYOlhSOdp9mbcVPuubTxUSAbaNLQytGJplPllg2adAPPpXU2kGBisWGW4LgHl 4YauhRVbUeXxhVjF/dRZOpc3Qp3bbU9ejam1KkotNFJxN6Cov7TqSwtyOofvgirj2BjtKtfeXrGp BT/d/fLr+7awZLYW9+mkNXuJTfpDrOyzDQbyGeUuHJRgHM//epheuwZydP376IdXhRr+pqMHhZoS L51oDKfsfpeR8hiIVQWglFpybOVSXITW7nSTabcAnHStlKyyM7ERqA6TTr8WF0fcrcMmQ43GwOEA RnqRyUinTgBUPq+RcUcfNP6KdxsmdaR4Ek3NF9vfeRjL+haeuTF9WupMCvTJKT+S3L6jvMCn9p/I gdq4UVHfonbDHnezLr0wsn5yYU8wMByfVRDnzhfdGEEgBJUyYrlBUmRlfBFFrUVERDwCZpu5YKc4 rFDnHN9Uazl8V89zwQck91UmsLy1ifjQWfITvBQJWdDE90oDz0waeuYiWWFFvnOp+SEtQErrjsU/ zyD10z9I2xbN8j3T2gCfFPPATqeT+kkYeBcRICFDv8xcLqzgnxBDyU2a/ULOHz5G5rXiFXXRiZrm hLqU2D+hH/OzJAjiuJSSQJv4mD6b6OugET628jdbdFoNJIxh4Fmn0IvjsrPNVMODBOLMNWZLnUlM basojKuxNnMaExsK3JkoocysKg5M//hEcU3qcj/9NX0LNRry0+1ZyVizL56q00FYylGOwgpeq20y X4qfhfBFEtqHEpafWXOYV+xy0Gm9Sh5wxiUUlx0AMQkvs+YX8XIyDJB3vlcbXx4K7oHo3f4ksSe0 k9SdH0AQ3ZNYWI8IIujApgC+Sk78IbJeRtVQdyRsBi1bo1/TtUXiPqeyZlL7iOK2bcAWI4xLJVy1 ndvkCS5U7QB2S7Z9wcs182+vpZ6aN34QZdkxk/CcE1aEUYTYbQqk0jSWP4nGHmYZjB//wiC/Spl5 /88VcISj4GsyKAOYflkhkMOLsc6Q36Q9EoamNLDLhbdSYeqMkm4zjmba5VZDLH3YQWl71C54xHZ1 aekdV8ipSpN7Is6X5PB8GtsOxCz22/nT1xF+AB2V9lD9etyW2avyxX1LARvunUtgHXQ26VRiUVPI sL6U0g7LmTF+lrfgkY4YOa799zA2sXO5SfytG+RPQSvSJsal9P5+wjd+M9cx2YVwmeJkxBZh1Rgn uf695TBiL6Nu/7FDgoSDpYO9C8fZ+6hL2ztIUTUweu9QqIBHgzKlPcWkCpm2lIOZ/ndw79b7Hkz5 HggbXXIdfRyV7Z1C1M4hF9jGL4I9YWSd5J4TuVIy5fnN53VsJIDFd6s3plrBbBQ3vfHx6+ZF850L HdJBjVLr5ISrVa9kj8oHxVbkHKlz7LjLHCjVX8raWUVk+0uz4YohKUulU+X/hcH3C6fA8lrlGPGf TvTQZPw9zVKJ3t0hPfxXYkQVLi7Xy/6G0BULqygC4UxZgkCH3hGNgvHlTlHu6jtVRrmreRhew/6R /XaV2ZMxprnHzxLiEZt8yZUVDAQanBQomFuGYPBNnGIAozdeRBd/aM7JujBugWmOfKYRn+BLipdz Axh+8pttQ7RZrVVRz9zY00dQ67KD6WXyxfdycl5Ci+fESsvk0I3PeMdOlQx2GDwZr+5oq+MPv1CJ ysHKZeoWt0LlGZf8/HM4NmZmnIRkdzJczYJvmzLVwc5moMpPioF5zxJD4+N6OSXe+jiJtEc5/gLc Oif0yjPWz0QhHp5yf/CBAhym9ETpBFuDrk+4Rk/fDAS7cIdBemBFZb3zL882Mx08VrXTdhTYiCsS /5FdQDgTDKKuEfFjZqfKIaC7bg50uU3sFgonFOGbbw2ofCiRvUE5xYTSZQQrriA49Kxn+m+0VI8t 4S/jwCa14Tzp0Qsmf7y8cLbeApC7JW8wnwHulbbPjOhU91CDV25NSwwtHOHS1YVwBDUOCkHZu/C3 Bmv+IFK53Z4GlYQzwEFFdaQu6CxYYvwFuds3tg2lCeHhLTTSpbzmwHATYXOT1sn2liQex8bTX/gk 8MiaaALLR0yMlCMfSpLWCt5e1k0Ztykuiz92EwWxm3+PS5NkqYD7Jl2TqC/9g5sMCSriiO6x98HA ia0lCp0pOmckC8h+rBmAcbMboc0CFHVp+fzUdSzrz2AXNuyrjjhLO8jMXuIVL/z8DC7ho7YQCmXc iV4BgS3mb3/OC7c1I7wHMUvy+GYMQxZcvEYBeuwhzJLQCkY0WN8EgzR9i6O9ps9ZkGoj/Betm/Tv SCUoTZJTQFAShRWamSDtvXsnwOghXvi+khQGDfZlwLdvTWMSspGMGxVOqGWEHJUuxfqgvGnRiTQS FmQBHh4dbwY1gGK360ZmLrZqluFvIluKJoaWIYK28W5WI6RpL80SNxsPQoKZVo9Xwy2yGG02bu38 ncdEQK+LtthHqyiZabu10yVd9o+stqvIoV8sxMyWN44TOkt2oXVUXkKN2w/iyK51cXO/yoePPxOW ou5NfQRKEUghiKejIpj0ZUbrcOxpYa31706im2ZZkSjnEGLj65DStFMHwqV/wreDbJgf769RFGE9 DeslbxVZFumvQAmlvdfFE9/GkQP0Ok06ikRMcMdNGzQ+76c5pBtGPheuv/0uM4OkSmoLordUI45a bhcuNV920Km0IuVx5VgcnS6KANEWzxQcZvgRO5Y+32f1ivuDkenmGljMVopF/xkHd5TxPSnzRhsR 5Kr4ItWz33j+7BM9gp/sdnaXWs8/gbW4FwB2HyBNrndOhc6KPwcG+PsHqhKJfQxXSJm93faBDpPw GuNpHntWb7Oogvgr+dXSL5Vgu6ZC9phhdaiIJtKDkSp1Hu95PzjIThjS6GqTJcVP4JFi65CiShou dstcFNbZy2LtGc20w8x6uyiXDUbjcYqIzVsReO0uKAy0zCOVUYh0M28ghTlD7TMrxyu3cHVmKTSX seG3/Q3o6dRBr/m+KlHu9tEmPn41aVVfPcxfXcx8zalPnqHVqHYsmY6XgAd7kwbb8miT8cxX4FO6 uoFdfmjlxqffOwAxaweAbh0cUdWZ4nEXPOELgKXiHg6ItO97QGWQGhTTEyqh736Le4GJiPu8TA4e w4joW2ZMhzDaa8bEO7LQEnQvdHkiDeiSt0p5fiy3gYKwoTMC4S8Zm6HKMX8l/mQ+pb+/tIC4eGBV CGlCKTAtUmBxssTK2VcZ5ywp0ky496YmZbLmEHemQ6FyK9i9+PC+xMdsBLzG/tzvwTMMqrNdEqGR O2+vCQonCGaqfEhMSW+aVUb0a7ZN7AqPtIcGz53FkLR18+KherzjAfrtGAI92W8iMmRN0r/01jxz g2Z0mjcJcE+ypsuHKQDY2Beq/5EN6YqhnwbxvXAzu6zCv4y39WHof1X7mefLS4LgUWp1W8Gr0xu3 4vZgo/j9038gi1fQu8shJSR9N3PMPTBAK5h0TEIEE3w+TJr5ZmSxKnJoBIEi+cd9lMCj/7jBsmlY 7AAFHbrEEZC65+qopa+kncRJic0f+59Ok7yH5aw9+Nx9u/BI6Aw8eFckZ4cxJPE++vJoz047tZJH f/pjXeysM9Kn3GoN0AlCRmgyvXC3B4vd16DvZCCN26kudKMhqjuF1Zv0ngSZAeb72vDLImKhiiFP c5ZQgkcWDRUhP4oZxYDRNgbQFacqGHbXZ8BUn4AxmP5M7KWzbmvMsWqb4fSecVEQmzr1Sft+KNio lcbbKF9aI1PJEM7zf4wNQV11MWHDo5so+CbCGPGTCrM2jo6HE3JmaCaMz4kJfwKuu1X6RA6iydLv HeZlISsvHZZoMPnLeUd0bj+XfFa1a6leh8tR3CIoK4MQM0zZA6jF/213f6XxXHH15K+3oSvs2lDM S7hxl2TNepx7285aKfVmO8Fleymynfd8QAw9bJd6ISMcY5rvWMtbNUFK0AlJm9DbEpaCoWb7jUlq J4Va9qjL0Fl2r92sHq3dV4SikTMGl9WSO634AKUcL9DEJIk7RcR7BG67mbAgFhq2ZihGpyzXHmwc AQCG0kjkYpCew115wYGnE0XWG/eNXgQP9wNw/dDmc5tepyf+1baxNRtLiJWS17lnQEwJYBG1zjSR 9uex0Sh5qX4ZxY+S+GC/Enza/Sp811Y7FInKXpDuvKjZo+wYIt7712WKnMdsHCwQbzY8Zp6yObnR 0Dp2kDUWeqxf00m3MUFEerN2EHvsbyzv7YavQacN6pq/9X6S33RF0HQRh+zcsTjrk+xnhD2873Wa YCF720kTxW9t9JkPf34w+F+/TxahTStWoWnSCMTIy1nsRDGRqzrdt6Stl7nJhy2fkFLXiZANLXQn NNxzzfDzD9nJ69h1NzztSuuoN315IEayI0/47+R/35kalY05oegoRDQxM2CbO+Ijlg7xatMvWi8a ZVeO9NxEJ1Dvf4NOAkstltIAq058s/wdoTIXsZovPcoZJR94aPgzoXD+WksqxGR/SfR18SRev7vh gm0xEdJyxSNeCPYXgtExaAKkCyjmw1UWo+fTpnOp7+CLQtbEvPjfZLaNtIin6pLBcnetQvCNlwOW 1kQniLLUKgR+7LR4y9OhnaXKRGhEcll0fNA45G3pJdLmnIlk6GEfP5b1GR5mfvuYl5JhxDkunIx6 rJA7pcwBoNmIZVkPwTkq2CULs49DsmdH5cUCpiO7QzENuFJmPeMzxChTN4Wso4zQf4qvalRG04TI P5OeJ961xROCTqH1dyW0MTrDt/hTdjCnYh6WcIxe/4bpcNfIDICmEg4i6tg/HjPpi/TOLZLdG76K QnsJw1U4nFOecPeKCjxU5Hxe7HZisRRMHNiRdLHsRM1K/aNHgOL9bORyZZ/N8uzIg0d801L7bHEi Zm3f5Fv1iDTXBLjhmfdzetmgoQrEOr8X4yQTMgK4vF1U/MAQNre8MfDDVzHUTMurXdkI30U6VqgQ xgQwa7rT8Fijw3qIEgoPUl3HFx7H1b5iLk4uweEj6W70ySDr/V17V2Da9KLfpYZm9NeA1Z4u50e0 d55pog4FNhBMQ0IqGpBd2NvPso+CF0GKd/LkZoln8IHxFmfgqJtuM2Pq9gj7NzCMhUnS/RVnjaOm ASuwOuuUag7/DH9gxIwIcyqPN5KLjoIGWA6Kv9nv++GjxCJJewyrOy1AQUmD7VcmJtNomQl0+/dz JoNMM5HyZh2YzVVrPUPAzKGpj0smMlOF1EAKH4cgANm6cl6d6pMUVu13hGGlrpv2YekT+AdoJtiv D6tZoTAfxprp58qffpEBAY/LksIMdaCZbvrXzHaSVWuGXbMPJ1q4Xy2J3vypyUEY3JqG8jxf3rZc NixvtoDI7lfTh5bA92bzvrrgrTZpqMG5BeUBSPdY0ijTYask3coaHi5JUyWYaBuyjoTSbAEWLdXX oaZMnyoH+Uakfiopk4bY0oDIHDgIqGSiNzZex+KP/StP6Fsnwh1PiPpK4Ykz2nG21If76G7wujIh 3DEP0D1k+GvF0YFq4o52l9PVevZS0L9MfvmsvGtNqpMv30dEMEACDvFbuOKJyYkaZEKweznZog0E a0ZNAmab9X//GLIcK+TbLyI3/bDwIoOdXSdXk8kweYrWm1GvXou5roZZWUpKFQzlOj6xsWXoMphn xaLHkYHG+H32IK0XpUiwz7fuJnAsDz2Hei4XC7GKd6SBXKsY62XyaCr79WkMs+O+JyrhW+6QayQk 6TiwFpXf1p5ukTch9x3/0BdFVTY3/gy3DBHjKUwlQEKSPwIONzbfDaBxHcIhVdKg5DWpXEbBvxX/ Nouen2Fhs5bj4M+z2Zis3/zkP/mZJxMjSRwcDNgOkb9vddlK4emWRBFfA8VmCyiZ6ZyuJMujFMcj zJhXxLRuB1p7mkcCOGfZoHDR1zqiA33waiihG3H/anfz4nchaQUDe6Xcm3y5Cmavku1FoMSLXyOu pkNNzEH4c4iZGfaWVNOTxcLu0AlXBEFfScADJ+2qxKyvEu75ODNUquJLv9/WSb+ilfSZXVPix1oV MBe2GmNuUUo/ls555ouo+aEkl4qvJWp8twHLKtQzr/me5gzBn9mmCDY/aDzkNeWkPAjK2j0hkxd4 TmIBmnToM6H337+fVgHVEGPuF8aAQX9fyQ7ctBi2baqCciNGNHfzvRud0yqHcgiW17VS1Hfp98TW xsA+UaYShc9efDKu/75EArHb3usp67o3mB5swYjEJDJ/CqjrKTWvCFBtnDXlykNegQa2OK3/WLMB 4g3a3YZ23EBQgMHj3uhApJikQrdBO1hsLIIkUTG3hqpi8Z6ekTkFN55s3uiXEXemFzOGPjcKOh0q FXaCkDAbdfRIAOfOA65jBwPkVzT/FGkj3UPfAL7/0WRxZYYfEEQLuHPEMl4Kg5FVtDPmfBXGQr5s JkRn7/7Gp8CWJdbPCpRJhgrEZekqQrW6ckfWwRGgqaeHQy8/rlI1jybuAtsD0uLXso5XvmIwZ5t8 ZLVVvZJWgA3St1TqRzFybZoI7dDuVy6IjLSP3QoVgLvPA8mm/SBT/Z4kjNX0DfPOsalHXaIIlwOf ZFGDgGMBMoD5mahjZ9VbzAZfsaGjWPY3lJKOpGw9anfqv+KhAEDzq6X8vA18Z1FFrV4mzcM5OHRu suP5L1TwLi9SWUJJNdR0G/k3cIOqM/e+pKpnML9k6GNG1PyzMrdBuAvHOOv/GU1+xMATqYe6xDQF Qedd3C64ARN+esFgsQgSLcxJu8ZpM/nPjYoayJyGAtadRSS+yH+uQSX9SX5fnsV6hKhoNqMXx0cm oH+8gQeQ4ypPre4QT/9ydG3iboOT76qjGy7AwaCWOej9gZJkCWDMoMwvPKmpNd4HPZz+171+e8da +j8JbyKAXwwDOAWupN17VnnYZX2osx8ZZfyxl7Ea9HuqPTiXQjPoj+9xrOneChCPi2pUE5YLSb2z XO97DbiDFG1vTyZbavibF3Ax5kdefzUkhfxMqvMufJpAHASoSuWww7Snus8aL9z25bO3eqlSMY5D WyIkAA4s4XjfiJwb9I/uLg0DbDh8gQoI7agnz4xvqVRBthPPVk3IywjkACHFbEVvdf+W/I0SaEZv 3Xwl1GVuBkQTLtIuQ42zlTdzUeZ0rWycYfa7otfhMwWY+B1YiRKMhB+4UAlvG7zQuVnSu45Z8bSa F2pLgQvUWUEuzBv4vPVCWNJw1SRxC/sw+r1shSVmdJI3HNzbYJo0nMjplxepVIyHV7lwEchUf1FR 1TKNI2QEAp9kWxKWjwVI8+Mv5c45CDFc2RFMdanI9jmd9hltszMnjHjhij1CFsqyM/GmcWRr5wpr pgVggxjK9s+jRmmj4g/F5KZEvCuYpLE8hK5bbj5WmqWKlmlAYM6iA3MsoLYVNSMi63HePC91rsy2 Qt607iQunsrLF9ZxabpG4m5P5BrcJ0ADWwGgZYApQwHF/NZCFB70CHjoyUJUTAVRdo/pqooI0u2F 2R+qN7K+ByhSZ0qLujwTieMvi43h32N1wZP/Oh9eisvN0CySu9yLSdHJR83NvYYT4g5IfxKI5qYh 7EbXf+iIle7miAnWfVsZZ14bs6R5YMqWV8UzlxHmzK6/S7oB3g== `protect end_protected
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/shft_wrapper.vhd
9
13719
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DEnnGh9mJjXcPYHJwkIH/JZJD/qAuSlfHtLTbMeEF0EVl9w5R8AdpEIUCb66tbu53fg6SVu8bsEm 6G/4Dg4C5w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VtOo9e3gaS3SM0u7x24jKIgPj6FEZMKTbkhSD4hu+OpwEaJxFI4oULNqUu/oThD4PsKcYfuJEVy3 IB0UvAz1Aq7l1qNolLk5IxXo2zzVBlRzgfeg93KKb+BWO7erm2ymii8S76e1zvFWlbElqdthLaYy TlHyGnfCJym0GHEgxbY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block me2v5gKPtNf52JtFi9Wsx/QhN/L9aDakAiVBZr9lfK3pz7ajWb1O9mxn8evf2rPch3nNAkkxb6cb fUqX0RddJJpWdqRidRlW6eJMR6NxA383Z4cPhNDnKnMCmKOD7uTa7g5aScGkeD+oy97PGatXvtc2 1vhG0qcNed2m4/ho/stBnAPLADbD7JKCfaiAdqTp0XOj3eWAzRUWnarX2HO1gOooCEUxqunWViC/ /Smcr70JvfDK8pF7GgIj9Vt/ITp1dac1kLmSqDNXQY7NI0xkZCyc2VhJEqPsgIZPY/PYjtJh/diR vVrygP9CfYpUMrXslyZahfSYx+azwYUuw0MPRg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block loSvnMhFpGsdZAkZT8xztTweRmf0qFQ2E/XHxookY44FdRm3l0ltynnX2WS/4JTfrArtbxRFql8b uaX4ArdRr5mI30EAViLWgEk4K/A+XRHxUd/jZ7VqUF8zHyqyhXQN7QVcJi+zqm4wNcO/DspchPzx A9f47WyrLLf4o59SuLg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TlhU5o557lTeACOBcI6QsboDAPbaXMQ9OHhwTfOW3wNyMgmpuFyvUuHM3wU556O10QchzhsWXWGW 23o+mKC2d804qjKhlfSc26/Iz3kgWVKKk1+e8kfMS219+El6g0mdLxShRQqX5PIPBLFZ+ffFHQtU YsNMA8++8DbpLci51xJ50gDxA4ShnczHQTpO1QjkctUuTvNEtnqAvHzRQGwGJF8CmerWdnD/V4uf ksceiijjJ3ZiHl1u77sL7p+GL6vj50CDisTHO+Xe7KqadUvBav0UYSsGF3pRvp+LSM80lb991CBJ kfgA7xTaAMJDGCT/T9ELjVnCCpk1gmQun9tzRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416) `protect data_block a0I2eRXt6MN7k3b5YTCCxwMO8ZMTY+AvFTcQaVmZUIgKBuy+/jIPePlKmyTwm9lgAwImC6+LdPKS jd12nB38T89I3KhHa9FG8cC7u7raokpiYVGsgZWNm/Fh9o28TUR52QupTSok4FLkdPP0ZfjKmg+L uzRfBxG5CSM7W2480K090YDZv0sj5oEqMUo9XpjQTBrAA1nsN4WAly7PoBnfgRSwGkN2kB3cWsnA Lasqm/7nNwedkL3DGxZZiP9+ElbNjNawJW4p5H7vbm+2u4DL+WxocNn6ud0jGVz+Bo3BZT3DfQ27 nVcOEBkrYHA1s2fYT2fygznsdtpn2jcrARCoHVj6/3aX5B1LzABoQLxV/9I47R4CV2raHlvq5ZZB W4F1iWCp0BQy2FqtQCiSd6Z/D/Pswi6yfMWAqEV5J3eYremfsMI2H6q/yegu0MraY8kmDKy8sHME hfpDvALcYjnM7/yi5OtVmivz7ZAfTtk1VjtkHPUnxG/0Z9/LJKMhtRgka1eNMCGyqwezUuCqJFYY hr3k3PJ7sgueuhQmKGfq0/9RYgAZsZg2AsrOAsvakhy3LE69u4vi9yf0TPw2KGsjflm3D+AHde/F hCz2mpzgm8yob20hZpLSIrBop5eTffbzzCWeBJViK3HdhbUcAmVf7Vs91MnACb5TKXxNMdqbDe7L IVVbksHmnnZ8MBarENkrc/5VADSnQdgqOYjN6opP/hztNtR/rYhkBwUeyM1OEh6Xqz+OmfNvxUfF 0QHbNix/JDxYMlDrcnrJtKyH5UTuRzWPyFE5tZxFeybo79jySk7Vk4V6DMWYnwifUEhGXGBhctto eavsWENFe+yvP1we79AgKwN0uCxrLpFExNru8Y6cDFdaaXnzHpYwswSlnQiv7CJyE1xyGMiqoLox 1VOTGSbXhrkThdAWOaGkSwTtaNGzXK/VIv/TaXFfucDHiMk9zEoQSHJSqQv3EKgGFzel3DNBB/qz zWPlAF2cUKwd5oofPjUSH2B5/a3I36vB9rbG0Y0inyY/Pc/bxktxr3R6A1VHUJCAdUJB9WQ8/pi+ As7neHxBAjasziFHWVz3y7IgHr+25ITdzI8zCWyhhakH+Cl6bp5KAQqIlFOIFC8yssYuNlC9gc62 wrB10vAot/Uesl+Bz0LTMNE5aKwBUyCmLDPLQeeebytJwsWq0fhThJDD7DbUDxb1b6rkT+1bXsHV TKHjLvqiJlA0Sn3ZjacdrNyD4Dm/BGJLTjvGn5rVcVjZL4K7Zyh5oBkj2Uimro1VnOQNOJs409OZ b8mGXDT8Gsh0VlxfCAnZqvT4QFT+63J813cpXvtb37cLc8fU1DFEj0qyMqeV5DvsCBdR7Wp+tibY H0LCOM4pPcNyK0L8HPRVXH56RZf6lx1A2v552hFML/aH84k6NTSJY8KNGpcb84ekLZVM0S5E71ir U+E8HiC8XPJCFkqVeY0mjXPX6KmiJv64ZvljCfcHOdXPCiVcu8xpYF/Cz7AuEsYqzQQYyCDRxalR OqV+qZMpZUT70mJXQr+jfcHrKmmEFpyHKcBEUWlqAUcwkcOFB1B5mc/BdKOx2XBtzNSy5XGeOz31 4SqKY8oBJZRl7jq59IRl+x3qSDbu9n8u+OLnc30tK4a7r+zOKyWazk/ltzs76jQBghBiiCsx8Gar Eo9mPqo7sLuL7BestOVR5N1oilx+ejAoSY5PY4/x7nofVpzJcEYZaGudokb/lVjFFRheP1DISSAR IwCXIeXjlAxaZPYgNBtkXpnYOKLMtPNR0+eSINZY05cgQI7kxdTX6rItLlz39Sbvct9eUrd1dhOv AmZds9mn4z5zwX/fsDjhSEye2bdV4xnx8/sRaUnebcMkzREbT1QIwDpbqHyJpqHdW8M8hgpoYFU5 IGeLbodJxy2CEfnb+2h1gcL0/Ty91/7e9NTqrIgH+kBjtdlYVK3qFb7ovbLjxk0RgoMIFIvUhGY7 KMnrWXwzNeeQDwH+swlVTGA1ajm0yhh8I+2QBq/e8uggS/TwHBtGNwENq2bOhcncdw+j/2HwZ6z1 40tZFVtmggB67fZBgIQd1/kWnetaQRd15jVC4nADyh1z6zELM/n4zd9T42TYd0f7WB/9VegKhKOr yvz7R1Fa3U+xFC9yNPp+HPtPkiZlfAaky0RFADJNOXHjcLCOypYf3sCxBnTrIpbzVw0tniZDx6sl Cl8fX/nGik05TyZxRyy4Q1AwiFyX//ZrpdZkuHf4Dswj/eVi2TzgYdXQgOukrJZ4d2noz9qYV9Gh dm/IEPbvuCI5YBcLr/6rD7VoA34I6Zfgoe4p051GKwjn5QrqEc3aWpN8R2FvPV+zgHAXislRS1iM hncXhUiEicGbg+FPxCmx7tykIxCda2+Z6qaYMXIC+bjp3vw7fZ8XH/pzvZ/mjgLQWkcuQu9daxtJ E4qUiU++nCEMNEHCBJhJm4ZkRFbCu0fUJJqj3CAOxN7ICgr4NE1BWmvn3CLkIXJV5HJyuLX0z6Ni Pb3cN7Awcks4X6ZrGDgS4rAuHRYNocZBHe0Y3giFOAvNdvsxHK9Xjse6UnpMHqEouc8P1ypOpiof jEUL5Q1RNcqh/HMXExbFHMS6+wBOZUu7A5W0q9AYHw5ezEhNlI2AmsVOiwiGTm1f89JUON7Kzanh jqg+Vo+RAzloCB1o/S8DJHFgOGayJosEFyl5qoi/hoPA0YdISYSoUHfX8ZUXCWXCv4y+1ya10k87 u4NZzJQx0ttO6Uz5lxirn37l6tXyaHCE9w41kFr8k5O8StOhRmw/12/5t+xURXdgnHOJb2xJymoH 95Ki7aDIJnXF/XpD8Z55DW4xMc5ZADK/4gCOvpgpc0CY64AltO4JqwkXmOC/UdyAUJUCDwwUr/Gi f+5LwmlTSyd+ti8+fyFEdQ8xm1dqbNwSYlnmKtezEEdLdvmQxjDnq/3Ehje6i1UzGfuo1eQIrxhf YUPHXGERZe0HviL9XvMwcJvQlrgJcrd4oRbYnYdZ9pvaHBdAy2/7tIPQU7/auYk3DZueGIWHEZeL sqz1VdH8xfFERKpIHuIvn6pzcGUC8DOvc6w5j9AOBVMZXDnibRuV+ML3RafQRlmw4JGGn9BFadKW gf4XOvIEUpNZeh/Qm2hzTlEjXrCFu3osvoxLcKaoWueF0RU1MavxQOgtRcOA4tSU99nRJ9sPtTNx Gu/j9uCNwbD4BUtT2ZRnrKfnvfBshb/cscLr9/v0ntKiy3nCgVMVu568HJplMchKIH9FaTOBglKi vOztyL6uP1nGnVN7EdVpI9BF9SJPneHwA8rT9KgkgTlKFsdvtOq0b9LJPwFd8cUI1193hkMo+3gA Z66Uz7hrYiJSQxBd8TV+EgZajsPxqovkh8KSrBIO7NyQ5owugL6qG93v1REu/DFX2776OTzB/7H9 Pm+4iF9hhVeD0uh81Fc0RdzqLoPNqqw6EwzfH3qtPjNemGKrXJkBb2oXEnDHIdc2+jtR5fV4YEmj P6OBkRY1imEbEnL94Bho0LIXTswVzpAw2TUguLaCVr64donjLdiGBUtADrpA1ApCgSaQP9FgI/RT PSwr4hxDZ7tuASiXOmvQgAPLtcQnpvWiAjFpEm/GU/uVxGNldKfqc6lodweuX6kUYWyE/vq4S1EX QmZ+N50A4ekRCgbDYOlhSOdp9mbcVPuubTxUSAbaNLQytGJplPllg2adAPPpXU2kGBisWGW4LgHl 4YauhRVbUeXxhVjF/dRZOpc3Qp3bbU9ejam1KkotNFJxN6Cov7TqSwtyOofvgirj2BjtKtfeXrGp BT/d/fLr+7awZLYW9+mkNXuJTfpDrOyzDQbyGeUuHJRgHM//epheuwZydP376IdXhRr+pqMHhZoS L51oDKfsfpeR8hiIVQWglFpybOVSXITW7nSTabcAnHStlKyyM7ERqA6TTr8WF0fcrcMmQ43GwOEA RnqRyUinTgBUPq+RcUcfNP6KdxsmdaR4Ek3NF9vfeRjL+haeuTF9WupMCvTJKT+S3L6jvMCn9p/I gdq4UVHfonbDHnezLr0wsn5yYU8wMByfVRDnzhfdGEEgBJUyYrlBUmRlfBFFrUVERDwCZpu5YKc4 rFDnHN9Uazl8V89zwQck91UmsLy1ifjQWfITvBQJWdDE90oDz0waeuYiWWFFvnOp+SEtQErrjsU/ zyD10z9I2xbN8j3T2gCfFPPATqeT+kkYeBcRICFDv8xcLqzgnxBDyU2a/ULOHz5G5rXiFXXRiZrm hLqU2D+hH/OzJAjiuJSSQJv4mD6b6OugET628jdbdFoNJIxh4Fmn0IvjsrPNVMODBOLMNWZLnUlM basojKuxNnMaExsK3JkoocysKg5M//hEcU3qcj/9NX0LNRry0+1ZyVizL56q00FYylGOwgpeq20y X4qfhfBFEtqHEpafWXOYV+xy0Gm9Sh5wxiUUlx0AMQkvs+YX8XIyDJB3vlcbXx4K7oHo3f4ksSe0 k9SdH0AQ3ZNYWI8IIujApgC+Sk78IbJeRtVQdyRsBi1bo1/TtUXiPqeyZlL7iOK2bcAWI4xLJVy1 ndvkCS5U7QB2S7Z9wcs182+vpZ6aN34QZdkxk/CcE1aEUYTYbQqk0jSWP4nGHmYZjB//wiC/Spl5 /88VcISj4GsyKAOYflkhkMOLsc6Q36Q9EoamNLDLhbdSYeqMkm4zjmba5VZDLH3YQWl71C54xHZ1 aekdV8ipSpN7Is6X5PB8GtsOxCz22/nT1xF+AB2V9lD9etyW2avyxX1LARvunUtgHXQ26VRiUVPI sL6U0g7LmTF+lrfgkY4YOa799zA2sXO5SfytG+RPQSvSJsal9P5+wjd+M9cx2YVwmeJkxBZh1Rgn uf695TBiL6Nu/7FDgoSDpYO9C8fZ+6hL2ztIUTUweu9QqIBHgzKlPcWkCpm2lIOZ/ndw79b7Hkz5 HggbXXIdfRyV7Z1C1M4hF9jGL4I9YWSd5J4TuVIy5fnN53VsJIDFd6s3plrBbBQ3vfHx6+ZF850L HdJBjVLr5ISrVa9kj8oHxVbkHKlz7LjLHCjVX8raWUVk+0uz4YohKUulU+X/hcH3C6fA8lrlGPGf TvTQZPw9zVKJ3t0hPfxXYkQVLi7Xy/6G0BULqygC4UxZgkCH3hGNgvHlTlHu6jtVRrmreRhew/6R /XaV2ZMxprnHzxLiEZt8yZUVDAQanBQomFuGYPBNnGIAozdeRBd/aM7JujBugWmOfKYRn+BLipdz Axh+8pttQ7RZrVVRz9zY00dQ67KD6WXyxfdycl5Ci+fESsvk0I3PeMdOlQx2GDwZr+5oq+MPv1CJ ysHKZeoWt0LlGZf8/HM4NmZmnIRkdzJczYJvmzLVwc5moMpPioF5zxJD4+N6OSXe+jiJtEc5/gLc Oif0yjPWz0QhHp5yf/CBAhym9ETpBFuDrk+4Rk/fDAS7cIdBemBFZb3zL882Mx08VrXTdhTYiCsS /5FdQDgTDKKuEfFjZqfKIaC7bg50uU3sFgonFOGbbw2ofCiRvUE5xYTSZQQrriA49Kxn+m+0VI8t 4S/jwCa14Tzp0Qsmf7y8cLbeApC7JW8wnwHulbbPjOhU91CDV25NSwwtHOHS1YVwBDUOCkHZu/C3 Bmv+IFK53Z4GlYQzwEFFdaQu6CxYYvwFuds3tg2lCeHhLTTSpbzmwHATYXOT1sn2liQex8bTX/gk 8MiaaALLR0yMlCMfSpLWCt5e1k0Ztykuiz92EwWxm3+PS5NkqYD7Jl2TqC/9g5sMCSriiO6x98HA ia0lCp0pOmckC8h+rBmAcbMboc0CFHVp+fzUdSzrz2AXNuyrjjhLO8jMXuIVL/z8DC7ho7YQCmXc iV4BgS3mb3/OC7c1I7wHMUvy+GYMQxZcvEYBeuwhzJLQCkY0WN8EgzR9i6O9ps9ZkGoj/Betm/Tv SCUoTZJTQFAShRWamSDtvXsnwOghXvi+khQGDfZlwLdvTWMSspGMGxVOqGWEHJUuxfqgvGnRiTQS FmQBHh4dbwY1gGK360ZmLrZqluFvIluKJoaWIYK28W5WI6RpL80SNxsPQoKZVo9Xwy2yGG02bu38 ncdEQK+LtthHqyiZabu10yVd9o+stqvIoV8sxMyWN44TOkt2oXVUXkKN2w/iyK51cXO/yoePPxOW ou5NfQRKEUghiKejIpj0ZUbrcOxpYa31706im2ZZkSjnEGLj65DStFMHwqV/wreDbJgf769RFGE9 DeslbxVZFumvQAmlvdfFE9/GkQP0Ok06ikRMcMdNGzQ+76c5pBtGPheuv/0uM4OkSmoLordUI45a bhcuNV920Km0IuVx5VgcnS6KANEWzxQcZvgRO5Y+32f1ivuDkenmGljMVopF/xkHd5TxPSnzRhsR 5Kr4ItWz33j+7BM9gp/sdnaXWs8/gbW4FwB2HyBNrndOhc6KPwcG+PsHqhKJfQxXSJm93faBDpPw GuNpHntWb7Oogvgr+dXSL5Vgu6ZC9phhdaiIJtKDkSp1Hu95PzjIThjS6GqTJcVP4JFi65CiShou dstcFNbZy2LtGc20w8x6uyiXDUbjcYqIzVsReO0uKAy0zCOVUYh0M28ghTlD7TMrxyu3cHVmKTSX seG3/Q3o6dRBr/m+KlHu9tEmPn41aVVfPcxfXcx8zalPnqHVqHYsmY6XgAd7kwbb8miT8cxX4FO6 uoFdfmjlxqffOwAxaweAbh0cUdWZ4nEXPOELgKXiHg6ItO97QGWQGhTTEyqh736Le4GJiPu8TA4e w4joW2ZMhzDaa8bEO7LQEnQvdHkiDeiSt0p5fiy3gYKwoTMC4S8Zm6HKMX8l/mQ+pb+/tIC4eGBV CGlCKTAtUmBxssTK2VcZ5ywp0ky496YmZbLmEHemQ6FyK9i9+PC+xMdsBLzG/tzvwTMMqrNdEqGR O2+vCQonCGaqfEhMSW+aVUb0a7ZN7AqPtIcGz53FkLR18+KherzjAfrtGAI92W8iMmRN0r/01jxz g2Z0mjcJcE+ypsuHKQDY2Beq/5EN6YqhnwbxvXAzu6zCv4y39WHof1X7mefLS4LgUWp1W8Gr0xu3 4vZgo/j9038gi1fQu8shJSR9N3PMPTBAK5h0TEIEE3w+TJr5ZmSxKnJoBIEi+cd9lMCj/7jBsmlY 7AAFHbrEEZC65+qopa+kncRJic0f+59Ok7yH5aw9+Nx9u/BI6Aw8eFckZ4cxJPE++vJoz047tZJH f/pjXeysM9Kn3GoN0AlCRmgyvXC3B4vd16DvZCCN26kudKMhqjuF1Zv0ngSZAeb72vDLImKhiiFP c5ZQgkcWDRUhP4oZxYDRNgbQFacqGHbXZ8BUn4AxmP5M7KWzbmvMsWqb4fSecVEQmzr1Sft+KNio lcbbKF9aI1PJEM7zf4wNQV11MWHDo5so+CbCGPGTCrM2jo6HE3JmaCaMz4kJfwKuu1X6RA6iydLv HeZlISsvHZZoMPnLeUd0bj+XfFa1a6leh8tR3CIoK4MQM0zZA6jF/213f6XxXHH15K+3oSvs2lDM S7hxl2TNepx7285aKfVmO8Fleymynfd8QAw9bJd6ISMcY5rvWMtbNUFK0AlJm9DbEpaCoWb7jUlq J4Va9qjL0Fl2r92sHq3dV4SikTMGl9WSO634AKUcL9DEJIk7RcR7BG67mbAgFhq2ZihGpyzXHmwc AQCG0kjkYpCew115wYGnE0XWG/eNXgQP9wNw/dDmc5tepyf+1baxNRtLiJWS17lnQEwJYBG1zjSR 9uex0Sh5qX4ZxY+S+GC/Enza/Sp811Y7FInKXpDuvKjZo+wYIt7712WKnMdsHCwQbzY8Zp6yObnR 0Dp2kDUWeqxf00m3MUFEerN2EHvsbyzv7YavQacN6pq/9X6S33RF0HQRh+zcsTjrk+xnhD2873Wa YCF720kTxW9t9JkPf34w+F+/TxahTStWoWnSCMTIy1nsRDGRqzrdt6Stl7nJhy2fkFLXiZANLXQn NNxzzfDzD9nJ69h1NzztSuuoN315IEayI0/47+R/35kalY05oegoRDQxM2CbO+Ijlg7xatMvWi8a ZVeO9NxEJ1Dvf4NOAkstltIAq058s/wdoTIXsZovPcoZJR94aPgzoXD+WksqxGR/SfR18SRev7vh gm0xEdJyxSNeCPYXgtExaAKkCyjmw1UWo+fTpnOp7+CLQtbEvPjfZLaNtIin6pLBcnetQvCNlwOW 1kQniLLUKgR+7LR4y9OhnaXKRGhEcll0fNA45G3pJdLmnIlk6GEfP5b1GR5mfvuYl5JhxDkunIx6 rJA7pcwBoNmIZVkPwTkq2CULs49DsmdH5cUCpiO7QzENuFJmPeMzxChTN4Wso4zQf4qvalRG04TI P5OeJ961xROCTqH1dyW0MTrDt/hTdjCnYh6WcIxe/4bpcNfIDICmEg4i6tg/HjPpi/TOLZLdG76K QnsJw1U4nFOecPeKCjxU5Hxe7HZisRRMHNiRdLHsRM1K/aNHgOL9bORyZZ/N8uzIg0d801L7bHEi Zm3f5Fv1iDTXBLjhmfdzetmgoQrEOr8X4yQTMgK4vF1U/MAQNre8MfDDVzHUTMurXdkI30U6VqgQ xgQwa7rT8Fijw3qIEgoPUl3HFx7H1b5iLk4uweEj6W70ySDr/V17V2Da9KLfpYZm9NeA1Z4u50e0 d55pog4FNhBMQ0IqGpBd2NvPso+CF0GKd/LkZoln8IHxFmfgqJtuM2Pq9gj7NzCMhUnS/RVnjaOm ASuwOuuUag7/DH9gxIwIcyqPN5KLjoIGWA6Kv9nv++GjxCJJewyrOy1AQUmD7VcmJtNomQl0+/dz JoNMM5HyZh2YzVVrPUPAzKGpj0smMlOF1EAKH4cgANm6cl6d6pMUVu13hGGlrpv2YekT+AdoJtiv D6tZoTAfxprp58qffpEBAY/LksIMdaCZbvrXzHaSVWuGXbMPJ1q4Xy2J3vypyUEY3JqG8jxf3rZc NixvtoDI7lfTh5bA92bzvrrgrTZpqMG5BeUBSPdY0ijTYask3coaHi5JUyWYaBuyjoTSbAEWLdXX oaZMnyoH+Uakfiopk4bY0oDIHDgIqGSiNzZex+KP/StP6Fsnwh1PiPpK4Ykz2nG21If76G7wujIh 3DEP0D1k+GvF0YFq4o52l9PVevZS0L9MfvmsvGtNqpMv30dEMEACDvFbuOKJyYkaZEKweznZog0E a0ZNAmab9X//GLIcK+TbLyI3/bDwIoOdXSdXk8kweYrWm1GvXou5roZZWUpKFQzlOj6xsWXoMphn xaLHkYHG+H32IK0XpUiwz7fuJnAsDz2Hei4XC7GKd6SBXKsY62XyaCr79WkMs+O+JyrhW+6QayQk 6TiwFpXf1p5ukTch9x3/0BdFVTY3/gy3DBHjKUwlQEKSPwIONzbfDaBxHcIhVdKg5DWpXEbBvxX/ Nouen2Fhs5bj4M+z2Zis3/zkP/mZJxMjSRwcDNgOkb9vddlK4emWRBFfA8VmCyiZ6ZyuJMujFMcj zJhXxLRuB1p7mkcCOGfZoHDR1zqiA33waiihG3H/anfz4nchaQUDe6Xcm3y5Cmavku1FoMSLXyOu pkNNzEH4c4iZGfaWVNOTxcLu0AlXBEFfScADJ+2qxKyvEu75ODNUquJLv9/WSb+ilfSZXVPix1oV MBe2GmNuUUo/ls555ouo+aEkl4qvJWp8twHLKtQzr/me5gzBn9mmCDY/aDzkNeWkPAjK2j0hkxd4 TmIBmnToM6H337+fVgHVEGPuF8aAQX9fyQ7ctBi2baqCciNGNHfzvRud0yqHcgiW17VS1Hfp98TW xsA+UaYShc9efDKu/75EArHb3usp67o3mB5swYjEJDJ/CqjrKTWvCFBtnDXlykNegQa2OK3/WLMB 4g3a3YZ23EBQgMHj3uhApJikQrdBO1hsLIIkUTG3hqpi8Z6ekTkFN55s3uiXEXemFzOGPjcKOh0q FXaCkDAbdfRIAOfOA65jBwPkVzT/FGkj3UPfAL7/0WRxZYYfEEQLuHPEMl4Kg5FVtDPmfBXGQr5s JkRn7/7Gp8CWJdbPCpRJhgrEZekqQrW6ckfWwRGgqaeHQy8/rlI1jybuAtsD0uLXso5XvmIwZ5t8 ZLVVvZJWgA3St1TqRzFybZoI7dDuVy6IjLSP3QoVgLvPA8mm/SBT/Z4kjNX0DfPOsalHXaIIlwOf ZFGDgGMBMoD5mahjZ9VbzAZfsaGjWPY3lJKOpGw9anfqv+KhAEDzq6X8vA18Z1FFrV4mzcM5OHRu suP5L1TwLi9SWUJJNdR0G/k3cIOqM/e+pKpnML9k6GNG1PyzMrdBuAvHOOv/GU1+xMATqYe6xDQF Qedd3C64ARN+esFgsQgSLcxJu8ZpM/nPjYoayJyGAtadRSS+yH+uQSX9SX5fnsV6hKhoNqMXx0cm oH+8gQeQ4ypPre4QT/9ydG3iboOT76qjGy7AwaCWOej9gZJkCWDMoMwvPKmpNd4HPZz+171+e8da +j8JbyKAXwwDOAWupN17VnnYZX2osx8ZZfyxl7Ea9HuqPTiXQjPoj+9xrOneChCPi2pUE5YLSb2z XO97DbiDFG1vTyZbavibF3Ax5kdefzUkhfxMqvMufJpAHASoSuWww7Snus8aL9z25bO3eqlSMY5D WyIkAA4s4XjfiJwb9I/uLg0DbDh8gQoI7agnz4xvqVRBthPPVk3IywjkACHFbEVvdf+W/I0SaEZv 3Xwl1GVuBkQTLtIuQ42zlTdzUeZ0rWycYfa7otfhMwWY+B1YiRKMhB+4UAlvG7zQuVnSu45Z8bSa F2pLgQvUWUEuzBv4vPVCWNJw1SRxC/sw+r1shSVmdJI3HNzbYJo0nMjplxepVIyHV7lwEchUf1FR 1TKNI2QEAp9kWxKWjwVI8+Mv5c45CDFc2RFMdanI9jmd9hltszMnjHjhij1CFsqyM/GmcWRr5wpr pgVggxjK9s+jRmmj4g/F5KZEvCuYpLE8hK5bbj5WmqWKlmlAYM6iA3MsoLYVNSMi63HePC91rsy2 Qt607iQunsrLF9ZxabpG4m5P5BrcJ0ADWwGgZYApQwHF/NZCFB70CHjoyUJUTAVRdo/pqooI0u2F 2R+qN7K+ByhSZ0qLujwTieMvi43h32N1wZP/Oh9eisvN0CySu9yLSdHJR83NvYYT4g5IfxKI5qYh 7EbXf+iIle7miAnWfVsZZ14bs6R5YMqWV8UzlxHmzK6/S7oB3g== `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/WORK/arithmetic.vhd
1
2572
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- package ARITHMETIC is -- integer definitions type t_int is range -2 ** 20 to 2 ** 20 ; subtype st_int is t_int range 2 ** 15 downto -2 ** 20 ; subtype intt is integer range -2 ** 20 to 2 ** 20 ; subtype intst is integer range 2 ** 15 downto -2 ** 20 ; constant c_int_1 : integer := 10 ; constant c_int_2 : integer := -7 ; constant c_t_int_1 : t_int := 500 ; constant c_intt_1 : intt := 500 ; constant c_t_int_2 : t_int := -3 ; constant c_intt_2 : intt := -3 ; constant c_st_int_1 : st_int := 5 ; constant c_intst_1 : intst := 5 ; constant c_st_int_2 : st_int := -400 ; constant c_intst_2 : intst := -400 ; constant ans_int1 : integer := 0 ; constant ans_int2 : t_int := 7 ; constant ans_int3 : st_int := -5 ; -- physical type definitions type t_phys is range -2 ** 20 to 2 ** 20 units ones ; tens = 10 ones ; hundreds = 10 tens ; five_hundreds = 50 tens ; end units ; subtype st_phys is t_phys range 2 ** 15 * ones downto -2 ** 20 * ones ; constant c_time_1 : time := 1 ns ; constant c_time_2 : time := 10 fs ; constant c_t_phys_1 : t_phys := 5 hundreds ; constant c_t_phys_2 : t_phys := (-3) * ones ; constant c_st_phys_1 : st_phys := 5 ones ; constant c_st_phys_2 : st_phys := (-4) * 10 ones ; constant ans_phys1 : time := 999990 fs ; constant ans_phys2 : t_phys := 7 ones ; constant ans_phys3 : t_phys := -5 * 1 ones ; -- real type t_real is range -2.0E20 to 2.0E20 ; subtype st_real is t_real range 2.0E15 downto -2.0E20 ; subtype realt is real range -2.0E20 to 2.0E20 ; subtype realst is real range 2.0E15 downto -2.0E20 ; constant c_real_1 : real := 10.5 ; constant c_real_2 : real := -7.3 ; constant c_t_real_1 : t_real := 500.0 ; constant c_realt_1 : realt := 500.0 ; constant c_t_real_2 : t_real := -3.5 ; constant c_realt_2 : realt := -3.5 ; constant c_st_real_1 : st_real := 5.9 ; constant c_realst_1 : realst := 5.9 ; constant c_st_real_2 : st_real := -400.1 ; constant c_realst_2 : realst := -400.1 ; constant ans_real1 : real := 0.0 ; constant ans_real2 : t_real := 9.0 ; constant ans_real3 : t_real := -6.8 ; constant acceptable_error : real := 0.001 ; constant t_acceptable_error : t_real := 0.001 ; end ARITHMETIC ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00427.vhd
1
5795
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00427 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.2.3 (5) -- 7.2.3 (6) -- 7.2.3 (9) -- 7.2.3 (10) -- 7.2.3 (11) -- -- DESIGN UNIT ORDERING: -- -- PKG00427 -- ENT00427(ARCH00427) -- ENT00427_Test_Bench(ARCH00427_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- package PKG00427 is type complex1 is record fl : integer ; f2 : integer ; end record ; type complex is array ( positive range <> ) of complex1 ; constant c_bit_vector_1 : bit_vector := B"0011" ; constant c_bit_1 : bit := '1' ; constant c_string_1 : string := "abc" ; constant c_character_1 : character := 'e' ; constant c_complex1_1 : complex1 := (others => 5) ; constant c_complex1_2 : complex1 := (others => 57) ; constant c_complex_1 : complex ( 1 to 2 ) := (others => c_complex1_2) ; constant c_complex_3 : complex ( 1 to 3 ) := ( 1 to 2 => c_complex1_2, others => c_complex1_1 ) ; constant c_complex_4 : complex ( 1 to 3 ) := ( 2 to 3 => c_complex1_2, others => c_complex1_1 ) ; subtype st_bit_vector is bit_vector ( 0 to 4 ) ; subtype st_string is string ( 1 to 4 ) ; subtype st_complex is complex ( 1 to 3 ) ; end PKG00427 ; use WORK.PKG00427.all ; entity ENT00427 is generic ( i_bit_vector_1 : bit_vector := B"0011" ; i_bit_1 : bit := '1' ; i_string_1 : string := "abc" ; i_character_1 : character := 'e' ; i_complex_1 : complex := c_complex_1 ; i_complex1_1 : complex1 := c_complex1_1 ) ; port ( locally_static_correct : out boolean ; globally_static_correct : out boolean ; dynamic_correct : out boolean ) ; end ENT00427 ; architecture ARCH00427 of ENT00427 is begin process variable bool : boolean := true ; variable cons_correct, gen_correct, dyn_correct : boolean := true ; -- variable v_bit_vector_1 : bit_vector ( 0 to 3 ):= c_bit_vector_1 ; variable v_bit_1 : bit := c_bit_1 ; variable v_string_1 : string ( 1 to 3 ) := c_string_1 ; variable v_character_1 : character := c_character_1 ; variable v_complex_1 : complex ( 1 to 2 ) := c_complex_1 ; variable v_complex1_1 : complex1 := c_complex1_1 ; constant c2_bit_vector_1 : bit_vector ( 1 to 5 ) := st_bit_vector' (i_bit_1 & B"110" & '0') ; constant c2_bit_vector_2 : bit_vector ( 1 to 5 ) := st_bit_vector' (i_bit_1 & i_bit_vector_1) ; constant c2_bit_vector_3 : bit_vector ( 1 to 5 ) := st_bit_vector' (i_bit_vector_1 & i_bit_1) ; constant c2_string_1 : string ( 1 to 4 ) := st_string' (i_character_1 & "efg") ; constant c2_string_2 : string ( 1 to 4 ) := st_string' (i_character_1 & i_string_1) ; constant c2_string_3 : string ( 1 to 4 ) := st_string' (i_string_1 & i_character_1) ; constant c2_complex_1 : complex ( 1 to 3 ) := st_complex' (i_complex_1 & i_complex1_1) ; constant c2_complex_2 : complex ( 1 to 3 ) := st_complex' (i_complex1_1 & i_complex_1) ; begin gen_correct := c2_bit_vector_1 = B"11100" and c2_bit_vector_2 = B"10011" and c2_bit_vector_3 = B"00111" and c2_string_1 = "eefg" and c2_string_2 = "eabc" and c2_string_3 = "abce" and c2_complex_1 = c_complex_3 and c2_complex_2 = c_complex_4 ; locally_static_correct <= cons_correct ; globally_static_correct <= gen_correct ; dyn_correct := st_bit_vector' (v_bit_1 & B"110" & '0') = B"11100" and st_bit_vector' (v_bit_1 & i_bit_vector_1) = B"10011" and st_bit_vector' (v_bit_vector_1 & v_bit_1) = B"00111" and st_string' (v_character_1 & "efg") = "eefg" and st_string' (v_character_1 & i_string_1) = "eabc" and st_string' (v_string_1 & v_character_1) = "abce" and st_complex' (v_complex1_1 & v_complex_1) = c_complex_4 and st_complex' (i_complex_1 & v_complex1_1) = c_complex_3 ; dynamic_correct <= dyn_correct ; wait ; end process ; end ARCH00427 ; use WORK.STANDARD_TYPES.all ; entity ENT00427_Test_Bench is end ENT00427_Test_Bench ; architecture ARCH00427_Test_Bench of ENT00427_Test_Bench is begin L1: block signal locally_static_correct, globally_static_correct, dynamic_correct : boolean := false ; component UUT port ( locally_static_correct : out boolean := false ; globally_static_correct : out boolean := false ; dynamic_correct : out boolean := false ) ; end component ; for CIS1 : UUT use entity WORK.ENT00427 ( ARCH00427 ) ; begin CIS1 : UUT port map ( locally_static_correct, globally_static_correct, dynamic_correct ) ; process ( locally_static_correct, globally_static_correct, dynamic_correct ) begin if locally_static_correct and globally_static_correct and dynamic_correct then test_report ( "ARCH00427" , "& correctly predefined for array and scalar operand" , true ) ; end if ; end process ; end block L1 ; end ARCH00427_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00210.vhd
1
3710
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00210 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.1 (5) -- -- DESIGN UNIT ORDERING: -- -- ENT00210(ARCH00210) -- ENT00210_Test_Bench(ARCH00210_Test_Bench) -- -- REVISION HISTORY: -- -- 10-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00210 is generic (G : integer) ; port ( s_st_rec3 : inout st_rec3 ) ; -- constant CG : integer := G+1; attribute attr : integer ; attribute attr of CG : constant is CG+1; -- end ENT00210 ; -- -- architecture ARCH00210 of ENT00210 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- procedure Proc1 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3.f1 <= transport c_st_rec3_2.f1 ; s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns ; wait until s_st_rec3.f2 = c_st_rec3_2.f2 ; Test_Report ( "ENT00210", "Wait statement longest static prefix check", ((savtime + 10 ns) = Std.Standard.Now) and (s_st_rec3.f2 = c_st_rec3_2.f2 )) ; -- when 1 => s_st_rec3.f1 <= transport c_st_rec3_1.f1 ; s_st_rec3.f3 <= transport c_st_rec3_2.f3 after 10 ns ; wait until s_st_rec3.f3 = c_st_rec3_2.f3 ; Test_Report ( "ENT00210", "Wait statement longest static prefix check", ((savtime + 10 ns) = Std.Standard.Now) and (s_st_rec3.f3 = c_st_rec3_2.f3 )) ; -- when others => wait ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin P1 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time := 0 ns ; begin Proc1 ( s_st_rec3 , counter , correct , savtime , chk_st_rec3 ) ; end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Wait longest static prefix test completed", chk_st_rec3 = 1 ) ; end if ; end process PGEN_CHKP_1 ; -- -- end ARCH00210 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00210_Test_Bench is end ENT00210_Test_Bench ; -- -- architecture ARCH00210_Test_Bench of ENT00210_Test_Bench is begin L1: block signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- component UUT generic (G : integer) ; port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00210 ( ARCH00210 ) ; begin CIS1 : UUT generic map (lowb+2) port map ( s_st_rec3 ) ; end block L1 ; end ARCH00210_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00648.vhd
1
2846
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00648 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 4.3.3 (7) -- 4.3.3 (8) -- -- DESIGN UNIT ORDERING: -- -- ENT00648(ARCH00648) -- ENT00648_Test_Bench(ARCH00648_Test_Bench) -- -- REVISION HISTORY: -- -- 25-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; entity ENT00648 is port ( signal G1 : integer ; signal G2, G3, G4 : in integer := 3 ) ; end ENT00648 ; -- architecture ARCH00648 of ENT00648 is procedure Proc ( signal P1 : integer ; signal P2, P3, P4 : in integer := 3) is begin test_report ( "ARCH00648" , "The defualt expression is optional in a "& "signal declaration for a formal parameter of "& "a procedure" , (P1 = 1) and (P2 = 2) and (P3 = 3) and (P4 = 4) ) ; end Proc ; begin process begin test_report ( "ARCH00648" , "The defualt expression is optional in a "& "signal declaration for a formal port of "& "an entity" , (G1 = 1) and (G2 = 2) and (G3 = 3) and (G4 = 4) ) ; Proc (P1 => G1, P2 => G2, P4 => G4) ; wait ; end process ; L1 : block port ( signal BG1 : integer ; signal BG2, BG3, BG4 : in integer := 3 ) ; port map ( G1, G2, BG4 => G4 ) ; begin process begin test_report ( "ARCH00648" , "The defualt expression is optional in a "& "signal declaration for a formal port of "& "a block" , (BG1 = 1) and (BG2 = 2) and (BG3 = 3) and (BG4 = 4) ) ; wait ; end process ; end block L1 ; end ARCH00648 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00648_Test_Bench is end ENT00648_Test_Bench ; architecture ARCH00648_Test_Bench of ENT00648_Test_Bench is begin L1: block component UUT port ( signal CG1 : integer ; signal CG2, CG4 : in integer ) ; end component ; for CIS1 : UUT use entity WORK.ENT00648 ( ARCH00648 ) port map ( G1 => CG1, G2 => CG2, G4 => CG4 ); signal S1 : integer := 1 ; signal S2 : integer := 2 ; signal S4 : integer := 4 ; begin CIS1 : UUT port map ( S1, S2, S4 ); end block L1 ; end ARCH00648_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00370.vhd
1
5608
-- NEED RESULT: ARCH00370.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00370: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00370: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00370 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (2) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00370(ARCH00370) -- ENT00370_Test_Bench(ARCH00370_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00370 is port ( s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00370 ; -- -- architecture ARCH00370 of ENT00370 is subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal st_rec3_select : select_type := 1 ; -- begin CHG1 : process ( s_st_rec3 ) variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f3(lowb,true) <= transport -- c_st_rec3_2.f3(lowb,true) after 10 ns, -- c_st_rec3_1.f3(lowb,true) after 20 ns ; -- when 1 => correct := s_st_rec3.f3(lowb,true) = c_st_rec3_2.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00370.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f3(lowb,true) <= transport -- c_st_rec3_2.f3(lowb,true) after 10 ns , -- c_st_rec3_1.f3(lowb,true) after 20 ns , -- c_st_rec3_2.f3(lowb,true) after 30 ns , -- c_st_rec3_1.f3(lowb,true) after 40 ns ; -- when 3 => correct := s_st_rec3.f3(lowb,true) = c_st_rec3_2.f3(lowb,true) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f3(lowb,true) <= transport -- c_st_rec3_1.f3(lowb,true) after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f3(lowb,true) = c_st_rec3_1.f3(lowb,true) and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00370" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00370" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00370" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_rec3.f3(lowb,true) <= transport c_st_rec3_2.f3(lowb,true) after 10 ns, c_st_rec3_1.f3(lowb,true) after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2.f3(lowb,true) after 10 ns , c_st_rec3_1.f3(lowb,true) after 20 ns , c_st_rec3_2.f3(lowb,true) after 30 ns , c_st_rec3_1.f3(lowb,true) after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1.f3(lowb,true) after 5 ns ; -- end ARCH00370 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00370_Test_Bench is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00370_Test_Bench ; -- -- architecture ARCH00370_Test_Bench of ENT00370_Test_Bench is begin L1: block component UUT port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00370 ( ARCH00370 ) ; begin CIS1 : UUT port map ( s_st_rec3 ) ; end block L1 ; end ARCH00370_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00076.vhd
1
60724
-- NEED RESULT: ARCH00076.P1: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P2: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P3: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P4: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P5: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P6: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P7: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P8: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P9: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P10: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P11: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P12: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P13: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P14: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P15: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P16: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076.P17: Multi transport transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: One transport transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00076: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: P17: Transport transactions entirely completed passed -- NEED RESULT: P16: Transport transactions entirely completed passed -- NEED RESULT: P15: Transport transactions entirely completed passed -- NEED RESULT: P14: Transport transactions entirely completed passed -- NEED RESULT: P13: Transport transactions entirely completed passed -- NEED RESULT: P12: Transport transactions entirely completed passed -- NEED RESULT: P11: Transport transactions entirely completed passed -- NEED RESULT: P10: Transport transactions entirely completed passed -- NEED RESULT: P9: Transport transactions entirely completed passed -- NEED RESULT: P8: Transport transactions entirely completed passed -- NEED RESULT: P7: Transport transactions entirely completed passed -- NEED RESULT: P6: Transport transactions entirely completed passed -- NEED RESULT: P5: Transport transactions entirely completed passed -- NEED RESULT: P4: Transport transactions entirely completed passed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00076 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00076(ARCH00076) -- ENT00076_Test_Bench(ARCH00076_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00076 is port ( s_boolean : inout boolean ; s_bit : inout bit ; s_severity_level : inout severity_level ; s_character : inout character ; s_st_enum1 : inout st_enum1 ; s_integer : inout integer ; s_st_int1 : inout st_int1 ; s_time : inout time ; s_st_phys1 : inout st_phys1 ; s_real : inout real ; s_st_real1 : inout st_real1 ; s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ; s_st_arr1 : inout st_arr1 ; s_st_arr2 : inout st_arr2 ; s_st_arr3 : inout st_arr3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_boolean : chk_sig_type := -1 ; signal chk_bit : chk_sig_type := -1 ; signal chk_severity_level : chk_sig_type := -1 ; signal chk_character : chk_sig_type := -1 ; signal chk_st_enum1 : chk_sig_type := -1 ; signal chk_integer : chk_sig_type := -1 ; signal chk_st_int1 : chk_sig_type := -1 ; signal chk_time : chk_sig_type := -1 ; signal chk_st_phys1 : chk_sig_type := -1 ; signal chk_real : chk_sig_type := -1 ; signal chk_st_real1 : chk_sig_type := -1 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; signal chk_st_arr1 : chk_sig_type := -1 ; signal chk_st_arr2 : chk_sig_type := -1 ; signal chk_st_arr3 : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_boolean : inout boolean ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_boolean : out chk_sig_type ) is begin case counter is when 0 => s_boolean <= transport c_boolean_2 after 10 ns, c_boolean_1 after 20 ns ; -- when 1 => correct := s_boolean = c_boolean_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_boolean = c_boolean_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P1" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_boolean <= transport c_boolean_2 after 10 ns , c_boolean_1 after 20 ns , c_boolean_2 after 30 ns , c_boolean_1 after 40 ns ; -- when 3 => correct := s_boolean = c_boolean_2 and (savtime + 10 ns) = Std.Standard.Now ; s_boolean <= transport c_boolean_1 after 5 ns ; -- when 4 => correct := correct and s_boolean = c_boolean_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_boolean <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- procedure Proc2 ( signal s_bit : inout bit ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_bit : out chk_sig_type ) is begin case counter is when 0 => s_bit <= transport c_bit_2 after 10 ns, c_bit_1 after 20 ns ; -- when 1 => correct := s_bit = c_bit_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_bit = c_bit_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P2" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_bit <= transport c_bit_2 after 10 ns , c_bit_1 after 20 ns , c_bit_2 after 30 ns , c_bit_1 after 40 ns ; -- when 3 => correct := s_bit = c_bit_2 and (savtime + 10 ns) = Std.Standard.Now ; s_bit <= transport c_bit_1 after 5 ns ; -- when 4 => correct := correct and s_bit = c_bit_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_bit <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc2 ; -- procedure Proc3 ( signal s_severity_level : inout severity_level ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_severity_level : out chk_sig_type ) is begin case counter is when 0 => s_severity_level <= transport c_severity_level_2 after 10 ns, c_severity_level_1 after 20 ns ; -- when 1 => correct := s_severity_level = c_severity_level_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_severity_level = c_severity_level_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P3" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_severity_level <= transport c_severity_level_2 after 10 ns , c_severity_level_1 after 20 ns , c_severity_level_2 after 30 ns , c_severity_level_1 after 40 ns ; -- when 3 => correct := s_severity_level = c_severity_level_2 and (savtime + 10 ns) = Std.Standard.Now ; s_severity_level <= transport c_severity_level_1 after 5 ns ; -- when 4 => correct := correct and s_severity_level = c_severity_level_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_severity_level <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc3 ; -- procedure Proc4 ( signal s_character : inout character ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_character : out chk_sig_type ) is begin case counter is when 0 => s_character <= transport c_character_2 after 10 ns, c_character_1 after 20 ns ; -- when 1 => correct := s_character = c_character_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_character = c_character_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P4" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_character <= transport c_character_2 after 10 ns , c_character_1 after 20 ns , c_character_2 after 30 ns , c_character_1 after 40 ns ; -- when 3 => correct := s_character = c_character_2 and (savtime + 10 ns) = Std.Standard.Now ; s_character <= transport c_character_1 after 5 ns ; -- when 4 => correct := correct and s_character = c_character_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_character <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc4 ; -- procedure Proc5 ( signal s_st_enum1 : inout st_enum1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_enum1 : out chk_sig_type ) is begin case counter is when 0 => s_st_enum1 <= transport c_st_enum1_2 after 10 ns, c_st_enum1_1 after 20 ns ; -- when 1 => correct := s_st_enum1 = c_st_enum1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1 = c_st_enum1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P5" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_enum1 <= transport c_st_enum1_2 after 10 ns , c_st_enum1_1 after 20 ns , c_st_enum1_2 after 30 ns , c_st_enum1_1 after 40 ns ; -- when 3 => correct := s_st_enum1 = c_st_enum1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_enum1 <= transport c_st_enum1_1 after 5 ns ; -- when 4 => correct := correct and s_st_enum1 = c_st_enum1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_enum1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc5 ; -- procedure Proc6 ( signal s_integer : inout integer ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_integer : out chk_sig_type ) is begin case counter is when 0 => s_integer <= transport c_integer_2 after 10 ns, c_integer_1 after 20 ns ; -- when 1 => correct := s_integer = c_integer_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_integer = c_integer_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P6" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_integer <= transport c_integer_2 after 10 ns , c_integer_1 after 20 ns , c_integer_2 after 30 ns , c_integer_1 after 40 ns ; -- when 3 => correct := s_integer = c_integer_2 and (savtime + 10 ns) = Std.Standard.Now ; s_integer <= transport c_integer_1 after 5 ns ; -- when 4 => correct := correct and s_integer = c_integer_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_integer <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc6 ; -- procedure Proc7 ( signal s_st_int1 : inout st_int1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_int1 : out chk_sig_type ) is begin case counter is when 0 => s_st_int1 <= transport c_st_int1_2 after 10 ns, c_st_int1_1 after 20 ns ; -- when 1 => correct := s_st_int1 = c_st_int1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_int1 = c_st_int1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P7" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_int1 <= transport c_st_int1_2 after 10 ns , c_st_int1_1 after 20 ns , c_st_int1_2 after 30 ns , c_st_int1_1 after 40 ns ; -- when 3 => correct := s_st_int1 = c_st_int1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_int1 <= transport c_st_int1_1 after 5 ns ; -- when 4 => correct := correct and s_st_int1 = c_st_int1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_int1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc7 ; -- procedure Proc8 ( signal s_time : inout time ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_time : out chk_sig_type ) is begin case counter is when 0 => s_time <= transport c_time_2 after 10 ns, c_time_1 after 20 ns ; -- when 1 => correct := s_time = c_time_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_time = c_time_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P8" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_time <= transport c_time_2 after 10 ns , c_time_1 after 20 ns , c_time_2 after 30 ns , c_time_1 after 40 ns ; -- when 3 => correct := s_time = c_time_2 and (savtime + 10 ns) = Std.Standard.Now ; s_time <= transport c_time_1 after 5 ns ; -- when 4 => correct := correct and s_time = c_time_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_time <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc8 ; -- procedure Proc9 ( signal s_st_phys1 : inout st_phys1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_phys1 : out chk_sig_type ) is begin case counter is when 0 => s_st_phys1 <= transport c_st_phys1_2 after 10 ns, c_st_phys1_1 after 20 ns ; -- when 1 => correct := s_st_phys1 = c_st_phys1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_phys1 = c_st_phys1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P9" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_phys1 <= transport c_st_phys1_2 after 10 ns , c_st_phys1_1 after 20 ns , c_st_phys1_2 after 30 ns , c_st_phys1_1 after 40 ns ; -- when 3 => correct := s_st_phys1 = c_st_phys1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_phys1 <= transport c_st_phys1_1 after 5 ns ; -- when 4 => correct := correct and s_st_phys1 = c_st_phys1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_phys1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc9 ; -- procedure Proc10 ( signal s_real : inout real ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_real : out chk_sig_type ) is begin case counter is when 0 => s_real <= transport c_real_2 after 10 ns, c_real_1 after 20 ns ; -- when 1 => correct := s_real = c_real_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_real = c_real_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P10" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_real <= transport c_real_2 after 10 ns , c_real_1 after 20 ns , c_real_2 after 30 ns , c_real_1 after 40 ns ; -- when 3 => correct := s_real = c_real_2 and (savtime + 10 ns) = Std.Standard.Now ; s_real <= transport c_real_1 after 5 ns ; -- when 4 => correct := correct and s_real = c_real_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_real <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc10 ; -- procedure Proc11 ( signal s_st_real1 : inout st_real1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_real1 : out chk_sig_type ) is begin case counter is when 0 => s_st_real1 <= transport c_st_real1_2 after 10 ns, c_st_real1_1 after 20 ns ; -- when 1 => correct := s_st_real1 = c_st_real1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real1 = c_st_real1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P11" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_real1 <= transport c_st_real1_2 after 10 ns , c_st_real1_1 after 20 ns , c_st_real1_2 after 30 ns , c_st_real1_1 after 40 ns ; -- when 3 => correct := s_st_real1 = c_st_real1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_real1 <= transport c_st_real1_1 after 5 ns ; -- when 4 => correct := correct and s_st_real1 = c_st_real1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_real1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc11 ; -- procedure Proc12 ( signal s_st_rec1 : inout st_rec1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec1 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec1 <= transport c_st_rec1_2 after 10 ns, c_st_rec1_1 after 20 ns ; -- when 1 => correct := s_st_rec1 = c_st_rec1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1 = c_st_rec1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P12" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec1 <= transport c_st_rec1_2 after 10 ns , c_st_rec1_1 after 20 ns , c_st_rec1_2 after 30 ns , c_st_rec1_1 after 40 ns ; -- when 3 => correct := s_st_rec1 = c_st_rec1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1 <= transport c_st_rec1_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec1 = c_st_rec1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc12 ; -- procedure Proc13 ( signal s_st_rec2 : inout st_rec2 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec2 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec2 <= transport c_st_rec2_2 after 10 ns, c_st_rec2_1 after 20 ns ; -- when 1 => correct := s_st_rec2 = c_st_rec2_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2 = c_st_rec2_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P13" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec2 <= transport c_st_rec2_2 after 10 ns , c_st_rec2_1 after 20 ns , c_st_rec2_2 after 30 ns , c_st_rec2_1 after 40 ns ; -- when 3 => correct := s_st_rec2 = c_st_rec2_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2 <= transport c_st_rec2_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec2 = c_st_rec2_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc13 ; -- procedure Proc14 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3 <= transport c_st_rec3_2 after 10 ns, c_st_rec3_1 after 20 ns ; -- when 1 => correct := s_st_rec3 = c_st_rec3_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3 = c_st_rec3_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P14" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec3 <= transport c_st_rec3_2 after 10 ns , c_st_rec3_1 after 20 ns , c_st_rec3_2 after 30 ns , c_st_rec3_1 after 40 ns ; -- when 3 => correct := s_st_rec3 = c_st_rec3_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3 <= transport c_st_rec3_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec3 = c_st_rec3_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc14 ; -- procedure Proc15 ( signal s_st_arr1 : inout st_arr1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr1 : out chk_sig_type ) is begin case counter is when 0 => s_st_arr1 <= transport c_st_arr1_2 after 10 ns, c_st_arr1_1 after 20 ns ; -- when 1 => correct := s_st_arr1 = c_st_arr1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1 = c_st_arr1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P15" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr1 <= transport c_st_arr1_2 after 10 ns , c_st_arr1_1 after 20 ns , c_st_arr1_2 after 30 ns , c_st_arr1_1 after 40 ns ; -- when 3 => correct := s_st_arr1 = c_st_arr1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1 <= transport c_st_arr1_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr1 = c_st_arr1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc15 ; -- procedure Proc16 ( signal s_st_arr2 : inout st_arr2 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr2 : out chk_sig_type ) is begin case counter is when 0 => s_st_arr2 <= transport c_st_arr2_2 after 10 ns, c_st_arr2_1 after 20 ns ; -- when 1 => correct := s_st_arr2 = c_st_arr2_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2 = c_st_arr2_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P16" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr2 <= transport c_st_arr2_2 after 10 ns , c_st_arr2_1 after 20 ns , c_st_arr2_2 after 30 ns , c_st_arr2_1 after 40 ns ; -- when 3 => correct := s_st_arr2 = c_st_arr2_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2 <= transport c_st_arr2_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr2 = c_st_arr2_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc16 ; -- procedure Proc17 ( signal s_st_arr3 : inout st_arr3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr3 : out chk_sig_type ) is begin case counter is when 0 => s_st_arr3 <= transport c_st_arr3_2 after 10 ns, c_st_arr3_1 after 20 ns ; -- when 1 => correct := s_st_arr3 = c_st_arr3_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3 = c_st_arr3_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00076.P17" , "Multi transport transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr3 <= transport c_st_arr3_2 after 10 ns , c_st_arr3_1 after 20 ns , c_st_arr3_2 after 30 ns , c_st_arr3_1 after 40 ns ; -- when 3 => correct := s_st_arr3 = c_st_arr3_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3 <= transport c_st_arr3_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr3 = c_st_arr3_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00076" , "One transport transaction occurred on signal " & "asg with simple name on LHS", correct ) ; test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00076" , "Old transactions were removed on signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc17 ; -- -- end ENT00076 ; -- architecture ARCH00076 of ENT00076 is begin PGEN_CHKP_1 : process ( chk_boolean ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_boolean = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_boolean ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_boolean, counter, correct, savtime, chk_boolean ) ; end process P1 ; -- PGEN_CHKP_2 : process ( chk_bit ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_bit = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_bit ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc2 ( s_bit, counter, correct, savtime, chk_bit ) ; end process P2 ; -- PGEN_CHKP_3 : process ( chk_severity_level ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_severity_level = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_severity_level ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc3 ( s_severity_level, counter, correct, savtime, chk_severity_level ) ; end process P3 ; -- PGEN_CHKP_4 : process ( chk_character ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Transport transactions entirely completed", chk_character = 4 ) ; end if ; end process PGEN_CHKP_4 ; -- P4 : process ( s_character ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc4 ( s_character, counter, correct, savtime, chk_character ) ; end process P4 ; -- PGEN_CHKP_5 : process ( chk_st_enum1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Transport transactions entirely completed", chk_st_enum1 = 4 ) ; end if ; end process PGEN_CHKP_5 ; -- P5 : process ( s_st_enum1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc5 ( s_st_enum1, counter, correct, savtime, chk_st_enum1 ) ; end process P5 ; -- PGEN_CHKP_6 : process ( chk_integer ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Transport transactions entirely completed", chk_integer = 4 ) ; end if ; end process PGEN_CHKP_6 ; -- P6 : process ( s_integer ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc6 ( s_integer, counter, correct, savtime, chk_integer ) ; end process P6 ; -- PGEN_CHKP_7 : process ( chk_st_int1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Transport transactions entirely completed", chk_st_int1 = 4 ) ; end if ; end process PGEN_CHKP_7 ; -- P7 : process ( s_st_int1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc7 ( s_st_int1, counter, correct, savtime, chk_st_int1 ) ; end process P7 ; -- PGEN_CHKP_8 : process ( chk_time ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Transport transactions entirely completed", chk_time = 4 ) ; end if ; end process PGEN_CHKP_8 ; -- P8 : process ( s_time ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc8 ( s_time, counter, correct, savtime, chk_time ) ; end process P8 ; -- PGEN_CHKP_9 : process ( chk_st_phys1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Transport transactions entirely completed", chk_st_phys1 = 4 ) ; end if ; end process PGEN_CHKP_9 ; -- P9 : process ( s_st_phys1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc9 ( s_st_phys1, counter, correct, savtime, chk_st_phys1 ) ; end process P9 ; -- PGEN_CHKP_10 : process ( chk_real ) begin if Std.Standard.Now > 0 ns then test_report ( "P10" , "Transport transactions entirely completed", chk_real = 4 ) ; end if ; end process PGEN_CHKP_10 ; -- P10 : process ( s_real ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc10 ( s_real, counter, correct, savtime, chk_real ) ; end process P10 ; -- PGEN_CHKP_11 : process ( chk_st_real1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P11" , "Transport transactions entirely completed", chk_st_real1 = 4 ) ; end if ; end process PGEN_CHKP_11 ; -- P11 : process ( s_st_real1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc11 ( s_st_real1, counter, correct, savtime, chk_st_real1 ) ; end process P11 ; -- PGEN_CHKP_12 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P12" , "Transport transactions entirely completed", chk_st_rec1 = 4 ) ; end if ; end process PGEN_CHKP_12 ; -- P12 : process ( s_st_rec1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc12 ( s_st_rec1, counter, correct, savtime, chk_st_rec1 ) ; end process P12 ; -- PGEN_CHKP_13 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P13" , "Transport transactions entirely completed", chk_st_rec2 = 4 ) ; end if ; end process PGEN_CHKP_13 ; -- P13 : process ( s_st_rec2 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc13 ( s_st_rec2, counter, correct, savtime, chk_st_rec2 ) ; end process P13 ; -- PGEN_CHKP_14 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P14" , "Transport transactions entirely completed", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_14 ; -- P14 : process ( s_st_rec3 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc14 ( s_st_rec3, counter, correct, savtime, chk_st_rec3 ) ; end process P14 ; -- PGEN_CHKP_15 : process ( chk_st_arr1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P15" , "Transport transactions entirely completed", chk_st_arr1 = 4 ) ; end if ; end process PGEN_CHKP_15 ; -- P15 : process ( s_st_arr1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc15 ( s_st_arr1, counter, correct, savtime, chk_st_arr1 ) ; end process P15 ; -- PGEN_CHKP_16 : process ( chk_st_arr2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P16" , "Transport transactions entirely completed", chk_st_arr2 = 4 ) ; end if ; end process PGEN_CHKP_16 ; -- P16 : process ( s_st_arr2 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc16 ( s_st_arr2, counter, correct, savtime, chk_st_arr2 ) ; end process P16 ; -- PGEN_CHKP_17 : process ( chk_st_arr3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P17" , "Transport transactions entirely completed", chk_st_arr3 = 4 ) ; end if ; end process PGEN_CHKP_17 ; -- P17 : process ( s_st_arr3 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc17 ( s_st_arr3, counter, correct, savtime, chk_st_arr3 ) ; end process P17 ; -- -- end ARCH00076 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00076_Test_Bench is signal s_boolean : boolean := c_boolean_1 ; signal s_bit : bit := c_bit_1 ; signal s_severity_level : severity_level := c_severity_level_1 ; signal s_character : character := c_character_1 ; signal s_st_enum1 : st_enum1 := c_st_enum1_1 ; signal s_integer : integer := c_integer_1 ; signal s_st_int1 : st_int1 := c_st_int1_1 ; signal s_time : time := c_time_1 ; signal s_st_phys1 : st_phys1 := c_st_phys1_1 ; signal s_real : real := c_real_1 ; signal s_st_real1 : st_real1 := c_st_real1_1 ; signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; signal s_st_arr1 : st_arr1 := c_st_arr1_1 ; signal s_st_arr2 : st_arr2 := c_st_arr2_1 ; signal s_st_arr3 : st_arr3 := c_st_arr3_1 ; -- end ENT00076_Test_Bench ; -- architecture ARCH00076_Test_Bench of ENT00076_Test_Bench is begin L1: block component UUT port ( s_boolean : inout boolean ; s_bit : inout bit ; s_severity_level : inout severity_level ; s_character : inout character ; s_st_enum1 : inout st_enum1 ; s_integer : inout integer ; s_st_int1 : inout st_int1 ; s_time : inout time ; s_st_phys1 : inout st_phys1 ; s_real : inout real ; s_st_real1 : inout st_real1 ; s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ; s_st_arr1 : inout st_arr1 ; s_st_arr2 : inout st_arr2 ; s_st_arr3 : inout st_arr3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00076 ( ARCH00076 ) ; begin CIS1 : UUT port map ( s_boolean , s_bit , s_severity_level , s_character , s_st_enum1 , s_integer , s_st_int1 , s_time , s_st_phys1 , s_real , s_st_real1 , s_st_rec1 , s_st_rec2 , s_st_rec3 , s_st_arr1 , s_st_arr2 , s_st_arr3 ) ; end block L1 ; end ARCH00076_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00378.vhd
1
5400
-- NEED RESULT: ARCH00378.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00378: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00378: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00378 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (2) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00378(ARCH00378) -- ENT00378_Test_Bench(ARCH00378_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00378 is port ( s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00378 ; -- -- architecture ARCH00378 of ENT00378 is subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal st_rec3_select : select_type := 1 ; -- begin CHG1 : process ( s_st_rec3 ) variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f2.f2 <= transport -- c_st_rec3_2.f2.f2 after 10 ns, -- c_st_rec3_1.f2.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00378.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f2.f2 <= transport -- c_st_rec3_2.f2.f2 after 10 ns , -- c_st_rec3_1.f2.f2 after 20 ns , -- c_st_rec3_2.f2.f2 after 30 ns , -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f2.f2 <= transport -- c_st_rec3_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00378" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00378" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00378" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_rec3.f2.f2 <= transport c_st_rec3_2.f2.f2 after 10 ns, c_st_rec3_1.f2.f2 after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2.f2.f2 after 10 ns , c_st_rec3_1.f2.f2 after 20 ns , c_st_rec3_2.f2.f2 after 30 ns , c_st_rec3_1.f2.f2 after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1.f2.f2 after 5 ns ; -- end ARCH00378 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00378_Test_Bench is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00378_Test_Bench ; -- -- architecture ARCH00378_Test_Bench of ENT00378_Test_Bench is begin L1: block component UUT port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00378 ( ARCH00378 ) ; begin CIS1 : UUT port map ( s_st_rec3 ) ; end block L1 ; end ARCH00378_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00132.vhd
1
83180
-- NEED RESULT: ARCH00132.P1: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P2: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P3: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P4: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P5: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P6: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P7: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P8: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P9: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P10: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P11: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P12: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P13: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P14: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P15: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P16: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132.P17: Multi inertial transactions occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Old transactions were removed on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: One inertial transaction occurred on signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: ARCH00132: Inertial semantics check on a signal asg with simple name on LHS passed -- NEED RESULT: P17: Inertial transactions entirely completed passed -- NEED RESULT: P16: Inertial transactions entirely completed passed -- NEED RESULT: P15: Inertial transactions entirely completed passed -- NEED RESULT: P14: Inertial transactions entirely completed passed -- NEED RESULT: P13: Inertial transactions entirely completed passed -- NEED RESULT: P12: Inertial transactions entirely completed passed -- NEED RESULT: P11: Inertial transactions entirely completed passed -- NEED RESULT: P10: Inertial transactions entirely completed passed -- NEED RESULT: P9: Inertial transactions entirely completed passed -- NEED RESULT: P8: Inertial transactions entirely completed passed -- NEED RESULT: P7: Inertial transactions entirely completed passed -- NEED RESULT: P6: Inertial transactions entirely completed passed -- NEED RESULT: P5: Inertial transactions entirely completed passed -- NEED RESULT: P4: Inertial transactions entirely completed passed -- NEED RESULT: P3: Inertial transactions entirely completed passed -- NEED RESULT: P2: Inertial transactions entirely completed passed -- NEED RESULT: P1: Inertial transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00132 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00132) -- ENT00132_Test_Bench(ARCH00132_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00132 of E00000 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_boolean : chk_sig_type := -1 ; signal chk_bit : chk_sig_type := -1 ; signal chk_severity_level : chk_sig_type := -1 ; signal chk_character : chk_sig_type := -1 ; signal chk_st_enum1 : chk_sig_type := -1 ; signal chk_integer : chk_sig_type := -1 ; signal chk_st_int1 : chk_sig_type := -1 ; signal chk_time : chk_sig_type := -1 ; signal chk_st_phys1 : chk_sig_type := -1 ; signal chk_real : chk_sig_type := -1 ; signal chk_st_real1 : chk_sig_type := -1 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; signal chk_st_arr1 : chk_sig_type := -1 ; signal chk_st_arr2 : chk_sig_type := -1 ; signal chk_st_arr3 : chk_sig_type := -1 ; -- signal s_boolean : boolean := c_boolean_1 ; signal s_bit : bit := c_bit_1 ; signal s_severity_level : severity_level := c_severity_level_1 ; signal s_character : character := c_character_1 ; signal s_st_enum1 : st_enum1 := c_st_enum1_1 ; signal s_integer : integer := c_integer_1 ; signal s_st_int1 : st_int1 := c_st_int1_1 ; signal s_time : time := c_time_1 ; signal s_st_phys1 : st_phys1 := c_st_phys1_1 ; signal s_real : real := c_real_1 ; signal s_st_real1 : st_real1 := c_st_real1_1 ; signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; signal s_st_arr1 : st_arr1 := c_st_arr1_1 ; signal s_st_arr2 : st_arr2 := c_st_arr2_1 ; signal s_st_arr3 : st_arr3 := c_st_arr3_1 ; -- begin P1 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_boolean <= c_boolean_2 after 10 ns, c_boolean_1 after 20 ns ; -- when 1 => correct := s_boolean = c_boolean_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_boolean = c_boolean_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P1" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_boolean <= c_boolean_2 after 10 ns , c_boolean_1 after 20 ns , c_boolean_2 after 30 ns , c_boolean_1 after 40 ns ; -- when 3 => correct := s_boolean = c_boolean_2 and (savtime + 10 ns) = Std.Standard.Now ; s_boolean <= c_boolean_1 after 5 ns ; -- when 4 => correct := correct and s_boolean = c_boolean_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_boolean <= transport c_boolean_1 after 100 ns ; -- when 5 => correct := s_boolean = c_boolean_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_boolean <= c_boolean_2 after 10 ns , c_boolean_1 after 20 ns , c_boolean_2 after 30 ns , c_boolean_1 after 40 ns ; -- when 6 => correct := s_boolean = c_boolean_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_boolean <= -- Last transaction above is marked c_boolean_1 after 40 ns ; -- when 7 => correct := s_boolean = c_boolean_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_boolean = c_boolean_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_boolean <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_boolean'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_boolean ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_boolean = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- P2 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_bit <= c_bit_2 after 10 ns, c_bit_1 after 20 ns ; -- when 1 => correct := s_bit = c_bit_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_bit = c_bit_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P2" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_bit <= c_bit_2 after 10 ns , c_bit_1 after 20 ns , c_bit_2 after 30 ns , c_bit_1 after 40 ns ; -- when 3 => correct := s_bit = c_bit_2 and (savtime + 10 ns) = Std.Standard.Now ; s_bit <= c_bit_1 after 5 ns ; -- when 4 => correct := correct and s_bit = c_bit_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_bit <= transport c_bit_1 after 100 ns ; -- when 5 => correct := s_bit = c_bit_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_bit <= c_bit_2 after 10 ns , c_bit_1 after 20 ns , c_bit_2 after 30 ns , c_bit_1 after 40 ns ; -- when 6 => correct := s_bit = c_bit_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_bit <= -- Last transaction above is marked c_bit_1 after 40 ns ; -- when 7 => correct := s_bit = c_bit_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_bit = c_bit_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_bit <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_bit'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_bit ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_bit = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- P3 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_severity_level <= c_severity_level_2 after 10 ns, c_severity_level_1 after 20 ns ; -- when 1 => correct := s_severity_level = c_severity_level_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_severity_level = c_severity_level_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P3" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_severity_level <= c_severity_level_2 after 10 ns , c_severity_level_1 after 20 ns , c_severity_level_2 after 30 ns , c_severity_level_1 after 40 ns ; -- when 3 => correct := s_severity_level = c_severity_level_2 and (savtime + 10 ns) = Std.Standard.Now ; s_severity_level <= c_severity_level_1 after 5 ns ; -- when 4 => correct := correct and s_severity_level = c_severity_level_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_severity_level <= transport c_severity_level_1 after 100 ns ; -- when 5 => correct := s_severity_level = c_severity_level_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_severity_level <= c_severity_level_2 after 10 ns , c_severity_level_1 after 20 ns , c_severity_level_2 after 30 ns , c_severity_level_1 after 40 ns ; -- when 6 => correct := s_severity_level = c_severity_level_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_severity_level <= -- Last transaction above is marked c_severity_level_1 after 40 ns ; -- when 7 => correct := s_severity_level = c_severity_level_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_severity_level = c_severity_level_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_severity_level <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_severity_level'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_severity_level ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_severity_level = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- P4 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_character <= c_character_2 after 10 ns, c_character_1 after 20 ns ; -- when 1 => correct := s_character = c_character_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_character = c_character_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P4" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_character <= c_character_2 after 10 ns , c_character_1 after 20 ns , c_character_2 after 30 ns , c_character_1 after 40 ns ; -- when 3 => correct := s_character = c_character_2 and (savtime + 10 ns) = Std.Standard.Now ; s_character <= c_character_1 after 5 ns ; -- when 4 => correct := correct and s_character = c_character_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_character <= transport c_character_1 after 100 ns ; -- when 5 => correct := s_character = c_character_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_character <= c_character_2 after 10 ns , c_character_1 after 20 ns , c_character_2 after 30 ns , c_character_1 after 40 ns ; -- when 6 => correct := s_character = c_character_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_character <= -- Last transaction above is marked c_character_1 after 40 ns ; -- when 7 => correct := s_character = c_character_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_character = c_character_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_character <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_character'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P4 ; -- PGEN_CHKP_4 : process ( chk_character ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Inertial transactions entirely completed", chk_character = 8 ) ; end if ; end process PGEN_CHKP_4 ; -- P5 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_enum1 <= c_st_enum1_2 after 10 ns, c_st_enum1_1 after 20 ns ; -- when 1 => correct := s_st_enum1 = c_st_enum1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1 = c_st_enum1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P5" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_enum1 <= c_st_enum1_2 after 10 ns , c_st_enum1_1 after 20 ns , c_st_enum1_2 after 30 ns , c_st_enum1_1 after 40 ns ; -- when 3 => correct := s_st_enum1 = c_st_enum1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_enum1 <= c_st_enum1_1 after 5 ns ; -- when 4 => correct := correct and s_st_enum1 = c_st_enum1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_enum1 <= transport c_st_enum1_1 after 100 ns ; -- when 5 => correct := s_st_enum1 = c_st_enum1_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_enum1 <= c_st_enum1_2 after 10 ns , c_st_enum1_1 after 20 ns , c_st_enum1_2 after 30 ns , c_st_enum1_1 after 40 ns ; -- when 6 => correct := s_st_enum1 = c_st_enum1_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_enum1 <= -- Last transaction above is marked c_st_enum1_1 after 40 ns ; -- when 7 => correct := s_st_enum1 = c_st_enum1_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_enum1 = c_st_enum1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_enum1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_enum1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P5 ; -- PGEN_CHKP_5 : process ( chk_st_enum1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Inertial transactions entirely completed", chk_st_enum1 = 8 ) ; end if ; end process PGEN_CHKP_5 ; -- P6 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_integer <= c_integer_2 after 10 ns, c_integer_1 after 20 ns ; -- when 1 => correct := s_integer = c_integer_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_integer = c_integer_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P6" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_integer <= c_integer_2 after 10 ns , c_integer_1 after 20 ns , c_integer_2 after 30 ns , c_integer_1 after 40 ns ; -- when 3 => correct := s_integer = c_integer_2 and (savtime + 10 ns) = Std.Standard.Now ; s_integer <= c_integer_1 after 5 ns ; -- when 4 => correct := correct and s_integer = c_integer_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_integer <= transport c_integer_1 after 100 ns ; -- when 5 => correct := s_integer = c_integer_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_integer <= c_integer_2 after 10 ns , c_integer_1 after 20 ns , c_integer_2 after 30 ns , c_integer_1 after 40 ns ; -- when 6 => correct := s_integer = c_integer_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_integer <= -- Last transaction above is marked c_integer_1 after 40 ns ; -- when 7 => correct := s_integer = c_integer_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_integer = c_integer_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_integer <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_integer'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P6 ; -- PGEN_CHKP_6 : process ( chk_integer ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Inertial transactions entirely completed", chk_integer = 8 ) ; end if ; end process PGEN_CHKP_6 ; -- P7 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_int1 <= c_st_int1_2 after 10 ns, c_st_int1_1 after 20 ns ; -- when 1 => correct := s_st_int1 = c_st_int1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_int1 = c_st_int1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P7" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_int1 <= c_st_int1_2 after 10 ns , c_st_int1_1 after 20 ns , c_st_int1_2 after 30 ns , c_st_int1_1 after 40 ns ; -- when 3 => correct := s_st_int1 = c_st_int1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_int1 <= c_st_int1_1 after 5 ns ; -- when 4 => correct := correct and s_st_int1 = c_st_int1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_int1 <= transport c_st_int1_1 after 100 ns ; -- when 5 => correct := s_st_int1 = c_st_int1_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_int1 <= c_st_int1_2 after 10 ns , c_st_int1_1 after 20 ns , c_st_int1_2 after 30 ns , c_st_int1_1 after 40 ns ; -- when 6 => correct := s_st_int1 = c_st_int1_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_int1 <= -- Last transaction above is marked c_st_int1_1 after 40 ns ; -- when 7 => correct := s_st_int1 = c_st_int1_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_int1 = c_st_int1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_int1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_int1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P7 ; -- PGEN_CHKP_7 : process ( chk_st_int1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Inertial transactions entirely completed", chk_st_int1 = 8 ) ; end if ; end process PGEN_CHKP_7 ; -- P8 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_time <= c_time_2 after 10 ns, c_time_1 after 20 ns ; -- when 1 => correct := s_time = c_time_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_time = c_time_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P8" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_time <= c_time_2 after 10 ns , c_time_1 after 20 ns , c_time_2 after 30 ns , c_time_1 after 40 ns ; -- when 3 => correct := s_time = c_time_2 and (savtime + 10 ns) = Std.Standard.Now ; s_time <= c_time_1 after 5 ns ; -- when 4 => correct := correct and s_time = c_time_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_time <= transport c_time_1 after 100 ns ; -- when 5 => correct := s_time = c_time_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_time <= c_time_2 after 10 ns , c_time_1 after 20 ns , c_time_2 after 30 ns , c_time_1 after 40 ns ; -- when 6 => correct := s_time = c_time_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_time <= -- Last transaction above is marked c_time_1 after 40 ns ; -- when 7 => correct := s_time = c_time_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_time = c_time_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_time <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_time'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P8 ; -- PGEN_CHKP_8 : process ( chk_time ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Inertial transactions entirely completed", chk_time = 8 ) ; end if ; end process PGEN_CHKP_8 ; -- P9 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_phys1 <= c_st_phys1_2 after 10 ns, c_st_phys1_1 after 20 ns ; -- when 1 => correct := s_st_phys1 = c_st_phys1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_phys1 = c_st_phys1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P9" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_phys1 <= c_st_phys1_2 after 10 ns , c_st_phys1_1 after 20 ns , c_st_phys1_2 after 30 ns , c_st_phys1_1 after 40 ns ; -- when 3 => correct := s_st_phys1 = c_st_phys1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_phys1 <= c_st_phys1_1 after 5 ns ; -- when 4 => correct := correct and s_st_phys1 = c_st_phys1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_phys1 <= transport c_st_phys1_1 after 100 ns ; -- when 5 => correct := s_st_phys1 = c_st_phys1_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_phys1 <= c_st_phys1_2 after 10 ns , c_st_phys1_1 after 20 ns , c_st_phys1_2 after 30 ns , c_st_phys1_1 after 40 ns ; -- when 6 => correct := s_st_phys1 = c_st_phys1_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_phys1 <= -- Last transaction above is marked c_st_phys1_1 after 40 ns ; -- when 7 => correct := s_st_phys1 = c_st_phys1_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_phys1 = c_st_phys1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_phys1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_phys1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P9 ; -- PGEN_CHKP_9 : process ( chk_st_phys1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Inertial transactions entirely completed", chk_st_phys1 = 8 ) ; end if ; end process PGEN_CHKP_9 ; -- P10 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_real <= c_real_2 after 10 ns, c_real_1 after 20 ns ; -- when 1 => correct := s_real = c_real_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_real = c_real_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P10" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_real <= c_real_2 after 10 ns , c_real_1 after 20 ns , c_real_2 after 30 ns , c_real_1 after 40 ns ; -- when 3 => correct := s_real = c_real_2 and (savtime + 10 ns) = Std.Standard.Now ; s_real <= c_real_1 after 5 ns ; -- when 4 => correct := correct and s_real = c_real_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_real <= transport c_real_1 after 100 ns ; -- when 5 => correct := s_real = c_real_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_real <= c_real_2 after 10 ns , c_real_1 after 20 ns , c_real_2 after 30 ns , c_real_1 after 40 ns ; -- when 6 => correct := s_real = c_real_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_real <= -- Last transaction above is marked c_real_1 after 40 ns ; -- when 7 => correct := s_real = c_real_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_real = c_real_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_real <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_real'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P10 ; -- PGEN_CHKP_10 : process ( chk_real ) begin if Std.Standard.Now > 0 ns then test_report ( "P10" , "Inertial transactions entirely completed", chk_real = 8 ) ; end if ; end process PGEN_CHKP_10 ; -- P11 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_real1 <= c_st_real1_2 after 10 ns, c_st_real1_1 after 20 ns ; -- when 1 => correct := s_st_real1 = c_st_real1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real1 = c_st_real1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P11" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_real1 <= c_st_real1_2 after 10 ns , c_st_real1_1 after 20 ns , c_st_real1_2 after 30 ns , c_st_real1_1 after 40 ns ; -- when 3 => correct := s_st_real1 = c_st_real1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_real1 <= c_st_real1_1 after 5 ns ; -- when 4 => correct := correct and s_st_real1 = c_st_real1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_real1 <= transport c_st_real1_1 after 100 ns ; -- when 5 => correct := s_st_real1 = c_st_real1_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_real1 <= c_st_real1_2 after 10 ns , c_st_real1_1 after 20 ns , c_st_real1_2 after 30 ns , c_st_real1_1 after 40 ns ; -- when 6 => correct := s_st_real1 = c_st_real1_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_real1 <= -- Last transaction above is marked c_st_real1_1 after 40 ns ; -- when 7 => correct := s_st_real1 = c_st_real1_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_real1 = c_st_real1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_real1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_real1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P11 ; -- PGEN_CHKP_11 : process ( chk_st_real1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P11" , "Inertial transactions entirely completed", chk_st_real1 = 8 ) ; end if ; end process PGEN_CHKP_11 ; -- P12 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec1 <= c_st_rec1_2 after 10 ns, c_st_rec1_1 after 20 ns ; -- when 1 => correct := s_st_rec1 = c_st_rec1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1 = c_st_rec1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P12" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec1 <= c_st_rec1_2 after 10 ns , c_st_rec1_1 after 20 ns , c_st_rec1_2 after 30 ns , c_st_rec1_1 after 40 ns ; -- when 3 => correct := s_st_rec1 = c_st_rec1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1 <= c_st_rec1_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec1 = c_st_rec1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec1 <= transport c_st_rec1_1 after 100 ns ; -- when 5 => correct := s_st_rec1 = c_st_rec1_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_rec1 <= c_st_rec1_2 after 10 ns , c_st_rec1_1 after 20 ns , c_st_rec1_2 after 30 ns , c_st_rec1_1 after 40 ns ; -- when 6 => correct := s_st_rec1 = c_st_rec1_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec1 <= -- Last transaction above is marked c_st_rec1_1 after 40 ns ; -- when 7 => correct := s_st_rec1 = c_st_rec1_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_rec1 = c_st_rec1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_rec1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P12 ; -- PGEN_CHKP_12 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P12" , "Inertial transactions entirely completed", chk_st_rec1 = 8 ) ; end if ; end process PGEN_CHKP_12 ; -- P13 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec2 <= c_st_rec2_2 after 10 ns, c_st_rec2_1 after 20 ns ; -- when 1 => correct := s_st_rec2 = c_st_rec2_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2 = c_st_rec2_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P13" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec2 <= c_st_rec2_2 after 10 ns , c_st_rec2_1 after 20 ns , c_st_rec2_2 after 30 ns , c_st_rec2_1 after 40 ns ; -- when 3 => correct := s_st_rec2 = c_st_rec2_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2 <= c_st_rec2_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec2 = c_st_rec2_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec2 <= transport c_st_rec2_1 after 100 ns ; -- when 5 => correct := s_st_rec2 = c_st_rec2_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_rec2 <= c_st_rec2_2 after 10 ns , c_st_rec2_1 after 20 ns , c_st_rec2_2 after 30 ns , c_st_rec2_1 after 40 ns ; -- when 6 => correct := s_st_rec2 = c_st_rec2_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec2 <= -- Last transaction above is marked c_st_rec2_1 after 40 ns ; -- when 7 => correct := s_st_rec2 = c_st_rec2_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_rec2 = c_st_rec2_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_rec2'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P13 ; -- PGEN_CHKP_13 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P13" , "Inertial transactions entirely completed", chk_st_rec2 = 8 ) ; end if ; end process PGEN_CHKP_13 ; -- P14 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec3 <= c_st_rec3_2 after 10 ns, c_st_rec3_1 after 20 ns ; -- when 1 => correct := s_st_rec3 = c_st_rec3_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3 = c_st_rec3_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P14" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec3 <= c_st_rec3_2 after 10 ns , c_st_rec3_1 after 20 ns , c_st_rec3_2 after 30 ns , c_st_rec3_1 after 40 ns ; -- when 3 => correct := s_st_rec3 = c_st_rec3_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3 <= c_st_rec3_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec3 = c_st_rec3_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec3 <= transport c_st_rec3_1 after 100 ns ; -- when 5 => correct := s_st_rec3 = c_st_rec3_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_rec3 <= c_st_rec3_2 after 10 ns , c_st_rec3_1 after 20 ns , c_st_rec3_2 after 30 ns , c_st_rec3_1 after 40 ns ; -- when 6 => correct := s_st_rec3 = c_st_rec3_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_rec3 <= -- Last transaction above is marked c_st_rec3_1 after 40 ns ; -- when 7 => correct := s_st_rec3 = c_st_rec3_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_rec3 = c_st_rec3_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_rec3'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P14 ; -- PGEN_CHKP_14 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P14" , "Inertial transactions entirely completed", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_14 ; -- P15 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr1 <= c_st_arr1_2 after 10 ns, c_st_arr1_1 after 20 ns ; -- when 1 => correct := s_st_arr1 = c_st_arr1_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1 = c_st_arr1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P15" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr1 <= c_st_arr1_2 after 10 ns , c_st_arr1_1 after 20 ns , c_st_arr1_2 after 30 ns , c_st_arr1_1 after 40 ns ; -- when 3 => correct := s_st_arr1 = c_st_arr1_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1 <= c_st_arr1_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr1 = c_st_arr1_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr1 <= transport c_st_arr1_1 after 100 ns ; -- when 5 => correct := s_st_arr1 = c_st_arr1_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_arr1 <= c_st_arr1_2 after 10 ns , c_st_arr1_1 after 20 ns , c_st_arr1_2 after 30 ns , c_st_arr1_1 after 40 ns ; -- when 6 => correct := s_st_arr1 = c_st_arr1_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr1 <= -- Last transaction above is marked c_st_arr1_1 after 40 ns ; -- when 7 => correct := s_st_arr1 = c_st_arr1_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_arr1 = c_st_arr1_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_arr1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P15 ; -- PGEN_CHKP_15 : process ( chk_st_arr1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P15" , "Inertial transactions entirely completed", chk_st_arr1 = 8 ) ; end if ; end process PGEN_CHKP_15 ; -- P16 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr2 <= c_st_arr2_2 after 10 ns, c_st_arr2_1 after 20 ns ; -- when 1 => correct := s_st_arr2 = c_st_arr2_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2 = c_st_arr2_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P16" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr2 <= c_st_arr2_2 after 10 ns , c_st_arr2_1 after 20 ns , c_st_arr2_2 after 30 ns , c_st_arr2_1 after 40 ns ; -- when 3 => correct := s_st_arr2 = c_st_arr2_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2 <= c_st_arr2_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr2 = c_st_arr2_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr2 <= transport c_st_arr2_1 after 100 ns ; -- when 5 => correct := s_st_arr2 = c_st_arr2_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_arr2 <= c_st_arr2_2 after 10 ns , c_st_arr2_1 after 20 ns , c_st_arr2_2 after 30 ns , c_st_arr2_1 after 40 ns ; -- when 6 => correct := s_st_arr2 = c_st_arr2_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr2 <= -- Last transaction above is marked c_st_arr2_1 after 40 ns ; -- when 7 => correct := s_st_arr2 = c_st_arr2_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_arr2 = c_st_arr2_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_arr2'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P16 ; -- PGEN_CHKP_16 : process ( chk_st_arr2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P16" , "Inertial transactions entirely completed", chk_st_arr2 = 8 ) ; end if ; end process PGEN_CHKP_16 ; -- P17 : process variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr3 <= c_st_arr3_2 after 10 ns, c_st_arr3_1 after 20 ns ; -- when 1 => correct := s_st_arr3 = c_st_arr3_2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3 = c_st_arr3_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132.P17" , "Multi inertial transactions occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr3 <= c_st_arr3_2 after 10 ns , c_st_arr3_1 after 20 ns , c_st_arr3_2 after 30 ns , c_st_arr3_1 after 40 ns ; -- when 3 => correct := s_st_arr3 = c_st_arr3_2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3 <= c_st_arr3_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr3 = c_st_arr3_1 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr3 <= transport c_st_arr3_1 after 100 ns ; -- when 5 => correct := s_st_arr3 = c_st_arr3_1 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Old transactions were removed on signal " & "asg with simple name on LHS", correct ) ; s_st_arr3 <= c_st_arr3_2 after 10 ns , c_st_arr3_1 after 20 ns , c_st_arr3_2 after 30 ns , c_st_arr3_1 after 40 ns ; -- when 6 => correct := s_st_arr3 = c_st_arr3_2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "One inertial transaction occurred on signal " & "asg with simple name on LHS", correct ) ; s_st_arr3 <= -- Last transaction above is marked c_st_arr3_1 after 40 ns ; -- when 7 => correct := s_st_arr3 = c_st_arr3_1 and (savtime + 30 ns) = Std.Standard.Now ; -- -- when 8 => correct := correct and s_st_arr3 = c_st_arr3_1 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", correct ) ; -- when others => test_report ( "ARCH00132" , "Inertial semantics check on a signal " & "asg with simple name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; wait until (not s_st_arr3'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P17 ; -- PGEN_CHKP_17 : process ( chk_st_arr3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P17" , "Inertial transactions entirely completed", chk_st_arr3 = 8 ) ; end if ; end process PGEN_CHKP_17 ; -- -- end ARCH00132 ; -- entity ENT00132_Test_Bench is end ENT00132_Test_Bench ; -- architecture ARCH00132_Test_Bench of ENT00132_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00132 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00132_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00345.vhd
1
42307
-- NEED RESULT: ARCH00345.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P2: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P3: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P4: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P5: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P6: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P7: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P8: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345.P9: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00345: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00345: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P9: Transport transactions completed entirely passed -- NEED RESULT: P8: Transport transactions completed entirely passed -- NEED RESULT: P7: Transport transactions completed entirely passed -- NEED RESULT: P6: Transport transactions completed entirely passed -- NEED RESULT: P5: Transport transactions completed entirely passed -- NEED RESULT: P4: Transport transactions completed entirely passed -- NEED RESULT: P3: Transport transactions completed entirely passed -- NEED RESULT: P2: Transport transactions completed entirely passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00345 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (2) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00345(ARCH00345) -- ENT00345_Test_Bench(ARCH00345_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00345 is end ENT00345 ; -- -- architecture ARCH00345 of ENT00345 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_boolean_vector : chk_sig_type := -1 ; signal chk_st_severity_level_vector : chk_sig_type := -1 ; signal chk_st_string : chk_sig_type := -1 ; signal chk_st_enum1_vector : chk_sig_type := -1 ; signal chk_st_integer_vector : chk_sig_type := -1 ; signal chk_st_time_vector : chk_sig_type := -1 ; signal chk_st_real_vector : chk_sig_type := -1 ; signal chk_st_rec1_vector : chk_sig_type := -1 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_st_boolean_vector_savt : chk_time_type := 0 ns ; signal s_st_severity_level_vector_savt : chk_time_type := 0 ns ; signal s_st_string_savt : chk_time_type := 0 ns ; signal s_st_enum1_vector_savt : chk_time_type := 0 ns ; signal s_st_integer_vector_savt : chk_time_type := 0 ns ; signal s_st_time_vector_savt : chk_time_type := 0 ns ; signal s_st_real_vector_savt : chk_time_type := 0 ns ; signal s_st_rec1_vector_savt : chk_time_type := 0 ns ; signal s_st_arr2_vector_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_boolean_vector_cnt : chk_cnt_type := 0 ; signal s_st_severity_level_vector_cnt : chk_cnt_type := 0 ; signal s_st_string_cnt : chk_cnt_type := 0 ; signal s_st_enum1_vector_cnt : chk_cnt_type := 0 ; signal s_st_integer_vector_cnt : chk_cnt_type := 0 ; signal s_st_time_vector_cnt : chk_cnt_type := 0 ; signal s_st_real_vector_cnt : chk_cnt_type := 0 ; signal s_st_rec1_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal st_boolean_vector_select : select_type := 1 ; signal st_severity_level_vector_select : select_type := 1 ; signal st_string_select : select_type := 1 ; signal st_enum1_vector_select : select_type := 1 ; signal st_integer_vector_select : select_type := 1 ; signal st_time_vector_select : select_type := 1 ; signal st_real_vector_select : select_type := 1 ; signal st_rec1_vector_select : select_type := 1 ; signal st_arr2_vector_select : select_type := 1 ; -- signal s_st_boolean_vector : st_boolean_vector := c_st_boolean_vector_1 ; signal s_st_severity_level_vector : st_severity_level_vector := c_st_severity_level_vector_1 ; signal s_st_string : st_string := c_st_string_1 ; signal s_st_enum1_vector : st_enum1_vector := c_st_enum1_vector_1 ; signal s_st_integer_vector : st_integer_vector := c_st_integer_vector_1 ; signal s_st_time_vector : st_time_vector := c_st_time_vector_1 ; signal s_st_real_vector : st_real_vector := c_st_real_vector_1 ; signal s_st_rec1_vector : st_rec1_vector := c_st_rec1_vector_1 ; signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; -- begin CHG1 : process ( s_st_boolean_vector ) variable correct : boolean ; begin case s_st_boolean_vector_cnt is when 0 => null ; -- s_st_boolean_vector(lowb to highb-1) <= transport -- c_st_boolean_vector_2(lowb to highb-1) after 10 ns, -- c_st_boolean_vector_1(lowb to highb-1) after 20 ns ; -- when 1 => correct := s_st_boolean_vector(lowb to highb-1) = c_st_boolean_vector_2(lowb to highb-1) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_boolean_vector(lowb to highb-1) = c_st_boolean_vector_1(lowb to highb-1) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_boolean_vector_select <= transport 2 ; -- s_st_boolean_vector(lowb to highb-1) <= transport -- c_st_boolean_vector_2(lowb to highb-1) after 10 ns , -- c_st_boolean_vector_1(lowb to highb-1) after 20 ns , -- c_st_boolean_vector_2(lowb to highb-1) after 30 ns , -- c_st_boolean_vector_1(lowb to highb-1) after 40 ns ; -- when 3 => correct := s_st_boolean_vector(lowb to highb-1) = c_st_boolean_vector_2(lowb to highb-1) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; st_boolean_vector_select <= transport 3 ; -- s_st_boolean_vector(lowb to highb-1) <= transport -- c_st_boolean_vector_1(lowb to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_boolean_vector(lowb to highb-1) = c_st_boolean_vector_1(lowb to highb-1) and (s_st_boolean_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_boolean_vector_savt <= transport Std.Standard.Now ; chk_st_boolean_vector <= transport s_st_boolean_vector_cnt after (1 us - Std.Standard.Now) ; s_st_boolean_vector_cnt <= transport s_st_boolean_vector_cnt + 1 ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_boolean_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_st_boolean_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_boolean_vector(lowb to highb-1) <= transport c_st_boolean_vector_2(lowb to highb-1) after 10 ns, c_st_boolean_vector_1(lowb to highb-1) after 20 ns when st_boolean_vector_select = 1 else -- c_st_boolean_vector_2(lowb to highb-1) after 10 ns , c_st_boolean_vector_1(lowb to highb-1) after 20 ns , c_st_boolean_vector_2(lowb to highb-1) after 30 ns , c_st_boolean_vector_1(lowb to highb-1) after 40 ns when st_boolean_vector_select = 2 else -- c_st_boolean_vector_1(lowb to highb-1) after 5 ns ; -- CHG2 : process ( s_st_severity_level_vector ) variable correct : boolean ; begin case s_st_severity_level_vector_cnt is when 0 => null ; -- s_st_severity_level_vector(lowb to highb-1) <= transport -- c_st_severity_level_vector_2(lowb to highb-1) after 10 ns, -- c_st_severity_level_vector_1(lowb to highb-1) after 20 ns ; -- when 1 => correct := s_st_severity_level_vector(lowb to highb-1) = c_st_severity_level_vector_2(lowb to highb-1) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_severity_level_vector(lowb to highb-1) = c_st_severity_level_vector_1(lowb to highb-1) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P2" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_severity_level_vector_select <= transport 2 ; -- s_st_severity_level_vector(lowb to highb-1) <= transport -- c_st_severity_level_vector_2(lowb to highb-1) after 10 ns , -- c_st_severity_level_vector_1(lowb to highb-1) after 20 ns , -- c_st_severity_level_vector_2(lowb to highb-1) after 30 ns , -- c_st_severity_level_vector_1(lowb to highb-1) after 40 ns ; -- when 3 => correct := s_st_severity_level_vector(lowb to highb-1) = c_st_severity_level_vector_2(lowb to highb-1) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; st_severity_level_vector_select <= transport 3 ; -- s_st_severity_level_vector(lowb to highb-1) <= transport -- c_st_severity_level_vector_1(lowb to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_severity_level_vector(lowb to highb-1) = c_st_severity_level_vector_1(lowb to highb-1) and (s_st_severity_level_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_severity_level_vector_savt <= transport Std.Standard.Now ; chk_st_severity_level_vector <= transport s_st_severity_level_vector_cnt after (1 us - Std.Standard.Now) ; s_st_severity_level_vector_cnt <= transport s_st_severity_level_vector_cnt + 1 ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_st_severity_level_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions completed entirely", chk_st_severity_level_vector = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- -- s_st_severity_level_vector(lowb to highb-1) <= transport c_st_severity_level_vector_2(lowb to highb-1) after 10 ns, c_st_severity_level_vector_1(lowb to highb-1) after 20 ns when st_severity_level_vector_select = 1 else -- c_st_severity_level_vector_2(lowb to highb-1) after 10 ns , c_st_severity_level_vector_1(lowb to highb-1) after 20 ns , c_st_severity_level_vector_2(lowb to highb-1) after 30 ns , c_st_severity_level_vector_1(lowb to highb-1) after 40 ns when st_severity_level_vector_select = 2 else -- c_st_severity_level_vector_1(lowb to highb-1) after 5 ns ; -- CHG3 : process ( s_st_string ) variable correct : boolean ; begin case s_st_string_cnt is when 0 => null ; -- s_st_string(highb-1 to highb-1) <= transport -- c_st_string_2(highb-1 to highb-1) after 10 ns, -- c_st_string_1(highb-1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_string(highb-1 to highb-1) = c_st_string_2(highb-1 to highb-1) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_string(highb-1 to highb-1) = c_st_string_1(highb-1 to highb-1) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P3" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_string_select <= transport 2 ; -- s_st_string(highb-1 to highb-1) <= transport -- c_st_string_2(highb-1 to highb-1) after 10 ns , -- c_st_string_1(highb-1 to highb-1) after 20 ns , -- c_st_string_2(highb-1 to highb-1) after 30 ns , -- c_st_string_1(highb-1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_string(highb-1 to highb-1) = c_st_string_2(highb-1 to highb-1) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; st_string_select <= transport 3 ; -- s_st_string(highb-1 to highb-1) <= transport -- c_st_string_1(highb-1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_string(highb-1 to highb-1) = c_st_string_1(highb-1 to highb-1) and (s_st_string_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_string_savt <= transport Std.Standard.Now ; chk_st_string <= transport s_st_string_cnt after (1 us - Std.Standard.Now) ; s_st_string_cnt <= transport s_st_string_cnt + 1 ; -- end process CHG3 ; -- PGEN_CHKP_3 : process ( chk_st_string ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions completed entirely", chk_st_string = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- -- s_st_string(highb-1 to highb-1) <= transport c_st_string_2(highb-1 to highb-1) after 10 ns, c_st_string_1(highb-1 to highb-1) after 20 ns when st_string_select = 1 else -- c_st_string_2(highb-1 to highb-1) after 10 ns , c_st_string_1(highb-1 to highb-1) after 20 ns , c_st_string_2(highb-1 to highb-1) after 30 ns , c_st_string_1(highb-1 to highb-1) after 40 ns when st_string_select = 2 else -- c_st_string_1(highb-1 to highb-1) after 5 ns ; -- CHG4 : process ( s_st_enum1_vector ) variable correct : boolean ; begin case s_st_enum1_vector_cnt is when 0 => null ; -- s_st_enum1_vector(highb-1 to highb-1) <= transport -- c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns, -- c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_enum1_vector(highb-1 to highb-1) = c_st_enum1_vector_2(highb-1 to highb-1) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1_vector(highb-1 to highb-1) = c_st_enum1_vector_1(highb-1 to highb-1) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P4" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_enum1_vector_select <= transport 2 ; -- s_st_enum1_vector(highb-1 to highb-1) <= transport -- c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns , -- c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns , -- c_st_enum1_vector_2(highb-1 to highb-1) after 30 ns , -- c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_enum1_vector(highb-1 to highb-1) = c_st_enum1_vector_2(highb-1 to highb-1) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; st_enum1_vector_select <= transport 3 ; -- s_st_enum1_vector(highb-1 to highb-1) <= transport -- c_st_enum1_vector_1(highb-1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_enum1_vector(highb-1 to highb-1) = c_st_enum1_vector_1(highb-1 to highb-1) and (s_st_enum1_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_enum1_vector_savt <= transport Std.Standard.Now ; chk_st_enum1_vector <= transport s_st_enum1_vector_cnt after (1 us - Std.Standard.Now) ; s_st_enum1_vector_cnt <= transport s_st_enum1_vector_cnt + 1 ; -- end process CHG4 ; -- PGEN_CHKP_4 : process ( chk_st_enum1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Transport transactions completed entirely", chk_st_enum1_vector = 4 ) ; end if ; end process PGEN_CHKP_4 ; -- -- s_st_enum1_vector(highb-1 to highb-1) <= transport c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns, c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns when st_enum1_vector_select = 1 else -- c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns , c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns , c_st_enum1_vector_2(highb-1 to highb-1) after 30 ns , c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns when st_enum1_vector_select = 2 else -- c_st_enum1_vector_1(highb-1 to highb-1) after 5 ns ; -- CHG5 : process ( s_st_integer_vector ) variable correct : boolean ; begin case s_st_integer_vector_cnt is when 0 => null ; -- s_st_integer_vector(lowb to highb-1) <= transport -- c_st_integer_vector_2(lowb to highb-1) after 10 ns, -- c_st_integer_vector_1(lowb to highb-1) after 20 ns ; -- when 1 => correct := s_st_integer_vector(lowb to highb-1) = c_st_integer_vector_2(lowb to highb-1) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_integer_vector(lowb to highb-1) = c_st_integer_vector_1(lowb to highb-1) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P5" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_integer_vector_select <= transport 2 ; -- s_st_integer_vector(lowb to highb-1) <= transport -- c_st_integer_vector_2(lowb to highb-1) after 10 ns , -- c_st_integer_vector_1(lowb to highb-1) after 20 ns , -- c_st_integer_vector_2(lowb to highb-1) after 30 ns , -- c_st_integer_vector_1(lowb to highb-1) after 40 ns ; -- when 3 => correct := s_st_integer_vector(lowb to highb-1) = c_st_integer_vector_2(lowb to highb-1) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; st_integer_vector_select <= transport 3 ; -- s_st_integer_vector(lowb to highb-1) <= transport -- c_st_integer_vector_1(lowb to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_integer_vector(lowb to highb-1) = c_st_integer_vector_1(lowb to highb-1) and (s_st_integer_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_integer_vector_savt <= transport Std.Standard.Now ; chk_st_integer_vector <= transport s_st_integer_vector_cnt after (1 us - Std.Standard.Now) ; s_st_integer_vector_cnt <= transport s_st_integer_vector_cnt + 1 ; -- end process CHG5 ; -- PGEN_CHKP_5 : process ( chk_st_integer_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Transport transactions completed entirely", chk_st_integer_vector = 4 ) ; end if ; end process PGEN_CHKP_5 ; -- -- s_st_integer_vector(lowb to highb-1) <= transport c_st_integer_vector_2(lowb to highb-1) after 10 ns, c_st_integer_vector_1(lowb to highb-1) after 20 ns when st_integer_vector_select = 1 else -- c_st_integer_vector_2(lowb to highb-1) after 10 ns , c_st_integer_vector_1(lowb to highb-1) after 20 ns , c_st_integer_vector_2(lowb to highb-1) after 30 ns , c_st_integer_vector_1(lowb to highb-1) after 40 ns when st_integer_vector_select = 2 else -- c_st_integer_vector_1(lowb to highb-1) after 5 ns ; -- CHG6 : process ( s_st_time_vector ) variable correct : boolean ; begin case s_st_time_vector_cnt is when 0 => null ; -- s_st_time_vector(lowb to highb-1) <= transport -- c_st_time_vector_2(lowb to highb-1) after 10 ns, -- c_st_time_vector_1(lowb to highb-1) after 20 ns ; -- when 1 => correct := s_st_time_vector(lowb to highb-1) = c_st_time_vector_2(lowb to highb-1) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_time_vector(lowb to highb-1) = c_st_time_vector_1(lowb to highb-1) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P6" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_time_vector_select <= transport 2 ; -- s_st_time_vector(lowb to highb-1) <= transport -- c_st_time_vector_2(lowb to highb-1) after 10 ns , -- c_st_time_vector_1(lowb to highb-1) after 20 ns , -- c_st_time_vector_2(lowb to highb-1) after 30 ns , -- c_st_time_vector_1(lowb to highb-1) after 40 ns ; -- when 3 => correct := s_st_time_vector(lowb to highb-1) = c_st_time_vector_2(lowb to highb-1) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; st_time_vector_select <= transport 3 ; -- s_st_time_vector(lowb to highb-1) <= transport -- c_st_time_vector_1(lowb to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_time_vector(lowb to highb-1) = c_st_time_vector_1(lowb to highb-1) and (s_st_time_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_time_vector_savt <= transport Std.Standard.Now ; chk_st_time_vector <= transport s_st_time_vector_cnt after (1 us - Std.Standard.Now) ; s_st_time_vector_cnt <= transport s_st_time_vector_cnt + 1 ; -- end process CHG6 ; -- PGEN_CHKP_6 : process ( chk_st_time_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Transport transactions completed entirely", chk_st_time_vector = 4 ) ; end if ; end process PGEN_CHKP_6 ; -- -- s_st_time_vector(lowb to highb-1) <= transport c_st_time_vector_2(lowb to highb-1) after 10 ns, c_st_time_vector_1(lowb to highb-1) after 20 ns when st_time_vector_select = 1 else -- c_st_time_vector_2(lowb to highb-1) after 10 ns , c_st_time_vector_1(lowb to highb-1) after 20 ns , c_st_time_vector_2(lowb to highb-1) after 30 ns , c_st_time_vector_1(lowb to highb-1) after 40 ns when st_time_vector_select = 2 else -- c_st_time_vector_1(lowb to highb-1) after 5 ns ; -- CHG7 : process ( s_st_real_vector ) variable correct : boolean ; begin case s_st_real_vector_cnt is when 0 => null ; -- s_st_real_vector(highb-1 to highb-1) <= transport -- c_st_real_vector_2(highb-1 to highb-1) after 10 ns, -- c_st_real_vector_1(highb-1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_real_vector(highb-1 to highb-1) = c_st_real_vector_2(highb-1 to highb-1) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real_vector(highb-1 to highb-1) = c_st_real_vector_1(highb-1 to highb-1) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P7" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_real_vector_select <= transport 2 ; -- s_st_real_vector(highb-1 to highb-1) <= transport -- c_st_real_vector_2(highb-1 to highb-1) after 10 ns , -- c_st_real_vector_1(highb-1 to highb-1) after 20 ns , -- c_st_real_vector_2(highb-1 to highb-1) after 30 ns , -- c_st_real_vector_1(highb-1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_real_vector(highb-1 to highb-1) = c_st_real_vector_2(highb-1 to highb-1) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; st_real_vector_select <= transport 3 ; -- s_st_real_vector(highb-1 to highb-1) <= transport -- c_st_real_vector_1(highb-1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_real_vector(highb-1 to highb-1) = c_st_real_vector_1(highb-1 to highb-1) and (s_st_real_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_real_vector_savt <= transport Std.Standard.Now ; chk_st_real_vector <= transport s_st_real_vector_cnt after (1 us - Std.Standard.Now) ; s_st_real_vector_cnt <= transport s_st_real_vector_cnt + 1 ; -- end process CHG7 ; -- PGEN_CHKP_7 : process ( chk_st_real_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Transport transactions completed entirely", chk_st_real_vector = 4 ) ; end if ; end process PGEN_CHKP_7 ; -- -- s_st_real_vector(highb-1 to highb-1) <= transport c_st_real_vector_2(highb-1 to highb-1) after 10 ns, c_st_real_vector_1(highb-1 to highb-1) after 20 ns when st_real_vector_select = 1 else -- c_st_real_vector_2(highb-1 to highb-1) after 10 ns , c_st_real_vector_1(highb-1 to highb-1) after 20 ns , c_st_real_vector_2(highb-1 to highb-1) after 30 ns , c_st_real_vector_1(highb-1 to highb-1) after 40 ns when st_real_vector_select = 2 else -- c_st_real_vector_1(highb-1 to highb-1) after 5 ns ; -- CHG8 : process ( s_st_rec1_vector ) variable correct : boolean ; begin case s_st_rec1_vector_cnt is when 0 => null ; -- s_st_rec1_vector(highb-1 to highb-1) <= transport -- c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns, -- c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_rec1_vector(highb-1 to highb-1) = c_st_rec1_vector_2(highb-1 to highb-1) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1_vector(highb-1 to highb-1) = c_st_rec1_vector_1(highb-1 to highb-1) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P8" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec1_vector_select <= transport 2 ; -- s_st_rec1_vector(highb-1 to highb-1) <= transport -- c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns , -- c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns , -- c_st_rec1_vector_2(highb-1 to highb-1) after 30 ns , -- c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_rec1_vector(highb-1 to highb-1) = c_st_rec1_vector_2(highb-1 to highb-1) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; st_rec1_vector_select <= transport 3 ; -- s_st_rec1_vector(highb-1 to highb-1) <= transport -- c_st_rec1_vector_1(highb-1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_rec1_vector(highb-1 to highb-1) = c_st_rec1_vector_1(highb-1 to highb-1) and (s_st_rec1_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec1_vector_savt <= transport Std.Standard.Now ; chk_st_rec1_vector <= transport s_st_rec1_vector_cnt after (1 us - Std.Standard.Now) ; s_st_rec1_vector_cnt <= transport s_st_rec1_vector_cnt + 1 ; -- end process CHG8 ; -- PGEN_CHKP_8 : process ( chk_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Transport transactions completed entirely", chk_st_rec1_vector = 4 ) ; end if ; end process PGEN_CHKP_8 ; -- -- s_st_rec1_vector(highb-1 to highb-1) <= transport c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns, c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns when st_rec1_vector_select = 1 else -- c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns , c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns , c_st_rec1_vector_2(highb-1 to highb-1) after 30 ns , c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns when st_rec1_vector_select = 2 else -- c_st_rec1_vector_1(highb-1 to highb-1) after 5 ns ; -- CHG9 : process ( s_st_arr2_vector ) variable correct : boolean ; begin case s_st_arr2_vector_cnt is when 0 => null ; -- s_st_arr2_vector(lowb to highb-1) <= transport -- c_st_arr2_vector_2(lowb to highb-1) after 10 ns, -- c_st_arr2_vector_1(lowb to highb-1) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb to highb-1) = c_st_arr2_vector_2(lowb to highb-1) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb to highb-1) = c_st_arr2_vector_1(lowb to highb-1) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00345.P9" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_vector_select <= transport 2 ; -- s_st_arr2_vector(lowb to highb-1) <= transport -- c_st_arr2_vector_2(lowb to highb-1) after 10 ns , -- c_st_arr2_vector_1(lowb to highb-1) after 20 ns , -- c_st_arr2_vector_2(lowb to highb-1) after 30 ns , -- c_st_arr2_vector_1(lowb to highb-1) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb to highb-1) = c_st_arr2_vector_2(lowb to highb-1) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; st_arr2_vector_select <= transport 3 ; -- s_st_arr2_vector(lowb to highb-1) <= transport -- c_st_arr2_vector_1(lowb to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_arr2_vector(lowb to highb-1) = c_st_arr2_vector_1(lowb to highb-1) and (s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00345" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00345" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_arr2_vector_savt <= transport Std.Standard.Now ; chk_st_arr2_vector <= transport s_st_arr2_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ; -- end process CHG9 ; -- PGEN_CHKP_9 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Transport transactions completed entirely", chk_st_arr2_vector = 4 ) ; end if ; end process PGEN_CHKP_9 ; -- -- s_st_arr2_vector(lowb to highb-1) <= transport c_st_arr2_vector_2(lowb to highb-1) after 10 ns, c_st_arr2_vector_1(lowb to highb-1) after 20 ns when st_arr2_vector_select = 1 else -- c_st_arr2_vector_2(lowb to highb-1) after 10 ns , c_st_arr2_vector_1(lowb to highb-1) after 20 ns , c_st_arr2_vector_2(lowb to highb-1) after 30 ns , c_st_arr2_vector_1(lowb to highb-1) after 40 ns when st_arr2_vector_select = 2 else -- c_st_arr2_vector_1(lowb to highb-1) after 5 ns ; -- end ARCH00345 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00345_Test_Bench is end ENT00345_Test_Bench ; -- -- architecture ARCH00345_Test_Bench of ENT00345_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00345 ( ARCH00345 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00345_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00620.vhd
1
11844
-- NEED RESULT: ARCH00620: Concurrent proc call 1 passed -- NEED RESULT: ARCH00620: Concurrent proc call 1 passed -- NEED RESULT: ARCH00620.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00620.P2: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00620: Concurrent proc call 2 passed -- NEED RESULT: ARCH00620: Concurrent proc call 2 passed -- NEED RESULT: ARCH00620: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00620: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00620: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00620: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P2: Transport transactions completed entirely passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00620 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.3 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00620(ARCH00620) -- ENT00620_Test_Bench(ARCH00620_Test_Bench) -- -- REVISION HISTORY: -- -- 24-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00620 is port ( s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- end ENT00620 ; -- -- architecture ARCH00620 of ENT00620 is subtype chk_time_type is Time ; signal s_st_arr2_vector_savt : chk_time_type := 0 ns ; signal s_st_arr3_vector_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr3_vector_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal st_arr2_vector_select : select_type := 1 ; signal st_arr3_vector_select : select_type := 1 ; -- procedure P1 (signal s_st_arr2_vector : in st_arr2_vector ; signal select_sig : out Select_Type ; signal savtime : out Chk_Time_Type ; signal chk_sig : out Chk_Sig_Type ; signal count : out Integer) is variable correct : boolean ; begin case s_st_arr2_vector_cnt is when 0 => null ; -- s_st_arr2_vector(lowb)(highb,false) <= transport -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00620" , "Concurrent proc call 1", correct ) ; -- when 2 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00620.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- select_sig <= transport 2 ; -- s_st_arr2_vector(lowb)(highb,false) <= transport -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00620" , "Concurrent proc call 2", correct ) ; select_sig <= transport 3 ; -- s_st_arr2_vector(lowb)(highb,false) <= transport -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns ; -- when 4 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00620" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00620" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00620" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- savtime <= transport Std.Standard.Now ; chk_sig <= transport s_st_arr2_vector_cnt after (1 us - Std.Standard.Now) ; count <= transport s_st_arr2_vector_cnt + 1 ; -- end ; -- procedure P2 (signal s_st_arr3_vector : in st_arr3_vector ; signal select_sig : out Select_Type ; signal savtime : out Chk_Time_Type ; signal chk_sig : out Chk_Sig_Type ; signal count : out Integer) is variable correct : boolean ; begin case s_st_arr3_vector_cnt is when 0 => null ; -- s_st_arr3_vector(highb)(lowb,true) <= transport -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00620" , "Concurrent proc call 1", correct ) ; -- when 2 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00620.P2" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- select_sig <= transport 2 ; -- s_st_arr3_vector(highb)(lowb,true) <= transport -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00620" , "Concurrent proc call 2", correct ) ; select_sig <= transport 3 ; -- s_st_arr3_vector(highb)(lowb,true) <= transport -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns ; -- when 4 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00620" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00620" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00620" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- savtime <= transport Std.Standard.Now ; chk_sig <= transport s_st_arr3_vector_cnt after (1 us - Std.Standard.Now) ; count <= transport s_st_arr3_vector_cnt + 1 ; -- end ; -- begin CHG1 : P1( s_st_arr2_vector , st_arr2_vector_select , s_st_arr2_vector_savt , chk_st_arr2_vector , s_st_arr2_vector_cnt ) ; -- PGEN_CHKP_1 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_st_arr2_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with st_arr2_vector_select select s_st_arr2_vector(lowb)(highb,false) <= transport c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, c_st_arr2_vector_1(lowb)(highb,false) after 20 ns when 1, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 2, -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns when 3 ; -- CHG2 : P2( s_st_arr3_vector , st_arr3_vector_select , s_st_arr3_vector_savt , chk_st_arr3_vector , s_st_arr3_vector_cnt ) ; -- PGEN_CHKP_2 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions completed entirely", chk_st_arr3_vector = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- -- with st_arr3_vector_select select s_st_arr3_vector(highb)(lowb,true) <= transport c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, c_st_arr3_vector_1(highb)(lowb,true) after 20 ns when 1, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 2, -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns when 3 ; -- end ARCH00620 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00620_Test_Bench is signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- end ENT00620_Test_Bench ; -- -- architecture ARCH00620_Test_Bench of ENT00620_Test_Bench is begin L1: block component UUT port ( s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00620 ( ARCH00620 ) ; begin CIS1 : UUT port map ( s_st_arr2_vector , s_st_arr3_vector ) ; end block L1 ; end ARCH00620_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00289.vhd
1
7235
-- NEED RESULT: ARCH00289: Logical operators are correctly predefined for boolean array types passed -- NEED RESULT: ARCH00289: Logical operators are correctly predefined for bit array types passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00289 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.2.1 (2) -- 7.2.1 (9) -- 7.2.1 (10) -- 7.2.1 (11) -- -- DESIGN UNIT ORDERING: -- -- ENT00289(ARCH00289) -- ENT00289_Test_Bench(ARCH00289_Test_Bench) -- -- REVISION HISTORY: -- -- 21-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; entity ENT00289 is generic ( bit1, bit2 : st_bit_vector ; bool1, bool2 : boolean ) ; port ( locally_static_correct_1, locally_static_correct_2 : out boolean ; dynamic_correct_1, dynamic_correct_2 : out boolean ) ; end ENT00289 ; architecture ARCH00289 of ENT00289 is signal sbit1, sbit2 : st_bit_vector := B"10100" ; signal sboolean1, sboolean2 : st_boolean_vector ; constant answer : boolean := true ; begin sbit2 <= B"01011" ; sboolean1 <= (true, false, true, false, false); sboolean2 <= (false, true, false, true, true); -- bit vector g1: if (((bit1 and bit1) = B"10100") and ((bit1 and bit2) = B"00000") and ((bit2 and bit1) = B"00000") and ((bit2 and bit2) = B"01011") and ((bit1 or bit1) = B"10100") and ((bit1 or bit2) = B"11111") and ((bit2 or bit1) = B"11111") and ((bit2 or bit2) = B"01011") and ((bit1 nand bit1) = B"01011") and ((bit1 nand bit2) = B"11111") and ((bit2 nand bit1) = B"11111") and ((bit2 nand bit2) = B"10100") and ((bit1 nor bit1) = B"01011") and ((bit1 nor bit2) = B"00000") and ((bit2 nor bit1) = B"00000") and ((bit2 nor bit2) = B"10100") and ((bit1 xor bit1) = B"00000") and ((bit1 xor bit2) = B"11111") and ((bit2 xor bit1) = B"11111") and ((bit2 xor bit2) = B"00000") and ((not bit1) = bit2) and ((not bit2) = bit1) ) generate process ( sbit2 ) variable bool : boolean ; begin if sbit2 = B"01011" then locally_static_correct_1 <= true ; dynamic_correct_1 <= ((sbit1 and sbit1) = B"10100") and ((sbit1 and sbit2) = B"00000") and ((sbit2 and sbit1) = B"00000") and ((sbit2 and sbit2) = B"01011") and ((sbit1 or sbit1) = B"10100") and ((sbit1 or sbit2) = B"11111") and ((sbit2 or sbit1) = B"11111") and ((sbit2 or sbit2) = B"01011") and ((sbit1 nand sbit1) = B"01011") and ((sbit1 nand sbit2) = B"11111") and ((sbit2 nand sbit1) = B"11111") and ((sbit2 nand sbit2) = B"10100") and ((sbit1 nor sbit1) = B"01011") and ((sbit1 nor sbit2) = B"00000") and ((sbit2 nor sbit1) = B"00000") and ((sbit2 nor sbit2) = B"10100") and ((sbit1 xor sbit1) = B"00000") and ((sbit1 xor sbit2) = B"11111") and ((sbit2 xor sbit1) = B"11111") and ((sbit2 xor sbit2) = B"00000") and ((not sbit1) = sbit2) and ((not sbit2) = sbit1) ; end if ; end process ; end generate ; -- boolean vector process ( sboolean2 ) variable bool : boolean ; variable true_vector, false_vector : st_boolean_vector ; begin true_vector := (true, true, true, true, true); false_vector :=(false, false, false, false, false); if sboolean2 = (false, true, false, true, true) then locally_static_correct_2 <= true ; bool := (sboolean1 and sboolean1) = sboolean1 and (sboolean1 and sboolean2) = false_vector and (sboolean2 and sboolean1) = false_vector and (sboolean2 and sboolean2) = sboolean2 and (sboolean1 or sboolean1) = sboolean1 and (sboolean1 or sboolean2) = true_vector ; bool := bool and (sboolean2 or sboolean1) = true_vector and (sboolean2 or sboolean2) = sboolean2 and (sboolean1 nand sboolean1) = sboolean2 and (sboolean1 nand sboolean2) = true_vector and (sboolean2 nand sboolean1) = true_vector and (sboolean2 nand sboolean2) = sboolean1 ; bool := bool and (sboolean1 nor sboolean1) = sboolean2 and (sboolean1 nor sboolean2) = false_vector and (sboolean2 nor sboolean1) = false_vector and (sboolean2 nor sboolean2) = sboolean1 and (sboolean1 xor sboolean1) = false_vector ; bool := bool and (sboolean1 xor sboolean2) = true_vector and (sboolean2 xor sboolean1) = true_vector and (sboolean2 xor sboolean2) = false_vector and ((not sboolean1) = sboolean2) and ((not sboolean2) = sboolean1) ; dynamic_correct_2 <= bool ; end if ; end process ; end ARCH00289 ; use WORK.STANDARD_TYPES.all ; entity ENT00289_Test_Bench is end ENT00289_Test_Bench ; architecture ARCH00289_Test_Bench of ENT00289_Test_Bench is begin L1: block signal locally_static_correct_1, dynamic_correct_1 : boolean := false ; signal locally_static_correct_2, dynamic_correct_2 : boolean := false ; constant local_c_st_bit_vector_1 : st_bit_vector := B"10100"; constant local_c_st_bit_vector_2 : st_bit_vector := B"01011"; component UUT generic ( bit1, bit2 : st_bit_vector ; bool1, bool2 : boolean ) ; port ( locally_static_correct_1, locally_static_correct_2 : out boolean := false ; dynamic_correct_1, dynamic_correct_2 : out boolean := false ) ; end component ; for CIS1 : UUT use entity WORK.ENT00289 ( ARCH00289 ) ; begin CIS1 : UUT generic map ( local_c_st_bit_vector_1, local_c_st_bit_vector_2, c_boolean_1, c_boolean_2 ) port map ( locally_static_correct_1, locally_static_correct_2 , dynamic_correct_1 , dynamic_correct_2 ) ; process ( locally_static_correct_1, locally_static_correct_2, dynamic_correct_1, dynamic_correct_2 ) begin if locally_static_correct_1 and dynamic_correct_1 then test_report ( "ARCH00289" , "Logical operators are correctly predefined" & " for boolean array types" , true ) ; end if ; if locally_static_correct_2 and dynamic_correct_2 then test_report ( "ARCH00289" , "Logical operators are correctly predefined" & " for bit array types" , true ) ; end if ; end process ; end block L1 ; end ARCH00289_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00229.vhd
1
9414
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00229 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 1.1.1.2 (6) -- -- DESIGN UNIT ORDERING: -- -- GENERIC_STANDARD_TYPES(ARCH00229) -- ENT00229_Test_Bench(ARCH00229_Test_Bench) -- -- REVISION HISTORY: -- -- 15-JUN-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES ; use STANDARD_TYPES.test_report, STANDARD_TYPES.switch, STANDARD_TYPES.up, STANDARD_TYPES.down, STANDARD_TYPES.toggle, STANDARD_TYPES."=" ; architecture ARCH00229 of GENERIC_STANDARD_TYPES is signal i_boolean_1, i_boolean_2 : boolean := c_boolean_1 ; signal i_bit_1, i_bit_2 : bit := c_bit_1 ; signal i_severity_level_1, i_severity_level_2 : severity_level := c_severity_level_1 ; signal i_character_1, i_character_2 : character := c_character_1 ; signal i_t_enum1_1, i_t_enum1_2 : t_enum1 := c_t_enum1_1 ; signal i_st_enum1_1, i_st_enum1_2 : st_enum1 := c_st_enum1_1 ; signal i_integer_1, i_integer_2 : integer := c_integer_1 ; signal i_t_int1_1, i_t_int1_2 : t_int1 := c_t_int1_1 ; signal i_st_int1_1, i_st_int1_2 : st_int1 := c_st_int1_1 ; signal i_time_1, i_time_2 : time := c_time_1 ; signal i_t_phys1_1, i_t_phys1_2 : t_phys1 := c_t_phys1_1 ; signal i_st_phys1_1, i_st_phys1_2 : st_phys1 := c_st_phys1_1 ; signal i_real_1, i_real_2 : real := c_real_1 ; signal i_t_real1_1, i_t_real1_2 : t_real1 := c_t_real1_1 ; signal i_st_real1_1, i_st_real1_2 : st_real1 := c_st_real1_1 ; -- begin L1: block port ( toggle : inout switch := down; i_boolean_1, i_boolean_2 : inout boolean := c_boolean_1 ; i_bit_1, i_bit_2 : inout bit := c_bit_1 ; i_severity_level_1, i_severity_level_2 : inout severity_level := c_severity_level_1 ; i_character_1, i_character_2 : inout character := c_character_1 ; i_t_enum1_1, i_t_enum1_2 : inout t_enum1 := c_t_enum1_1 ; i_st_enum1_1, i_st_enum1_2 : inout st_enum1 := c_st_enum1_1 ; i_integer_1, i_integer_2 : inout integer := c_integer_1 ; i_t_int1_1, i_t_int1_2 : inout t_int1 := c_t_int1_1 ; i_st_int1_1, i_st_int1_2 : inout st_int1 := c_st_int1_1 ; i_time_1, i_time_2 : inout time := c_time_1 ; i_t_phys1_1, i_t_phys1_2 : inout t_phys1 := c_t_phys1_1 ; i_st_phys1_1, i_st_phys1_2 : inout st_phys1 := c_st_phys1_1 ; i_real_1, i_real_2 : inout real := c_real_1 ; i_t_real1_1, i_t_real1_2 : inout t_real1 := c_t_real1_1 ; i_st_real1_1, i_st_real1_2 : inout st_real1 := c_st_real1_1 ) ; port map ( toggle , i_boolean_1, i_boolean_2, i_bit_1, i_bit_2, i_severity_level_1, i_severity_level_2, i_character_1, i_character_2, i_t_enum1_1, i_t_enum1_2, i_st_enum1_1, i_st_enum1_2, i_integer_1, i_integer_2, i_t_int1_1, i_t_int1_2, i_st_int1_1, i_st_int1_2, i_time_1, i_time_2, i_t_phys1_1, i_t_phys1_2, i_st_phys1_1, i_st_phys1_2, i_real_1, i_real_2, i_t_real1_1, i_t_real1_2, i_st_real1_1, i_st_real1_2 ) ; -- begin process variable correct : boolean := true ; begin correct := correct and i_boolean_1 = c_boolean_1 and i_boolean_2 = c_boolean_1 ; correct := correct and i_bit_1 = c_bit_1 and i_bit_2 = c_bit_1 ; correct := correct and i_severity_level_1 = c_severity_level_1 and i_severity_level_2 = c_severity_level_1 ; correct := correct and i_character_1 = c_character_1 and i_character_2 = c_character_1 ; correct := correct and i_t_enum1_1 = c_t_enum1_1 and i_t_enum1_2 = c_t_enum1_1 ; correct := correct and i_st_enum1_1 = c_st_enum1_1 and i_st_enum1_2 = c_st_enum1_1 ; correct := correct and i_integer_1 = c_integer_1 and i_integer_2 = c_integer_1 ; correct := correct and i_t_int1_1 = c_t_int1_1 and i_t_int1_2 = c_t_int1_1 ; correct := correct and i_st_int1_1 = c_st_int1_1 and i_st_int1_2 = c_st_int1_1 ; correct := correct and i_time_1 = c_time_1 and i_time_2 = c_time_1 ; correct := correct and i_t_phys1_1 = c_t_phys1_1 and i_t_phys1_2 = c_t_phys1_1 ; correct := correct and i_st_phys1_1 = c_st_phys1_1 and i_st_phys1_2 = c_st_phys1_1 ; correct := correct and i_real_1 = c_real_1 and i_real_2 = c_real_1 ; correct := correct and i_t_real1_1 = c_t_real1_1 and i_t_real1_2 = c_t_real1_1 ; correct := correct and i_st_real1_1 = c_st_real1_1 and i_st_real1_2 = c_st_real1_1 ; -- test_report ( "ENT00229" , "Associated scalar inout ports with generic subtypes" , correct) ; -- toggle <= up ; i_boolean_1 <= c_boolean_2 ; i_boolean_2 <= c_boolean_2 ; i_bit_1 <= c_bit_2 ; i_bit_2 <= c_bit_2 ; i_severity_level_1 <= c_severity_level_2 ; i_severity_level_2 <= c_severity_level_2 ; i_character_1 <= c_character_2 ; i_character_2 <= c_character_2 ; i_t_enum1_1 <= c_t_enum1_2 ; i_t_enum1_2 <= c_t_enum1_2 ; i_st_enum1_1 <= c_st_enum1_2 ; i_st_enum1_2 <= c_st_enum1_2 ; i_integer_1 <= c_integer_2 ; i_integer_2 <= c_integer_2 ; i_t_int1_1 <= c_t_int1_2 ; i_t_int1_2 <= c_t_int1_2 ; i_st_int1_1 <= c_st_int1_2 ; i_st_int1_2 <= c_st_int1_2 ; i_time_1 <= c_time_2 ; i_time_2 <= c_time_2 ; i_t_phys1_1 <= c_t_phys1_2 ; i_t_phys1_2 <= c_t_phys1_2 ; i_st_phys1_1 <= c_st_phys1_2 ; i_st_phys1_2 <= c_st_phys1_2 ; i_real_1 <= c_real_2 ; i_real_2 <= c_real_2 ; i_t_real1_1 <= c_t_real1_2 ; i_t_real1_2 <= c_t_real1_2 ; i_st_real1_1 <= c_st_real1_2 ; i_st_real1_2 <= c_st_real1_2 ; wait ; end process ; end block L1 ; P00229 : process ( toggle ) variable correct : boolean := true ; begin if toggle = up then correct := correct and i_boolean_1 = c_boolean_2 and i_boolean_2 = c_boolean_2 ; correct := correct and i_bit_1 = c_bit_2 and i_bit_2 = c_bit_2 ; correct := correct and i_severity_level_1 = c_severity_level_2 and i_severity_level_2 = c_severity_level_2 ; correct := correct and i_character_1 = c_character_2 and i_character_2 = c_character_2 ; correct := correct and i_t_enum1_1 = c_t_enum1_2 and i_t_enum1_2 = c_t_enum1_2 ; correct := correct and i_st_enum1_1 = c_st_enum1_2 and i_st_enum1_2 = c_st_enum1_2 ; correct := correct and i_integer_1 = c_integer_2 and i_integer_2 = c_integer_2 ; correct := correct and i_t_int1_1 = c_t_int1_2 and i_t_int1_2 = c_t_int1_2 ; correct := correct and i_st_int1_1 = c_st_int1_2 and i_st_int1_2 = c_st_int1_2 ; correct := correct and i_time_1 = c_time_2 and i_time_2 = c_time_2 ; correct := correct and i_t_phys1_1 = c_t_phys1_2 and i_t_phys1_2 = c_t_phys1_2 ; correct := correct and i_st_phys1_1 = c_st_phys1_2 and i_st_phys1_2 = c_st_phys1_2 ; correct := correct and i_real_1 = c_real_2 and i_real_2 = c_real_2 ; correct := correct and i_t_real1_1 = c_t_real1_2 and i_t_real1_2 = c_t_real1_2 ; correct := correct and i_st_real1_1 = c_st_real1_2 and i_st_real1_2 = c_st_real1_2 ; end if ; -- test_report ( "ENT00229.P00229" , "Associated scalar inout ports with generic subtypes", correct) ; end process P00229 ; end ARCH00229 ; -- entity ENT00229_Test_Bench is end ENT00229_Test_Bench ; -- architecture ARCH00229_Test_Bench of ENT00229_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00229 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00229_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00573.vhd
1
1524
-- NEED RESULT: ARCH00573: Library unit is visible passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00573 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 10.3 (14) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00573) -- ENT00573_Test_Bench(ARCH00573_Test_Bench) -- CONF00573 -- -- REVISION HISTORY: -- -- 19-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- architecture ARCH00573 of E00000 is begin process begin WORK.STANDARD_TYPES.test_report ( "ARCH00573" , "Library unit is visible" , True ) ; wait ; end process ; end ARCH00573 ; -- entity ENT00573_Test_Bench is end ENT00573_Test_Bench ; architecture ARCH00573_Test_Bench of ENT00573_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00573 ) ; begin CIS1 : UUT ; CIS2 : UUT ; end block L1 ; end ARCH00573_Test_Bench ; -- configuration CONF00573 of WORK.ENT00573_Test_Bench is for ARCH00573_Test_Bench for L1 for CIS2 : UUT use entity WORK.E00000 ( ARCH00573 ); end for ; end for ; end for ; end CONF00573 ; --
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_read_fsm.vhd
9
83511
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GxHSzqTtblpkUHAJ93wWcwL6Yracnfq/kFHkAs9MibuyJmvtXoqEnGkhdWUxhHNHfhmjTDB60W+y u8NO2Q5XHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y0KmPZ2tr39k4eS0jlJH8rEYHkMO2fZTlGCdL2f66RffkQmqNNZK4BPILwxJ0co9aOfEsf6dkSPj /J6uIN3oR2QUIYs+NeUnuwPw6IGWgLjWQShJ0vm5AdAJgb3L7dQYIjgBqcn4xTbMeh9LCbyu9KQR trjgVXrWe6tDa1ZfNBo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PkSWq7SpoOe2AVmFlywpgIKIgfBNSlHkBrC/cwpek6Sp0lDaWDtmQ0QZsaGi8u4abKagdh+Mhdvy aWu8dsgTG2rxdZTg5WrBKGz/GJQbtdzkFF2H0eJsJVrCwjj65HgjcTqdekOSzTrjXgBExn7qStaY En/4DIpDkiTaxG0ydc8iEn0wFHBywNlwsjQ/gA8BIOIM/jvZDUWyU1tqPFFvIhB7xnBtA4nQf6aQ 0BprPkdO8KNqn1/ygP9DaAh9dIO4qo5LwOnMpIfznVw4QiipYzOxFX7sOaydYndWrlkLY++E557L D0bt9ljM4XuoSM0rbss+oMzZVDr8TTMKhrPHlw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eaQjjMsB8ew+hz85391HS7BI+6oQ3kC51zS2yE94d0IJmeJyOXqSRAONaeD6VtQPf3FioZBMRZ3c xcVXKUTrElDQo2SjuFwOoN09XvQg8twLhrUcS2ZpUsUuJ431h6fxuFPD7fTUtUf0aEfTLWG/6PtA Tfbk4FgRilwau4uqpeo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block H7VhuOlhnuM6JVA/Dn+EaoCPnSmKzMRPfdXnXG7O1DmK0vm5fNN9Dxp9/lVgnODrkeCMrHZttnAG sFVpXIHwJQ96PbsaIRpaPSfuVxCcP0m3FrX7aD/LMWmGmG5KMJBbJzMHy/mCZ5kJKkHI5+dSl6Gl SN7vdSmyQREu+cJf6aRpd59l+8LqpCiUssJtGG1McohRWARgTkpw5coeDHD95t9BTKvk4SBmW9HV FluGpmc8KBDKwtTSD99ZRgHVFT1PEG+gIdJ2i7Q8Dos5dHHFCUAYXgZZRiKSqzOuLPldlTi2IBIC bNLBqVG7r9a0sYAzWcSB/E2RZzdiCxIdsQrXgA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60080) `protect data_block AEXGkGW/4HRuhwjkA41QvcHcXNEaJRi66czVqWcd1V8WHN7dBE3WAdkk7nIAiMd5QWEO7aXCw8nm o1zpqXU1Dwas2yqeNNlSWp+hMjOJ/2STF/Vd6xNc30OhKHzQz7iUhFxzmVaVYp/J9bi03MkUBq7T bA180GA9lKnQVD8DiMJj6uo2cbQiCvt4Vkjgflf67qyoWKlU6Cy1V0CvHs99PjIcIWctZX2ILtEZ ENCnNSrBRdThPHE4clVvaQ70UN6IFoN4pL2cH+c2r2Kplmh8h//9DlAlbvMyWO64Ip3k38rdOZoy 6iFRfeSWkgUukZlDJps5o3Hjil2q9N/XL5VPfu9XKNOFUyyLwj72YtDiPjlnE4A8co9ha0B2/u3b WCxQdbfJdTDP/qn2mX5IpR7MdRNsUMlOTMoYlqB6aamhWaORyWMYB+7qcPXH+FMHFlihyd8VOWJM YR+QQ8uIBMCbUrga+Z+DxOYEwsCgSdZ7FTQwhyb8e8AQIKn8fgWVwu9u2VywvdZf+wDU3krm+0OY L92B0DnTIbhB+BZ5BPfPMkkCgVaiZLOBFRgT86ezyO0S6Qo/vAe8r7fTOHP5DxB+xkWqj/ycXmlm T2sLyEDkKape+WdtwolMhzjz5YV5IrsEq3hBcni+t6xlzHQr0ElUpGHAv2fWzfwoeta2tiSbYm/2 w/ebsSG+BeBVQV//osQ+DPXNYAu13/VWUFKkFznUmxZTxb6cWw0RJ+vzmxdiWlUVonV5Wyol3our 86+CaayDGrH6cOqG51ycJDBNBLpsLhwCtIzWf9j7tX9HsQD0xsNSuPhrnNQDS+JS1Set/an17ysP CrK4lzgBNH6oEXBSoD0a1OZXS/VVOXTEtIgQRv78V+sAM8f9CPdw3eNCl8qQ/S0Ei6Z9e3AjW4sp KpJwTSuge1vtkyT9EBYxc/qgrnZu1+gvtiJVNtjnGPlmF37kD23JOQZ23ORTvmm2fFI0WnoiFlGZ H+Uek8XtyfpOMF1MY3T7qMFRjTBDWA+eRjCcEGiLShaR8Yh5CHPK95zIJVl3++plEoSnEFVv6vet GQcwh2UK0z57ntcgGcH0Qp5eK1WYd2YuzZkLJqwPmC9zJHB0tebnr3JkURJ7KDe2bOk/tvILbVNd kQuW9K6vajozAPFjmtUvzs94Bc5/Rl8GaMJEx2QWhOZJofiG+NT9iFqIOTfIaOySOgQ1r1IEphIg FYdzFz59XqsKMySAkpofevWhVBZ/H0j2AN02DLpSmvsTNv3Hg2g66UJSxpHQIimYmNIEB2Fs826J ryJxYSGIqaNfU9VcKzrqu2EAsfX4/ea/wiJQIJfBgJy88O9qgXiZxY8A103Ur4z4hX9YKDo7562p SHbtzwXB5IR+aj4OrcjzR2hkXOrXnWtluzQw4Upc0scS4PKKcyJUHz0xsVcwsARYOGqd1pmEqqQo LMSnbynyJnj2aePgguwOKjrEh7EIi1z/DQSs5kKFi7nY5ZAvN2c7xORGXJpZbrWdsMxkViryp/7A BRjLmSRCoS+uSvd7GIshbxFOZOCFQs0eJj6MlaGp4KQm1qMVkQwE3qQC+GqAhNvANrMFhjPRZwcq UgvgprEDb7J2JMNMbE2nVIzMOFSeh6e/nf1PQ4Jf+VC+4njFHRj2ao/3CAXgkvxictxUHkIrVJwk zENVHimr9gsc8OI7jhmJhuO+dxRNOv5tj8+jb8l5GgM1B6sEnLxSO3EdRqBXVhIKc4wxJ040d7IK 5uaAB1pfVAhR+iHgk7adTIHrwxTY4m3t5bn9fsex8d55Nl8NmJf5YWd/4+q7AKpGsfZKOBMr+4Nr zUsa6UuT6Y/fnH5TGG+EDqXTnHhB2HxAgyjWrZh4i1FWrFhbts1rHqkJXVrQVlfbjUW5a3SQEbk8 WNYIqcWFLccw8tzeRyVCGiQriT57yB33Iir4b3FCdYOL+6vbHSZHCx2qo2l/uFxYDCaujTk4bnmO o8jaSbG4K5lMpzewP3Bqo0UIColYXW8Z+HaUJfvu7pmAmk1sUZXhjnUgZliAw67HKGj74et7Yy1c UdoWeLQl8vZ77qk3b1eJOyiylQ9L1iAHU357Ghk33NyEnmbPKbXJzd5qPAgK6KH58UhChnlW15Ja mx7AQZ25ouKSMXeYv6a8Ypxm50tdnqqBe4lJdl977bjjUMoxBC7MhKOlvLxURjvw5vsLuttCqPhF UERiKSFgm3VKL3VrL5FnaWoR30q+PpthMwSNV6UV9dxVoblG0t3gD9TnnSahL4pSCy/xw2Kb1rBf foi8ZdNNf9V6gNhz3ZguZz097qq0Q2EbUNn2KbrOtEsAd0/dr3ed2TfrjjUzDWB6bQ5PCCOvJB85 jgc8xLuSMqFyuu6OXsYd839NSCPvSDl2VoRZt1Kv7xI4IYL34pFUL7L6Zw+YOniT+Vfq8sQ7isXh hPeqO472ISzvRftQKmPFuWjzEYLzkDphvrLZjdxYLs2z5pDyZ1LVZPPM5AFpx2yPe7jijbW6yj/w ZCTk5N1vEGbrZPmrphzkjBW+Lr56eFr5m0UtoxdWtoxQ4O1Ra88aDibqJOB3EwWgxeUeBwAnhp5/ /zSMUUNOgqgzykqA9vPyrgZbTTlT+jC1KEdpu3MGzZR4EaigbxUTVBKFT+DFZ5Wd0ds4kHWv5892 c+p1zTHCRkWGQpzDMJrkRxt9SFtKCMeRHRoMsQfm3h9DUCCWvQrZ+PoHYt+c5XeoiWzAypPrUtdf wmZg6GbTn2H+Dqx5AikxOWs1fZUIKLvvmGxBVcqQ/foQGf/P0pKUWZF0vCi8FPi3myZ7e42W4EUm /nJ3sX/yob21EtCBpG7eb4Ml3/j9ctsTl2rM9kye7cZiMduqudfczjdJsiMXBXdCPFkxcNsiB1zi +eFYSV1eKD2ymc8/6F75Pu8MgcmLusL/yQ1zy2wNJn6c3q64/gRRRA45dU/UaBB0nNWr8tanEal5 2MOrhPjl2DWOw/j11rHtygT9IN1uVpTP8UhqJv6NYZePPYFjeXgf1SV8zGg5tJ5xVJwE+g2pq7c+ m1WZ+gMkOfi0p/5qRxtU19tTFITQkrPcGHzyUt/h1xw0oq+bezZEIRs4xuYnhoGcwQJyXY/54Zaj SJAgg96bm5h7wZIJIVPp4RGbALD8zXlgo43doXrX862nnqqyJ1MK+eP2qrzTcvj2MtGjK1y1Z1mE qJt1FBPwyXNBCgCY9OtT1OiLaTjeEKS8WaGtiFsc0OjmfiaEEF5Bj9PaZRAhMG0iNKLSHql3t1YN Xpvs6ElSDjYpjaxwVojV5R9FvHNoU8+MCq4DObwXSEv/PUiEpcttPxv3lsSmD7GWEobMCPl5ApSp X0qit4t7fxkdaC2JwXIvl5PmWkGWmx2Z4iSZ3IxxIZal0EOxbJShtuIq9GiKF0N/DPBsHMLWm3XS 5kKL6FE0sQA35TFuuQmzv73Z0ueY4dzLHX05tUZg919JcPHVkfktDp2L7a040GAbyAl8YfPYreRO FGtQs+HlGNunxl4tUo/+ZbHF2QpKZKtuVlqHAiKamVBqr0xMXdEXbFU82AoezbTNESHPjfAGMYzP onPNs6PTBhQWzPu2VvBqAKvNYjhJN+DqMxZ8WfkwAC+hevvz6m8J4eIW9JAiqk7cFABKh7Ve9A89 ZWcKJVlWkS/5yvddLR45PqbM3Fs0HsXB2KGk7dKfBe2Naf/B1V4wIL8EgVpVcsMw6JO8fsTw74cg ETwQEfDtyuR6Is3tJ4V2B2tdI5sEfcHwMXuFvh5Y+pDWqEUw8MMtViCC3lqH3N89M5ZG1pHslPsS aTY9nkZBrACPd1FzsL8uVMCaktKOC/4DXHlmhjB8YAUQV3CN2X7xE4D2yBaQgd/tFWSD5c4mBFW4 k2pWuRHAobnkiypYaXc7ie61JFA9SKlcxe/zS7U2Chh8GSBOnVMTeAl04UYUxDPTTUE+4KC8XTEk OOwUV/TYlqgcFVCxDcHC7ksBNw7+iv8yBCT5B9sDb8bjMsR3nbMnqPSZNIwu9XrRtATMjUzxnYVa PDcez0KPoxO61j+/IuqTIrDxhRF3V9eqKCQj5p1SYHk+xbgQftz4pH8tbKak3MTGcm80tYxxkrTn dbMIxAwesCcSxymRpWPtwY5hmRLqadynTekwE9e1dYXU0fhXuvSoIVWglwFLVU1lEAJ9/Q6G6enE t30l+0mJkT9ZGtSUY8kn7b3sZNUWZNsprbqhtNwzNf2TS9yg/6NAPDKc3fGMN5G8y2ZH4WHbNdIJ gNVJ9PhcWOWB/7GNDgUTxzuXynQ9WSkiILRym4krUyEk2lgG8TBV8YyaLrMngGCPQKStb88I/kV9 S1ePksb0L78auc8dr6Z5toWCYCF+UCLIdP3WD5W5FzAMkZyz4A9JCR46sIPhLfG8KwL1frTYv0Gs rzhYXN6sG4VhH9Mrb1jmYdpT7HZ25E3vWQWvvC6jYzfq21fBB/WzwvM6f5hqVLZwDDtEn9gBX+T9 OpShuryEV5AL7PK4VXNutO9lLSlUmUckgktvimwRsCRp/K9RPRtGf6eb+sybcit7HgSkvE3DcFpH DgWgZRGhbFKggpwZHh95m+Xun7vAP7LsBHwCHsUSw5RM3y12IIvfnt8vNn3i9ThDZiSquFnug1uk kYxvd+64LMGWuCIzZ+mgwwBfzh7mou4Fm4IEInLGnQf7GDeXbrw7bfHLztP5JTxaUklLjYnQgCW9 uyVroF7IHUEtzYp5yoR+hvNF7KMAJOqWs0oLZOcZPbHzaOGH0Bk4Kz/+Pi0XDljuIXmB4qAbKO9z TJVs/xWz3y9M/FBG0MknzyK6edkWbnfnfwOvGWkPImSmvZ7xibBrRDbSeeLoUIbT3WKP6HQ9AJbV 5aWvkQBBV1bOxs9UNpf/esx0FJLVzX1g10400Lb3YURqtXqM5agqfXmoYfpRlia+YG4sr/dwg7bn +zoYnXAXlmk2bjeH84TDKKelOqnT34EKFF7NG+F6Y3QEua+Ki3uO8sFSWRGHR9S/xCGxOYzqfWTU 8CgM5UrKW6tFFZlH8jyyi5HUmagJjQH14HQorLqnn1Y4yNeish8suWTyvSma/U0lTrrt2FarNLDX 5uaL18sOwU9zSNth7pV75aOD6xbOZ5MzND09qEDz5jEPxAwaDAbCWhK+NfzXFODJanJMvDOvYVfq KQZoiLRjt74/U6d8/6xSvaXBh8U0fSWAqaMTlhMJFJbcQuaOqh3yQtYHP0gIWoq93aiNj8aNE2TI uktkiaKeWDi3GEn4FTplfZPsrl5uDzrSpqGN4tZWTMpR1IvBB6gIiKV1xvHbVcJajUd+WPSwQDf7 QJw1/iNkAmPyCT0UNIMB8vKlGD7AlkEfNKMPTt2e7wyxgP5FR65dJdAf211W6kxAHlo6A7MV9shD VTOAfwn29YgfoweXMxNxnDoOnCLSY2xskADNe9LZ1TkTtldEOoMhBRCLwn2oUhFTkGLpW6jpBtBg skYGKLIPi7rtnbrdNpK1885/J6/IH3etaWQyaPhlhWNTyC9g3G/2B0HjfV7726+Sx3MX19OCOxHc G8g9NAzfDY9pAB9iqOKVhXdNGBByKKaz5NmXw+F/6ci8igJo5l3OQ3zMAmwz3UKiG9kOmNaB3Raa NSkVWsO4xcSzKPJbqQf5Fauf671LbCP1EWYrg1sAUJY41AtjXqMoCGd2epxUxp2e4iNpVYEkQdZY ZwXevvBBgJBNMNJ8x6Gso65sPrltBLzVFKeqwyw6MouBbHq73KpilRyk/8Q4Jgiycv6NQHWtovqO TN7JqDuNkMxihPaxXtAoMu7A3RXwkmOAi48nSCLTJHI+l5y43P6JS5Ww/UlV4ij2cd1q4pVkcvb6 t0z3puUBRp+jc5NRfZQSe7iB64kNutaPpOSMMZRuZUjB8z0BwdN8UtjVES2hoP7Y694FSpFf7Lcd nsyE3O1IJPjFXSrzHnxBCI+T4otmmajzZgM1EBOilU7ya2TXxqI+7gK6zZE5iSL9r0TjxyA5SBwL us5UM1HyfjwEQ10y6Uk/CyoAI6VusgXdDyGbkWnCPMlGI5zvnupTwc49uZHG52XbruEarDDWMIrs V9anASrjYYMx4R7xWOP/CIsGRFgiXK33RvGVjeABLWU4g/f0FF8iU0PXm9pBwZjuMYn2IgASIF3k KnDLp1guzNY4Mdhc1bxFLbjneKOfV+qBUx8a5n5wzzpajByeh4X42TeTldFFdw81yYsOXQqi9K1Y j2Q28zYMbjn4qwaZSVMTs+x5YkvsLSSgQRNv8EuWW7xj5Tg/9wzQpmVp1fBzaJu9npxXkB1sjdBx 7bzOSkyRqcj3vhqtC3Q4TNkkWy7AIDTT0IyWXPP+OPA0X3PA9beJvM/ieXgzkcPRFVMgDaGN2gpI e5m9lqKxFEDtmYFC4hMmKM7QukVA2U0rv5zCiZyRJlgjSKwKcBdG530xlt0hgAT7kvVOwX5XdL/8 RAKXls6EGQtYdqQ/vKrCjRhWQcnYfaUjAM8loFnv53jbTZK4H90ncdYZrRBu5cCadwzqphzqRZm/ gCNpxvWgdS2qqC3HQQDpPY1fvMadiXLGkYMV8/5kwVpktS2QZ+bs9XWX//a6KclCdL6ankJyxveo 8zYtPRGBn+bnE1Z4SbpAMtVBpQ1A/hNZlty2LWilVIC+x5vQlmmOECEYcm47PbC6yZvucrPZAZ5V XotoyqWVF8PeSgqja7JAuwuXG1OFR9zcrbVk0YXq6n8ldqbSf6Xa1QqGvriUfUgGnIWDwpNc70MC ucU2578pQmXO/JGz5Iw/3KGJDuBoDLyJK0twmGzyYPEa7sjIQurszZ6GGOyQwPNf1ewLiBQcgjCS qXyXpLZn82Bu7k7UyNlNOo+WT9fACAr4i8cqrpFNxMfHiHVkgtvrGNpd911HutvNgvudzeje+6sT AMS4g0bWXyjPPe5AAo2pC8qUytKnPE6pYrnEA8BqtOp/7wREPO2jwAY2UrnTIxB1OqG3hFFcuMlH O7lDk9oqUepXFuO33oXFgyFsbm9iAGWRkb3oyiY91hvMQfT0dHi0kGAsb7E9FWkoyiFBnvGGHfkf BYkF4g7wNNNZsYkVxnVzui4xaJiK1JMuBaSnca4Eic+2RCtfX6F757F0gMuYjbddf8FhtwaU8rsj FWpsXUtnmzYnEWI7ROlsJfXqUegKbXXQvAVa0m6JHA8HgAiOp2rwbkJp+7DF3ZGteeF+ctiaDlxP vdrf+35QP4IV5LJpUgV/vTyAQjDqYz4l5HW4Q5T4MRmcNxm06gBpIKlUpdVZLc5yHZ4j2mpe5rfT Gmsecs6CIf2TEbpReo0AMEiD9CE4Ampwix0iO0WQu5bRxvHaFYL0yEij1REeFWKgKMKbuNojMgOL OG09k9L6S07vIWHdPySgcvlIr0c+tk6PPqkrtEyiQei4HTk/Ac5UTPPgjhb3JI0TBXinjVBRoDA1 17LS+mlwSaf9rCf+fwVVbAMr3z4Wk3eUKLbf6Y0cLW1yJQ/mP1iB1rLh2DSp7lTHg7aC976Xf7RL qOs46RyXdu5YofHO6uigwW95f/FozI29aK2sJ/5r7H5ZObp/uP1MPVgnsOkAOCyYKLlX7uRSlbUo iQMTSjHMwC99EVLdLKVd/wUA8BQC30muWE3Wok2YIdSvJHHrmVId3FF6r6CIi5n2kvmTFx0qJGsS SLpDBOnDnbSezNQK1DJZovFiWOIBYU4UGwAMoO8pFDUizan9B2LYSZqSi8c1hlLXLb348ZBu8Mix o8KWs3vqHNM3+7nb5xBGVko9vFXRWr7py4oh3fGfH9F8ayn+zjBDhymvp3q7TF9ii/gIi94m+nRN RIywQG+gJ7a20VEUHBbLdSJ60X9UR+5LgN0/fznlhCHo4uWT7wNHL7Vb5oquLdJdUajyayKnXv+a C68LrxYWFP/9dJmaSxm5PtZak3Y5fUUGOwenkIg4DLROZ0+IgHLCIpt8T2PJNF3J2dt++q2g3y/+ UOz4jPDVnyjrWMJ/MuUInunHE/V4g7PTT3DJN26s5EGfeOHGICUjLOXl9sf8ye3yjF7C2A6Z1Me2 gHVALvGZvQLqexGPHzJ4CGNkzM8kcAXGZoSlmKu3p0mgmrKB3AKWv0sdgbRkr18t8aCZNXQVk1jW Gff8E16P7azh8+unZxMRbMriRWde2rsO/YHkHVz84co5HK1ZIEBniQId943We/YlVACXSb/UwfUU I7X+bWABX4t6RGLs0S/2hn/3WjPmoWfddQYZadrOGGzAg4S/i3jrdvXeoRxA1FTOUUn6g0WfXNh2 Ft5peMSlPsekA3UcCuKA+PBU0f6Nmp7GyXVJ9SMmW6wJHndt3rH+ZYIFGxk1bwYYithWK5kwj0xB lmdCzNYka1HpM6UNCPPttF6aqWsJ4wm5OaSY7/5f3X82QK5tnvGF9SYdMy521m6prso0SQLkeoAb swCFePM8Vp3pAi+LGVmGtV/ng4PgKMqfY6dK82rjwGEF9Ydf/se2rgtCjiYelD0J4/B/LTowKSeC regEWqTH2HTbf3C2eE92ZGYo9luT+0w3r7bWBbrMSKUUaHmEZQMOz0rYKYDplcM9+lP40Vl/DFq/ 53wXMvKELGdYbpPPDK1NWZFqIIsgL7w4OvftG9zaubUJf56idU7St7gcyeCdtVl0lbgRfMe8PrBw ukISfauNxh+Ai9TYpzOEAy3QnSxMBAPqbSKCB+LezXy/wtlDcc4edaHsYhQAgpeYVHAGgswd+u/X dIF8HqwRh66j7L3It6NEvCWaxl/vOuKofmM/y22Ci94fkXgOfi/y+r6NE2pGbAF4cZIZSXWUgUIr F6LditXqMFn2fBvQBIJ5Muglgjny9sliaV+M5wORVx406S66SoivkH3cVUH9OSc9hW+4isIBiHBN qrxU5Z+1VbfdclHV1n0uBkBo4IHyqAfUmKFD/NkFE7DD4e7ON1w0Tmpfl0qYTEdlYiGofTsol+vg J8iwAPOmrVnB48VbVPe9UjN9H6JZGlI0Ed0HFWOc/urwyvfWEKpCc42KbQ48UPN91Jt2YpXVsVTK hsvnY7tlcjKkrtdFPMiPhRw1Urac3nRI3YR17WO+KhDMRcwhPaCJ+6SOHfsOnhYSMM9L0ogmBnGy wQdw1RTrZA8ZxpB+PATprxRXI7h7L5HJWnS+Xgj5IZEORebmJ3/dfgYCBXmU9fpdiR9F/Q8r2dro k63JZ/LpHG38IWC2lcjqZGRD5gDyrCf6/5dLQ56X3zWbWI2kNaCAEQSxZsjo0QXoMv58Sx9craUb yyYGHGm5PpadLq/JrG+B/EUaYd1Ud5ixD/F1WTAzPtp3iKmLi7iqMBWQ/q+WlyuGaw/kU2FTkHB1 qCneAfGxJrNzSPQmGmQv5LF92BrFZQ9d0PecG9heQwYd/jjviPnxmtK3n2OAsm3J7VGY80qgWuax tdIOiRRqBDxHM4PA0oYLmFeOxDHmWlqCibT+j098v5ez/Zvgz9nQajNbsqIzTyuPzHoWYMNoUGnM Z3HI2uLW/dq1WzyIWuntoMN1ubsN9c7Pu/O4W/q9SyhEXGO/cC0Co7oXydcFvboPSSU+YrMlQIsP 5N9dwk03Jbc1wR7ODiCl8/NovE8WcsRVZ/GacTS0JSuaxxWfZwfi6XHowH91ZL+qF0M5ebjdlsrp ecvsA8bDs5uF+h1HfjVNAACEcR+wcALypGRjS9c2oH/CsUKRWqx18MXH8zT3VQ5bdidY5QFKKpfw gE3Ntxqki4YIIEKfDTYxb4z2KtQ9eg143k2qqwu8Ja32bTaP2kyHRYwYlbsT5EQ7hxoptSTsA7YB zz3bHN5wXlIFJFMroewEye5hvHdUa3+x3bEEm8RsUcaJjuAcwhmDdWvZHZ0fi3ubnmoIEn72bUmk SJ7HQwJvlRtd6MVhsiC8Sp3KW9qBU00Jh2wsguVUiE739INRDvHxks67ibSmkRcBK1Glrwni68Y+ squb5yQ3AGM+tFGbOc0dVlWEZ3+aB5Q6jcJt3LXrNPf1s11Re63+JX67ay+kMS52+hi9nqWU72Fu /90q4q4fqHq4M3jDsDGgLkl+cMFja7GwgGLEzQaSZowywYJRmZIEBdYwCJyAX607+olL3OiWy1+s rV7urbf7GVxOwugqx8A5kCa4YQW9BBBDDYDxY3Sp4ezCq4B0grids3Hcamied2cJQF49xEalVUro MAuYkhb7AGS8OtEz9hft5KY32R4VsgxfbClTr/HYyr2zTP5mGb6ks/uGf6+hlEwoJwe3gosyh0t5 H5q9tiWqs8kJQzZHIU4yvcNvCAmXwMG46TFPE3hXvgeJkYO/v27a1Vbij2LqKBGmGxfw3dnDGIJU NZrC45ddIRlQ0uhBr0rkHwwI/tJXmM0OjLXjRfUo8LdEtutPjYpKCC8XHqwzIeZeJG8dISCT6Bfz d/St1C09epN1haFBd+07yNvODyRyLElke0ZWARAmHHAERjauIFjP4GQMe0cTPKP3/9mrEMvhcKea QyEG7QYjOwlEk7LBuThBDV9rwTNFY66SDSU0zkPdng24JKZsIcwYlVWf+8eRIT2QySDBXFpq8gqN 4jV7BSur0u4frPi7KgeJjLPGvk7iRkgQ88EIEJguhFLVl+Sf0YyvgrOL4inAYrL6cnLC50wuEYKs EaiafWrbbAlkcQqmuCqwRVcLGWAmtBXRlLa7QTdgoDEaxceSWV0CprMGf/+EEQFXN64bWO0KdxTx fiVYklKcyOEdVy649DlRm5jW5AQ/g8n/FRCYp+4nyOuDFddWwbV/a8+qzpIPconrGaEbDIcU71gF wsd83/FQITQAwSoL7b6GvkSgAqW8pGiOSkJsh+Y+6q5C6IajuVklkKftT0y8iPOcqBY4lwDRoZlU kHAvNuf1v2Al+5jGhBP/Q6OJgTrXNLSwg98EGBaSNtGUekOCYThGIT5Elc1jzQC7ipizW+XOlk6n o4P92hOQXULbEKjRF3U+OltG39leizipnu6wAoTZ9l0odVKVE75HvCksAK/w4xDO4a3BwuN14ixm U8zIR4svuk45UNWqoTAjgzyiHIHCzvOTN9T9ALZXX58A249lja7eZvqt6mm/3YXp+rf8ZKSNf0uk fbtjaE0+ih3A3tbp43PP3FFApVkeJGqJHxLmMv7TxAUldoJy1qHc3zQCS1JLtjsYqITdDWtT0JAz OJiQkgBPJ3XAPWVjnq8WUaZ+qa19JGlF1q3CCHx41zUBtGzVlaaIipxmOSDTiFsma8O/GKqEFrTK HvnXaIqay9hdeBaFTNkddbXybFUp+9AfApQcKNYPNfVAteDlZOGquYCLVa8xDlOoguVd2IEsr3Ef okwCf/2s0CK2CSJqA6we4owndt3GYjwiaShx7cc7FC9ytEwbNWw4KNNlI7wlC0bLOfGoQCdb3ivY yfkWG9lvvVTTJft7cjrlTCR/DyQUqRYf1RuOlatMUNyB//XbDwuTWKGFeSDaEIhzRd8WnzNkGbom HmV5cnV8eveqTjg2pZ8Znjt7OIBaGzxsTulobctfl7BNxZMxgG2AHKSEQkalRDdOh3RfCNip1XFH MN2EOUe6siIF/YP3icHxU/s2q3DuaPMhd1POeHu4b+Es8v/XaXho1/ruR6wnqX57demkCnfsjsqO BgLsgkC8lL+wnNROZPfZv0BnBkoXo1fT7qvIOtE4GfrPraBCAvjynYtMCsby5yQiDCMVrb42w6cZ +UujRJSu9gyQL76MuqWHYLH1WnHK4eL5b6BSZZn02n+MfIROl3siIT5VLG4U3x3fzLS0S0glva78 evPOpL7dYJ/Yg6cmrM+Os92LiqlKqku3lpTz+QpyxKRfBVBSE9rTfOh6KTxvfQj3/QMgznmrkzMc 5rNy7NIEPfKI/dwXSoN6t0Eq8HVE2GBZaAQcOpNL5VXIWgKYF4VpR1qNQEypMXftydAMqnf319M2 ft1/OIJDMKkgXRN43oglxw8XSNKR2LNgLBckT0LhG3aS4PbLQEGqJ81qWNs4GfrC62hB3xvgqtaQ Nfuth7FoyFPhueDnGn6By0hX36KdFkAB1NvegJmOFN7lbtrYpSMURg5tcRUAardgW2PWkx2Cpbmv HnH2S5cPQ1Kamt0dR2dRmybPyNv/8FjTEXkRZ6oPwC1Z4dbfrStCviYaiv8cwvGSOulc2uUCC132 eHCf/OMtu9SVjGJaWZalfiuYgSqD3vOsjZ7pjltwGAQR47OrIXIfzSNFkXi95vnqVpW7z8jaYgeS ZWutboVRYYOiHIwFGi9Arx7uEuezYAXeR1RVeqmFw225OUhTM2+nRk3RgyLhrJG/m0KBn6d/GRvJ HAM4I7MU54wrc60hK3VaPgJXlIPhBQG/HIwSUeoaonS0mSpdzcuUXR3brmeWFsbS3zedqu3IwdgQ 4YCu5Q6bWIv5NPQz9dpY18I2jGoNMGKTQ7vzSVrAWCpwM4x+woWXOp3qE4T6Z+la0p7wiqc+c0Sr 96ZVmz5+3hrtTCPKCeAljH7wAtCbMdiAfEq9dmLJtzBZOe2JMBUaQ2tA2IEGvjoUDZufO5SWdmID L9Mut05ASjQ1ghQ/rrOQ+8BOm7uZihwsBjdlaPNj2bRoYUCTyWDaxeRj33RC4nDZzbdAz7cVTnm7 4eMWdTBMG/oYRQ5kCoNKlJYbPIBELzo4zAo+HOyOP5OFN70sOiSKhUmTy2QaHWAuJYEyHgPQZmbn teSiCKKFcrH5DV7eQHIAjfDsMGBBBUDw0Gpx05O01alQTEu2jTeVA8fNJiGgfCIXjTrbXYPdSRpQ QTrSIXWyQm2kfkkwYwJOJMtf0SbeHl0d/f1/7JvSZEx7Zd20VReoHQPFVK801ufWkd2eqRb5CQ3U P8sw0vvHcANwZZdaub1rZ5GLsdaS93QMzLRvho9jimW1pjBYrMgoebCoLZpaCcMRImlnnnjgbXmI +aeBAeCagjVyOnHu2mRQftUNASf2Eq028wBa1m6LuN5CK4zplTTDhjIcuRW8UPhcI1DsiP69HRRF RZFenuw2A1HAaQFB8v/88xi2PXsLx285cPS0kPl5a9S8q429xNlJY57CQtK/r54PiU14EqsEvhYc C0WGo4J36saGOnRwcepJBBdAvZKzr9MWJ/3fog+k9dLHtGLB5DwenGpf5Te/mkUY9iwO+zvVQ4Ny 38tpv4fgy4NgmQqqx3mueW2znh6lYx3nufZzhZv5jUeCQYa0DKafDiFITs9w1djnmyi/GCzSzk1C Z+p2/7wwyutChK15EY8JZCPBRFlnMDQ0dRI5poouxQwrSm81qJ4+IDzPxMDWuwal9ObzJLwtkhwK zHtwI8wh4nR3crYHFEylz333JkwTl6hIqQS98Wpk0kxRhAXWaA15r9GYLZ7g2rYKQVZb+C6Ry9D9 CjpVZy6s417s5z3E2P3uJILeT6dehpsYCNUcxOTcF6/XGUIpImvOvfMOeOAi6ISRXhpJMUWAw4F1 aZAyAkkVOX6wLFz7BKlMJ/am1Q7+JAcEJYrP10cWW1yh50VDg3WhxyQgi9Q1UuDpaJyTxY6S3/f6 3CIqdPoQLCR5TtCONzqEEWWUslP/7B2HkSnfTm2c2uRJM4yX+yzZ6ZBQJnXp9aesLE9y1LVjNCgI 0ikqi8aY4Zp0UmS5dAjGklJCocNmS0kU1gP8klBb4B+Jzw476VyQTvAufRXJ8TzL7kUxmLR78aEN PKO80shQl0jTEt8zc8ZUfZsd/QPtFtPH/yhUyWvh81HMTtE8x2t+Drg00Owvx1EmN8GNeLrqvGp4 5xOvqLzUCpnD+1dY9VXDw90oLrto0ny6GsnAlu2raWLsG04UIvKoVH8wez8rEqLADwa9LoCDfpDm BBmWjnKrJlZrokI3uz555mDd1L8UqKY7eRt2mLrzN1AJ8J9J9vR/1AvUB8M9tUGB2uS65rAC/1A9 YHDWXcUE3HQl33HikY9GcP08qV84uCuG84omij7zJGtiAJMvXsleZZZ2scYZB8q9A+Nk6eR39aQ1 wQNwBxyl9V5aKIQts//A21KzH4xOI0mCMVtKnFh6/Gp7QLhnIfCdQD/TpULwo8GF3S63eDJpsQrJ rxWVvWRoihlkG1YKf8hBsIx9Upv11tYpDscsV8bDQqax7SfLs+0dUP0KJ24G8S3zJKc65BPRvm66 IiZg2fUTr+xvY3KcAsl6i5yX2SLVFgytwPbhUOPxqTTSdBMP5n3KT+7kGgSkFI2juvyHByQVh9Oc 7zXWN2YXKn3G9dDW7YRf+aC/QvxyPwnCutN6j5vUyEZQjkx3YlELOLs9lCVjHkZ0LrT61X3Ui/nQ OXT2VD69icnza3KQOcO374t0z2ZUl7N0oLo8tzQ2LACvVrN/aT1/mxZHb1XBrHJQiAUiGqCJwsmr L2LX37yY8kXqvQbUw7OLLSu1MgXQGz4P/t+nxOSm4Y8GDOk3A4WAaPgUI3j09XMYkrFFRom6Q5kV H+/MvAl/K5lu4omq6wZi82a7zn2qzCyghsnCHcFoUUjJlCtHWJlmVMPKwzQjW329YPDlCYnPQwkq Il3FXTEiMmYIhCZMtDdpK/sJqoN+44CFy53Wg+lSgwEyovRupoXm9UsGekr2YJKM60s7fEZrpD01 VCFwZvMW83ILTSNanxxEbcQv72HTbGsQUzfn7xwQArSOCqnSt92p6H6ZyJbpRbF3SDMWo5QNPaXd eoxx6mxWPRfeQkSC1O3aQdaHv11XaHTeKoX2Izld6ldm8nVDavmTANLUWG8+6iyj3JH5l0+saAOy PiSjvxq9bpvAOSjwa/s1Lj2T5XZYuNsuLQEkTRo3TQRbDfTwQqROpOglL5lsEEnQfTGdslQzI7Bf 7cINZQFJAVwCgXwQaAZoBj4mHTQrr9OsQRNM2X45djf8+eUPJ7nWeDmzSV95j48v98wz7UZQMIwE PW9GJGrGdphh7vzmP/kyeUkuVv3OqILFh/a4YjyAmnI9iNIPK6bo0U0aAwcQ2jINr39oyocsEXaM zmpFAkgelkF4zdltlKam10wtMRpXMRc1QPyXpNLjAuGfOQ3oHDpKIzKTZWqZUD8oi9qLCDOqBG88 YKriwxMDVS0D5qO7UVX2JapnqvKXAPWqAUHjxdqeEKTG8PXH7VqaITqcr4Czbe2HVnry/pilo0mL +V95eZySlCRkM4CpZ6CLSbSL+agMklisL7dvq+OZiwt8sPbzRGMY2/GKNsw6MbxaafDmDsfePMhZ 0/uNhzdQll4FycQ1oAnIMndujw0MAovn+C4RAoZ0dAE4snLwguTuR+HmQ3YO1Dc1iNmPvvHR+bAi Ixpdootl6VBMyRBGemr4J7qSMuUZ/PblGzSAdtBPlh9RN7LliPZ/fVmczsp1VpmU5/qY+4wyw+Qq iuaQWG7POWFQYY/xIrYN6XdfVGleGDEaEj8VkRK9yoqKLuWKU/sVxUh4YYkgJJP28zobypmg+LUV D8GhfqyXTWay2Xnbhl7VhoIZf8a8dhQYEa2QEep2KNS5dmd/T5TEJL4D1pvJ6Pjr08XbOIGZymoZ ejAPMAri/FAQtCO07n6GMTyWr/QrtTmHequtaqNZY1e2y/qou/EsXQYkFEm/gjcjjPJkNTnmLkTr N7Kb9+hWl+ih1yCD1pXimKtulRDnY5utayGSuMoTb0RPxZj794pbDReVCWtaWhP4KPIHp4S2laHU 1U+Awv2bl87oawYnKQugFCdYbCvxy0yPL8yyFX6MugSp5DdXV1w0nnrvypmmiWcU6ILpa+c+aBjz C2cvq2zRkOQ0KzHkAuTAdLnSLfA6KG9jwLUH5jAmPCcLSN2Rv6XsVHgTwSYMlJIAClJOo+YyMzaR iglml7zGR33OYEOpveCzkQ1OSYiLBzfKrpvOk0klUAf4dgvjkAesWAcSrVI//gou8tQabEjV5PKg +Y044sOzq/kluP8PQTSBQljFq0BtZWaThlzwXmFLNCa2Z6ZGoQmpjQWYeyxh9nOZeXUU1uD8REpG rS5e3S+ikn4vnV7aiCskQbdgadWARcNHjkQmthJYLkTfjUXGTWLU/QrZGRpC66OkiHjXn+kCB1yg +XM2YV7uO0CkkUknhM6PyP2uluhMLKQb5LSFM3KKjI2mXgq3W3ga9vbVtEwXNvgQktJaBckD8JbS kQCnA9JllknVtNVdgtS6vfnxieHfpaBi/mZu349O7NCWcT/Mtf3D3z8QcZvrDeFw8vVn80YNv5wi JPmN/+n6pm7k8bgAvDjmEn5ft8BgZVx4wkUgUN9lodAjIOO6m3z4zcavxuvkMx0V3F27nYoEGOX2 RyUIBRTZDsvQ+MS2j72Oi+jSdBfrt7qzQbr6hHuy/wmZRtbfYyD8mfbS/tNTkjTpAecvMlfmSmzc lNUXe/i0xkirh934A9d/+w2Kk8dtEySybEVG1McH2GQIxb8d8qoERWWr86FlH8q0NSiMPuiVo9LS UkaW23WSL0kZI2o4UvanMKIeOM550U9gXMNxVJhKuHYjIVbTg9u6pSp+WwRRStT0vTOS0PBw951s VRK4gKdFM+ajU8oH3bxzGrdQlgQqJ0xuH6BYjK1vnCkztXtzHyjXV3JHFGpOnqVykWQmU335lGq7 f6XwNVxDt7WQy8FpgNmdU/+1zJRzHBvONPzzH2Ri5BUeq4plPjSZ9TtqvTwnX8W7cm2MbtbgdE5U zbl8Au1MRbCBKq2ODdsk61YNr2GbWtnkUqykgtTUCKrILxVP2R43HNjxYEpM/+0b+pZwKLyoX9K6 lY3ITNk5jwiwbTB49plJ5Ss45k7Kn5yxQwI5+S2EVC8xOKPQT6FrVN/AkmwLCVnjoDXZ3Mvxvbw2 NLrBkg6oRjbHZsufIJoRE/JigTSbwPAsRAPJbPArKTNv1AAV5lViN//KlKLmwKzrKEX3TY0Nr6/t emOh53ck85vDp2ipVNl86dYrCpvokxTItpfFLKHg6ukbwPJ6JKk4S6vLWY2aao/ENB17FUO5NgBF iyMpTC5rW3UNZqT1Hh9y2GF0GGIaL00TJX14oq5Imx6q4LHrKQIvPGNO/0e7LgfsxRPbPfB01PjX IOIx12jOknBpbHcV0ogkmcGUsdjc59TaWBib+jJZFuK/FhQ2uTG6N2Dvhoi14qJ+L2wMT+92ODRT L2NPPw746BL67DyQwyQAg8Q8X2RrUf4rvltlY4S1nhOjh+li7YozXE9wKvSp1B4WGuudP2cTWW4b Gc4Rm0mQktsbLT3xYfT8K8wVEsWseE2sjgj7XkH0IXYslV7G0/cDBYKPvSJjs/TKEl+3ceGFA+Jz MVdkQYfku4cj6lKpvLxeVeIizvGitxOwYTxfECdxKygiqoYHzMJuR5AJjfbNbsbu0VLPncm0+Brq TgD1fWHDoKqLzc6RGwGhiwxXR8MCTWmcRukpJsDmIBhrSwnwM5+E7SLP5uvsD8MjQwzc0nJukJML pOxvfcZY8fvQUTsh7LCwtib+z6R9BUTMieu45sxazcF+xnle+oV8jcDmrCWY3XMC+W1nGHVJn9d1 jHDVetMqHuoXYtg8cvfKtGavNwoqcDXcAQ0NRDWiqMizTr/78PGnzMQE1b/22sJ8Ywfe9viyI4M8 3J69sBddDGSydqjI904iR1VX3TMyM86iRFXA8Fu1WbK/q+85NjDkXqsDnxmjzGlA/AXczuuxQv2E uZ8Bv471+MWky/w5/W/tCelMKVqWZXE7aF3T9HSjAan6bne4bWCdS4IKJ7G26+zP65etWyU462mh /+5Gy5f6kJZPFtIj5otgNPnIMYbbROF6/Zq0jD4zWOSMXQHeUlQNXeU9Kq+VcOldPFUljmJ7kPSE iz3Yl2bptjldtOvQkw4Uzbiv9MLrj6yPVGVyA9ALLmJv4CfuUWowwBYRwENnu+9FblX17EyuX9QC jMPozW+OXiC85P/uE0WB9MNwvMH255TE84HrfqNRVUP8x5lpQ2iqWR+JQhaz07EbYFOhWTDk9lu0 S1Bg4I4+6vXELKjfQW8rrP8FrQAJavk0szTU+ErOxLbkqNRgPEJbO83Gutnb3fxw8QrY68F9Tsp3 AJGoWk4l7ZjVCOjqhoxKGbbAYTPFqtb3QtuQI0HOA9bok/CEEAL1232/FvahRyq8hhvQ/1fgwhPR H6EPBl3RyXRcqRUh9edfDKrLvOng7hi2DR/nLrX8m9lTOamgifNrNtMXNObwRjunURYgdxeBeTbP WXrLaJibVdERWOKXtmPfJpX5kUmw2lrr9aqG7T6NzRgLotgv3IyNsZ1l/WVofBn1QYHyCn3c0lV3 1OUWbHuzbld3XHmNFd7ZEoJPZGwGt7bQSBasntIsuRkK/gKwLdwLQoFrWRqB52ZZr6qQr/IyERkV xNQohJqAnH6x6ZNbQXLb5FVrrpO8guEqelMHomm+BdZ/yKERngLymVwgyWsoRqV////71BII4Wm4 AU2yDKK03kX5e/Qf9F9/Wm7pPuzG3wmcDUOTX66vd8tT2BqGiJvX2foKq1MirxhiaVFT97jg0yhB YXfESqTmDbyXt+g9+kusA84GCcEw8sDudXnJNmuU2Nod1/MkMWNyw/Yi+3khxtCiWMQqQoubLDWW GwY/BiJiHJwHogaeeVAl3mT7XvWC7bqGHhBfSrbQjt17BP6JnkmXbfBoR4Y4YwxC4hpx0ij2ffcY xfTRDdJI8M9c8ALLFC2dUCWvRKAVbZxDhYWeyLKLOTWeNpfIeDcp2HwQSnLvf4E4eQaIPFEUN87e gTXaI4qd4xm0Nb5ds3g+JdVDynIJR8acMnr5kcBP/GBJhkiU+hmXvs7y+QR3v8FJd5fuc8/tjNjz hFA9/0BVejp5i//WflzIt0BFnLq+wXoRchIKk/Muix0fpbsy9JGDpWGAtCH8QdTBmvc2I2bXx8cq HoUQo1/Z4NP/YkLGm+ASw1SKI5J7iXaQ9C2aAFWM7LtrdKOPGR2FrexNG/dyBOKwZ87W2yIMVjKv I/YGID7ZcSQp5DrAl+kMukD0UJ/0Xuk+mTLSPxBJ+x6lPWJIEwlFj1M8kqcNP948zMsCKmsTJT4C UxIQ1amAt4teWRKEYunaS305VwvIrqqEOKPtNZFyZbaAcu/e46c/5kBh3Aw2HJmZaxLb8v2sqBQu aMP4LCd24YhHi3MtvL8CZeinXmbyCN6htxP1l6OmLRqLuzSB++r0L9SoZ8fDZRK2FQONUAbHDEaa pfxGqKoKEausNuo/IJ/ZrdJZ25OW6c+wtCKqb284FOOHVgmjj6amgBu/gG4kjC3Cr2FB3gQ3y6Lw As7cwQoWA1a1lZULGzelOHTs/IQBRGJrEk3jX43q5uNTIs69A+6kyc2MYWwXlxfqLRMGLenIGDiS v3eTaKHIpT6EncBSSlrwvt8N/3Rn8MX2HneqwhZ8Cprn3zrVtqJ2ij73PVUAv2n5bxFsjNLhmZDj 4hsjXwPfdrGGxcK/GyaQjL+6ntOV0XUuLCd5YA52MEAG9MWVHPuja5llrnIIexxpqjMRaRwjnXtp Hwsx1vRFBgBMoZd+NYq5XsnW5sjXo+pqjFAOb+GXhk6xYIDMuVHH3j5o0B/6bY0ik8k9hO8Iv9Je Rvf3BI6QLBhwoFKt6G0ynm3c08ERVPQ6RhnvawTwY3dPlaDQbcoGDXg86RGW+8px4olnZHqBS8q5 i/JbJI6JocECX+X8Qr7LWWuojQODJToUkjUTvHKXBzz1ul+PNW8JTZXtOkU0Ud841WqYYLXcVwwS 7RjVmk0Ply+BmTlPBDc3GExzAGMvZ6CtASBicJopOPXQa1vHWrL/tfh343ZAfGYLnNS0TvXwql4v 5D30cHS6xTVWHPF+0rCAIN6YiFe4aN3bimcvcV2XFmvdpOvNLulHHiWmsLyB1orGQJWd1XPA7gna beQB0Izb/5vMkGfsK80kqS8olFxa1Syl8eLWusTUrIFv1Po8zWsz2tXY2+Iqlw/utFqXo/KrlQME 17S/y+1xdmiXph/XunW2ZF6x7gKIkDCatr0vWdS/lIaTQtVGwJWChbF4BovXWLtPlr7Vq366Nz0t H99VTZmSdPmusECrKR1Fp7uvCG/3KP1U49asTK6gTs50NJzO9E8ML1Goby1742kQvvDC2n2rYA1t PFSRihwusogRfbazIvCgvn5qunD8c8VANwkptGRDOK1N4TvCzuyQB5qGc0AmdA2HBQl8kVL3CEMr g6JPCsyv2oIFTRe0dT4kH8MOzkcjKfiYNAzAs3VhndYSuzS7LRfeMR5RzKEZA71iCzCO1ajLXDjf JNNTmZRe49+PS7358K6UAuNzu9yC5XCRSf9vkDW3FPbjbkVUqtwPwLAO0W5SUmSigZOOfG7Kukdx i4WtwrRhsXkbtrCAP5IBqYI50aDTSJWHzDpLqSRjB8aKYNDOS+CmgRmk5CpED3hi8Op77KHVA4Z3 fIwAk4S69qZxBhotEoytm3PoTPqiIUypvkYYbyP8vLPFavIy9qM2Tn4xpxRyo+VOdPS13PyttWDv kWjdikxD0JXnGKs4fuEsgKpY+mMvL4lyqLipkQZGliHruzc22XV95HKJE/zyyruV9oqgAYrNVulc nXLZJvPNK+CYL140HUC13xxbwA2J+cgM1xgm7iVB/Eu4AWUAsb+BiSj0Qbl7Aug1rBE3gKs9/Uho tyC6L7L3km+CgsNfHOkacUcvlzzsqDsDgFpWQz2j+dP/IG2/JWpkY5jHCSwcEVlHygEDO2l26ysz +lFU2qW6u4j3CoGJ/pQtVE6Zhx57pWbmYXwl8pL6VTsyDla4MPWPZjTFX8I5QrIZ0PA4oomMoGsc YpxebF5FUTNTzIeQbdGCs87kGuCu9ks9Frf1BXruos+WSbWmrAxHl4MFm+pxbV/78lqWEfUBalh1 0wSeeNjGEDOmmJaxEDNBBWUbYV1oUvjjTtcbWIPZHVlVr5L6N0/V1Up6ztzr2mE1GqUwFijyAcOf RjHqNEzsax45N0Uot1K7Tn6UxeqLyj+jAH5uKQI7d8hwxUFo6HYSHnYbx08zOA2B1MqAY1JS2EJf Qafp2no6Pki/FUAtV4ZPYconIZ+VDvsD2yJK3SNuWYTGms2ar7X9SsKZ43veb5rcb6ryBH1bLihG bQBVGJz48qJggIcYJCYgOItbjdTye+MwNQjAWNMW7Xi1CYqF35pgClwBkUZOsUAewimKqGjG+2H4 1tG5YYn+2Mzb6DFtZ3+5FHgzmL2nn6opbzzTyNOXY3rxXuP/8+YXIcPhhSPyp5ntuzU+3sWBhn3c LRyqfudeU+s90yBJww7i9k6VHJmXpEHCA/g4J4ZHOQkmibZWh7IyuxPlifyw2wrEKLFRypTG1F/N t4z1jE68hUkt/wbIonRuWilmh0JFoi3VW9o2wBF6LbLuL8wXERULfJz+nBDz1DNGHGofKv3wW7V3 fencn6yjFvonW1Tai4MONhAgpp4X2A5A5A8kK8Z3iCDcI/boyyOrjH68aIptJ6twtbEoGcEUTHOp g+2ro7UOozM8OgA8DZuVxHe9jyJAuJNFCbSQTQgLz9SDYthjkWAEtyekRDjTQmVNscbPKER3NNtX 4w/MpWbG894N/sFOnaWTwH7eiioHw/k59m/ovj+iF9d7vDLJjSVDMTNFyhEjX8EzBFQBTgPV5T19 dvA+Abf0XCDBOiE4bk/iyg38Q1I/E6IVAY3IKgXs1im+W/mk/js89gDG3Kpt5KSwusrY9J85nuXI jj/149fd79kKUp8ugNMK9JoNOLjQFpYSxRGe6H1GLhjDb/DWQbB72zQoYijPcn4jYt9ZN7vGzoOl bhOeYCQm7wbwqo8PJ3P3aJTpN97Ag31A5yUXhaENJyrSvqlPx7hu2R3PWi5j36o+thH1EBNnMuXC mr1XMgIBsP9DmgAikeN0d+pefxn+ZlIp6lWalSGNpkUSpVinWV3Vi+3mZUtR0Q1KhWyZ9DvPfQh+ 0jwMtNKB/FJcyhwbhaW4Y+kqjYAiUSq8reVEySz4RVKB/wtqDED/f+Y9AtKuCqgZsGLZkesZMreU b+XtQ05PIp81tXpJHhAV03SKwqkE51nAogJ9MAX4I8d+IaCsH1aOOAZy2mlI9OHlM+xMPXRT4QhZ sNYnS3Gy4bkRLmHMrDxF/pKYI0XjibXwKaazvz5ZT3kX0y0NQWj6OIL9K9ziZqyKBZ603d2e31Hw gfZ3OeqSNtoN1yQgcDY7oWjKA4u9OlIROITl0bvotvNEjd8WP7GnyhQgW9VtPO10jDKn6CUSWa+x 3vGr4ZrWXrxhrE1Qo0XgfYIIYwC4qzEQa8/ipFQDh/4jfFjzjq/2QgzbWTk9Ej/HzcCufN3EE/O0 yYbDM1ddlT5V5VGjcyN+G88K6ueXRwGjBB2MIgsC5jWPjQrueWDOqGEV1PQUI37VmwGNnpcymzWm 8ZubZp9dMRxj7c0r8ZljAPb7PTQPSwKc/P3F1Hit6eDSTSgOhOqCQ9Q6x0SYRy9nIoHAcT1DDAnH zEZ4b+0MIMh3qvBnN1TmfP2ukXT4wjWT2Zw6XUoWMbA9J3WEU/3PtZzOBGifT2xN1ZDPA78k9UVl gUp9iRTveLkKywxhYe2yvwhEaOJbqgxmumGDV92VD/dFjHZkXskAE6ihmU7qG1welgUN6lePV4Nl IIJuj9BJXfw2o4izX0qPtDbE1ict+qCNXHh7YyNAomzH7gBKSWIU2X1rMpXJrI8wax7Gh/b23Mj7 zymBVMe/8zKw1hPmUnYs13twn19M7M7AS+34XcUras+XsHalfK8HYSzqNTNduYxgicFq+ZmWXoZM QFsSeCA5HfWrnOuaaXyNgC4OrBeRo7Pq7CXVYcoYx7Y3ufBgc+LirqbG9V0f6qe+bsRmsPKnM19d f2BSDI43sKiPdF1Sb6Ej9X7HBFmnFQMQhnbF1uiBoOxClr63RP9t/IOkUfWsbfiX/iOqwrVnSqtH 0bRg1ysatmLmRT9O8pBYmSSkMWTDvTP6qRp1EtvMMSDpZMdbBy7l1Z7NHONYJrgCagUuBeR/X9g1 c0yMmc0XsO2//bD4w/t37i3yH0pQRTIoh3YuPEWK84Pd9T2/lJAL7nw/LWIVrcet1f8aQwVI+wpv mSyhShzMQfUw8LJTmExiyWMqc0fmr1uV1V4hG8gG2wh+pJr0VlOa7WHMnLjdWXxSaUsK2zhwfEzQ oTH5gQJlXfn6mJLijAMt9LfVNAvj+5B/eW3/6XULdeZ5S388atHjlfR0J55VYFW3JjtLbb+jnKad jtoTKbgrvI+IEhKAWkVPr08duAswnUvW3FlHppXbjuBx0qrsC09x0DAUv67V1AIvuSovQtYgY+Nn EqkdGccwuCge95Z/7kmrM3vF5QcPj27IoDNwm9uBd7lBCv0Rn25YV6RIPrRhdJ3YqgloF/Ucpi1h 09/h0YaSktDfbrWv/R2aIxkrRUyV7VCx2nHgu1qIJ8lPf7PIeOxVewmkx9on/yDP9HEA4zCwcXny J9YOg0/3VY/Lshsdu4LQe2BFAFU6WalDe3f0ZtiMGYFPlM8vAV6pRRHMhl8RsnpIilBgkoTHH8XL +gjmKi79vmb6Zuv54yCUBEUeo4lPkSxyiUa/NLSFmh3voNKhbO5eraklVO7RYJd/zB3VKH2r+KHv Lid+QMFwjY0zjaP2nzf8EqAwq23CiFxcX/5r92BfFiYSq9GxDX2FEm13EFDBD3N28O4+MTCmy3AD VEMNyjedXU13DvkDPlCQowBp3rqfH5PwPid0PlZXgHXzV8hqkgOKNzuZG/gaZjQkYV9bfNsfxIe8 CKJEu/lPiTzgrOAORWUFQViqMd5pFxwmZHtTSufYzBtPJcKFqhBmPI66FUdfbxHwYBYYagKMBpIn B4feMGlbEVgFF4IGK4TPh8/IvQFvZ8TcaAhDdC8axMdYasUltCGEsGtN7sEzZm1YQdJrQdlBTK4Y +6Y//K9SSG6n5ZuuRNzPamKrEB4oBS3DV0bPQ9OaxaGJWbK6YUDf8n2hPcujvOLEr57GhoYfESDM AvaX7O3ZgjxjVKUvivhO1Gtpu9M+69YPNlCUv7lr5uta6cPzqNHWSlEk7z4uSlrWY+b1XD+tGKkz T0T3DWwBnxLqmXSWvvGBVQREqkgQbKT90WL/3r11KjZNt3BSlzXjGwOgM3G7eDXbxtHEM3atDLPL +pDiUdJnj1EYbkO66pY3spvG06qB22Mdk6YYLdNcflMJwkwBQClCpwiL1XiRzUf0cP0shdH3yEcv GpCfxF1Gk+k/20l9Ztgs51OJDVYxOhowzRUqpiC46zNx0BwwyNQmMggiUApbGhCu5AdXURloiqq6 L6QnysM+5Po0SiKae8fWQ4ePq+gbqDdqXMRhavih6h0AdLXFsW8frtOae2iEEZZsv2OOx0DRaQvw WoIFj8rKeheiqsVX27JgKVW/SXxFQ6JbqUbsbOr7MfKW/u79kun9q+qIAomOpJNI+WV0mkwYgSyI liuOF402/d12Ae7bzmY0khTsm1TVgRC9ABHho4CwY0mb4C9CyKBviHbjZuEOWd7ROxxxlzmFUTCZ yRL4LRMegmyzhuVlnpYsXeL7OW4p2Tk1akO6XqliXp72/xMPL9ZITWg/MdS1ENeS1SzW0DJGFTi6 fIHAgj4NoHJkc6iacLL3wniqjPCPKcyuzB9eGS93w4nQ98TAJNAOQknY4s08GJjEw7vFD4ivOQrs QEmKnDLr1DpJBoHL5rmpkDh/MzbKJBbPZkYN+dXY3BAjEFXg+qI49EJzqaNXC7OlMUEGSv0cYApu 0VRsCj/tR8atLUFa9/OdTuGX6AcpbnvbVltJaKmo6QmKz/TAtE4YMlq7owxRJ0G2+nLbU2gLMtNr pMq+LZ65TGLqR37YY2PB+kKUoicXoULTZLU28+L8zFIYl5OHC6kI46ilKTnhqMtPQMy/Pgei+ca0 +IiaUx5+NnUz8sYSvcoYW1NTAIgJ1mMkvQARm+eJwCQky4aIfOB+Hepm8LDyPcwi2nipVHEYSdqh mteA9lFLzqMTXVpGt+FD5nY7f99j3BXr4p2erCy7qvo3a5AN0Z42rNka9rfrL0eEI71jqWBM303A X8xjGLyjmmCzf0aRiUZZwHL3foe5HnHv/tIEo26kX2z8xgVAld155uiRh5kqVYvsPJpFk99zo6zJ QCPVGcOItAA3AJJAdWHxQBe6O9T4BZb5b7B+CqGqmXOF4KT/6oJqHuDPtjSvBZs9pX1D06kzL/nz 0xW2BXe9o+APFDw6BI197bG9QzO4Un89NWNl8QRd81PbIGi+/s8aLfw6GTAaevcv3dRoxbSVL+dQ Baygb9Lyy4BK4oja3f6dkivvKm85LrCcztgY1ySBvzj1C2mApI5WHqQ9uChWcqxGglhYQcQlypTZ JhtnzHEJSDpx6U+GOwJb8rjZf+hGrpx+PUTzMxlVFjgz4jRkDEMzW2vgPFYUVhHzNxAT7mwfc4xF vfQu+Ti54TyqI35GXobwU/c2vJ6ePiJ/JmKKV/8FgOfBCUp1OYHCUdwbL+W50BB/hi38J9ErzW+G eL7zMDOuCZvmEL/vFJaHGBZnwHUgicR+ol2h1OCeeBZQ7h8aShQfYv8rNz91qdjaOdbhvzL/h85K keQH4tbsYw6SvA+hN3nfStuskjKvfbej8jxYwcSgXlueUOhi1z386oSk09k7D/Tx8pUBm3X4K89l 8OML6x9n0kravfbLuZOKyd6glnFPQiVYmC0Izpl9ni9XiYX/3mo/fUz9Sk5QiNFAYez1npT0VglK aKYQzuGi4qJQ2uAacFu5T33Fw2yS94YD4aNpdGFpToeTPDCls4v7X6gArllVydWxp6NhqWIujvxz sR7Bq8xPC7brsXG39bglWFefK/MkpjzvLKzK6Ta8c8xbP2m7/uCWk5bKK65eg8Q88Ztkas5tCYqx XzAD5bk/1g4iPsiLM77Xyujsqc6e9j1H+p5bGP9uPsfXlWTYBLPvNm5dXqlHq1HgmpR+UI4BQPxb eFpDThEE5P2cBjaRl5dbWEKV86mGtTYIdCcodOkABEhRqc4bbCcKrYevAkRb/OlMaYeUaA4ENeOU YHvXWtsiMlghGw6rU5EhQm1XpyS13mRazCjNrvG070M/zGwS7brwaJt1bg3sxLi9adCsZVfB76Tk tvUIRRAbp77avZDVYDaHhhgLmd2L+72QWAgKvEkUPtTpCxY52P3sKrkK4v9MK2bRAS7RafCZ9+rp hjwYU+KZtDWA3zAFRWVwmBG2pPlmo5Rk5MpGJKyMRbJoM/cYF2hrl3rHFnaTB4LauwWgfl6wO/kC MgYXIwq0MIjSYHl4OamJ7r6ox8gASqryKkQUNiCcJrIF9VeXPB4hsp7cEyEhP/Uw/cbsIlfWSXcW 0Ju9IIplarexctYb8pCncw4UFyIOPTdzpLTyV7TbERPQl6EzE4XBKRZzM5YeuWsEvLZSXu8y3Cad 2Yf0C1UWFM46keak24EvQs6BpAAwdAoE7ca5Y/HwzjkvWZbcEcbifveWHicLxlXv1LLt9M9i5kLo 3/vDxDgs2sxaa+NlHSMdLDA9WtlgEYdK2B14D1wJVqcO7Be1oMruqkDDzAZDvwjjtU4hovOHkoMm 6xL8ZLIdISbibV5d38nv9idpMlhjr3YERQrTTX+0/ZdMI+O57KYdHyCizZJzaiutGKMyZJ3eOSYF aJBW50ISr887bdwTStc+D1sm/Tdi3VF9tX6+fAmYVexi759Blo5sh0VuMoRd3/i/3ghwU8T1lpkM PuO8zajbxRt9W5EJRG6QfTgnitoIZ3oAgaAT0gWU/yxZYl9WkybDtcRJp2vapIA9TM9rWK4YMrM/ DFqUIz99wYOAp91Ji89CczT0pv4Cs4oc6AugEtQD4WUTCxZO4HmL6X1yJjnCtvthj11PuGk5OAl2 8D/iRAYwOziFEJkJ1pmGlJr1sgnJ2P9xGM13Dr154xwL4QpwCfhsjc2j5LxtR1hrweHE9U2UZtjx 3MbuXdDVnQvd5dNlBRAEKVdQOnwa1qBhDh/ac4mXObOGUqB+PcTtoX7V9acI0ILaitt+xvPYxQga vSfcLW6Uz6SH9CcnPk25BuJBsQJOOJxTMN/2uhMis6TiSMF/trNTe9HrNBTPfE3FuUFs+OBYFsC7 yHNL0J4MZ+7sCrar4GogxoMk0O+pdP/9uoC6Y/hO8kRIDOXwjybndWyKaOv9HQfxNzEIeTMHDDz4 4VfwbJ6Ji/txE6rPuDKPyqRCWbY8iFsJ3K0M35HkDktvb9i1DCa9y7cGRfywTSqiBLZx1NvqGmBm BmSaUS9C2ntcy2xQsykvj2xG/rr5gHqQcSmnnogBPz1qYAZ4Dt/9mx5NegzK8voFe9qNmy3BywMZ 2+z0COx0o2BuWKPiNPXBuflp/jacnSjW/Hd9rhLfFATgV6wEzlAKi1PhoERIZLzUL+JyeaAWOqHI VxPuhXuvcnBCl9Z4ulQWD+kVGAJWH7MxTD0ZJrGl8xZ6UX6flatl2Hx8k4gWonj05Bwd0c+c5vDV oPXDrHrd7cmUCcZb6oGkSdq/SG+Pmt1kTymGW2G1J9fSsfFDzb96mdYKJyGp9DkBnAOVquYgMmFA DCvmgtrR7OTz3SQ7CvANgeQaO/Eki5aG0eSlZuKivjpxmgCnFKfkU9l+EFiQCMNKgvude9c1ZW+6 sxaOvLT4/vSTjYnpm6ehf4e6M4RaiIuMMjh4jcN0r1v1Zr/x1kqekPN60HWfA3Y2op8uAu6HRluX j/FGAkB3WNB2Q5jIV6cb377HG9Vgxspsqd5yEk6sJsGyePPWnf7VIVKmn1CEV9akZN+31Wi1Ic+s q/rd3c5ucD9/ABrVn3A4Ujwn87l3jeNX0nfyUngL/YAWThK5fZ/OW9Cz1MRBRTMMcwKhaIt8/19k i4UeVkd/TohkN4F4fcM0uc8mv56bTcLE7YvAI1N4NZemKdwyPVVaGsQh0Gxggj4yAeX11g1hR7Wf bodG6qAlAL1tqDqRqRwKKaQ4+oxSI6TsVowq3o2oa/cWyXBaRgsw8VG0uh1W7GBycPyhXPZgD8do aE43UGKnbEoeazASlqpJXB2j/rH6mEHwCCKn3L+y+aDu3bbmZjnjjiqd7ELoA7eFJ+gxGbqcvMjd UKFOatIw7+xzkfdh3bs1LyaV3yFeEdf1xV5h8jKzAKgg0IHOVWof3ZPwaV6tnV/WJcrzogMM0v5F DtmTVHemImttWZnmQ0otAcrpfw46WDoVl5w9kvC0yDx5RjQoTxwSk8k2NETKN1imBdqhg7yFYk0m eAxT7FtDj2nZ/Ao04j/VGr/WlAP/BvpRvLmWkg7AOqgBpc4RE25gDgOARLDG6EJ2ZDPxDWAtkn5Q XEyBXt9HotL2KQ/kXt23jue0RUBAdzlpQ/QULUPY4xW+DS6+K/3BU3CeaNoYwR5ChbtBXZFC04va HGTaoPprX5cFrG5YBASeijSLjZtlHMvlGeUwXTpXfQPUmQFNrG/URgGjPpCohNlL1ZZ6e3RCoW3v QWv4HBBJMWu+j9+iCep/6cDnyZk9ePJGKEBvOJXb3pKC6dMG0Dct7DZCs7y+F/xAIGpTkP1Z87Wq pbMPNBsFLPFCNTnSKxIb05ihY332lT4MYL4b9zUA2W41hjitWczjYYT7s3xpQYygV3tCGHZOfnUU 0OzIGsnnyb+L1CWSsi8wJFVbSo3Wsp4w+cHfSgg6qyIBv4BON+q1EWHA/kKVacj0QmmsNLOuPycU H9KwFjE+vKcljnMxr9KMat9IIMESL1kXHi4dbZsxsamG1ax/HRXo1By0YRwTQACDA6OSol9DOpGD Orp6ZWTtpAU26KE7tWT/ce0dELiimozGiNp9jt5Ge3FKZj83WKPg8v5SAwg+95SS1hgYum5FdOFY qygHBWVB99kTlVh0SjhOmKhva4czTBPZ3vLmk1KqzdA2DcMe+mSO1sjDQg+38vMQUFkkLbNYg5t8 x5tw73pZpgn9nmrvikn0jLZ/qwjVVEvgV7a9foh6+ca3NjzsJF8ttwlGXMUbqE/BRE770C3Xcrvl 66ivFeUfjOLbp+GkiTKtkiadpiarOLn5O5Y7acsrjGqNZSxO9TUn4FS7vsDvgc+/zviDK3R/qxxe wbp5nI4SEKOyvijPgDt7XfZgraN7ru45yB/oWDEbfRNZV8BJQN5yG9UBhBbTo2rZJDvTnJNAsk0K N8kp1bjFDwacwCRzN8dV/a6PNPzaY0+0J9V3SnAjJG7Jz9TxmI0DFIRURMyUqrdJ79JAAWCp4Yfi JCG9BQKotAoU7m8PpMSNTTXclyT+DboIBjdiX2ziEtxLehMINvPMkomVnGNtOpwGxYL6uuwpujxe Isuc4tvN/t38dBIxE+7LCIfL2oqRuD4DTTcwYnw+aliJ6+nyhxSP8vLnE4cj/43b4Bi3DBOQK+M/ nEA8eQ+clkP3a/cYMbG+pgkPR29TU5Vlqtf96fCN5/CDvcadRI/tX97WcZR/fnfGxXStpGIuQ2ow c4LrRJFRry9zSHuh51ylaCU4fhb866vXJjr2+1nFvL06pD5/6w6SrjVOGfPaF8S8qAomYij572a7 JQ52l1F3CoE3AJnzdR1o6QkTfTVCLh+RE+iQVm/OpsKucYU01YeR1zjK7nI4gQLzs1v8vlwLFPC7 xM1vhpGMwapaEoeTshtPtesl/aHPJlCfKydnm4Ax3YPkFo3DwLK5fztJK0chMiH6Ia3ueXZKVaT6 l/ac4zarGgil1wRKCh3kjtctmh9ZBxNGUFaelSroLHrU0kR0DiSF7EMxOTyjVT2qy+E7Q/N67AGX qSVADmFaK0fiMAIeFm3t+C7HCa29YfzpFTDv6dkqz/bWrPFQhZyB2DEVmpxpDyt5PUs9YdV/1f6M ZqTPkRuRJ7k5Xo7kmHzDqp4Nm04h6sbNfz0B7C0hSbZo1nUT2L1tR5xb39/4VedgAj6HMKp49CSi duy8ZQjynUuaZulZSD0fkBhE1AF4O12F0+Lv2WuWkU3ClKvihH8c6O7kdYCgMydD3YNTGpNyFewv u2sCimS/W7uHvnS2p9e8fCb9xsHUY71Dyq/WNDpqYu6ceT91mkmKf6X1H2nTLnsceesuSjvF7rUL cnpusSVAQS1+HxbqYnoc+XemT25cPSUBdmsmhgBJoizRztCrc663TCMjiHHrQoREngQOv7qvjUTV VLqncbE7sQKuNzYWJhdAjq//yhE+cNtWxhD96EwGGrff1e67H4ziVzxbVbnnAr2XL9gUalb8mi66 2vagN4VWOmvHm3SycrxgPQuKKD2jTdfJCVkoUWHENAWcJCvfg6zh19sZP6YlwQJoNkYPz/RAi6mg n2PmU/teUYr7gHOxfkvmXZMmrWzIwiSZdYJkW18q0sz/kgRVwT6xjqtKV1yagZKXg/LlpmdSc/6N TtmPpYvt+Ij10ogRdTUKHrlI8JNWJxB+MOkgCfq8x4gBxNmy8gqjkUCGmsRyxGiso/nZ7uXKh4Qu Fot7ONgoKMo0OUVSTtExM4+fcLVen0KLDBlLJxodZXSmgkOvnOe5rjMtt7NSSaoKLI3IL9NOAA2E EZbCoWq/DoZqHG39rOU6XYUPM7cBZE5bK2dFZ0VHa9oRnLR4OAdQFaFs3apZs6oEoICgP9W3ukp1 oYn8f7Un7c6dPkpPXtUO3idJ9m4o0IhhYKShjqxlQL/+JNPARNgZtXME1GUKmaH7DFf/xVMBoo3S QO0dBRBxdyz628RCW2RTt+F1kI82JcqFnSdvvataj27k0PTAfa+seNcpSUDD2IYzTUN3uzIEuMIV oemiTHB3MZATAP94e+MNtW+rOfBP1Pvb9LwFZkoTwBf8j2WEIKL7lUrBMWCAJZRWjtZ2CnuFK+OQ iD0pZTjCAeBfEhAS7ZAKjSq2jKaCBCC8od3XDesHaLSaWDBbWnK/LEeqMfBMhFyvDQQAASwH9ZkG ieaQ0t/YVSqd/NGU1PTL+PLOZ8ovMNxtRk3s5CL0QUPHCGYYZQJvVI9anQtynYrwMfg5tuZycfzU iiD/O6ey5Tqz+YtQQav14ShfbgoiNm0Oc/mjKtxl3S8k3Flq3fd3CLG9dJghoP0PHLwGcWDr2jrG opPHsbaM7besIYF2pXVts8ttZs02PrBcsvkJYTB525Vo5UsN8I8fdtBUbuai0AlU/TmDeI47dIAu RG8AzRyb+fzjk+M7EPA+GKDzNzujsX/ACVg5B4oD/7wrFgRXmrgstMZLebd+bJC9A5K6XqOdua+M Cf2a0t86FpB9uuzaM5cSd09+rsg0RFx+o8mvOnlNrkH1ThzMp0tjGZcg8UtTjEY/Hg7DMnVEJ8N/ euRTpSXzP+kaqacggsHSKemsGtnFLD3589tRQncnFBPY4B5jRTPW5pt2QFitCR9tRgZjBviObd+F uOQu/pBwNowd/gotkEbXUgUrjRbe38FKYKQz+IUzGK/nRhy7CaE+TbTg6UrS3LcaiqNKIZmMu4iz 9vGRQfvnuXcdCKJrljt+nTITbRmQbxAk7WXPOF7sHc5hQ+gZvMO0FnPIfwWBQnz6cSI+e0CUUCAH lqa0TPd4d930H3NU3vzMIDxvpMqgLDE10yBR/9Ry0/KrfC1UGpUzrcD5fhG150goc53gGRhA1xxZ QROYvizDFYpgUxWpBjU5y14nxkQ47SJZadgSVI+y9meohRMRwBWhgLPnQbgZ+W2ly76jFCT78i3W SJsHqykdGPqcj6td4FOlDSQ69aoo74Sb5VA1MpwrVcppWLnuEoIiViUDy3iuhhABUhtcUQGxFFgj aUpWiw1mp+Og/J0tSQ0/2Z1fqOzHog/XfrXzjZyoJpgpf+5VPwwESyB/cMJNfd9pTN6i+kXvNB+i Dx+exc2XUz4HF+aR9TxSMPqqKg1kzCeXy/BqUM0J10e2/Ny5d+Do39si4UHb/7128BfDqGqPnVWz nqBXDoZceVoKHQpmtf3h+3SlsB2skod/tyUbnMMHlAndfTfssfsFEf3d7dgQSu0dZW151CoVFPXG kUKoB5KSucALCbblNFYi82JseZvM1FSaSxX6OVkqs8CbKrp/9nNws5cmy18eABHxwoDTB+uLSDYg /Vdstqyqoo4xjbGR0jOHuQR5l3U7dYNmoSi7WNlbc6rlBtltRnsropvoajD+ERI3zFRNUXBpX8ym +DQ8VqokTAXP3iDa6p+NjiJuAmGJdX44IBmp4ruYE9yD8VCbmnTzCADz1CeMEjRtvK/J/EtG5O5n PWsa5utECv+jnuYMGiMkzOBRbta6++nUmyGnoAo4gRgMwyNhimvpXKp2r65B28ZpqAq89Ks7QSGH sJlDMEVP3CYEH46qDlJSltzrN0CMJ/HvkppfF4ZmJr0GetJkajXg0T6xchOz6P32p1hJahPNZm21 bt20HmfKtp1WD5TOF+whS4/t7IcuAzFZeeAiWfAQlEdGI9kymfH/bC6GkN0e6mtjZhR3YpBWQ1Xv nbtpqSmzS8hXHHIuiH8YHzpAjzHq2l9ABZcgTb8dAMSyAPgkK5YVBhBTKfwaN7JddVckM6otGvE5 Vn6o9AKM1gGMKci3+OII7X2imG4GkIEjI5am1axeBXnyLn89Cc3K5UfGmFr6L35U4jg3K5//u3dc d4joJr74rSiOMFRAQgCrDH8qoLcjCvJ0G9ycjDLCNtSm7y53WryJuE5v+GTSUIsYcZ0/616nHPiI 4wyP1U8Mh7APwH0Jfs60OidSCV0KGCBjx8ZGjwFUv3UHCr3/zCbJ82WDDGeKUtHvAecccDETrnmq wi3yR+o7WbvfQ81cYlgvQOjyVmXvXvcU4MmX5lw2tTq7MpaPDHz6JlrayQ60lqm85NomRc1php7e 2jtLs2SwJugm4rWmFqzmHHEzoxF030jZFcsHndogaXJkmjqV1PBf0TcEtk3kPaLGG9DX0vJFnRjR yNNmsPkfRFIo4klg9b0n5PRcM6MHh/ToOEuimvEHZujFrtgZINaZQhBKreEAx8I0v+uwZjzWGsAe TZwqVRTSAe6wUkeJeSSiBwcfhHFOv9Pc4uJ9W4BQ6xti9CqCokJ8kbPcG0B7A2pDtRBu/8eElyRi BdWddoTnHrNTNbRko0gcS1bfY6FcEBOVEc7pjRmKY5o1MBz6YN0qM2DnzhhyyWFBdbChdVFBn9O+ 8mGVFfW9m9nwAwdH2csx269G2AmQwpjD7Jgb+8KV1vn8eLBGaRcmbC3GmymhfQFlNQe9P65kv18v Tjd1B8+2Kjjk/InJB11KJtVbvl8ucinMWWq34c8h8LOil+UhHyzd8CpDK3NNjavE1m25i9TGpfkJ j5LXF8umvaOV8dO8fKndj68zjGZShhUfkFEJyJMDKzAN7+O/kcUOFFjvIeGW2/Jbhs/MopjaagF7 sijsskeDT4mXc3h8abkZ6tdprIe/BW8o3yzu15S2fp6nQTCWEGaOqBHbFH9JDEtWGrwwdGlN7CSK 5u9A1yZ1ca+qlvpB53Uq94pdFTkv5QiDMX7MpXSo6rG+Cv7eNO1GqJzqhWem6lkStd0QEm1YNHgg GzSuZJa0qAeojFuthGaU6aYS1vmkGBt8iSVsnqrifc0haZc4Wa/dvrpCAwo6BCijGKne/6Q7idgn o12d8KNs4q/GUODHGv4YiSz90bi7Xxng6kgUrv1N6jl4zamaMFy6R6HJGmzW0aOOxiFqnj2t1zod c9Mz+CHKCXGH2/MaPrHPgiP/zOFiiUU3mgnGUPxGmFzAIE+iXtEolAG/laAzOr07pE79ZqxzQDVv RLc2Sab/ocqXXw7b/4qdMfBhIwkN7clVOAER42Noj52AWX4mW+vhKw992gjxxPkynmU1FBOKqoUo A4OBfCR6Q2Mh4u4JTKJ0ugNZVslwxdzXmcwCrPPzacpITU/tUpbwIQFIeqI00WCtbfT9iAfjj1Ko bVTa+6We1+DILw77DNEQ/nD/lUlrH4VxVjw0frDZrndkSPPiubNkWwh7FT47AqYv5dkFEsVsqUG9 lrtuuqyQ7X1riPk0bDIfDX8no+PVMs39lWwd+x7USJPbTgxckFitcZQfl0OE8UEL5celd9eR/wro yGPcfciY0Mt8rxa67TK6AjutajXKQOyEy/nQt85uuzpD70+nG4waDqjdCl3HyOCxI5I6lUZM45Nw Jgs4c8m0KLnyU3BmQNSMZ+GapL9Oongjb4feqr8kNvdQ353CFV632NmqlpcETMPeA8EnyXEK1gL9 /VV1+StgbQ98B7kEYu9+XQ9sp84MJb8Jak/fuDtuzX/qACTw3dov8AwunW1kplY24XSMna7tgnAQ 48IxFprVYzVzRFgSVmp49b9AreKEczohCktw/PLk9oLb3rltTgNKBjgFlB6rWV8oaygwuwhZ4v4h zcg9URWsq9/2hpq61VyZuZvMsrywMHHjnIBnfkmnQTsael1vt794pB0M/IV6B5BlDIZELZXOloFt S4u0e8/LJ8Sq6ILXll6Z4tuxTN5BK6ApJI9Ur8jDUq45vdr8kdcN63RFs6/6/y2JpdF1zoYPCaja yb4UvGy9X4FD+dtItmd/YZhQvn67uK6+h0Kmb2vFVAwmIg6Su8a7PMKxGY1bRlxhdWeaqdJU90T2 WkTf0AD+aMM1ef2pk95IgCrmFNz5OHmzkt2AMdUUIwU4ZoyAI08KCylm5tesIbUSUButmpVeCEZZ r4wfpNN9/D/cgWWNt+dcL9YZkH+8eab777bh+GlZwq7D5cFOCkxvbMjFvfbpeBxOxqrEJpJqUERn E8MbU9TuTWwZ9eKfTcfilKhX5lFE88d1AWOBpXzm/q+ovd6UWO0e78IHKvG8Mwf7T+8HL8VuzXNJ tXP9LRpENkkZVbji89a62WKYtuDSeCm27LR3SzLoQBvlbzU9ZYIS/OoOXlVosTZa7+xIHX0TXu8Q o9w3saGjPe/gMUIcASw//xqFWQx7apIHWw7mD1YmjCTp2spWWogntOa7pCUwGNuWdKplEpI8lqmF oSxPAeqIPK0gd6OsFNThTS1lbOXOFFW4/1jJjHdqUk2DPbJhqqu/hYop5q12TzbSfPm1tcvznrL8 t0ePk0yP3/QGbNQRdjYVYNJynMu3APwF2NygoW8jQ5dHZfT56r8+FbxcXH/CgAne2sGM0x3jcgKT lCtxNLtfMg9B1UHE22A9yVQVtD80ekF7wd86ORcsndHSqghU2WT1TvINlMssFHNOogG8TyqHNnMP xZa3RW1adIABDOSnOaHSYf5IaJ4kQjRWPtYj5yq32wQxJs++wy3JtD6Mymipx7W3xnGow8QTYAWZ z20BEjlZP2G2NIgNQGHoAKlWV9kKKT4qALhF+DDbYA8kzbhqkqOvPoawiUX79Uzn0W9jOSPeNjFe oiWjVpsE4UO0gYUE6ZMC55zIlHcXvnPrH45WcbTjeI9sXa50ik5W2gS0Gfa6+u8PcHV8tDEFE9wj 8LYfPH0PzbK1C6ZzlUCTsBAYo1RDW+prvypo81AhjCfy6r9ClI6+wQ2Vt5dpB7osri7+aZPFke0H 4nbT+Kx+DN7Zc1NVNBGUq+i6FtD6yPWk0ddhgmMohfEaN58zm1l8GWn/kWz7BOEhrhmsUVP1d2WO Ssgmsw7CnBIiH5TP2xOBQLKzu/iTqug/6E46GxMpE8VoAcyJEYjuiifBra1uV2ZZs87ofm/WkKbl eMy74Eym+foFv6FnvTZZ23GHffqOtKcac7nlgqaJpJwIgkdVo6M01Z9ZpCinD3Ia9wvIRgEmleNg Oga2aSRB7WWp0a0+bBNDxhrdvc7eBSzZ4oKQdIw86T8mrN7p3tVDJzjHwJ73mVSqLTMJRsROOw9G OuyZwYf1heXkoSq0LsNjE0EYPykytgU3Q5wdtnP47gOQ8G3Xr5bak3eB5y+xE5qZBhdVlv928pJs XUma/ZIiOn5kTWp6QKP76Y0xZylRwutwXEgKiDX/1A/cPDp78mteIAiF1DC7NxKRy+we4zScp8Vr N1CdBuX8741Vz85OGgCC6cZvZUME9XHxrAPqILhk9ClCCZQLLNgrZFO51m9DuPUAcpE5IIgPJUbf g3wrCkvjM+SA4PaTObNw+XBd02rWVrzMzv9W7El8Sd9gBdhtcXSjFmPFtjYv2QhHbjcKRRBQLdQ5 ZHDQW3HWyQ9SSapWmkLurgjSrCZTmzOoMJN/aFr3abqAHmHwYydNIEQ5/3c+F/jWTmAW8Z/IaKax pvf4qO+Gk2TPMpIoTpXIvmLL+ioNU4442uyDIIdK6EC/csnuwdq1QD+vTbUpnKsoNA0llKwqgXut kbERGVpVykFbAEDWlQxzSGbl8VwKar9/s63NDWT8M2t/5gnbM5w2OEEZgaqZUOXXV79xgnpZOvJ7 EPGnzs7O2YL4lNNYaAJh7cPKMG83/LCPXNxoxPMaUjKe2mzAs5Tgc8QeVlXbeltIskiLkb/f44x3 0q4Og84tCgpStfEHXPxvLXsHQbP0qt/s/daNyK1XLD0UtlhdjlvzgFAA+eXsR7tNexWPOLzisQPn uQIMZgM4ENXTVtkb73VwCw+f78TAbtLrUe6FmS0PblOKlfRxO/rxcVRRAdiPB7CjtJDusvAMIvB7 WeK/vjJZbE54G+sZwutDT8pLM+FTDlSx70AZBfKoNOK786jt9IIRLCo9dwdIygOxuPn+1WUxUEuq 5CZdvvXUf6tzioQsOlKJUihCC3tEqii+D0vvu/iuQUgvcM5NLBMxzPODaBJRBgeCl7ugxhh3+0yB WsvqnddI2hlzmD2ZSNVl7v26f4lY2U7Dl1vCWIfDMFqSOqsyAC0tW56VGQU8ZLb7hfWii0FgekTI HIyqRFECWaNYBocvA8BbQ4Gc0lv2/L8gIR53xXjAK8udqvkExrB1/cccox3kGwff1EMIzwuVl5C0 OpsxFqEfNhgn9/G8H78X3NwmdW18dmJLYSEJ90DfnqACS2rn1Zaj8wEeot8Z81gMbEYLNwfTwV2U h0NMO880o+qJ/1aHVPbb+StOv1X1cg/4U4EyA7ZUqmor34URTD2s+hvxixFlomYD4Dunoi3cXqHB wl5mjZ7JbscwgIYQn3vSoJhreGi6CCc5eFPmiCZWmh0jTQrXG7MtGb1SY2A4omdQB7aADxAwGZfr 4Lo1Y8R+XiH2Xe86q+O46f+G1VpXMfeKhHNuZPsLYeMNQE/RfyfO2YaeN+1eEvxMGJzPLKWbd5O3 mGcJf1rWlmNzfbtPOCVUUv2y5WP/XaMbNy5xNU+TqsRFEZgVv/gu9kKjem3Ily8LvLebxkYq1z7m hdy4OEvc6hgDTcvsu/Cf8lFjMVriVP9G7DTpKmwtpUCWJDj3xz05LhhxEWU8CXZgoXXdFkxXxOjY +vbQ5ANhsr7XWDsEmNcR+yYqJDh4NnjsRJotTzmciIljMMsehk8+Uunmr0pK3VmmvRukpRlFrNVB ORXqRHJ0mxhebuTCfqh7lugmB8Ggu6LLtLaIzkD3ER1C7jvA7EiPK/vxAhNkfbwevhL908hXMVGz 2SvYD8Q7EKrqokJnnFQhk66i8xnyijH6AyIC3slBl84KoIfJ0bZmTYtVy8YGVgQiXjMlz7ShWDos r5j17Ul7diTZEs852ryRPqODCv1NylcTTxuR2/hnnf7krmcH+kziudzOqcMrygsxujdljnJK6FNg ovCUnIWIMVz4Ed//KICk5fwDb9+bunWqXZKdcIeg2smzCMg5DTOonGZyPzHH78gc6FG4lpcYO3vK B2ptl8eADsidL1Na0b4fjfUl6npJuOI4VepBwhKBpzclE8CXR9cBLJ4ULpzJ4p5V345iJ+jM7W30 3DndfLN1dMDjj3nlWWOIkDzJuZyyc1TSnspTXfZ/Cu+b+LvSjMYXYB5BNxt3P4fOgg+oql2pKgIp WpHNF6ynCnv0oONM9v3Zrhi0Ty/25wBHMv0UKKs2AIvmk7d0rrZMKV0SWCjX4jmdYW/zVHX24MdW 7gCvYnZUpIYegiB5TMnQZtnyRQl+/58E99IBbqv3MwgkX3fduCiaNSjpRnsCkDAF/W9R3K4dvwBU LjoIRLMisvoxbHES75OsA6PQQDWc7Jwqn1eWiEGs/8oRNHS6j2sOhysDgZiYJadBgYfPNS/Wdbul IDEWHLVippw/48tgH8Ttwqu7MPk1i0m27eOYE7f2Hr7jK5OQG5IY86DayJgniG/dIPOtyGeXwYOJ /PT4alD34Wj7P3Is2vPKLE4PbaNdVT7JOqOiuGG8zh4Z8bHpsQWHDIAbXOahv2fndfX4Ljv0C6mz xzNnTUN10X0a6oFjOcHVeJq+Q+LaYuBYIB+2erRLO5ee8QBZgSZ2EmWakW4x4sJ8vSE8VKAQ17Mr HVpI8sqx3vGnlty7dWiNGvHHCn5dCjNQEgIqZKJ/K603mXk8x1jtAnb89BLmihUxlJHQst3dtfEI V+3AyMlatY3UZ29TNlozlM3kQjm9eSEkPc4AUqVBhgugc+EH3amKVXHCgnaHF52cfssiVqlWkc1l 4aq7kuiklRaD0kywOF0re8DxXaNZjd3jCpZcHswy/rTwilJR4BV8AKavXMC74Ggt60dSl83c1fdk +Kk74inJ6k//wd/mXbuut5Qm3Qef7Mk+FSB+NPeCfqsvqwY1M3vJ7iCBvHRz35CykDIrbJMUUyy5 9iIiAz/9Qmjs+NJ/4MZTPDBpfqrNJHratMjL9Ld22nutZ4IeggjHrBuH8gHGMn+2eOGo18AR1Prq cdhPTckEqAW278vbzsQx2Zzu59SZ6ihczuoHt6pD2M786cbhbZTBqGn4l1LmS/GHdNqDMxOFi1tm gTIP5nsdRC+Qp8pQH/WmYbbnL4b/6rd3BG8+gotc0evZJQaBBojbJP4c2ONzpL3yeAtewrInxCnP zwZh0j/lGds+m/g+FQ1NpDnP7KRF7xrPYSYWuTME64zsnt790C1hjEuEqLK8fm4iTWhGhCm437em 377Eor+yRwOixOkZJKKB0wdsUQR81opPC1ahvyswatLMqmCmBYd4niug15XOYgUKH9S3kdbC93HW dOd60Yt80BjcQPPpznKJy3nk8VxBqDggTbd1WEgFGg/AaPbO2WFdM1p+5FX7xij3F4EiRts4IfOn Rjx5vijNhzSor04pVx4V9B17hCKHtYhbPb4oOk3W/JQqkv3baNcFfVMXCccJZkrJ0O9q0nnrrOE7 zTg4dagr6XY4IiA5wxwYZKJQbXb/6IJeGX0+NdnA5wbKY1ZphEZgDmpqCXK2hKFyZsuUE5glLK6J jEBNGKwpBmTAui3KM9tpKnc8upHezLMZ+d8rpbN9XpO9RIwt4Yav28bKyLChEKKQAfml+MnTR76n Su/nzRi65BLDEJ/aCerQC8EUgHToxlDDGZ0QNZKo5JIFO4rZm+ekmRg3i2N+uM1dHJUN/w9jtoS5 7yNI1ZoXyV8Lgm2HbzrUL47osDFQCXc3onO3qRVwY1ob6tx/ZboruMUiOsIdXHw7yC0k44zRCJHq d4epjdkOuBnIAVo2wOrlJS514s5ORMgA9US+frZ6NH4OfTMUJAKkZv35tG4N6TeJtdSfBKJNkMB5 XZh9O+3W1uB5Zwmy2fmTmqREt2INQ54mh7zlZc/9rVOjrvTVJo34iKkjp+/Sh2Xx73yOGezBdPSi FscoBCgQ9prkBFbVuMy8Z9bg3wQtVyOp7P+a6EecTACTlDyWab6bjONrwzv+RA+QOdQP2OgwYV4A keDmaAOZs75tHXcBhW39aSjEVdrhL7w04pcFV1xqdzR1wRsGXSsCvSZCEHwzePDUbu6lHBnLkfqI b56LD6oQ60EKaQvgOIQp6CWtDF/dK2AAIRO+BHjY7z7dZGeEnL5ELIVfhOSOfQaTenDb4n1ShR2i SdwIIamvbNTi5i1J1GZU4S9glXHMatMckbnpr+yyHqgpYuf8gLFWBH+EAhcCQUypfRC/Mei/3IJ+ SQTcDJCPHrPfbrS/LoP80ZWajqMbptnxBPmfcx2rVU0m3TkTNzOlLtvGBLNlvL1yd+YJ3TH29AJe KWuHWSAI6dk+M0Qqt+NK2eHb0r8BXvdTR1VNgzDK1NO2Qtn8BjYQm9a4ggfdEtx2u/S4KLQxmJhs TiumPLqef3Ny6LBSMNUshB9fZDt4uLaO+bvnpgqZJCrHK+6BYO5OQpnBGMqt9LkzJyOKSkfvEY7M urEa+TUWVzN8LlaP1jAo3pvrZ0zw+3bQFMtGnqPcdtqbKyJb0oGITn0NHAvhrc0SI7luelQJJEMf fJH/K0QU2FJ8kKQwGOZUSWqqVYo1d2IWbywADGTpASRchD8mRfip0iRdtlAfS//7Q7y+z1qUHtkf glF7ZDOvlvgldlQc5f+t5vEE4Tsa71FufrIjWO7sSMBeNLlplElOOd3Q5PaqsKYrnuEcpbAZCX4a 8kOuT6gPnDLMnaRfwVLacFDhBJawBHiWnwX6oNz4x/w/ysCnXdYsDjqIDHHRzwDSNmHTB0rEywZQ L1vK55wntLuE86OlGetL3iHm9Hp8OWk6/t0YVes/osbR1wMGuOdNZpEI+/CEtIbLUKZOc9EbqE8R IHOcpCxcHPC9RlAMGQSSsbDQGOFwl8/qD9FYl7ZnXkSVRkkeSbDYuXS6ZfJofuvBLMSFvjYgPvxg 9gyCzTNhCGFo+vSHq1Ffz1BjfjzTWt4HjZL1mAE5+pcDP2a7yPq1WrGWW/JEcTc11m2ciZ0No0Uo KqKFyXPI8Hj0oeUJJZzTvQalNqz19Oi8XhoJjxvuncIojGZMy5HjqiQYo9w8waSaDLTos1uLYQwB gBMzA/tsPm3+VgTQNXWW+FtMmtbEHu64TrppeI8CptIAjdK/2ZhRroL9IDQVZyr2Fmuv1M/zjD21 u3obtT8y+5xpqG7YQNR3o0zlaMGo2jQcisAOkmzak8NKOo2L+6XmOu+h9K/Xw0fMj3UVRzct99VF fwXAuExziWe/wfkNTpBGSEe9J+SMEKj3KylS9qHt1VcU9NnNe7d2UFxiCgvz8VUWdn/eWHZdgu6S V5zIbLKw/LOt9BUjiePydyd5OQpWg9oTXkfkJJQboG7u8m0NlNJaG/8TWp8UPxbYyJiuCIjXUzr9 5ny1ZBHKFaa7MmG8XVbv//J0obSWL710shJJM2JjtLnJYXH/8UkZlDitvIEBfBn2jQizn7zipURm a3bGVAI69qIl4MTh0RiA5ezRrSvV8EO5F0sRtTqMtQovSjABUUYa1N+2DvkVoi0a9cIyAeReDJAq XmLbPZ7r9K24ZuuydRBf2sECKjhRVtrOe0bO+LePY2AnEhiA+DdvH+uEwKgHfthJRRlpsCLnZ/oR e+Y7HDa3rGjqi7mVxSgDXc4ugNxKX0DQbrzBpEqmBvJzZbFf8do2D//r3nkpvWRe0Vx+hwxm67HX uEQA2Wm9QqJ+T3ii9/4QdIZVMEEkJ2D5ktWdpVS8qKnCpxWj4sEcCO1BwcYY9HHR02vK5qDgYWs7 BZ4GRJARdxh1grMNOtfP25HH0eIxrpGEVSMuibKv9+4kwIWa0Ov0PWyuOKo9m3YWGWXnnxbrxsCE 1D8bW9jEFDdV/Jm2dWckttAE0iEs2QB3S2A+LX/f/AI9dd4oWmXjFIyM1o0YJmbZqlFVWqEFiEYJ /ymGFUxEkIC6JDLqy4KUL4GKADTXk664+LB/MxBw0M4SPR8NfzArd7iGzxvJ31EF9AJ6Kj652Q1i wCkr8E04qlIgxMr1XNw4JEw/beAbBDouos/esO6JoZqbNO3ki+QhJ4XuMGGJAP5KxRSnMOd1UgoH AxlSUzVaUOCP0oE82Z5Y52oS+GXl14I4XFW3blmPrOe02ca+JpDd6fhS5jH+pID4Ev+RCyT5p0Bh AwAkM2HrULkRsQ/FLTGXWHh5EO8f+xVc3WKHb05sXiwMtnS/w9am/QoDpXP/nNA1bL+HrSWRPJf2 84/0ZdNzQw8zfwUi2sDoLtL/UrXwDXnI/vFcUIe3eMFEY5TYxeefaihuIVYbCMxxSob527GcBJTn bWmKPoUUnbR3urvKA5qrjDOBzT7yURxYTgS+rJfeSVroOkQ+KJU2LICwJomR0CVJGjYEzeLmN3G+ aeXdCdFzH+nusQuyj+o9SwvOUwuT62YC6xjf8dn1GRsBquescQmhM+FN6xKcWzYS6onP12/3xAW1 Nvgona34W0eALmaZ0aj2WYBbBVc/YIiLyVl9nviGysA0EPxWfTiIYkL17dMwTG0gagiDpznGUlAO r0ysIRu/eLnX7SCIoMwzmGxG5uBhjtrAzTOPN2xF1P0eI2bNiQ6/ipjY8KpHC8Aaqr4vvv5soCm1 AbC5Ke3+pLU6y5kijzshwjlI9xZ5g2d6DF6tfnms8ozw9+Gm4l/HMGnEZFS72EuP6fdQo8nma/0C L/FUjGpufnqQNG5VV4uGwbgKLMMq4+fsNkdYWmqOLVqwCndfndpDkA67++G3BD+AdX/wJ9LIbrnw mqs8XlgOpAjGTS3fv7PqaT/jHkjbxXRGZgsQAngeH3ayTng1I2EYSASw+wF5nMMY649dg2cyYFqS KzQ0BH4gSiZYvekEI+CsI5cFAMaY86NvXuzcoonlTPy66BoJqRBLm1vDIOhbob9XPT4gMBYnQPtb VZjq2crOnYeTmgahj9vfLge3P7FHXOJUaz4mZZ0HeIts6nWtG+b2Rh469bTBvbOZHeiPQr8iGFBi 5VXis8r5HgE4nlRta4GxGId30EW7sOfYQBo7t7HRUjAuEkzu0PVtjoRbla/u/0LSU0dc/g4URKrZ zPQFX7ANtMkhGiHkau7PcNmF3ajrfez7xC9viEj+wMXJVo1vGGIMVESWZJAAVYpTmCuAzeTyJLtN ImtAM4TwQz7n0AQWrYdlEhNtf7vUGpn/okpfPm3h8JaH9SwdYMhxI24hNwXEw7DzxO6gvASXM940 LtRh7F2200mxbnCzoJjSf5cEzGULY/EDfR1TPQndN8433Oja35eKHK97oRqbcmOqFBgXwlVgRl/4 8s9AsFvU6yD87WcNGLuiAIFtsl+qR0Sd/I4EH3ytk+TmlfAcqWzm8uTtsfBSxQTQl5J1w6sAd0Jv lOveBYK2UlDFzxh308uVlQXeRtyLyuF11ZCafEzpGyp47ppSK+8/LZkwFqm2xbpW+zIC9daasaBY ZB/duFhJBk4yErsxBVh98ACsuh0dl0aGjzuaJKF1/r5rlIoJ7FRfSyl5gE6I+IKlkAlQDjD2sDk0 M/qtDr0nfPBVCEuzpwzigKT9E6IpNnE25nvfCHruG3iWfqsmglHKvRXC36ej1+kTHcwIBLFrOD8w d+ab8iZFsIZE0vPgDvdjTfXmeupZ5DSWp3tNXKMj5nvOQ5wRskIpLPBtFb8HAnPyAxfLS1X8fAxx wCtOW75wuJT+ouVSjqjKu/1vT7gByuvVQtTkx4nv2APvejLShn7iDa5zeWcaPMVym4hBp5Mo80re J94I9BPJC/j92LOQC8ENlM9VUvwmTpbpbXMj/+xD+reJsY8IdOttt+GJ863kZQWnWPbbyZA8G8gg 0ghvpK46FIQ77xoNZ2Rk8GHXGqN6PQrtD0APPnKNf4pWCS9MRe9Ks4F56U6rn0FVa/cJSJDUo76e tq2rPG2yvx1b8vI47J5wgIFooZ+rVrWn97bKrHtRVov32OFlahUHPFcdaVUbvZMMtJsxQgoYLsON iUpdqkHrouAhiWVwWhtQBgDBTMHs4YJTmZFcgQAnZFEvyQHkecPgHijaJkYCoA1/j0SfdBJFz1H6 TYNq/rbvq486B1vwi5Mas/3qUtlaMYD7iBR+itAAjUtjJNm+Vz6GQRPPctI+Ql1o1Og2ern520zO SppMekhhM0syYfjF8gpzyxSz/gfXbHMlaKLN3g847uKoNxgEV8OLLwTAmhDQ9Sh+ipT+A4CAzUun TnkoSLguvHP8rzBc3XICyLZt1YacjorSxX7FBu+ORAQG5Bus0gZGs4mwGuZ9Rv212d4N111WHa3O jkg9agSFiyUUB2AUw+bgJN3fq9rEDK6KGU1cD4pb/lfODgH76thbxRma78XKUKNwZfprBv32Lyuj JcFtXHsD6BPR4fK+DrB4DRYT42iDDoCackHp8zS2+bDxFn+HtHe11VTsqVsdyxNLU8bdBsG8PjBH 0d8lqm0r9mNCr/7Bw4Jx+wLASuHuxFvzMNCuq+odjQrNimdJWFTqtPxtCTbdcTO6ipwpqHid2C3S +q3qmnu7NAnCAo2CE3XvGQ2VFW0Kx+cXtl+7RRD9+Ng+c1iK1ZT99jWyXJAnQPS+9bU/ZhtOuv7y QzS5ikQxPaK/uO5POvk2TN3JvmOCSAoPmWee/25afxeuqQFc2PFyjqfRhJLt+L0IvY1xP6KT2t+Y spfUco8mrZyYFGa/4MxPjHdaD5K4/193oTNcLRVmeHE+O9Fumlw4m5tfLRLtUTUfK3BRe7afsZx/ qYnw3mwJ1Z3dQ8qbYleZsZX7gqZutThvMf8lYy+ZXZRxInR0+LwADkObP71tENPLNfrmCWIae4qN lkikCOcKfyNgwo6yBB0HDrPx9DWcB97G/+z1/CS7R6ALTfmP/hKzkuWvqu+ZuYgeprgYMyVcdqrX pUr5pKNaHjTbNlWmcIxjQAxv9uSN7sB5uvdHSWILeYl+YWzUFygedeVfk+5f/s+0y8SHl9X4LJFY qqeatDHjGvx1Fj02riUoqXziLQd+QecRid+vzQ/r4sW+BlXjpWfjfnTpRB7XlK1ib4fV0ntxWPiq KT2jQnFgJ7awpun4y7I/9PIKQzfN6dr+5RYsmJ4AcjxYqgp+hI8lgtlCpngkqlq6ICrf0CFpscTM vG6ICJWo71aztaSdArnHcYWtqFooyU3+dGJPrfAHi2FUBjXVKQQsv8sgWTWsam+7nB/UFKyu4/De JuS1cTs0V1Y+y9JzBcAuXaiGjVZQwSpTlvYyZjzYpMDlfqgS0DB/NF15S4jU/ICXkTO4KmZI+ssz Gd/w5nYEVDfufWdrAHyF1IB2OrBR+YKg7mZ+NXNoGY3kbW2dM1qTWb9PzvcVy5FTvI1ooPUbPXQi 1DlBTVtMltOPk3Ad33no8LbaP8euiSpubcHrcmcpbNNxybleWGnFqkSXM80zAkWpBRIIlJrz7jLp AS1PFGsbavj34ssdVDkdCiMereQIcw36W9VRM0YzZ2bUj89CW2R2i+5JFQ8L8jvOvfPbP/b3bX5o XqQzkz38/DHt4S7uUKr3vkJ62EcBpWyzUcyMvVnfta7QABntCz/DccdwhOmlfPk258tHESk5ivDc TuDPm7x4iHHRkqqQzOuMEJPMB/FY4qJiv/kQL1xK2H1nJi+O5TV3ekOn8FCsaQCxdVc5vESoS+7c R5OJEpdZN+LuCg0JUMSi5psieNHOUaR/vSEazAY8LbXA7r1uFqlCeQf2SuvZF9TWM/yBVFYfJWTX PDxBw5Qe6A9rDE/FI5HYqErD1qsxt/rdlZjDAgtLtbnMkfPS9FvO72bcuF16EeXEJTezMEv6TeHB Y8xikurG0BBUdFTDlYmpR+LR1RyUOGzM8c2fSDRs7lW2BQqxYUhPN+YPQJClyEJfDxZRW7PCcsiZ nQLlN/8FJThSKXSvj1eaR77mIgy/itCIhx3tbKVmpzsQ8ewPDEHzUl8X9YGEvM2mnM1IBwhYLumd qvG0zYeSSH21kseOxRdceXGwxW1etMLKophfUMVHFg+pF73xZM/ivgo/xG8Tz7ace9oq5trQEeV4 1JJA/6TPiZ+f4Zw0qItiFXv542UU2Y/mTZvcK79xvVr/zdydIRZvaXwbDdp7r1+AmwAxIy4V9DqY jigc+8YhziWhgLcFY+Dj3YFxIcYE42iauT4i1IRsI+Tp+RjgWb5BdCxWfGUQRcvP6wBc1PrMyWVX whhrQM9tU8G0+ctBEkm6S7Onpqq49er2MwUGAHIloIT0VF3N8niIMvpcswN0V5RztREtnHBSJ7hm YgFL6ik6l6fLex7WBgX7X/J5e4M/JYPyuS1naevw9YvYoFSP/DJnsd9c4lefEwGDNvujZTZmyDd8 b9Rd1Q4Pr6XOTXYjGAzpAonj0BbZBQiba+v5iAvnMbMUO8DMwIwb9RbNEZvwol3xjRmnfpixveOi rmmd4rpwH5H5KTaJn6HJVMSMeKZ64LW2ddfOztzMc9jm9iWb3IGlfuj6nRRqiY+xFyhK4AMk6BqZ umGTcv+IVRTaS0/0v1Is34Js9YGudI/Tk7s/rZf9d0QzArPrFp43dJ1Voj8J2sVqtUHxcr1RBxIM OMFlJMdKW6eSOcFvevbK5nC0izAPdIM1AQXMOAn4Bl3LcGSR4eOBQZaIHZRvb+vV4iguZUEHNmRS 67bJTyN11AAbCkaltgeDMDcfdtB6JU/e3o3r9KX+c6DaNd8P+TWm3f9HR6lqYYxy7wJ7wpJVcJ0C IQqDWf7Yc1krx61+HSLVoenwE1pGqlyQ3cIv+SPrY4gBl6N59tCIlsa0NWNS9/5unH7voXjhpXWF rzlsowUBiZRVtfb0v1gdCfs9j3JIhiPqx/QGlilzgaeQmxl4nlb0BcC8VWVydmALAz0Y7POsHfkD 5XYWXuyEVy5NxCBWHv3hKirY3VPSLU0emiuMc0W0GBKOqZpy1NhysqNhTdeJEAo8XFDBdPuPwIKR gTg52FLdu414KnEMLAAklFrJruRLbt5oFbtXO8A3f296hnT7heHpzD1qOqMrADVvrhGibtH9VhfY xIxmJ1c/zuKK23aF6cRJmwim0UPrpxjuYAcyvgOetqX7EZ0XysdTuQb4KWunamPqm/CMqmSGDu8G o6FWlqq0XIlGwbp2NIoWePVXh4cjXZyyYNchTXCtFWwghDIf9Z4f5q7dF5KBHfoQV4+hLcAytwlR +2f+oklUwCtbBz5NoWoA/CfchGZisKXqe5cxOaObB6x8WGsl7W4+oCBPwmOISnMr5yNumt62nUc9 S7LEFerlOa6ZfCW/2SC26Fhrwoxg5/Jkd38kL4pUiM8AwnILtmtTK0XpYly9zyXlkOmFviS1iEG5 JvNJAOoC+YHQv+TLcY31xu4lJ0SXOGwaA1Lemwxt2IKSGTVzriQipzGOwjWZwo1iuXTE/IWVXQ6s G/hat7PEib10YjiQoC0t82bo5jb6Qa2Ao/5M7EZKT4DlS/LWiCV7evY4dtCpHQNSdBkS2sXgMeGr zcx0L07BmeipzrcSCKi6gdcnKMz+8yPkqU/9CiyWuFsvMRUgWiCm9fkiWYKuw/LtLEKWe5v6DHH3 12hmbw42MrqKHglGCIZpsaZw+183JueUCzpC1HMD2YENdpRrh6G4v/PkpKOMyF+O4f8SmulRPbdn PuxNhePO8KpY3jVfRCpI8dsuT3qw9ermsqsC7zg5QWu+7eKDUXczZnlInPPbOj+mJEAiQLdtfvYd 8pj58bIbtsPPb87cUwNql8rt15CoGdGQ2wwo29wXwVok5wBAlKIkuFnpE/e3PKcS6KPf445T+ecj Nbi3MlixBf/JM5vbhosORowo6u+mD+aLkLR+nbkjKhwZA+1pt9fqTJ2HiJtvHl75VmKCP8hy4inw 8nMg/QRs72uZKFWOs22O4r2AcitTK7hsIfoCVB/3hCB22HJ/47EIaXn2J1G55RfukMfHivaqiJz5 QU7yr9PzzlNr+zojKxh6BtXUs5RFaTmD7azJ2UEH8fzk+wlaTgQ2W2Otu0kmsXtXcgtnafWKv/Ol 2zvXN9zgZHEmD2sXThc/4EPZGYVevhKj7YdlW2jGRv7Hi3/57sPQlfISf4lEoqF+1Yg40NuF4YLT 097Fu/kNKYclwGel6pxVvc5U7cDQD3TLdtBc9KwNtnjdq42q4EjgtCrJQP70QgrhgssyG0txd0hA ZYlIRt5q6+/Hd6uAYHjybcl7D+3wf4Y8wtws3fbaSWAXFNTNNJXwVLrLJVPTLlB06+0ewdk//eiI uGXVFgaG9Wxoz8mMi33qBQPSZvV3vVhPYXuoiclsR6yS+AD/1yEknkxrce0v0ntGr572JBGuSl97 DsyEisr1g9vu2YcGgkAuHRZpaBRDwdLR5NWhmvbJvX9bILEG+1I/YeIAiPrfhK514Eo6+/VZw8me XIRxq4Tghpwbi+QuAzLWF1+oNsyhRm4YGAniIkUXbqnTqBWbI2p/wkH/zao9MMRwonT1oZpb5H9d p53py+OA/0pNtdgPMWff1ZHIPEI1aNoXSrqFDzG8feQxLMg4iOMkGxGPYe+dediS4ucAoeNejrr1 FAi08QU7zlnlcorrK8vHYBTDM5ednNR6aMR+6KbWxfE1SNhifYhsHqLQhCXkj0zmKFYZ61nU56co C/iFkettZuIE16kF4ADdpXZ8w7wH3OHXPiXHnZwoWm9E2ePEjR+Iz3kgmWuh8ySuzzVEk/xbN2RH sDr78vBwKoOT16zZgNlARQcIrQMTcAx5v9HhGJJJJFEZJGOTTTiAdfgbqLKFZumUX25cXyIkWu+h gG6s9Hv4quaiYHG6zlN2SP8c0r9ECVu73jd7RWErs/QcSJbaizfFNo0IsTTqH4QGrLMawaU2S9Ey 5IgUmZl3rOXtWj7K/gGQCYJJ4+VSYKF2WvyePTTE90iF9ENZzT+WXWTj1VL6OcRSw9b63L0bOGmo 7MC6KhcWEmnzPDDk6NrXZgDsIC/Hd7xVBuVOVV+iFltd6rMDVYmwjfbK2s0b1qVvip9/XTFxJghN J5PON+I+b3qcCa5p58BgdGu/VHeLjiQQxcWi83cbYC4JHKHT7gVG/t/4+PVu6erWSwiERE0EnrAw LMfqTMHD1OvKpMIod9amHdc53jITY5RFtkTlB8oJ4uOyBNEw7BlO/yrh8vlielllK0QbCp8zw24I 4DTvlo4METBhAUJWSqsghcSVilAXU/d134gw9mAtsqUgZYEu9k3gfBn8UoE4jpauha/rC++ivs8a NWcTSrG8vQIbHbOe7uTx0IMe5gKaZyqnkCCHI59tO6TVl9YZ3PoAiHzmRXXheTioet4n2NM3F0hP 46Mpg53e+2HnLirHA4fqP2jxEx9KNFrSVypgnpqeBzqzoI8ifjddUwojYIENkEE2Fimi+1H6CJN0 Z0SVkEyvXVbI3+hMpmt8s9BO3mhM/XtRIuCps1ZqPvB2H+kmeGwUZRd6rhQLlmfZfnIQSDIO5oaY Ga+C/lr+woAV8lJZ2uRRabIZLY+ClYKM5uC/1oTX6cCJ2yNeQe8q2jCz3mkcKUE5P8G/W4NEnqi5 jTa4XmTyc3OdpY0jaeGwErTqgFmUHjkGN44NWD+++q+lyB6UEALdw/afLjlPa6YBeH//RA9CfwiK xdjvoZbaOOeCkXLGmnMPvew/3uN3iiWk/PVSxCV8pDA4z818dJNYx2vnwHW5teiVxfeHoRB1ERCF s5mVayAxUrkiE4eR6y8VaOZ+F8jOuv8m8YvRMB9Pic49MKUd//PY1oXqrNL/nrDBi5nNmIL3IOBX PwtGIZClTvn3rMOJslWgD7bchdC1yrICkz7aVzaJvrlXXsl6jjrTGfEPLuWseLnwHnEpWpbo53WQ fIhkBWz15Jme6oDS0uQ9i22BAd719dMZTFkavTEMp2yaWQQFwvR1OQfIDmuVYmqKiHYAaECQW+z8 a1u8r9ANrmedr9nBNT7bHNoNqEQzDbV5W2UfP4YUrxjfVLNbjKcnDN/LMiExzEo6Oyds/601lhtl jWaeC9lnp0hmNeBbtNdtzKaaPccpBgTKIpMCd9XYDQrf+H9shHvdxzQPpEYGGuELRb7sYd5h/M3L bUhG5zjGHR7NwuVEZITJ7SfmD/OdaJb7LsZ+COPZqtCYsQK5xgZbn68RINdh/+FkDbwX7646QXgm 2ymF3MVfLPzZmewnlYlPx2jWmOWchVcP3/U8weiRuqna56wwmVgWdFF2bLNgQoK5PSty4caKZ7ta G3vn71OFplNXb2AhBsXxK1LIwQXhjKBehJu66sxfNviImDIk6XS4lwCn4QBIAPmDApUbde+XO4zu cl32IDCPaCoaNOm0kUStgamD33jdNfGy1Gr6e21ia+vOSIjgPnxvulmbIhLF56x1M18nS5Xytdaw M/nPKTmhworFWkOaDQB05b9BfwdxkJ7QZnxXmkC7G83KbmkqxwdWa1ipBjnPGyD09kis6h6lkMIA nuFlxspiVb0PxL96T+jUWdDdnZRPde2eQ3AecvdzWOLhoHS7+PezYQT+TCaBxv9LbZALifue48y1 ouOiImB6ATSCsQweHyiBJfJeyASeWchB24iQxwxPA6MQquJSBOw6PvoB23ziMxQvgdTbNVmOqnvV wa2GrgSsOnYRtHx9pmrARkJfv8jf2b2POH4Zmdw6RT6WLFSVwzdJ62rcbn1tvqTEXk3dabGfeXz6 eVNgo+7kYcjdnRjRPtSP0rLeTo46u/OpvmbF8OrS09T/ZY0ISsaaIaLfdGtgsyB3aOjwInsOWH9T vaUrfLU30c/RWNDXQ8YULJbyPqS1z/OLHbrYAIqWGSL3lYJJ62H5KmAaocNXjoHre6nhV7yafAoj hmKXnFJtYAWGxbdlM52f+PtJYIM2QFgK2HiLt68VHhyJy+RP58EgUZfqF7q/iMKK5CBwaiZf0Od4 sb+eyYZ4tr/+JZ9vXM6pj3Cv+JabaRPlIw9LvS0PHDQGbLlEG2AbSyhz3gBM3orG0q+Cqjx1V1O3 SrjhAyVHjqu6b0A6/5CJfCMKmia7BFh6rjXqj7wu2iXvJ9Xf8GcH8+nsZCkUywqTwNDYNtsyVGy4 9TBAOiBkCMRQ6bt60JPEQXllPrOAMfB0rSN+0FutrTNLtCjFxAuZ6hvwQELABULut1xbRHXvnoHw ReWwdJmvZunY+wG7KJsKS9lYoatkKimy5H3sYAXw7sW+Uz6beYkYFTLk/MyoEcs44VxC94/057Eu 6GY/fuLFisS/PiET4QRCtxqQnosLBUhC6maRnFQcvL9VQ8iPcF0oHOkFyPUtCzlLhr1owz1UqrRK E16JIcUzilkYK4DXaYjsyEVd9w9ZFyqFy+dh9VpLkSqLKFTm86eeE+N9doRR8xui3HAl17P1KMAb DjHXxyN934fRtQzH893/VM2nd1yGmddsA9ryGfWrrOCdsrcSEwq9mNgFPlmguSLorebPoyYVfAbe FaGnuB+lzhmFLIZG3wl7zExgsUiAtwYBBB/4260R8dcOrt1QxhrCcyRa/pavB/AWDgQZYD6h6Aqt vPDl1TkM2NRO+Ydv46QGGKr7aREOiPhbsggrNPlR/BspsPQyqK1VMVCbO0K6L+4KOHsm2kzxSnF9 aymkrXn4IwISzYn/H7VX967eTWt3oAYKzgADrvRRp2X6e0o6XxKxGu/2XKb5Waw1gNq/l8QMLPWJ D0akum0gkpBd0AVK83IlJgGM3mIQvcSK77mjKj10hFaUpHUtWv1oUhUH+Iy55Pcfx0sAFrwn832G 8bqY2vXlbi1lhKEMTMgY6uOZquF8vpfwJIzw4+0nYHatPKHQd9EEcLuIi5opJUUN+MY03rmJ3YW7 87dTQk3dSuWP6miAwgPxUVs5SPPfkzRMjow1sXGF5+ThxCKoBvGox0KPKCe+3FaNc17uSm/bYZ1A qyqtrpHn3wh8qOnbk1XqIULvKndNTc4P2fIyNfMJ7PzEkiSlqCv78jwp2Rf0u0vi9z5PbAP0nWVg FM+LoUA5tgyDIUzdYEjEl/KbSzpc6Ry/Ydrd1kjnTxKVsYJ+8M7aUJD5w9XQ980D3iSHBjbfwcKA Y+qltT3N1NhuvtpwDCleSNRAoXr9BlN42qHsZzG7sB6Z1dW6WVRm0Jji3L3DUZZ4drh5FZnb3l3L 5raRc9j0iKRonD42HhEBP0SGd94OFdpXWpFvOrOzQ5otbP2+kl3kcem9QBKLAdkMl+KuvOq4PoUv CpEg0Dnn/lxGORGQjHTdcvMaF8wsTwOV7Wvxbjp1ykWk9ZJJMhpyl+9fDlpJEV/kAXIuD/mIdkT0 U0xo0UNjlG4mY2Lx6tpEg5vm7dvt/B8khUxPdR6zfaLDYXrdLIzozGUE+b+kkGPCFHbAGENj+9aD 5BZJoPCpeIlLyKsjenXaAu69oON+ZnwTyw+Fcqdxj4pyp0yxW9lqYQwa8g1ZccCR0MuQ8nUZ42Y3 BEZqTufV0NqYIgVngzUbb4hXlK02E0A4uXtIP/OHkL8vqY3ubx2fBvY2Clc9/IZEYTfpCJilX5fs 9XsqOnQ77xDd9oFiBBMeqT9urdxfLassQ90GwFP95W94HSgSlTafaxGq9maPMyhD7gVAcgpvH9vR jS5LSX3iJGLMPhqhHMHRzNEDpHfG47mG2VSocTC+vS+FnkmPsAgCKK6/Nl0xb0lJ9l/VO99lAe3u Nm7RhaOUdnbUKkJWn9Ute/dmVxsa/mG8al+Z9Zkq6mBDeZC+/cqdTqmZ8iAhMogot+CrKMNBlXXH BNi9+dnU/TSgOmbwkoIf+Pa+mMXRn5PzWgZQ/zZxjledQrBLQRqvdN0RO00gtJ8rU7tLQ7f9XBB1 4Px5yXvIjCzNwpPcrd5O2JRTrR/AEzVQX6baoH3AI3CrNE5pjwUGDoVpMlFnsBj0JahuOYzYt/TV z1DO7e2JD4H1F60Y2g0KwS8QsPkDPTRHiQE2e/Lr10J5fC0WXBBunOpJUPAjaqUYbWWZNTnGhKJj 9tC9QOdyvMPzW/qRklb8lykwmA9Bh2y8PJqvuw60vgfkoQnLC8KeFLbN7WLPYkeUkTclPzxEGcA3 o008WOS0baxAESY5bMTSbZoPjSlR2fmKXKaLrVGmQvd1HWvqdEW+dAXwK+9Jt0u7pRfVUVw07x7C //V11Im/DEhmW2Lk+LSeuth6R0QJC0rbGVU4Qv+0ZlNbIJ8F3RXvghVA3X4juSE9QsT1RKYAZOt0 jdic6SWjFKfZcJpq9k7IrtJq2yfdBZOWGWnFSXWKSEdnbc1bBJrhKQtw2qVLLVuxzVfbT50MXx+1 rgBqGCldvRO8YU7+F4fTdkcspfps/d29kKhWzHeccsKjDBKDOCBreVxmaI2dml6+N6Siy2VX5Yya zoJCsZHO12omJs/ArSV6jte/NUwpFfaYQY/r66hx3XAW6CvowPkdD7t/LDG77+5a9bnWoMKMaXWa McP+ZAoN7FbzlSxjHXAtOJfrjzpc6a7Gy8MAXlx9jhTP9xcGZfLzQRJsv6a+eLRJe9y9w3lPIqpw M5lWQgTtJqkcmB6EtzFeM4aMuOmTmV1zBZvidXgVwGWodJJNzlu5jqCrCTX+ubBiu42x7OGoOM/7 ogEAQ6rwoDLp4vhMcWtDgX6R4DFfsv9PUhFfJ97gO2j+ijEZ6NFubmA/qOE6IObPRZtQwOMSfyaF kyYZRDuPyn2eqRt4ZmbIIPjNIIDdJg/3ti4engx1Z8z3YrisWJJffACk6GX8lI06cRhwjcqTSPen cNlkjEapNJEtV/uJWI25dFjPP0ELTkfQfU7M0kq+pFuSTkXOH9vCi4IpLEtJxHrxeh42LrKFDHLj LcN3u/Ej8FQ1lQjPtvF3H/H8mq0NX8D5MtsZfNu2py1oPQJi+b8WqLiys93cCHuU9bcTKCMDJDdm C/ia6LJEsfvOBcTVBsJim3K/3QgWmcLGHXOgiZolG5TAzwtW2hKoZ0/r/pCLCGEMlw6H9Qjpg9Lb usjMFxFYWq1fC3mET7pglF2hlIO2o41v3KNSA0Ue6z1UYrEcFfaTntkVrou+kDhEqRjhw5pCM2cw EfzJEr+y0f+FMLpm92WfLEF+Q7X3Q0x0pGqwglaFmjS45hKkA5CLsW1dRMhv52OEfV2tSBV3Z7qT KXwPxpjKJdyHkaxa0a5XjdnT88PnQZ129v/w0QMI0AmG74Puw6xXGJHSSNQ+QZmyU7ojxqM8ccb/ RHOQfDXirAaV91Ady94bHN9RmeG2lqX1sGo0qLSLpOWcD59T183bJFWWKU+oyhrwd4k6QZM+xrPP HENQanEt9ccT9xwTHT5nUqThWm1q75VFpTX5f+Bmmkt2iVOR+HnLix7kygR5ovu4WACpMt7KDY45 pYtKBVd7Nhp6tu2OYTpOMWpCUrzwfUKqoxgodpCEToPaob6sJLe7uQ5P7lQ1pikmZlsliOCm5kpr 3Rt6I6z3nsAuIaDRNe4XrVwwwfiP8YbMSEuM+L2YXla5jlrSISID2g7h9H50pCENTtkTmQr0pRpZ rxQQ9Y8EoWkoDg7G3KL9KgswofttMBScM01uwZ1qNhAJlyg30ERq3GhUeZz+XgJMXlw4FAhzcbm3 V5sXXT7qvBbOxtA9lq3LyKkMCGgF6VM69tpwhlF7fRGBXROn0iXFOXbkIT0PEagUDmt/tHNnx+us NPFY3V2b2mN3CWPHUja+cHtQIgSVHVpBfkfK2T2h0KKkBdNL9cCDRFBkmH5DFv5qkmmB93HqK3Xp G+ROohfEzpbNe8N1eIjUrARlE42fBKK6GdMlsYCSWZYmylCYSHSvUeMZBBAWYMP5rSNOR3I/aoVU uVw4JjFIgyirVCGm6A2Kmvm2QzkTnrpvd7+bIJpqmS9BgbBaVamAxIjCIk0xkuZOj0No48sjSyfK o2VJMs673DQwVEalRtK1enhhTN0h2aiJpIZn3TY5+dSFLyEUpIOECPHwx0MuYnSM0t6kvhM/+tQw sjH0UCgBvYH/nEXJs/6LumXZoWC8qOfypQ9bjvP1JAYAZBnHTJK0OV18sF+AGEjQFYgjRbZisoju S0ucxAE4EpM58mcR8C5XwGGlFzTebY4+ojOrhUDa1Sx1lJOV/P/HIyOJEDgu9vZ+DOHILqdOQFnR pGZMaGmKoAUXtLSZmDjw++4gk7a7LChAcd8s62bBjE6TT4lQGxQELLl9BF6MJ7H06lSAFjuZ2KXk 1FhEMgvHNBqvfH9tNCKgqlp9ow5s9yt3V+OlaU7183KqdbhqqegZ1t6SzvmAKaPUWQKusUmWeVrA y8SwyuKtww5arkKK9zCrkiHh8NPD+7i/ZaI6Wjcb6JsBaZClAAmci0ALeq528CqKv0vRDbL8BDmE DDDBWZM0DFcs9hWeCYETReL3v+3ryUdCP9h4NnXuVfsbaSFVK6APwXACZcPd+vd3O4yQ5/FVVrJr M+1bkSv3QsOvxjEprCx6NwUszqN4BrLUmn39yIwpp9Przw3yaP4605w+NQCD6NY5F0L8h9f5B1cM vWdsYgcMc33qFLhX9AN0HZhqhVJMuCLGGNdxjqiDmGSrp0FSXoHMEcRKXKUXr+d/37LOzEF5yGJj y1yHwzOr20QqoVtNK3KW3jivFEPZhCOMlV2e5VfQ6bf9992odxVQFLDZHHH7cYWeTFje1MYLB5iL Pfxdu7/+QWGrqLP+Blbt88qhsRWOAQKl/MhfIasf1bfdnUnoDxJAz4XWphy+Vhme3pRsLoFzq7wb 56PmhWsWBCx4duzgfb9mE/ZnWou18FSy8DvbFqSP90IsK//w5dn/8z//pOzl2FwqgZdIYpoU53RM QlqbEEbEdlGitTBQwIviwixs4zEOnSVCzysKB/p+nQv3sW6H8FBghivObfkdSYnLoEtfio+sBBA3 aOqH7ZgnM9pzieMfEj5REn34m5ra9ynQ3Ny0nkpvVMcJnDc3gmAv96dFharPAJ1r7t5lXvHTKF9t x7W77ZV/BUeXi3JGgUycULDyjdMKB9M5kI6/njBKc+WZaHvq4KtQK/0DpRiGBjfQ8K6MzVB49alF 9p5HFX2eyZPEvcZbqXz28dbnpD0SS9C+TuMDqAY0BqW/TapLXKtgNAsh6s4yiEI674q3oaBHMWb8 Z8hPrtLyQIkQ8m7N41VzsuorZrIe43AGyK5bwGyVJrLlkI4AWGE5KhQOKjZcTiWcCs/3kBQCgIs4 WsH/1gDq+78jI/RaGj38xxRxDCkIXWs0arNMnG05f6pDaQZWqcuQ6QxVMz3Uk58G6vPhDTGtqzAO ChUledtdPXppAwXpTULQElB3n1Q1Z/SaYl+IVVniNMtk08ne4C/INP2HvBFDEibJziK23PfgsrxM 8RN+TkxpK6E/6uwBeGVJqmCrM6CW/FamcYNHF5Gur/KWnv1thWfQdh8R39d8K493xAB2g8wG8xl2 aaMbh7yaNXvGA3jLw8V5RPMG3A6LXZYDXdarKGEvwurJswTIz2F/Ka5zNeh+US5LRMm/bOFanJ64 SJgaUwG0UgsrZlO48ww6gXaQ9MdPlV+GlGmJ3JSkYIxgwGSs24vWt6BbSWyPYFXmU9/kYRRYpLlV ACECD2kYOqp6pSV2U2ko0PWuJeNIWBDch3gWoHXdKLQhSKVph20XU42tuXBt5/LjoGUgvbFBbHqU PhbILalDapVBdWyIqnqevI+oaZtDfDK8MoRYkJT9ZjtM08EdAbytCYztsBhNpJFA9gSGd7Rk9dcU 6VX/fwbGi+VK+CxeOK+ygGrQrUcN4H6abad7/MTRwDVyiing6WOifBbnC38/EDwAjLxdpqzqCPvL V6c4gqkD0WFE2SAPW5PzChz8kMVYczCVG6WHEwn6WJRV4lQ57x9ZgIRLCqP99/kwkLMtIeMKhWz8 U9rKjJD92GgwlJgGzBwVNqhmOjHw+BHs0lSi9mluIOpIwOVPudXIMIpzdea8wMSH61A+F6D42C3t utrvdX3ZNFgZ1S6Nfdca+p2B0/ewXWhIZkGHEcblnjZvO+0JPTYL09m811mG0FA+jRkul+uzzFw8 OVCPknpEwf5y8XmuDqRLbWVHIlhuTz9Cq5F6d8Y+I4Ug8nc7oeElRWhn2ix1SK6zQGLicRO1uBi4 GutKvd9SdHjaWdaQ8mNFL2kObl0U3b8iTFNE/YtuebhVuCQEqMW9DAssrCBjcfs1IJSm3pyo+jcU Swv8BX5Wxp53uGZf+PTddJEsliOy8H1HirttARAUPKijPJXglx9cgJ4CMxo1XbsqLPGr1+RIZqv8 9AD8sPUhRF1AiL3tyrodtOKXJ0zwir+3meNS7gpsCVE218+4AV/ntlRhLt4lIxKVa9rIxwUAQJnZ YA3Pz2bRcn9+a+b952+DtQYmFe18t5oSPyXg7hOPzwi+U7l6tTdT7AUdlglbnwjAolByZf9T/DqC xEp8JTjCnZnoQLjrUWb9+G2UNczI8UYSZfeFDfQxv7Zrg0qkwu+bDG1OVtd0ieCI2owxfwao1frV Hb6nYYLR9DM0D1DzmbVHnD/uEgVJjx2kKFjWPqVgLcXRNDEz0BlV9WrRDofwWRMXvY1gGjLgyZ1n HhyhEqTK3GwNgNlhDgUvq9/GtMGAnfrNO0rNQEX41rzcjsFD40OO3DSZ/ZCYYAyLDg9A+3xfepXv Su6zjXRkz7GyhgaB1Zwe+r1rgZoNfwHAa3/6kqrpkbV7tV6lM48YnPEL6c57NFIjCNau4SS7P7yZ zTIpCHREw1G7sDmR5i91cnCOojwmNk3KM7DKKe3E8AX8GjU0d0c22W0A7gWLs1C/mifotJlldgyV E2olrOgVlPvKCgpf23vymrPvsf1+uEOMWo5njpz/+uNAg0jFpZT4WE40JuOCtPG5WB9mGVo7fhP5 h86i/ySEk4dTN9+vSae4NPYlaRuQWA318HEySBd/7RxgrRa3W0pq2XfDETd5cnNP8NHoiRZdAgrf va97BwN0hfljeN18trwvQAaHzc+cTYnHzEXizF99e+PyxB/BKutJWaryHtodILrbUyFoYSe7DK1K 8/HwkxO/jZZSlKEG+Qr+tmhWmP6h/SKr8Kme2FHJy5fztefLggPGDsahOIVZFIK0pP09zChgqht0 cyIVqmYuNkTVmQic7uTE5dESNHm7d7UDOqjXbKwiJAHF8EV0xye56y7zwTchVi8nvGyb6yKg/MNg jU2VxresxUk+bJJ7htf/MjEj5q0Zpt36ZEiiJbxesxz5ekTp6Z4pDc0qHEJ3vdsxKNbx5V9S0wiM YJBa6q+Qd88NdDThTck9YbnMdID3b7iIRayUo5c8RffwgCYWQAadrCFtWXn9CA9aZMHgeE7oWTHZ EnPbKd39/8xHhJbrVqDgDOiF4JiOFVz21718Ck8JvahZSymeIV5hqBNhpWqwZNnUtyUC6kOoVtkZ q0KoWClfmF19Y1L2gOH6CqQbqSHUnQA/JPfNrt+LWk1LaQzyaGZUtTde0+rPbOGpDuXMDhgg0MSY vtmKiWnr9nJl3iiha23kXb9A457h7JbfTjKKtDf6RUc4gH8fI4PiRLEL15/pijytNz56MRACXkDp LIN82/QV+ER1wB18MeYP/4/9a6PGFOtRrkbOWDzq7bAW/PS4V3Nvm86G7ktuEJ5GzdlimZzWACe/ N4NslpI+Ws5qL86GsgS7hBhQuTL7+0d+V8M+lID/gnWXrd6KCYS5hh1mxA5hqolHwryLAkBPCVKM 8zoPLTenwGSYqJCUVi/p/ISZ4qRJhvm22ios/iZtapisVily5WsY1Vz5w2a//jqzBQ4RFG3Arl+H pWk5otf8o7o9VZ6bq7U4Y8ScK2vRVtYdUUYLv2NywS0WhgTUeHxW4hyf76RFHUm6Khosyi5d1DfM lF0YrMVnXc1aQXj8qNtEm3tjfoimH4ssnGzyiuEEsRVf9+76PEFfWV7Zh1a80M+klHIRTeYgONB5 teGRUSZHpsKPrSQT1eN8pWU7NTwqUCBYS6A7Wo0iAMN2SV1uOF1x/AbKhNfyXvFHsW6l2Vfac1Rt I5wwerr1qsyvL6MUPCk0QJyItjmciE2ALtg4oFrx285b8Elnq2rm0GCEKRx7RT5lcGqKBXwSoeTH LUlckfJmd6m+rX+J/MBBF5Z2M47R/wBHIKFa8M/M7q/CtmnhL7Ts4dIDOleqlCrKxMCubEUNw4yd 9PpaY2DVVaUkHyC6aahMMz6XtQKssT118X0uR8mQDN6TlefG0pjXJBNRGcVSPr2Qfzf5NB0QOJ+8 bmWmRTM2akPrstB8GUQ0khZcQrg9ZjmvVYo2TlKQ+Af8hOIWVGr9lUeco2OvOHTvz1wz2uloiJz7 I7X/S1S6g2wHgydK0rB4y5eWJxDNiKL0jtSeqsPV0P6qfHegzJj94hI2AFQqdh8STdqEVnPe/Rif qekRAFNNggRTP7ug3nkNNUOYfUgAROwkRmUIakp/qP3Gc49k9Wwm+dDow+iZRdwiiio9Zwvnew28 /XKb5yFsUYiRVtktIGPdemC2BA4UBo6sy5kgF+b3tHT/OzngUtvjwRW+Z9FU6muxKoZxz3xA5SDL k3JW1vlZMT8BXKZxqxABMsTPvZICFVLlU3Kj6iTq6PG5F8QH2Ik4SPvhMBKRoDPL98ukrpARU5sP E+FM2+e3q6IfnwtI/CBR/FAViUGn3H5xKjXhKVoTUGMQX9Pa7msfmd1jWByp3wThT+4DhMz7XCzJ 9LD2sbVafsKMhtzEPX+aSJYlGHqmxuDP143Ad0RTDp2Wbx15SPBy06642guF7X+K62ziw/Gpm6Mx RZGLUeZ/mTgYVlkNpUkZki6GR4F32nKySTQXG9cSrUeWBaGhkxIXk+FRSAsEZydTh0YCbY1kiMMW Z080ZrOgExNzjk0ILLuABn8yq7rB+fjHDUJPTsJWERCgAk6VjAJe9pR3lN2hoiD/dwZZ9WMEYMiZ 5DrAn/F4NCrVkw6O3NHZb7dO+rkVdqVE18e9ItdWCjBR8MLnBTNtu1bumc4TvaxaPfvsurebCynu a4ElTdIA7mii4JaORgSbesIObZpMDol1zSihXeiAiegPPBFSgVE/fypByupq3tqyyzqUWytI2N0T qkbxTFD3cahmgQjl2FSXD4P78yUPIreAoYrD1ZZ67ZZbuFDJs45XrvScf+MiBdQIwBCO/kWKGEIQ 2+4EwYj4JNRFISe/GlvOez6eFvLaorZCddERG3LvnOJ58shn143A/enefPpGRmWyS8I2oJkXGrK5 YJmTBTvoBuIZyf5KnX177hKQhspVHiD0nlBuEoBkGgbZe9PiG0LTB60tfjlzcr723+iwY6qUqz8v uB06zeKxMXHupDxG5T38trAdf6jpIeKSi5Ixzrmr6szwpsxgQ+0ryZPkb74iY6I8M5/u58ZfpKe4 d7BP2j4ZmpdAHKptrRBBD+7S9nwHJnZt/wyvfnAZDWLhKJQlaZKKcL5517W3lfoBwGI8HnWVA626 yYgsREjRq6uf93H36GS95a4P0Kg3Tcv3VKteZuhkO+oeDbGNhIeREN6SCSiXqtDoztnRiChcjV4j jlfZKUh6NFKw6TDzQx8jSMsQkLs2oluoeQ1XZNvOxu5TbNI7ATc11EZ2qXhlQPQj6engicZJrj60 e8cZFhaqp7KC/d13/FBKKrK2ifyXr5BPBLbt8DLzk6ry6WA/1JiRzUkIQTMIftCQwgV+orZSTbDb xOUHy1rtUQfx4NbHKAB4XR+oGx0vHdabFKzRd1WSS/1tdIUgOpGUycr4AFPr5Bgx41wQX27P6pjx APN7helUkGzUvAPPcMECx+fP3ycwElbnoofJJLVfm5VaZCIHJLgBpNMY9KvrKuGI1sKL7DhXSCL7 8smNqYLhqPw27Zj2+HWlUn98hHhhUpXOUx/GHb4H20CLgMO3gLcb00kJgJ6bkcXCzsmnrKTU7R5q tEDFrvA9wOGXbzlh8Y6EIqtQCJKx45rv/+V5t4syvS1K9d4cFP7f6tN2QUxjBySfbjdavep+UiWS LbQTYgsnoyP7fPDn8mPrKC/yGAv1fgbnslX3jX0SeJ+MH3nE5gA9QPWvb/q/0WghUAdPwHD7TtF8 rYWodWe2abFRSzz+tOskauZGIYjr9uxaSZGbYTTHmRFYxgJgbmuITEb/AwiJgCQppZxxwwvfhaWe 2z0U6WE0mfRzfxt+Mk9pIayL/4o5yVzUlfJHTZErjFqLuuu5p1u8Z2iyzmgPEYf785xQCWg9e6JU mt0AnIMWj2VkWh6ERV9X5iYScMuFI2WSLPHRom1VPro9lOqdVYuMPE+BPO/i1SIhnqky3ckk6EaK jz1ymmCc5QvfidBhJ55letWV6UZOK7uW7S7v/U2/XjA1nJY4lRpYacT6YxUGURAQTcbBjJIi7B8g lGNhkFPDVpfW83RXK0OWGKkRA6l/17GFEY7vBpGGdF0vuwxcjjmJFIJoO9Tfs5WbW4rJcja0Erod wJTFI14GMOqcX/WKOWtW3CYym1XM6RddJGf/DR979PghP5tpagBnO3OQAbcFJEsdPNio7lapHqc8 foYfh2vmScI+2xLCI1HuJsRkJlCvE8M9Xx1TweAAhxkgNQylVur4+qioQdK16i/R130OObBA3cQq uPS7SxnirM/jW6z+uWN5tSOTSeKqb39t3XCgJdRBcKJF2QD7tXwJfbTZ32EdsqQc3AxhpmRVM+4+ u+sHzROWKngjaGhJuGGbwiZmHCQpRs8CFy0q8q9KBpXkLqUe49j89VBkP3CrS73MLMCnBgCZqQIB sfX8FHvSSxzAae+3wy2KQ9gNNDZvQpfgc8PkfQa8BpWDBW4lQZUHiRucydzY/hokW8XMUI6sHqGj EygQM2x4DAlZlXII4qpmbmjlxv9TK+QfiDvj3hnSdg53iBEKKttFg9giWUwwGjcy7698WiyV+WOD 5CdI0xYohTTCjOZIDtW5Ff6Em5apGD8m1b1GXW9kr8EedSy7IWVeYcBg+ZYVwO9Zme1zfD9zZ2rl xK/efYqtwgmBmBcXHvbQu/Kh270AGTkueg44TWSpyMh+yjfxXGN1Z53httmcQbFL8d1XHjGJfUyF TAPL7fg9LpsyZOoL2VTYdL0hETUQJAK0Rhg+Pku2pj8d2kTerVmaKnNY+PGRrEXrejbsXDu1C3Gi vBW4yrp3etMBq5MaQlIKAEcQZimYpITcBCp2kuIXyYlRGZz3IHLoGXQyE9MDn4E1a5DK0bJ+He1c oRYVaClYt0A+fy7+XH//a/WJRCdpWr++qG1PcMD19ZkRt0ZM2QCejZayv/h3D8YYDYcsmxJELIax yEZJw3ogqVdE/yRuXxhbgr5XjDB4suMYE20nHKb5rJskGOAndzr72IP9GRoEHSU4MTKLBpK48zWw p09MgU6Ctp2ocRXgmobRJO6mMWOfKTVlqJJtR75lWmsGKuUJ9rgD+aQ2uCcsOqRWx+sM3KQHfSkB tPN+TvrMHH/L+EbPPeA+rHhO4YDX5VKbdXvT8W4Z3bvDVAWQA67W7cuorSfo4y45NVe99eF2ntFZ SVACWqBeUzExkIMtkGl0bRfPdqJu+ozWjL3yriAL72pmcEWLRjerGyxLv8HyggxaLbgvhTSpHyEo VNvfAsDHMn7YyCwqOOcz2CL014WHYtI/kiqBk8IhTVgLj8imgNPrNXp7c7L3lWC0i84VDgwgTfRt OPsPYoBiTUVExbmK9GCENLKkpF+QVUpEpnug3wpKtRrVZ6jukJjYd6jXSV6AKzf0d1aUZW2SBWgf mJIXSP0/2MdcnfYSnsHlQDrs+BYnW2aG8wcIA67wCaoVuwciePRRqOLuNJPmPFnAXbEzv++Dpe8j E7lmykSyknimVyv03P6jzyTfnxHeuKpYPvWyEJXCF6NhLQmmSnlsr4WylBPIKkHx5VUZKAvYZPjb kTYLfdvuaiQu4HxYhvcsn4HbXDszWOKJjj7XEWRsZdcpAQzNBBkaa1y7mdk6eqyTQAldI+gcCHid tRkiAMNBaKg7MsGz4Tkp70Wewaka4IbyOIeJWZYSlD+A2q1AHGrk9qwmVrHygVk6rRLFswB1ON/l m14NoRQnsR9yYSrhiVXfwoeiNlPjSpETtczcCrQlvcqggUovAtbWWtFCor1U65sHzvN47DDOWdNU 5xuvI+jx5hKo2/EZ3uj5WXNPoXJ7KzP2L5nfhvF4UXWaTDYEaKkEqFWDvTfZW0+YeSIilYnaMSn6 SFE0jzWkvIiKNOaBRaUnzGt3Fy44URYes54JawPcFr8uLwtGutPDDvjkYy7e6rHQQMYo3xG/HVLL YsMGsmwC1JZqEJLAYJS/2Vg5ktN/hziW92Y30X7Rvbn7Lx3wLArvoD8X7Ko7XcCB3K+P0E4jtiBo ywzxbLEJSiYBkTE96llvHrkw1kZVFIbZk1iyTOXz5oHglMsS497wpVPkGG/NXu5KwGFD3DI27HLl 6pE4UioWNPjo33eTVBQ8AE2sXPLdXgljPCfz/oIPt1gXFdD/uP4dHx/nDFb03KnEB6P5qpLVNBFb ZvSNjwyRTARvUlr7h1akMjq0UZrziWQ7QX8OqR0u0GFeFASaFpznUuNKGFJ2bmifn1SRQaCwk2Pd wx+4Z6Vlm8D1kMCLyDZTXBXtQnujAnS1J3bN9jeGc0edUoGeeSZAp2anZYNyrwScVvM3OG0QleV7 pGUMP5MuBtZJxsOOuD9+CoQuWZG5GrAzWp0l+4uHJ2wW3OjrEVH16Cu5oiFzr4JzfwAW2fvHxdOm kvbVlc/ydXeJcVNNzpOoluzzqiGRaUkSQMUexHADYjWLHuukj5sA+6JvzRj4ylg0DuZy3pi3TytN +yKp3i2IXmz56pG998pEuS5C/HT4/AZZbDznoJrhLcC5KEkN13VkHXo4zFhFxO2Xjnvii+L5N+08 U2/BaSFXugQyonblD1Oe38+G4gqh4OTyoeaXCY89thfY0vpsTt6pjQ1aXVfQ9K/AhrzVmFjjzygD fHQYjccD5hefbMVk9iQd2JDh+S9zoZGeF4BB+VQOQbUWqbu3XiGdI9AoLMsUNHq2XJBd6C0JO6EL TElWWAqRXSJnkEbMKuynzdUgzpqAIEW9vSmanCecFFTMAPoQNXhimW6CweR7BF4KMUFbDkZrsXr6 GjeRncGemWxduQaeoDp2WARdxDJQgf6MAPIUUeqoM/RltBKdMHOaUBDbciolLcbss++9gjMcpTN5 ui5m2YUv4QBBv1t11EybIUp7cXkj1cQRSJg1H2INtO1F7dSie46VG6auVxHuT6jb63GRE7d7R7Pi cGylYcCbB6ozvld0EIucb+Xbx8jBnEkzXe6rnjc56kIon3PgQK4Ut704xVxvsYLMBQRGX/frJRis VGLMobQHNW71McjHKw4b3nkgDC5S3EnSSCI0JCSkArMjYUlebQEJ4rMIfUskQNltrMdp8Xpsrxxg gWX9mOyOdrHUI0BwqRm8aru558vRUNKgm5UWAjpcjhlDs1ra/Yjdn7w7VZjTtKfR30szpmz0UtM7 Mopx2syfd1LJREltV630ZNwG3nefiVvrjQVcY/fbtrLKnzmcbzUtmkiz0uZaL9L/IhmsJeRwtudX mlYEGqt8LU9WIkl6KxD9DgeJjSSow6RCSsDqacBmNeR+J87XJwbz8zQ6ZjvUTGjAc+d/YD2FYRsQ ByDV26aApk2+0qIAiCSqtTU1E0sW5exoMmg6VHYaDEbm9IVbSPVqu0zwoODBc8NjsCIukWkW85zz MCCSe6csV/QIBEv+QcMSomK9Q82/BV1raulnsdm99MgaqbjE4jfb06eUgnwj9s59jvlvuQYzh7i5 /BD4f1s54QR273fli4EdoN7c7MczJiswDEq4koPSaBDrOx4wIWkyAg8RwS6rqcAktuOlYbeYXbdZ cfO9TwG9J6FShcCKTTllzhW1Hvdhq1HP5Hy7g+SAyvT8R7mM0n2HjK7/ITqg2t9r/nEQ+hYkMzoh JkiX2ip1A4R8n6POwzAZiL2bRNoTSSsEShuzYAc7Q8BlsfLpHcVarESvztXZiTDp0Ny6rX3bcfG1 euN64i+AsWzQQI9MdD77UbEWWl6iYkXctl1fXs1O40mysFZs6CAGEIftamEWfo7xJ4W8HpwcrYah Vi1FBpjKDGqEnDpkMqGp1EOGVVFwd/oXVEOug96oiMgNIBGVcwHkdad1zQfCiwRWcXCYXRR6joOE qY0gEpIot/qWkVa5uabU8x66A9yjkgPqoJ5iF394xhpQ/BsFiZyxVQAXmqiwcRfA899m6O3LdKg9 WbkMB4iKVZbHgkptrdHcgxADq9FIgMJjVXQPkq8gukJT+UKEQ994cWXo7l2SVxPPgN/poj7K1Am7 zwbJOAA9tqGl2i3vFwlV9Bv0QRPUe6n2Opb9sTKPGVeaqgyF3yzV2ke+o2vc/8Vg2EwgtC2V5lJ/ NnLIc6VnF8zmwo23HIX8NhxNp+3IGm5WT/eHpmvWwtzBbGjIR6FGU7rxb9B0lMXazQHEVHUBvG7S QOQXFJJSlV/W4RIjNT/YAMHcRgCFy3aUHOLc4xRi2lpqABBtrvLqgYaRMgGq6XLmfz6UuG4nLqqG dOdMVA4pqxkjrl4eqbE7PWuUdL30tVVuOtYLEq5oA9OQ3/ohLlz5kuiARj/q8zNv8O+zfkOG6+jo f1YCfN34atkRLd5nsCALq+Sb2cVZ5hUIYJvxDRpWOXt0xc1/AL2m/laaQG+C6UvQMNJ7NHicfBnm UAkDNLz7/pm17AZ3+sIwfwGm/Zkkpq0/6CoPPqwJEAEpbYqTyodOSQEFKLrHpO7gvXLjgPaIQIi+ lJs6FR3UbWp5jWvmmDApQP/u5Nm2xtS+g/g21XlrScQgWUObAtzhU85NJ7WzR3qJyEOvRyp2pT1A znaoHdAyEhES1EKmXUv0PyAkz3Dr6+EzvTVz5xypW73q6RilfMCphCrn48NmLl7+j6Ihs0Z/KFAH sUqyoHW9CL/bo+9a57JdTblPrIjAqfoe7zgZJxfuy4BSUX0s4b7HrUm+333ByauDglQgsgkj7BfD 8XtHn7fm14hQ+2PuyUNhI063jlfEhKP9ZhugbYnMa5IfYmRSa1xt7/aN6RTTjcTvLUIHl8sAvvXO eI/Y+IilnaHNsuQmDsXZDQW0apVuMp97DLNA47K+DwwaY9eZZzx08hm6BWBH9Pa+n75ozWBEr7FT 1vdAx9UsQcv6r5WoPwcTeV1JuUAkYkcyyshVXXaWUV4NH2IMJHsd8S3PUx7QWdRoA4F+kiDG+Fqz fBYKULW/7qO9BuaOjFkF8fxI4zMq8M0dI62BaKZ3zpRmrhAH73PzLhZuaQF8uLsuDhk509Y/R/WU UKch1G/pXDDHYnJFnL2Cf4/n8zUUzEP3g3/Cxi8NiZIFoY0h7zYeRr2OCM/0Mcliz46A2SIDCbse a/xNIhufJyvZRxoNt0QlnpMdX6nPd2SZY0ucjMpFuHDeEGOubp8HQquhtUBcPpAHNI2yOCfOwoAk rpagV4fVcnUNBVb8myWwIKbJ9Gi7vNjPKZYXsV+3Oa1+EiWRKRpGnDeqISwUXz9+FhPsCU05/U9H GAXrPswiEms4EaTAlKKxMeDWlfMKiAHbCiPMm5DGYQxHJHkwF2WqU4ggZMqx31PqnsQqqlHcTyJD a0/px0Cvs4HNKKljRAaZzayymRffDdpNYqQEN/kzo3AihTR2D82YGiJQ5C3BXmT9qb3UEvlf4if3 CTyi4xYJoFcOze4YyF7cdzxe9OxssOkRaO929YmbNWassJqbKJAnuod8kspCWMQuoyv9PbQzxkBP nkDR+mmR39bI/DVz4yMw9LllHqi0OS5KTWf745e9Me8A1VU23ed+WWLtfKu4Xqtu1z0YDMVYRuDw h1Iqt9WVdHIOUSJPsOsuWnOx5o/gSqnBeJcFP6qyuRNAmB/9iYVypuXS5P8Nqfs+bdENRClucuhm TINo1+t83lMmcApb7nMMJes4wK3MutY92RJ70KY/DQC6k7QQgwaZz72RqtdlOfxTaadduVIffZDo drBZSslcZabW1R6wL5jKuCGErccnKI2c4XVVVmf/NHon29vVszYlCJKL1rnfBHKwfHUCxZ1EEbTJ Ea39tZ9mrShx8obb/jJmpFxFARhIlXfx8l3MD1+sMzb36mQO7KoeMg7DU/NEh7/mmiHwRQ5TcrYp 7s4a5ohHXlIbqGvyirGRj6jgSJgbW04Zbg4zokAaBdl+WDt4y31fpow62pjcweyfoIoT6EMLhxBh MOVqzcRij0NX7njme9X26BC1vH6rcpwNpuK/uBXs8x5XYXdAP+F4Tj4spz5yqW6cj0ktDJMM1ns3 10eZkhxjqJtDyf2Nt6rdtIKN750OCFdXZZUQ6WPKiYwezwfNx7YRuojzQbCviyxFeAlGFTnl8uUC XikDc4MGZdPnkBky4FFVOz8a2ZkJ5S4RwoG4sVrMXErr2ai6oHx5N00b4lBABfmJxdv9nmGWOOK2 vkYyxzNNw7d6nblr6+7XaIsI0w2laYxZntsUQwMpWreuu8zLXAeu3i7SugL9bfcVu4mJzCTWPXZV /NL+eyMDuJOghKVl+pCum28a1GVbfJeyVZBtTLx4ec7k1wdIqy5UzpR3ZcJAnlIGsSyhvcyLXkxx Fiac5otsDjW0GicUiDb1PVvh0c1dgAvcYPwx7zfpDhSNyaRW/IIh1eGsfW5NX/6IGXkbUipH13Al 2oOEOuqkNAs3btiOm0PvKoqB0FAwh/Tr6eNAr31DW7zAhGmIleUcytA9TW8yhVkaxYInl7/NyHbi 47v95yqN27wa+xZc+aXxK3+pUwfYULKZ+9O56d3Obnqd54x6EH82taPKzIVsGWGUKRCFmKOeldb+ JF/OQoEGE5o4iOxciUFlqyRNYoIlvOS6PfQWG1E418FagsmO01Jh7Uoocb53MhQS/3pjs9CndqHx A1GqSaJuO3zbrb8dtu2iX4ZTNgXi7IydTpnb4JZLcNbKQ6rCAwjg13HNpQ1RKM3oELOxuwmgbKdd QaUzAJjzgc29zOiGCpNjaiPahZuPB6h+2tG5IQv8tjvKMkwXODL2gCWANCYPtWBMoDZducF7IvfJ 2DaC2T46E9NAMFVzcdCfFOb1jAfxA0dpvlRmJqDdcnPFOd/jNEOp0rKyJpc5wSmKbndVEO0nIuh2 BJpcTGD9EG5PNhjenVUdNL7aWUPizxg+NRqsGMbT+EzxJYvooJMAevu5w8rTFinUxvhp1wKe2ure cJBXp6C4woDHjpMFogoxaqxsoOmuBYB8teB3F9/xC2dRzaYp/hkMOylVkb6uIr4YneBDtaQtfZe0 MV9yLKkgbSN0Mthp2X5VWRvNvm9+2H6sg/IIggDD86zZNZRInXifg+ptPGnWbYRFbwTJd+DqNTob b5N2m+UA/6hhHzLwIN0ZuiiGYcxKaKNjoDyw69hjO5oVpUxO7SpLcJvF74hv/fIyI+0rzecj7taQ ccz1aqTlrFoX/2K6UXOP5njT15nRuPWbKR7/9QxV3f6EYO6bM6PXCP7ynkWzSM609sFPaH9OfFFo +fyayDF+/8+jmlhiIM+fD8FxrEsGAfNvS8WgqODofX92Vhp0/4lg/JS4vwhVO+16GWpL9cc3a74w D67BnSQVX5H9GqWoDX9GW+ORhOS4Y+pfozfs9ae6G0ikhl8G1NcQj5/wF0KHJc/WCTqR6+YK/QpU vKIlM30fZy77cMX55W8Dh/HykAyK5qs1o2gl8I/1b6PKFI98Qa8nwHQBZ5JaaGAN3YKH8IY6shC4 Bt9chn98FElDhxJZARQCpId6WPGJ4oqK2DxQFo75vIPyb09InafKhHu72LTlEu7OQYM1W14116YM 2acYrP5eKrk0wMk9PeAVSCbtjsDX82Z7Xuc+LxsF3a9cVqaB7r5OE3GdEZG/MCbR1E8QOHCYGuz0 5aOm6I8cm9t8gtpCLUXtda19WihUSHfQMfpsn71x1vNxQcDoH+LyzV+FQi2Q9lcT0BOmr0w2hd3M t0zL0T0119v5oeyOp5HOpy0ZIWbyC91SbJzxjr1zqbzASiGo6pWOCrC5GqbjV6RUGLyeqyOH6Jdb EVtAqUua6wVZI8IcAHgFuZ64YKfvmsfaHSE2ItWkd1zAKE9ayMJiLoPqcnJYofWYVqJc2HXhgc2B BXqdOklvmXrC4ntx0QF/DESOtfAoEZW0EihEPv6CF3cIm2/7OzqyHwUyujHOUmEwvVhvMNamF7j1 c0ANG5xFoBrQW5VsjBm2JQjyOKdJVCs931b12INFn6CL8cU+p+l28DzhpZ2lOMxujZFOWt4aLN1H XqQ9rc1LYd0lGCQpMVId6g1iISO+VojwQHe21auEMe81MFXprR4A05RcrNLfPyvoif/7cqducqMR /Iiv+QO+hMy7ekiCPr3nF0NBt2mE7oOD/yAgoOa4v4BG89Z21piAkXzkjp/21QUSQ3EUJWRglAJ/ jHP2J8HS+S3Czd+Ei89zl66csTmOtbKpyMOKjI5TzSDCR/fyFF3/x2w9YJYdOMW1IL3MowapiJ3y d5zkf/Mn+PnwA65oLSECemesMXNJhlMzEJJoyGV2NeK1SLUnpALH9pVM67WgHeYoJY6SQbbcXCF8 69lCZTPhWxX4YOgMqFdCj26sB2caIaDHi41kV5gRTiawpAmDrGe33VVFDuVwG1TXVkRkrqUQg/Wv bB5JnWkvne4HVQnQNhfOjLkw6TrIRAwuoB5ztBVeITRamuCYyt6U8fG1jrsuALV9fUtJTk22L4E9 A+XbqVHoSLrBBQPjk3cg+RBkDSdv3mxnaOjKLFXeiMQQzxTub4JftZ6SzcD0NRnBXWYQxBavjZH/ MuHQDumaWu5W3/VS60uMBrVJSxEtugFQmI5dJEoeH9C9Jbrv7AFpBXVnxs+AoiISfGOoS0Jw+I4R hgfnYd+NBbhVTK0uVFIWuS4YuSTqXgfo9wzjPOxmfHmPXgjWeo1evzRzzgcqjqBk0fo7GRrJ+Jsh sBFN7DnYuW6FXpPQXQOO6/E56C0orHabtUyIY+royVE8qFg1fLolQ/YgI2oO7pdSY2MBRqW3fR4j IEoVvL+BP36PuSZS6+DWjZvtUJUBWiBpwKPuZ1B/z/9P1KQwbfkVLUk75R0WGW081stNJoDYS522 fXrNjb8zn8ou7gwdIA6D7Fnhw5aVhCzG8PhrPeDJ32idtAwDihauAs6VhdMfw+iXl/MDvQNL5WRP XGabocrnOXBelr9RgoDs0MBU+Lzmzd3ldPliOFO6jhilKYTFQ4J1XriAcMs4hxrnF1x7gQ8Lv4Bd K2mIHxQE4K2v5/SuFNzu56oXU94PoqCyjigQnlXPV9FexAyoWLtCXzC73uv/gCpAqRt1FwlFNQo1 YKncHFCGbOSdB4DI896HBYnZiSBWO300Gblk5ig4PaIO3YBSAzk7caCkuD1WCCsEtRF/OCTnGqy6 gLvHd8oW8xebj7s3PG4naKqqhzu14LS8E8RYuP1SSa0aOm7JK0YTakkOw4pMSS4z6wDNq2abUhmI 86b41DPchCXcVMxuwerAItHJ6gvGkB0kqX6sD1QoSiBcX9P7opy0bB0e3sHveB3v88zZRev4TQXH 8cAM7gCT0uKPZBmDiStuAo6Abcu04bEipJv9N/fhRP+Nxo4nqKrAfJ0RzC+AL0oGQqdrOa9fuRJt 4mQor2f3nOLOxhWqINA3sCyNirsXeVXSacumJ5/VuIPvJt2R64aeXX2+N5hmiWx7Aj09j9cY9Sly 5uYnPAWeDcY9DGKPwWit/HARd5mnEfCCEvgvmyIXyXjw5tDo3QYaU0dHsLAIJ73f+oDFIX7uE9Sz hidMqMNTbXf0y96m6NcIsV5u8sLz+0k99oz1RyEstYXds1yUfQN0QejfNlyqHsU63H9RPvrgjWW1 L2W/zoHW4EImAxph1PKWYNV/2xNBcwjE6rOXBJm9DXqbvuQcKTP9V2Vz8zdPZRKAjcGGiScN4Iv7 E1Ae5oA1TbJxdOl+GSymZo7sUF61A0PpHB7B6XVY7X9Aqt9uoQCjZQXts5794Kbga66Fe3nkiNoN iMr2QnpdOV7kIhwLskaDUZgzjjHkpPuyaCvSS3UFMeff6eeVno1GP5uvvtFG4aCbC0DBQXiR2hj1 k4kWUKw5iGbjXa91m7QrWEXantLKGmeM0q6FTu/J014mV15fC9YmGq7T41bX66dcdz2D3epcVp4z NvIoPrXSE86JXGCiuaMLFfoERZbgyJXfKHR0jZHflemzH1495yKfc7NSHQqxMVe3ZlwQc+OmRak4 nh4x2gUnR8w1tXkriYUG8sf/InFeKZuSVpINZKl3Ccqx4buZbXDh9TYhT+/RObjjbsP5d5Ge/rml YpTHET0xlyx1OVtLgg+wHHA8vxX7/Rim3TboYdCjcHaX6n2kUt7s7hBO2Xv3luibIklXtoHQCQmI NJJxdMiz+O+GQKoYBuCrYe2w50RfnErK9j6iJg7Y4+koCRbgR9wvj+ZcHkP+pM1smB3ml0Eb27Ia 1b7dsGLW7MlRnU+nJZ/KPnc+OATIbMU68LUq1mGzC7iy29WDOkeK0wcQT2qni/K0QyVfwbza6adp yAoaap0rnhgicYSbs1viAkWaPyY0jFaNqz1a9+meBbaWtUKJZa/QniWiWkb4YbfE+cORv4f040jK rUTNNqhid8q9RCGyiFj2Zh4MvV9QVfMoOZXertfGZlOL4kq2DsdjZqcqXnQ0KuEYVqGwJiLbRyoK UXGobLKQb/cYlKD9mQLNuq8O8YZnHHA/obcwpIxsqFp3i+OUs+LrooYtKt9n29eQcpk4O9eyNhVE nirzZAezDwDprprotJPOUFD1ha7XWZAYVjNEnFToeRA49LMV7dGQvee/K+E3dq4apjqOB1EFasUp FvQ02OqPzHdofPmP1gourb7hFcPwUhYoyS9KnuiBPheLthqlbnvjxcocoVUrQC40hpWAOOXiiVTm au16MkZSFoC8h+XHP1CX5Q+cPq0szAtosh5zOr9XbFRJVWywYHzpJrKoIOJ0yfIf9/q2KrXAafP5 9r2vuXVJ7xav3Pn4rD0Cmjsj/LVVSOoLmUMM3m/dcMYniRAYLy5ynfQXzXSp6am7+dSX+VHD9aY8 qOFOKJCdgWEP3ujdpo+cnizUwxqrlE3Kp1XSCPCaTaAqKfs24i+WsY7mvKkU6biVqBq/Ih0MvIcv 3TCTiZa02x/tHw8cyHH9kykRKdBBOZDtc6MDcbnitiRPCSPfbGyIsmm90Xgw8kILT8nRC2CapPq9 STY7nayLtrWqU3qFaqvLCujyZh1QX4mrEu+abecX8GiGzJuTPKybB1oc8GLhucminf1w7HMmLwkU 03UfHT9UxR4Ct+IVPVzmRZ9d7PvpGHrNFVFlTUmUdRh2vfkmpizht526VU+5SB1cS8U9rcbxxfiX PB7hMA8r5YBve2T4d2QWEIioZGQMmvhxxDCv5Kqo93rjFo4GlEmXKDQJR0kKS/L7mI9dP+YfiMMJ bOXqGq7JXZ7prC6kdXYBsbn+amFgvJh07K8LckR0lzZ5O35Z5CFA7RIPP03xmhXmUyg3eWsQv/K3 abIkoFHjHSV9Po3w/0QsPie030ANWZep4bVKuYduwGnIZUgyXX25xi5LjdNGfhqlu0jgX1si4QFm rLn0z20U/zhO6oTLEvwaweBR16ku95PFnGZE7nl5yBosLmcy3Yafb75QhoWRsTKpyolGXQJvh+Yo 1LpOCNqBgbR9YcIg75pHgvxaWwwnAM+y1Hap9zNSbhbpt4eJANanJh62KR4zJvePGDuWGq1A0ehw WjpqXImBtpmSz5i99GrAHSxC6COodFdLv5ibI6D99N0M+lpZOoiDTZZ3MACkmpl0CF0zTIcyJCum TuaHup0rxK1mZYfQisSNuAYKrRREJIrG7ijX640E9YrN9xJXlaAA42ravfmvR/LVAeZaFUV0fW8g 2geshQp/dzmsvzxAImS8IT4Z0dVgPipfrBf2w3ilmeUkocNWI7hwmyb0xnSyj7gkLW6Y/XjRylFC mVCkA5cAZZjhoAfNN2/soNlkJE8qtHkZVz6le4h34MCHJSB1uVqKk0VDGdimZi1kHHP1ZpyMnFIW Dsz9n2RgHtnprM0M/0HfkU+lcIg58nRx9rCQKFFKg0NY0wAMx+ZbQcjPmLZtvZJGGYl7hs/7Ju3P 4UaPNchYRfA1TdrhTJcZpOuRW0h4HiCHmWzDCIf5M4BM4tbT9Kxecf93Gl5yvZLRqVpSDWsjuC4m CUY6nCGj7IjcwqXQn95qE53Nd1TUa3wx4120ypN25NXeIPBoO/HVNVEjDNAUCNgo47EjhpiaLOSV 45tXTDA0gER58cLNKhhhvOZpP+bnlLMF4v+GDSr/KIwweqwn0GwTiXHc3k96WkoxAhlOAwW2fUP4 19TRVXWDcbWyXb3o1r3osbDcJ5W3eAgbVa4ns1fGv9v3zxFDeyLhOxMAK7mOQzxlw60LgG1Y5zaf 68sa/dkME79jSCCylFP9nmPaNJ9y2fKo8aj7AC2te8dAQIpt9TbHZ2YVhO3eVTYvY0qwPsy+mDgI 8NGcrJ9IsqK+YrCRuGK3JgAYVTZUiY/g1Ezx/guh24FiAxVV32NwhZ1pcvCtwbEWV2spNEzRwXrC 9HkK3yQzi9GzcxTj5N9evLBDsJI6IleyQFSQ/J7bTTvbqfGcHTZsrIBd/HirGXgAmeHhLDqLqOxK mO8szjt+/TEUhb5QMI4oZPZtIXeBZxBN2uhce/D75FqnuLMh76D1qpROSittYL8j9X3xCk4O+b8r xrrB9EzRH8biyGJQR/F3WTRFFoLGNSARuZYoMBCMJkwHyB4DWHI6gZbH0re5sHjWR4fHVQLijLAZ FCBr+8Y0GOTZMkNTj9D6sYwkoHVROHms/nXYfd8sog0URImbFB55lsPuMsPAMGZERMf1XQ512kTH Gvlt/bC0hVerRUcNsIqUVe1nmznsxTkDDEwr891uX5Z9YXOToVdh2t+YVh+V1mue3Lwl3pPLq/eV rej/wjWwMY2zLKaEeBfgyAm4hXDh0rsQpuEGcwPzjbZlH3iKnhiKQSFVEEpBUA+5lbWyJmop6Ckw dqMmEP0oWVRdIMJ1bNgeYHesj3FXUkdTHC2SitIqCnu/SdTABtZxIs2lO9ug+DSKyKSS7sx0DjKk FRaN+5USOIhTm7PrZF144Sd1EoZv8VwDsN9PPvoLgvkdaf/ef+FL+68cfvIkMSLF8S41G20vh8As AzOm6mtWoA79hwV9Euo9idpd7jOCV9S6+GgpOa0xWI/sJr2Mq9Uk/+gAdjWzg9jaeO6ppQLUpWJM +7+oKKXmF9A2aG53Wcp7/9nk/ss7/Ho3FPIQmJyKMyA0M2LlN+Dh+XhXp+CuQyWTkf2rylDnG1/x THLoBLkzsKDfca66FgbSP3HH0GjahI5GVEx1j8hCVMlDPMGItf5hgG/soMQGci+tWRPB0AYfaGJK 5TaJGnW9qtRFcB6sgX/vdJf2jGRQb+2pyVRt/iXcvP6FuhDUqe+wfyeLCNsHwlmM4KO899ukuZJs GrSjVcSsHs8USFVbmP0chDXYjohLwKEi42LgwRLhSgXBEd5xMXk8qbd6x5FKz6XbFCytZOUhNpPQ 6QT/SuqB+CPjYN3PRY17gHE7xzWOVZMQaLu0M2Robfgcj7nfRJkSEDtZLlfXPc1XaZxQ5Kbq9KTx dFdKhE0H5fpUbXew3PM3xDXhZuKPJhKOOLfajaZEJ90/E6wGLMH1Hq4KWodNVnBmMQoPPYFfeTRg R3d82NG7vtq1EFae7DZw32pV718CUPBwNJPOfNCtvozZjzIIBHNRXE5i2jU7hg+aID64sEXc8jJ0 iL/e3cGYRpo9YXD+KvKfjwaP72PB1AvyU3G3D4KmS9kD3ByONBAK2Z1e7jXIv/ujuJ7ombNvJFwU sC+Ox7gywKRHw6GbgNgjOMAcuXRE7DS7WVbM9ZkZ9atoUcaZdgNNjxvI2Sd9DwuoNxm2IEL3nCRE 3LN9oPlIcLRKKbkxuukWn8ZeBjfIekinjJUhlSjpC5XXl/7Qs9mqjQ8XBNc2EW5QBG8ALpFhlNpa 8HNKg9pcEMK012dZRpe4V30vSZN/1W4j67DnpUA4cAOPW9Bkgt10SewcOk/eVNhkK+3BjgI1hY+H BiTzIT/xnYKfuUPDeDQIRiyeQW37j+i6/GEMN7qXzemo+OtSU5oLKong8AFv/ZudpUpkuB4VLPgO 3SFHeANzEOXQl2PmEuKgqcn/BZ7KemFuRSo+dR6GPTxSFdPW/meaiOYhJm2L7v/8k43RzCDtPM9d /E3PNIyI27VUcWkpm8ajRgsgPCLSMrsc754tgsxl6a7fhMnkCq/F4STu6COg0CSG4lJl9VA7wn5k ruMKKzq0KcgX7EcykcJjLMfYyKNfoEd4dTR4R5et50UKyJY646gePtgsKFxcUz5aSkk/Xmp+II1N MGozM3LFd1CF6N/KKixj+vTBaQUJG2TnvbRbAjjkd9qaGKqPDNnCqpasFjoHKR3rBhk15MS/4GYI 7wMb36Jc0y9cyFU4pQynymS1wwtMGth64W/54aM0UjS9o53qcjSsNNEEzcrVukTYmKOOHe503inx jdDdjfhttHmX3lM0sjlwuihB/ag172XCYaDrQhowuJXM5YhT5L/d/E6ZOr0Ogo3p79t8OcOC4sNv L5Mxq+D4Ox9Ti0G6A1v1+vEUh+pV3+rG0pQvhSWC+mzCu0ldQx7i+7X+qmbYBFphDHmKOCltadPC a7VAWfOxpm6J23A1Ec76YFQLsKqOwnVXYFqCOEdPpEQsKFrQUBvGKHdYhV56lLdMcasErbfA9nCh YpaguY4921LSi/gGEbe/3lVTvcJhJSRX4S2tHHh3J5pEa1QB9B86RJfF4weLC7WhjQUgWMQAhcLh E4ntV9En16bTE3QAYOrWGd8A840kAwuBK7E1UlnZ8ScYWnWAxsKBVaEkDTFnrW7WMVcHgwDMhOzV dyJbjylObSfiJwx7sygMXvvyBfm6KFLLJfL0V5tMzdSMQWl3qYIWFCLMH3Ebz5v3pe+Kvpl7IVsa zLpVb9jN8NbXh/yyRVEkd4/gRwVNewxsDKS73AKa6ea9yM0A5S+/94KqD907acz5fRumIbyBv0Uy A/nod6HuFTXwuO+nuQJ3T/Rp12frVlXmoQrtVHFuKGzYT7PmwY2xOzQdqQBuwbBjKNfA9IyIUb4a 3kHUWH/XZzjm0k+XWQxnUql6oRwEg4t8pYw86PmGFjUOHh/wW4MblsEkS4t5sn0oNBxOgYKDcBOV dtN16nXklh3XhJlpaO7SLfUM9EV0NdbVn0Bmeb32sntt9XoDdWYlWKAejdM69BpNkhXFPacxh/Fr hoeniBfSyn7Oj7gabExVHe/aDK7+EEFsYnxT53a6m16z6zYzKTDJeTomhH4gHjfxsR67VBoJd6mn dtXshaqIeDXaxndZXXWPuruZFOB2sM+10FG0FXXd/1wV31psgdtPQZZ1cV47zVL5fQlh5KD0+UX0 HDDhFf6WHsdRgx/nysYFpBJ5IWi7AgkTuqxjnwx+2nzaFqTWCHU+EbzCvG1u6+JJac4BI1SMM60v VfM+7vne9Eu+IKcfiT4V+0b5MrNze5EoPJHpCq3NV+EyviFhTLZKRBW+4rMV2YC9eJWFKbpPNduG 0cAVRTzKI5pHh0OgqAfNxd7wDw8mlHrJr/gECacdp3sPKbiVL+HQE2SEknQjWnYTTBWjKof6VfKw ZLciH5hY/2Zijeuk2Vq8+FBVHnJR18ejbhfncyNlwcnyS5izTKNhUbhs1rdrqUuIEcTaxVkyo7C4 iojF/DwH9LCSkUexrnZDb8vMY2K4FGa1ilcg1InXIuQQMBEzQuj3Hf8Lkvm0ZV+WxMAUYfLeyDH9 /eODTfhtpEdDS+Y5rjukplTvRMe/4qvNAf53byGr9/w1TDFMlTM23NrAWdT+YmQgw3QOaI0cIB9y 6sMJgyX9Z1zBg4Z9AAcgJQpaJW+lghzc2OAlvK9C4BAIc5Q6FjfW0dH4q3y0SwjNGB2jUNUaK+qp ABuQU7obeMs9vXTpkLh/vAq8bBBvu9gJ/Ppv1GdfAXMNvwjkxExxwjbgiHW3RL7Z6XQU73DDs+6Y ENFi0Pd9dtsA0woVwlxu/hIto+j2l05xJ+g8fy3KLh9QKtUPVZItGpQX4FQgSFcDp48yowb75QGs tWX64aNsVKCPRHlzwBscrfnWiCm854HK9cfQVT4FAgQyBZJPife4tN0wWvuVf81bVPviCQctuxEE oxSf/407Csr8bssbuagHo4/chtop3UoloioEscKkC/xtCrOiTP3XXR+DZOYrUqhdgxE1hAeBy5eA lrcu8dfXZz/wzXEtwFMhzdzzPmJL1ETFHQxUrRYiVMfhZ7Hua9Jxzay8PsNN9/EuzsKk8n6mcKfu hhv9eTe1XBH8Lbmp8kzHVOEnoKCAMQy42UoZjGU5HCiXQdlf2OMeoGCkz0SOPoYAsr1Opr5dsQpf fblcDk4ijI4AklUbqn76kdbllUOm0XgalqJI8+dKM0uswMo7GRDjIE1XvMaLcZ+dKvn7kMVbLHQr EUquo+C7d3FAx6BjU5+wmKzzfgM45Ssf7abrMXwzfRwRVCm7IAmmZY+Q7W093TrylMi1LAUSIbyU 2LitaB53kN59RijWRE7baxn+sJbGRm58oEekbh8uw3bXzIe6iu6aSNoqLmayqOWE8QmkHQYvsBH9 A9th0NLn9M8QivnLSHIxqZc7y3TGtkpYERQ0YXpZ679rwEyyAsOUlyrksQYp89VZbo3GTjxt3aDs 4kcWxjZoOWXqrOdzve1p/vxOIz/myGHO7Pt7fxYhZWKJ26NoY+FhrL1IXnWvMdFyNir6HKi81zpD KpITbePuArPVyWXXAEC4D690/pcP69421W0g2xEZIx30v4ZPBPTbTnUKfpu6+p0er6Ika6tImA4z uj0vqYQkJtR0bCzAcBKValXuUOYM0bTXZezHdLagCMkA7ubgkkwue4ZfZJrKVdlvyRiwjiZV2Yai 4eHebqHsrtfu4YtdtVPAvVXB9CY6tzVm1CkCyczVtusfKPE5lnb2JoOQ1ez86SxB9HNRLo5mHaQa piwijNUIrRAmmlTG+2TLbq05ZIQJJLQauBUZC7of7YXxCfizC5tJ/WsdSk4WoHF25UZrCfTUBEqg JRU3FesBSco6O8XRYUzQC7TAxrAIAbyOwFXRG0uTiF4bvH7TxWBJkkzHg1az3+qVeheZj2PAv1hM 3UGQZXZ5xeb08xAmvDAKxzNP+MB2Qu1jq+QtTaIdS314CFtNeqrbt55rA6eAQzNeMT7hdSVqnPYK F+gVu/zE3HK0LHx6Na1VHHXAzHIWG5e6PltQqTygcmGApdJldWHUJJPYVSzukoZycxqid1b8/yvH pKI6vf9arXeBiX2/aWKPtnEQXzEwHg8+oWX0Bu8UWaAGD/39icVg6pwiBT/x83KgKnRj+j4y1z+v ep3Is0TYrIJEYBWc6M9l4C37bqLsgQDb3QtKvA1JuL6Si9pwBcb5nQA6GfcG8OFtpMF/yBqXr+R2 RQs9YOdqJnMwqEVAY6htZFxj1FiTq7Oc1ayzlH95bVeKjeQarq+Glrw/RbTZbyOw9RvvADmVkBoJ YbI6i1jBphB7uoJFcyuj8IXg5Yi6z/k8Wagt7bhLDwhccGdPMeIZoQRFBAtmhtmLhyHiCi7TX01n 8Va12e/El/mCiQLbF05EGoQ7YjAoeDp1TfF42edBk4rNflwrXK3K5Zm9eX16iz4wWkN68PIUdVrd ZA8QwwWmWzXS0QeJ1MarDvfQ3u2b0jxg5GHNh9oAw2ges20jlViEXR2KvGUyhc8OpiB0s72fEufH xWgMraEVmI/q3vKHY/EH0fSZMdLABTElVbibn8VXPcHBoBkutlMDsx6p8mge5oS/BW5SDVAbdI2y ediP502j/j3EMf6aq1P9YO2QxYqF1kgDN8gzekPeXxWm8QnsyyaIQcp3oaF8bHMeXeiXom8BDPei 78r1gdcd6RSVABVKXoPDw5wxuEJDVBQotpzsERoqzPujMsLEf2GEKVP+qTxoVqJS3qKPqg+WlaRc 8FVeL2bgrvzWqBuMUqkCAPcfz5Z22pOy76qSzHgGL5/gzB5lubKf6cavhKSfwncbLNpDTNFx1Y7w 8k0Yv+yAXN1jtYAbjglMX+AD2Ph0nwhGxMQz2OmVhJFNPWTY+y91DmizfQgbq8CVPZOPITMVciax GoPDKY3eknbpYGYkg3AeNtCekuaJJA4lpTpDrl/W39N0Jwnldut/Ri2oMQrYZbYnLNrBE0+WYYd2 EoYPzdkIn4Y8T9RlVtxvvwQP3qDsuiFzQ4xB55h7Su4zpDpEiYQJ7TZL8FLpvUz6WeV4oZBh/Ef8 uKZkLNGtMk5kX8dUrQ0pY2UPOjShAUTCymc+g5LCC8zDNKgPapEc0vQwyGAM+edPvll0ehWlTTWW 2e5DDx+BlqQjjMFgfh1VyeqzFIVzQJYJLK1Hm/CPaxxHcIsWbGoOMgrtL5ROK1Jpt+cuKbHJKEWK rMPgGTRAcbfQg2b8nhw3wev+T+DL70m1G2JHaK9t8qWL4c1wVoNn4VocUhnRjhJ6Zt/SiaJFAQ+n aim8NsEaiEtFDBRDUbZl1DyOiVu5WcumlcF+N2eH5bwwuGuapn64BkMMHBD2JPehmwY3uuqb+GBf GChv0yIHoAppr6tM6Vd28pDKkts+aWonntBKWndzsRynZBoqbK4hxTMJR+FE4kI65ksLza9///43 ucP/NUBpuNV47iaGbOSWOBq5IJKO59djC4dcIrp1LdmxIlb2MqTSsRQRhM5BABMHi4rJpN3vCXc7 ZcSyRHqm2gxwr9O6TXe1GrKWxR9v/CfY3iDsKoSQQRzSwySSWRk0Te01ry82YK2f+xtHvJZbPcL2 j6SCcO7RkFkCuk64kJIzIxghxOhbuRb0OhA1uTX18KMGBmsKWlWUH7BkU78mYt2RDkQYsrjD4WTC EOEuBox1UcAT2jzBw2/JgGIE4hUbG9WPiOzyJW6rA1PR6iBeCBsPDPw5pxODNdGd50j1ZPeF9mbF 3twavpcTs7fBgAkU3yEc6G+UCi1/fobnYrElNgYZjnHZn9taEfHIrsHzUuoJ9At5yeqKzKRGAb4/ el2PtXssPBfiOp2gXBylW7Coqwtda0czIsyY5b8jS2sKo4XvOabN+JKF5o17RSzqoZj61F00uSy2 W+UdTu9y1FvekGNB5RcxZC6zhS18kgoUOIbas41JoKp5kQAyf+VTzDH2ll0izhsZPSzHqLu3uJe2 T9gcT5VZFYPP+Mxe65YcMQjhYdGQmcKCuJm2Xtbrp9RNi7iZj4Kt9jbK3wL3iAy2703Y7APh87uJ 2wvgGIB2lHGXHlK2zOCpm2gZNDb+X+pDUbfbkYJGQbFlselXFiEEYSJHrKtrSI4HLwVeJNHLxwd4 TA+mKq2MdtDlvQs/pyKqAn4YT3/N2ArJ/lmIjgdFqxyqAYCOQiBKWpJh1Br9qHiQ8lTiJgfRZJdi qDesPlF91EFHIfaTbg++VDpRpn/ByFPM5CzMzS85K3ukIcKZezDM+0UjXyS4QnrPYZQx+eDG1rh6 wUY= `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00086.vhd
1
64610
-- NEED RESULT: ARCH00086.P1: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P2: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P3: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P4: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P5: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P6: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P7: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P8: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P9: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P10: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P11: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P12: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P13: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P14: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P15: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P16: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086.P17: Multi transport transactions occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: One transport transaction occurred on signal asg with slice name on LHS passed -- NEED RESULT: ARCH00086: Old transactions were removed on signal asg with slice name on LHS passed -- NEED RESULT: P17: Transport transactions entirely completed passed -- NEED RESULT: P16: Transport transactions entirely completed passed -- NEED RESULT: P15: Transport transactions entirely completed passed -- NEED RESULT: P14: Transport transactions entirely completed passed -- NEED RESULT: P13: Transport transactions entirely completed passed -- NEED RESULT: P12: Transport transactions entirely completed passed -- NEED RESULT: P11: Transport transactions entirely completed passed -- NEED RESULT: P10: Transport transactions entirely completed passed -- NEED RESULT: P9: Transport transactions entirely completed passed -- NEED RESULT: P8: Transport transactions entirely completed passed -- NEED RESULT: P7: Transport transactions entirely completed passed -- NEED RESULT: P6: Transport transactions entirely completed passed -- NEED RESULT: P5: Transport transactions entirely completed passed -- NEED RESULT: P4: Transport transactions entirely completed passed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00086 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00086(ARCH00086) -- ENT00086_Test_Bench(ARCH00086_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00086 is port ( s_st_boolean_vector : inout st_boolean_vector ; s_st_bit_vector : inout st_bit_vector ; s_st_severity_level_vector : inout st_severity_level_vector ; s_st_string : inout st_string ; s_st_enum1_vector : inout st_enum1_vector ; s_st_integer_vector : inout st_integer_vector ; s_st_int1_vector : inout st_int1_vector ; s_st_time_vector : inout st_time_vector ; s_st_phys1_vector : inout st_phys1_vector ; s_st_real_vector : inout st_real_vector ; s_st_real1_vector : inout st_real1_vector ; s_st_rec1_vector : inout st_rec1_vector ; s_st_rec2_vector : inout st_rec2_vector ; s_st_rec3_vector : inout st_rec3_vector ; s_st_arr1_vector : inout st_arr1_vector ; s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_boolean_vector : chk_sig_type := -1 ; signal chk_st_bit_vector : chk_sig_type := -1 ; signal chk_st_severity_level_vector : chk_sig_type := -1 ; signal chk_st_string : chk_sig_type := -1 ; signal chk_st_enum1_vector : chk_sig_type := -1 ; signal chk_st_integer_vector : chk_sig_type := -1 ; signal chk_st_int1_vector : chk_sig_type := -1 ; signal chk_st_time_vector : chk_sig_type := -1 ; signal chk_st_phys1_vector : chk_sig_type := -1 ; signal chk_st_real_vector : chk_sig_type := -1 ; signal chk_st_real1_vector : chk_sig_type := -1 ; signal chk_st_rec1_vector : chk_sig_type := -1 ; signal chk_st_rec2_vector : chk_sig_type := -1 ; signal chk_st_rec3_vector : chk_sig_type := -1 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- end ENT00086 ; -- architecture ARCH00086 of ENT00086 is begin PGEN_CHKP_1 : process ( chk_st_boolean_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_boolean_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_boolean_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_boolean_vector (lowb+1 to lowb+3) <= transport c_st_boolean_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_boolean_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_boolean_vector (lowb+1 to lowb+3) = c_st_boolean_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_boolean_vector (lowb+1 to lowb+3) = c_st_boolean_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P1" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_boolean_vector (lowb+1 to lowb+3) <= transport c_st_boolean_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_boolean_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_boolean_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_boolean_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_boolean_vector (lowb+1 to lowb+3) = c_st_boolean_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_boolean_vector (lowb+1 to lowb+3) <= transport c_st_boolean_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_boolean_vector (lowb+1 to lowb+3) = c_st_boolean_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_boolean_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_bit_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_bit_vector = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_bit_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_bit_vector (lowb+1 to lowb+3) <= transport c_st_bit_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_bit_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_bit_vector (lowb+1 to lowb+3) = c_st_bit_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_bit_vector (lowb+1 to lowb+3) = c_st_bit_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P2" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_bit_vector (lowb+1 to lowb+3) <= transport c_st_bit_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_bit_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_bit_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_bit_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_bit_vector (lowb+1 to lowb+3) = c_st_bit_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_bit_vector (lowb+1 to lowb+3) <= transport c_st_bit_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_bit_vector (lowb+1 to lowb+3) = c_st_bit_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_bit_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_severity_level_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_severity_level_vector = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_severity_level_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_severity_level_vector (lowb+1 to lowb+3) <= transport c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_severity_level_vector (lowb+1 to lowb+3) = c_st_severity_level_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_severity_level_vector (lowb+1 to lowb+3) = c_st_severity_level_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P3" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_severity_level_vector (lowb+1 to lowb+3) <= transport c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_severity_level_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_severity_level_vector (lowb+1 to lowb+3) = c_st_severity_level_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_severity_level_vector (lowb+1 to lowb+3) <= transport c_st_severity_level_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_severity_level_vector (lowb+1 to lowb+3) = c_st_severity_level_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_severity_level_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P3 ; -- PGEN_CHKP_4 : process ( chk_st_string ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Transport transactions entirely completed", chk_st_string = 4 ) ; end if ; end process PGEN_CHKP_4 ; -- P4 : process ( s_st_string ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_string (lowb+1 to lowb+3) <= transport c_st_string_2 (lowb+1 to lowb+3) after 10 ns, c_st_string_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_string (lowb+1 to lowb+3) = c_st_string_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_string (lowb+1 to lowb+3) = c_st_string_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P4" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_string (lowb+1 to lowb+3) <= transport c_st_string_2 (lowb+1 to lowb+3) after 10 ns , c_st_string_1 (lowb+1 to lowb+3) after 20 ns , c_st_string_2 (lowb+1 to lowb+3) after 30 ns , c_st_string_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_string (lowb+1 to lowb+3) = c_st_string_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_string (lowb+1 to lowb+3) <= transport c_st_string_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_string (lowb+1 to lowb+3) = c_st_string_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_string <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P4 ; -- PGEN_CHKP_5 : process ( chk_st_enum1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Transport transactions entirely completed", chk_st_enum1_vector = 4 ) ; end if ; end process PGEN_CHKP_5 ; -- P5 : process ( s_st_enum1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_enum1_vector (lowb+1 to lowb+3) <= transport c_st_enum1_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_enum1_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_enum1_vector (lowb+1 to lowb+3) = c_st_enum1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1_vector (lowb+1 to lowb+3) = c_st_enum1_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P5" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_enum1_vector (lowb+1 to lowb+3) <= transport c_st_enum1_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_enum1_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_enum1_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_enum1_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_enum1_vector (lowb+1 to lowb+3) = c_st_enum1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_enum1_vector (lowb+1 to lowb+3) <= transport c_st_enum1_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_enum1_vector (lowb+1 to lowb+3) = c_st_enum1_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_enum1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P5 ; -- PGEN_CHKP_6 : process ( chk_st_integer_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Transport transactions entirely completed", chk_st_integer_vector = 4 ) ; end if ; end process PGEN_CHKP_6 ; -- P6 : process ( s_st_integer_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_integer_vector (lowb+1 to lowb+3) <= transport c_st_integer_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_integer_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_integer_vector (lowb+1 to lowb+3) = c_st_integer_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_integer_vector (lowb+1 to lowb+3) = c_st_integer_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P6" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_integer_vector (lowb+1 to lowb+3) <= transport c_st_integer_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_integer_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_integer_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_integer_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_integer_vector (lowb+1 to lowb+3) = c_st_integer_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_integer_vector (lowb+1 to lowb+3) <= transport c_st_integer_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_integer_vector (lowb+1 to lowb+3) = c_st_integer_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_integer_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P6 ; -- PGEN_CHKP_7 : process ( chk_st_int1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Transport transactions entirely completed", chk_st_int1_vector = 4 ) ; end if ; end process PGEN_CHKP_7 ; -- P7 : process ( s_st_int1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_int1_vector (lowb+1 to lowb+3) <= transport c_st_int1_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_int1_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_int1_vector (lowb+1 to lowb+3) = c_st_int1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_int1_vector (lowb+1 to lowb+3) = c_st_int1_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P7" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_int1_vector (lowb+1 to lowb+3) <= transport c_st_int1_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_int1_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_int1_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_int1_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_int1_vector (lowb+1 to lowb+3) = c_st_int1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_int1_vector (lowb+1 to lowb+3) <= transport c_st_int1_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_int1_vector (lowb+1 to lowb+3) = c_st_int1_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_int1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P7 ; -- PGEN_CHKP_8 : process ( chk_st_time_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Transport transactions entirely completed", chk_st_time_vector = 4 ) ; end if ; end process PGEN_CHKP_8 ; -- P8 : process ( s_st_time_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_time_vector (lowb+1 to lowb+3) <= transport c_st_time_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_time_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_time_vector (lowb+1 to lowb+3) = c_st_time_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_time_vector (lowb+1 to lowb+3) = c_st_time_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P8" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_time_vector (lowb+1 to lowb+3) <= transport c_st_time_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_time_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_time_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_time_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_time_vector (lowb+1 to lowb+3) = c_st_time_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_time_vector (lowb+1 to lowb+3) <= transport c_st_time_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_time_vector (lowb+1 to lowb+3) = c_st_time_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_time_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P8 ; -- PGEN_CHKP_9 : process ( chk_st_phys1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Transport transactions entirely completed", chk_st_phys1_vector = 4 ) ; end if ; end process PGEN_CHKP_9 ; -- P9 : process ( s_st_phys1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_phys1_vector (lowb+1 to lowb+3) <= transport c_st_phys1_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_phys1_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_phys1_vector (lowb+1 to lowb+3) = c_st_phys1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_phys1_vector (lowb+1 to lowb+3) = c_st_phys1_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P9" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_phys1_vector (lowb+1 to lowb+3) <= transport c_st_phys1_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_phys1_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_phys1_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_phys1_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_phys1_vector (lowb+1 to lowb+3) = c_st_phys1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_phys1_vector (lowb+1 to lowb+3) <= transport c_st_phys1_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_phys1_vector (lowb+1 to lowb+3) = c_st_phys1_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_phys1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P9 ; -- PGEN_CHKP_10 : process ( chk_st_real_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P10" , "Transport transactions entirely completed", chk_st_real_vector = 4 ) ; end if ; end process PGEN_CHKP_10 ; -- P10 : process ( s_st_real_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_real_vector (lowb+1 to lowb+3) <= transport c_st_real_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_real_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_real_vector (lowb+1 to lowb+3) = c_st_real_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real_vector (lowb+1 to lowb+3) = c_st_real_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P10" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_real_vector (lowb+1 to lowb+3) <= transport c_st_real_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_real_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_real_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_real_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_real_vector (lowb+1 to lowb+3) = c_st_real_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_real_vector (lowb+1 to lowb+3) <= transport c_st_real_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_real_vector (lowb+1 to lowb+3) = c_st_real_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_real_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P10 ; -- PGEN_CHKP_11 : process ( chk_st_real1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P11" , "Transport transactions entirely completed", chk_st_real1_vector = 4 ) ; end if ; end process PGEN_CHKP_11 ; -- P11 : process ( s_st_real1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_real1_vector (lowb+1 to lowb+3) <= transport c_st_real1_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_real1_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_real1_vector (lowb+1 to lowb+3) = c_st_real1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real1_vector (lowb+1 to lowb+3) = c_st_real1_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P11" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_real1_vector (lowb+1 to lowb+3) <= transport c_st_real1_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_real1_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_real1_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_real1_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_real1_vector (lowb+1 to lowb+3) = c_st_real1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_real1_vector (lowb+1 to lowb+3) <= transport c_st_real1_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_real1_vector (lowb+1 to lowb+3) = c_st_real1_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_real1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P11 ; -- PGEN_CHKP_12 : process ( chk_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P12" , "Transport transactions entirely completed", chk_st_rec1_vector = 4 ) ; end if ; end process PGEN_CHKP_12 ; -- P12 : process ( s_st_rec1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec1_vector (lowb+1 to lowb+3) <= transport c_st_rec1_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_rec1_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_rec1_vector (lowb+1 to lowb+3) = c_st_rec1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1_vector (lowb+1 to lowb+3) = c_st_rec1_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P12" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_rec1_vector (lowb+1 to lowb+3) <= transport c_st_rec1_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_rec1_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_rec1_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_rec1_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_rec1_vector (lowb+1 to lowb+3) = c_st_rec1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1_vector (lowb+1 to lowb+3) <= transport c_st_rec1_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_rec1_vector (lowb+1 to lowb+3) = c_st_rec1_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P12 ; -- PGEN_CHKP_13 : process ( chk_st_rec2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P13" , "Transport transactions entirely completed", chk_st_rec2_vector = 4 ) ; end if ; end process PGEN_CHKP_13 ; -- P13 : process ( s_st_rec2_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec2_vector (lowb+1 to lowb+3) <= transport c_st_rec2_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_rec2_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_rec2_vector (lowb+1 to lowb+3) = c_st_rec2_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2_vector (lowb+1 to lowb+3) = c_st_rec2_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P13" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_rec2_vector (lowb+1 to lowb+3) <= transport c_st_rec2_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_rec2_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_rec2_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_rec2_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_rec2_vector (lowb+1 to lowb+3) = c_st_rec2_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2_vector (lowb+1 to lowb+3) <= transport c_st_rec2_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_rec2_vector (lowb+1 to lowb+3) = c_st_rec2_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P13 ; -- PGEN_CHKP_14 : process ( chk_st_rec3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P14" , "Transport transactions entirely completed", chk_st_rec3_vector = 4 ) ; end if ; end process PGEN_CHKP_14 ; -- P14 : process ( s_st_rec3_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec3_vector (lowb+1 to lowb+3) <= transport c_st_rec3_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_rec3_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_rec3_vector (lowb+1 to lowb+3) = c_st_rec3_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3_vector (lowb+1 to lowb+3) = c_st_rec3_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P14" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_rec3_vector (lowb+1 to lowb+3) <= transport c_st_rec3_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_rec3_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_rec3_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_rec3_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_rec3_vector (lowb+1 to lowb+3) = c_st_rec3_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3_vector (lowb+1 to lowb+3) <= transport c_st_rec3_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_rec3_vector (lowb+1 to lowb+3) = c_st_rec3_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P14 ; -- PGEN_CHKP_15 : process ( chk_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P15" , "Transport transactions entirely completed", chk_st_arr1_vector = 4 ) ; end if ; end process PGEN_CHKP_15 ; -- P15 : process ( s_st_arr1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr1_vector (lowb+1 to lowb+3) <= transport c_st_arr1_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_arr1_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_arr1_vector (lowb+1 to lowb+3) = c_st_arr1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector (lowb+1 to lowb+3) = c_st_arr1_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P15" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_arr1_vector (lowb+1 to lowb+3) <= transport c_st_arr1_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_arr1_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_arr1_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_arr1_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_arr1_vector (lowb+1 to lowb+3) = c_st_arr1_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector (lowb+1 to lowb+3) <= transport c_st_arr1_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_arr1_vector (lowb+1 to lowb+3) = c_st_arr1_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P15 ; -- PGEN_CHKP_16 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P16" , "Transport transactions entirely completed", chk_st_arr2_vector = 4 ) ; end if ; end process PGEN_CHKP_16 ; -- P16 : process ( s_st_arr2_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr2_vector (lowb+1 to lowb+3) <= transport c_st_arr2_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_arr2_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_arr2_vector (lowb+1 to lowb+3) = c_st_arr2_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector (lowb+1 to lowb+3) = c_st_arr2_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P16" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_arr2_vector (lowb+1 to lowb+3) <= transport c_st_arr2_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_arr2_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_arr2_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_arr2_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_arr2_vector (lowb+1 to lowb+3) = c_st_arr2_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2_vector (lowb+1 to lowb+3) <= transport c_st_arr2_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_arr2_vector (lowb+1 to lowb+3) = c_st_arr2_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P16 ; -- PGEN_CHKP_17 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P17" , "Transport transactions entirely completed", chk_st_arr3_vector = 4 ) ; end if ; end process PGEN_CHKP_17 ; -- P17 : process ( s_st_arr3_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr3_vector (lowb+1 to lowb+3) <= transport c_st_arr3_vector_2 (lowb+1 to lowb+3) after 10 ns, c_st_arr3_vector_1 (lowb+1 to lowb+3) after 20 ns ; -- when 1 => correct := s_st_arr3_vector (lowb+1 to lowb+3) = c_st_arr3_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector (lowb+1 to lowb+3) = c_st_arr3_vector_1 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00086.P17" , "Multi transport transactions occurred on signal " & "asg with slice name on LHS", correct ) ; s_st_arr3_vector (lowb+1 to lowb+3) <= transport c_st_arr3_vector_2 (lowb+1 to lowb+3) after 10 ns , c_st_arr3_vector_1 (lowb+1 to lowb+3) after 20 ns , c_st_arr3_vector_2 (lowb+1 to lowb+3) after 30 ns , c_st_arr3_vector_1 (lowb+1 to lowb+3) after 40 ns ; -- when 3 => correct := s_st_arr3_vector (lowb+1 to lowb+3) = c_st_arr3_vector_2 (lowb+1 to lowb+3) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3_vector (lowb+1 to lowb+3) <= transport c_st_arr3_vector_1 (lowb+1 to lowb+3) after 5 ns ; -- when 4 => correct := correct and s_st_arr3_vector (lowb+1 to lowb+3) = c_st_arr3_vector_1 (lowb+1 to lowb+3) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00086" , "One transport transaction occurred on signal " & "asg with slice name on LHS", correct ) ; test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00086" , "Old transactions were removed on signal " & "asg with slice name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P17 ; -- -- end ARCH00086 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00086_Test_Bench is signal s_st_boolean_vector : st_boolean_vector := c_st_boolean_vector_1 ; signal s_st_bit_vector : st_bit_vector := c_st_bit_vector_1 ; signal s_st_severity_level_vector : st_severity_level_vector := c_st_severity_level_vector_1 ; signal s_st_string : st_string := c_st_string_1 ; signal s_st_enum1_vector : st_enum1_vector := c_st_enum1_vector_1 ; signal s_st_integer_vector : st_integer_vector := c_st_integer_vector_1 ; signal s_st_int1_vector : st_int1_vector := c_st_int1_vector_1 ; signal s_st_time_vector : st_time_vector := c_st_time_vector_1 ; signal s_st_phys1_vector : st_phys1_vector := c_st_phys1_vector_1 ; signal s_st_real_vector : st_real_vector := c_st_real_vector_1 ; signal s_st_real1_vector : st_real1_vector := c_st_real1_vector_1 ; signal s_st_rec1_vector : st_rec1_vector := c_st_rec1_vector_1 ; signal s_st_rec2_vector : st_rec2_vector := c_st_rec2_vector_1 ; signal s_st_rec3_vector : st_rec3_vector := c_st_rec3_vector_1 ; signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- end ENT00086_Test_Bench ; -- architecture ARCH00086_Test_Bench of ENT00086_Test_Bench is begin L1: block component UUT port ( s_st_boolean_vector : inout st_boolean_vector ; s_st_bit_vector : inout st_bit_vector ; s_st_severity_level_vector : inout st_severity_level_vector ; s_st_string : inout st_string ; s_st_enum1_vector : inout st_enum1_vector ; s_st_integer_vector : inout st_integer_vector ; s_st_int1_vector : inout st_int1_vector ; s_st_time_vector : inout st_time_vector ; s_st_phys1_vector : inout st_phys1_vector ; s_st_real_vector : inout st_real_vector ; s_st_real1_vector : inout st_real1_vector ; s_st_rec1_vector : inout st_rec1_vector ; s_st_rec2_vector : inout st_rec2_vector ; s_st_rec3_vector : inout st_rec3_vector ; s_st_arr1_vector : inout st_arr1_vector ; s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00086 ( ARCH00086 ) ; begin CIS1 : UUT port map ( s_st_boolean_vector , s_st_bit_vector , s_st_severity_level_vector , s_st_string , s_st_enum1_vector , s_st_integer_vector , s_st_int1_vector , s_st_time_vector , s_st_phys1_vector , s_st_real_vector , s_st_real1_vector , s_st_rec1_vector , s_st_rec2_vector , s_st_rec3_vector , s_st_arr1_vector , s_st_arr2_vector , s_st_arr3_vector ) ; end block L1 ; end ARCH00086_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00539.vhd
1
3597
-- NEED RESULT: ARCH00539: Local port/generic visibility test passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00539 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 10.3 (8) -- 10.3 (9) -- -- DESIGN UNIT ORDERING: -- -- ENT00539(ARCH00539) -- PKG00539 -- ENT00539_Test_Bench(ARCH00539_Test_Bench) -- -- REVISION HISTORY: -- -- 18-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- entity ENT00539 is generic (G : CHARACTER) ; port (P1 : inout INTEGER; P2 : out BIT; P3 : in BOOLEAN); end ENT00539 ; -- architecture ARCH00539 of ENT00539 is begin process ( P1 ) begin P2 <= transport '1' after 0 ns ; end process ; end ARCH00539 ; -- package PKG00539 is component PkgCOMP generic (DDD : CHARACTER) ; port (AAA : inout INTEGER; BBB : out BIT; CCC : in BOOLEAN) ; end component; end PKG00539 ; -- use WORK.STANDARD_TYPES.all, WORK.PKG00539 ; entity ENT00539_Test_Bench is end ENT00539_Test_Bench ; architecture ARCH00539_Test_Bench of ENT00539_Test_Bench is component COMP1 generic (D : CHARACTER) ; port (A : inout INTEGER := 0 ; B : out BIT := '0' ; C : in BOOLEAN := false); end component; component COMP2 generic (DD : CHARACTER) ; port (AA : inout INTEGER := 0 ; BB : out BIT := '0' ; CC : in BOOLEAN := false) ; end component; signal SA : INTEGER := 0 ; signal SB : BIT := '0' ; signal SC : BOOLEAN := false ; constant SD : CHARACTER := 'D'; signal AA : INTEGER := 0 ; signal BB : BIT := '0' ; signal CC : BOOLEAN := false ; constant DD : CHARACTER := 'D'; signal PSA : INTEGER := 0 ; signal PSB : BIT := '0' ; signal PSC : BOOLEAN := false ; constant PSD : CHARACTER := 'D'; for A1 : COMP1 use entity WORK.ENT00539 ( ARCH00539 ) generic map ( G => D ) port map ( A, B, C ) ; -- names denoting local port or generic are permitted for others : COMP2 use entity WORK.ENT00539 ( ARCH00539 ) generic map ( G => DD ) port map ( AA, BB, CC ) ; -- names denoting local port or generic are permitted for all : PKG00539.PkgCOMP use entity WORK.ENT00539 ( ARCH00539 ) generic map ( G => DDD ) port map ( AAA, BBB, CCC ) ; -- names denoting local port or generic are permitted begin A1 : COMP1 generic map (D => SD) port map (A => SA, B => SB, C => SC) ; -- names denoting local port or generic are permitted A2 : COMP2 generic map (DD => DD) port map (AA => AA, BB => BB, CC => CC) ; -- names denoting local port or generic are permitted A3 : PKG00539.PkgCOMP generic map (DDD => PSD) port map (AAA => PSA, BBB => PSB, CCC => PSC) ; -- names denoting local port or generic are permitted process begin wait for 10 ns ; test_report ( "ARCH00539" , "Local port/generic visibility test" , (SB = '1') and (BB = '1') and (PSB = '1') ) ; wait; end process ; end ARCH00539_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00064.vhd
1
4606
-- NEED RESULT: ARCH00064.P1_1: Next with no label or condition only effects innermost (labeled) loop passed -- NEED RESULT: ARCH00064.P1_1: Next with no label or condition only effects innermost (unlabeled) loop passed -- NEED RESULT: ARCH00064.P1_1: Next with no label or condition only effects innermost (labeled) loop passed -- NEED RESULT: ARCH00064.P1_1: Next with no label or condition only effects innermost (unlabeled) loop passed -- NEED RESULT: ARCH00064.P1_1: Next statement does not effect outer loop passed -- NEED RESULT: ARCH00064.P1_2: Next with no label only effects innermost (unlabeled) loop passed -- NEED RESULT: ARCH00064.P1_2: Next with no label only effects innermost (labeled) loop passed -- NEED RESULT: ARCH00064.P1_2: Next statement does not effect outer loop passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00064 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.9 (1) -- 8.9 (3) -- 8.9 (4) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00064) -- ENT00064_Test_Bench(ARCH00064_Test_Bench) -- -- REVISION HISTORY: -- -- 06-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00064 of E00000 is signal Dummy : Boolean := false ; begin P1_1 : process ( Dummy ) variable correct : boolean ; variable counter : integer := 0 ; variable done : boolean := false ; begin L1 : for i in boolean loop -- correct := true ; L2 : for j in 1 to 3 loop next ; correct := false ; end loop L2 ; -- test_report ( "ARCH00064.P1_1" , "Next with no label or condition only effects " & "innermost (labeled) loop", correct ) ; -- correct := true ; while not done loop done := true ; next ; correct := false ; end loop ; -- test_report ( "ARCH00064.P1_1" , "Next with no label or condition only effects " & "innermost (unlabeled) loop", correct ) ; -- counter := counter + 1 ; -- end loop L1 ; correct := counter = (boolean'Pos (boolean'High) - boolean'Pos (boolean'Low) + 1) ; test_report ( "ARCH00064.P1_1" , "Next statement does not effect outer " & "loop", correct ) ; -- end process P1_1 ; -- P1_2 : process ( Dummy ) variable correct : boolean := true ; variable counter : integer := 0 ; variable done : boolean := false ; variable v_boolean : boolean := c_boolean_1 ; -- begin L1 : while v_boolean /= boolean'High loop -- correct := true ; for j in 1 to 3 loop next when j = j ; correct := false ; end loop ; -- test_report ( "ARCH00064.P1_2" , "Next with no label only effects " & "innermost (unlabeled) loop", correct ) ; -- correct := true ; L2 : while not done loop done := true ; next when done = done ; correct := false ; end loop L2 ; -- test_report ( "ARCH00064.P1_2" , "Next with no label only effects " & "innermost (labeled) loop", correct ) ; -- v_boolean := boolean'Succ (v_boolean) ; counter := counter + 1 ; -- end loop L1 ; correct := counter = (boolean'Pos (boolean'High) - boolean'Pos (c_boolean_1) ) ; test_report ( "ARCH00064.P1_2" , "Next statement does not effect outer " & "loop", correct ) ; -- end process P1_2 ; -- -- end ARCH00064 ; -- entity ENT00064_Test_Bench is end ENT00064_Test_Bench ; -- architecture ARCH00064_Test_Bench of ENT00064_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00064 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00064_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00665.vhd
1
2344
-- NEED RESULT: ARCH00665: Reference to array element in alias uses subtype given in alias declaration passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00665 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 4.3.4 (3) -- 4.3.4 (4) -- 4.3.4 (7) -- 4.3.4 (8) -- -- DESIGN UNIT ORDERING: -- -- ENT00665(ARCH00665) -- ENT00665_Test_Bench(ARCH00665_Test_Bench) -- -- REVISION HISTORY: -- -- 27-AUG-1987 - initial revision -- 16-JUN-1988 - (KLM) added wait statement at end of process -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; entity ENT00665 is generic ( g_integer : integer := 5 ) ; -- attribute at_scalar : character ; attribute at_composite : string ; end ENT00665 ; -- architecture ARCH00665 of ENT00665 is attribute at_composite of ARCH00665 : architecture is "abcde" ; constant str : string := ARCH00665'at_composite ; subtype str1 is string (1 to 5 ) ; subtype str2 is string (5 to 9 ) ; subtype str3 is string (5 + g_integer - 1 downto 5) ; alias al_att1 : str1 is str ; alias al_att2 : str2 is str ; alias al_att3 : str3 is str ; alias at_scalar1 : character is al_att1(1) ; alias at_scalar2 : character is al_att2(g_integer) ; alias at_scalar3 : character is al_att3(g_integer + 4) ; begin process variable correct : boolean := true ; begin test_report ( "ARCH00665" , "Reference to array element in alias uses subtype" & " given in alias declaration" , correct and at_scalar1 = at_scalar2 and at_scalar2 = at_scalar3 and at_scalar3 = 'a' ) ; wait; end process ; end ARCH00665 ; -- entity ENT00665_Test_Bench is end ENT00665_Test_Bench ; architecture ARCH00665_Test_Bench of ENT00665_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00665 ( ARCH00665 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00665_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00420.vhd
1
7809
-- NEED RESULT: ARCH00420.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00420: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00420: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00420: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00420: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00420 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00420(ARCH00420) -- ENT00420_Test_Bench(ARCH00420_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00420 is port ( s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00420 ; -- -- architecture ARCH00420 of ENT00420 is subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_rec3_select : select_type := 1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_2.f2.f2 after 10 ns, -- c_st_rec3_1.f2.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00420.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_2.f2.f2 after 10 ns , -- c_st_rec3_1.f2.f2 after 20 ns , -- c_st_rec3_2.f2.f2 after 30 ns , -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00420" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 4 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_1.f2.f2 after 100 ns ; -- when 5 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00420" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 5 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_2.f2.f2 after 10 ns , -- c_st_rec3_1.f2.f2 after 20 ns , -- c_st_rec3_2.f2.f2 after 30 ns , -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 6 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00420" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec3.f2.f2 <= -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 7 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00420" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00420" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; wait until (not s_st_rec3.f2.f2'Quiet) and (s_st_rec3_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_rec3.f2.f2 <= c_st_rec3_2.f2.f2 after 10 ns, c_st_rec3_1.f2.f2 after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2.f2.f2 after 10 ns , c_st_rec3_1.f2.f2 after 20 ns , c_st_rec3_2.f2.f2 after 30 ns , c_st_rec3_1.f2.f2 after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1.f2.f2 after 5 ns when st_rec3_select = 3 else -- c_st_rec3_1.f2.f2 after 100 ns when st_rec3_select = 4 else -- c_st_rec3_2.f2.f2 after 10 ns , c_st_rec3_1.f2.f2 after 20 ns , c_st_rec3_2.f2.f2 after 30 ns , c_st_rec3_1.f2.f2 after 40 ns when st_rec3_select = 5 else -- -- Last transaction above is marked c_st_rec3_1.f2.f2 after 40 ns ; -- end ARCH00420 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00420_Test_Bench is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00420_Test_Bench ; -- -- architecture ARCH00420_Test_Bench of ENT00420_Test_Bench is begin L1: block component UUT port ( s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00420 ( ARCH00420 ) ; begin CIS1 : UUT port map ( s_st_rec3 ) ; end block L1 ; end ARCH00420_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00094.vhd
1
12020
-- NEED RESULT: ARCH00094.P1: Multi transport transactions occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00094.P2: Multi transport transactions occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094.P3: Multi transport transactions occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: One transport transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00094: Old transactions were removed on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00094: One transport transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: Old transactions were removed on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: One transport transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00094: Old transactions were removed on signal asg with selected name on LHS failed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00094 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00094(ARCH00094) -- ENT00094_Test_Bench(ARCH00094_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00094 is port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_st_rec1 : inout st_rec1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec1 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec1.f2 <= transport c_st_rec1_2.f2 after 10 ns, c_st_rec1_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00094.P1" , "Multi transport transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= transport c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1.f2 <= transport c_st_rec1_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00094" , "One transport transaction occurred on signal " & "asg with selected name on LHS", correct ) ; test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- procedure Proc2 ( signal s_st_rec2 : inout st_rec2 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec2 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec2.f2 <= transport c_st_rec2_2.f2 after 10 ns, c_st_rec2_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00094.P2" , "Multi transport transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= transport c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2.f2 <= transport c_st_rec2_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00094" , "One transport transaction occurred on signal " & "asg with selected name on LHS", correct ) ; test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc2 ; -- procedure Proc3 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns, c_st_rec3_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00094.P3" , "Multi transport transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f2 <= transport c_st_rec3_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00094" , "One transport transaction occurred on signal " & "asg with selected name on LHS", correct ) ; test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00094" , "Old transactions were removed on signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc3 ; -- -- end ENT00094 ; -- architecture ARCH00094 of ENT00094 is begin PGEN_CHKP_1 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_rec1 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_rec1 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_rec1, counter, correct, savtime, chk_st_rec1 ) ; end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_rec2 = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_rec2 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc2 ( s_st_rec2, counter, correct, savtime, chk_st_rec2 ) ; end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_rec3 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc3 ( s_st_rec3, counter, correct, savtime, chk_st_rec3 ) ; end process P3 ; -- -- end ARCH00094 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00094_Test_Bench is signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00094_Test_Bench ; -- architecture ARCH00094_Test_Bench of ENT00094_Test_Bench is begin L1: block component UUT port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00094 ( ARCH00094 ) ; begin CIS1 : UUT port map ( s_st_rec1 , s_st_rec2 , s_st_rec3 ) ; end block L1 ; end ARCH00094_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00261.vhd
1
2852
-- NEED RESULT: ARCH00261_1: Component declaration and configuration spec allowed in architecture statement part passed -- NEED RESULT: ARCH00261: Subprogram decl and subprogram body and type, subtype constant, signal, initialization spec, alias decl ,attribute decl and attribute spec in architecture statement part passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00261 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 1.2.1 (1) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00261) -- ENT00261_1(ARCH00261_1) -- ENT00261_Test_Bench(ARCH00261_Test_Bench) -- -- REVISION HISTORY: -- -- 16-JUL-1987 - initial revision -- 16-JUN-1988 - (KLM) changed t1 from range 1 to 5 to range 1 to 11 -- changed type of parameter p1 from st1 to t1 -- added wait statements to ends of processes -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00261 of E00000 is type t1 is range 1 to 11 ; subtype st1 is t1 range 5 downto 1 ; constant c1 : st1 := 1 ; signal s1 : st1 := 5; alias a1 : st1 is s1 ; attribute at1 : boolean ; attribute at1 of c1 : constant is true ; function f1 ( p1 : t1 ) return boolean ; function f1 ( p1 : t1 ) return boolean is begin return p1 = 11 ; end f1 ; begin process begin test_report ( "ARCH00261" , "Subprogram decl and subprogram body and type, subtype" & " constant, signal, initialization spec, alias decl" & " ,attribute decl and attribute spec in" & " architecture statement part" , f1(c1 + s1 + a1) and c1'at1) ; wait; end process ; end ARCH00261 ; use WORK.STANDARD_TYPES.all ; entity ENT00261_1 is end ENT00261_1 ; architecture ARCH00261_1 of ENT00261_1 is component comp1 end component ; for CIS : comp1 use entity WORK.E00000 ( ARCH00261 ) ; begin CIS : comp1 ; process begin test_report ( "ARCH00261_1" , "Component declaration and configuration spec allowed in" & " architecture statement part" , true ) ; wait; end process ; end ARCH00261_1 ; entity ENT00261_Test_Bench is end ENT00261_Test_Bench ; architecture ARCH00261_Test_Bench of ENT00261_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00261_1 ( ARCH00261_1 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00261_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00414.vhd
1
8856
-- NEED RESULT: ARCH00414.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00414: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00414: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00414: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00414: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00414 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00414(ARCH00414) -- ENT00414_Test_Bench(ARCH00414_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00414 is end ENT00414 ; -- -- architecture ARCH00414 of ENT00414 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_rec3_select : select_type := 1 ; -- signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 10 ns, -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 20 ns ; -- when 1 => correct := s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_2.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_1.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00414.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 10 ns , -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 20 ns , -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 30 ns , -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 40 ns ; -- when 3 => correct := s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_2.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_1.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00414" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 4 ; -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 100 ns ; -- when 5 => correct := correct and s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_1.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00414" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 5 ; -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 10 ns , -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 20 ns , -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 30 ns , -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 40 ns ; -- when 6 => correct := correct and s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_2.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00414" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 40 ns ; -- when 7 => correct := correct and s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_1.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f3(lowb,true)(lowb to highb-1) = c_st_rec3_1.f3(lowb,true)(lowb to highb-1) and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00414" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00414" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; wait until (not s_st_rec3.f3(lowb,true)(lowb to highb-1)'Quiet) and (s_st_rec3_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_rec3.f3(lowb,true)(lowb to highb-1) <= c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 10 ns, c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 10 ns , c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 20 ns , c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 30 ns , c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 5 ns when st_rec3_select = 3 else -- c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 100 ns when st_rec3_select = 4 else -- c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 10 ns , c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 20 ns , c_st_rec3_2.f3(lowb,true)(lowb to highb-1) after 30 ns , c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 40 ns when st_rec3_select = 5 else -- -- Last transaction above is marked c_st_rec3_1.f3(lowb,true)(lowb to highb-1) after 40 ns ; -- end ARCH00414 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00414_Test_Bench is end ENT00414_Test_Bench ; -- -- architecture ARCH00414_Test_Bench of ENT00414_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00414 ( ARCH00414 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00414_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00101.vhd
1
5193
-- NEED RESULT: ARCH00101.P1: Multi transport transactions occurred on signal asg with slice name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00101: One transport transaction occurred on signal asg with slice name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00101: Old transactions were removed on signal asg with slice name prefixed by an indexed name on LHS passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00101 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00101) -- ENT00101_Test_Bench(ARCH00101_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00101 of E00000 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; -- signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; -- begin PGEN_CHKP_1 : process ( chk_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_arr1_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_arr1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 10 ns, c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_2(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_1(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00101.P1" , "Multi transport transactions occurred on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 10 ns , c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 20 ns , c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 30 ns , c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_2(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_1(highb) (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00101" , "One transport transaction occurred on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00101" , "Old transactions were removed on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00101" , "Old transactions were removed on signal " & "asg with slice name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- -- end ARCH00101 ; -- entity ENT00101_Test_Bench is end ENT00101_Test_Bench ; -- architecture ARCH00101_Test_Bench of ENT00101_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00101 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00101_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00044.vhd
1
4481
-- NEED RESULT: ARCH00044.P1: Target of a variable assignment may be a indexed name prefixed by a selected name passed -- NEED RESULT: ARCH00044.P2: Target of a variable assignment may be a indexed name prefixed by a selected name passed -- NEED RESULT: ARCH00044.P3: Target of a variable assignment may be a indexed name prefixed by a selected name passed -- NEED RESULT: ARCH00044.P4: Target of a variable assignment may be a indexed name prefixed by a selected name passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00044 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.4 (1) -- 8.4 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00044) -- ENT00044_Test_Bench(ARCH00044_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUN-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00044 of E00000 is signal Dummy : Boolean := false ; -- begin P1 : process ( Dummy ) variable v_st_rec3 : st_rec3 := c_st_rec3_1 ; -- variable correct : boolean := true ; begin v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) := c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2)) ; -- correct := correct and v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; -- test_report ( "ARCH00044.P1" , "Target of a variable assignment may be a " & "indexed name prefixed by a selected name" , correct) ; end process P1 ; -- P2 : process ( Dummy ) variable correct : boolean := true ; -- procedure Proc1 is variable v_st_rec3 : st_rec3 := c_st_rec3_1 ; -- begin v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) := c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2)) ; -- correct := correct and v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; -- end Proc1 ; begin Proc1 ; test_report ( "ARCH00044.P2" , "Target of a variable assignment may be a " & "indexed name prefixed by a selected name" , correct) ; end process P2 ; -- P3 : process ( Dummy ) variable v_st_rec3 : st_rec3 := c_st_rec3_1 ; -- variable correct : boolean := true ; -- procedure Proc1 is begin v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) := c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2)) ; -- end Proc1 ; begin Proc1 ; correct := correct and v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; -- test_report ( "ARCH00044.P3" , "Target of a variable assignment may be a " & "indexed name prefixed by a selected name" , correct) ; end process P3 ; -- P4 : process ( Dummy ) variable v_st_rec3 : st_rec3 := c_st_rec3_1 ; -- variable correct : boolean := true ; -- procedure Proc1 ( v_st_rec3 : inout st_rec3 ) is begin v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) := c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2)) ; -- end Proc1 ; begin Proc1 ( v_st_rec3 ) ; correct := correct and v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; -- test_report ( "ARCH00044.P4" , "Target of a variable assignment may be a " & "indexed name prefixed by a selected name" , correct) ; end process P4 ; -- end ARCH00044 ; -- entity ENT00044_Test_Bench is end ENT00044_Test_Bench ; -- architecture ARCH00044_Test_Bench of ENT00044_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00044 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00044_Test_Bench ;
gpl-3.0
SamuelLBau/Pool-Shot-Tracking-using-FPGA
examples/sparse_mm/solution1/syn/vhdl/sparse_mm_mul_32s_32s_32_3.vhd
1
2705
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sparse_mm_mul_32s_32s_32_3_Mul3S_0 is port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(32 - 1 downto 0); b: in std_logic_vector(32 - 1 downto 0); p: out std_logic_vector(32 - 1 downto 0)); end entity; architecture behav of sparse_mm_mul_32s_32s_32_3_Mul3S_0 is signal tmp_product : std_logic_vector(32 - 1 downto 0); signal a_i : std_logic_vector(32 - 1 downto 0); signal b_i : std_logic_vector(32 - 1 downto 0); signal p_tmp : std_logic_vector(32 - 1 downto 0); signal a_reg0 : std_logic_vector(32 - 1 downto 0); signal b_reg0 : std_logic_vector(32 - 1 downto 0); attribute keep : string; attribute keep of a_i : signal is "true"; attribute keep of b_i : signal is "true"; signal buff0 : std_logic_vector(32 - 1 downto 0); begin a_i <= a; b_i <= b; p <= p_tmp; p_tmp <= buff0; tmp_product <= std_logic_vector(resize(unsigned(std_logic_vector(signed(a_reg0) * signed(b_reg0))), 32)); process(clk) begin if (clk'event and clk = '1') then if (ce = '1') then a_reg0 <= a_i; b_reg0 <= b_i; buff0 <= tmp_product; end if; end if; end process; end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity sparse_mm_mul_32s_32s_32_3 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of sparse_mm_mul_32s_32s_32_3 is component sparse_mm_mul_32s_32s_32_3_Mul3S_0 is port ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin sparse_mm_mul_32s_32s_32_3_Mul3S_0_U : component sparse_mm_mul_32s_32s_32_3_Mul3S_0 port map ( clk => clk, ce => ce, a => din0, b => din1, p => dout); end architecture;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00244.vhd
1
1911
-- NEED RESULT: ARCH00244: Procedures and functions may be called recursively passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00244 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 2.1 (5) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00244) -- ENT00244_Test_Bench(ARCH00244_Test_Bench) -- -- REVISION HISTORY: -- -- 14-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00244 of E00000 is begin P : process variable global_int : integer := 0; procedure Recursive_subr ( x: integer ) is begin global_int := global_int + 1; if x > 1 then Recursive_subr (x-1); end if; end Recursive_subr ; function Recursive_func ( n: integer ) return integer is -- factorial begin if n > 1 then return n * Recursive_func (n-1); else return 1; end if; end Recursive_func ; begin Recursive_subr ( 10 ) ; test_report ( "ARCH00244" , "Procedures and functions may be called recursively" , (global_int = 10) and (Recursive_func(5) = 5*4*3*2) ) ; wait ; end process P ; end ARCH00244 ; entity ENT00244_Test_Bench is end ENT00244_Test_Bench ; architecture ARCH00244_Test_Bench of ENT00244_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00244 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00244_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/synth/golden_ticket_fifo.vhd
6
37388
-- (c) Copyright 1995-2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:10.0 -- IP Revision: 128000 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v10_0; USE fifo_generator_v10_0.fifo_generator_v10_0; ENTITY golden_ticket_fifo IS PORT ( wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END golden_ticket_fifo; ARCHITECTURE golden_ticket_fifo_arch OF golden_ticket_fifo IS COMPONENT fifo_generator_v10_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v10_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF golden_ticket_fifo_arch : ARCHITECTURE IS "fifo_generator_v10_0,Vivado 2013.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF golden_ticket_fifo_arch : ARCHITECTURE IS "golden_ticket_fifo,fifo_generator_v10_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF golden_ticket_fifo_arch : ARCHITECTURE IS "golden_ticket_fifo,fifo_generator_v10_0,{x_ipProduct=Vivado 2013.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=10.0,x_ipCoreRevision=128000,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=kintex7,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=2,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1021,C_PROG_FULL_THRESH_NEGATE_VAL=1020,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=0,C_USE_ECC=0,C_USE_EMBEDDED_REG=1,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=0,C_HAS_AXI_WR_CHANNEL=0,C_HAS_AXI_RD_CHANNEL=0,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=4,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=0,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=64,C_AXIS_TID_WIDTH=8,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=8,C_AXIS_TKEEP_WIDTH=8,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; BEGIN U0 : fifo_generator_v10_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 10, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 32, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 32, C_ENABLE_RLOCS => 0, C_FAMILY => "kintex7", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 2, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1021, C_PROG_FULL_THRESH_NEGATE_VAL => 1020, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 10, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 0, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 1, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 10, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 0, C_HAS_AXI_WR_CHANNEL => 0, C_HAS_AXI_RD_CHANNEL => 0, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 4, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 0, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 0, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 64, C_AXIS_TID_WIDTH => 8, C_AXIS_TDEST_WIDTH => 4, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 8, C_AXIS_TKEEP_WIDTH => 8, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => '0', rd_clk => rd_clk, rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END golden_ticket_fifo_arch;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00508.vhd
1
2124
-- NEED RESULT: ARCH00508: 'All' test in an initialization spec passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00508 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 5.2 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00508) -- ENT00508_Test_Bench(ARCH00508_Test_Bench) -- -- REVISION HISTORY: -- -- 10-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00508 of E00000 is signal s1, s2, s3 : boolean := True ; type rec is record f1 : boolean ; f2 : integer ; end record ; type TYPE1 is array ( Integer range <> ) of rec ; subtype ST1 is TYPE1 ( 1 to 10 ) ; signal sa : ST1 := (others => (true,20)) ; subtype ST2 is boolean range False to True ; signal t1, t2 : ST2 := False ; begin process begin test_report ( "ARCH00508" , "'All' test in an initialization spec" , s1 and s2 and s3 and sa(1).f1 and sa(2).f1 and sa(3).f1 and sa(4).f1 and sa(5).f1 and sa(6).f1 and sa(7).f1 and sa(8).f1 and sa(9).f1 and sa(10).f1 and sa(1).f2=20 and sa(2).f2=20 and sa(3).f2=20 and sa(4).f2=20 and sa(5).f2=20 and sa(6).f2=20 and sa(7).f2=20 and sa(8).f2=20 and sa(9).f2=20 and sa(10).f2=20 and (Not t1) and (Not t2) ) ; wait ; end process ; end ARCH00508 ; entity ENT00508_Test_Bench is end ENT00508_Test_Bench ; architecture ARCH00508_Test_Bench of ENT00508_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00508 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00508_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/pro000027.vhd
1
2896
-- Prosoft VHDL tests. -- -- Copyright (C) 2011 Prosoft. -- -- Author: Zefirov, Scherbinin. -- -- This is a set of simplest tests for isolated tests of VHDL features. -- -- Nothing more than standard package should be required. -- -- Categories: entity, architecture, process, type, subtype, case, enumerations, array, for-loop, function, Attributes-of-the-array-type-or-objects-of-the-array-type use work.std_logic_1164_for_tst.all; entity test_generate is generic(N:natural:=8); port ( in_bit : in bit; out_bit : out bit ); end entity test_generate; architecture test_generate_arch of test_generate is function resolve_bit_or(s : bit_vector) return bit is variable v : bit := '0'; variable i : integer; begin for i in s'range loop v := v or s(i); end loop; return v; end function resolve_bit_or; subtype resolved_bit is resolve_bit_or bit; signal test_resolved_bit : resolved_bit := '0'; type int_vector is array (integer range <>) of integer; function resolve_integer_sum(s : int_vector) return integer is variable v : integer := 0; variable i : integer; begin for i in s'range loop v := v + s(i); end loop; return v; end function resolve_integer_sum; subtype resolved_integer is resolve_integer_sum integer; signal test_resolved_integer : resolved_integer := 0; signal test_vector_signal : bit_vector(0 to 8); signal tst_signal : resolved_bit := '0'; signal tst_vector : bit_vector(0 to N-1):=('0','0','0','0','0','0','0','0'); signal model_vector : bit_vector(0 to N-1):=('0','0','1','0','1','0','1','0'); begin g1: for i in 0 to N-1 generate test_resolved_bit <= '1'; end generate g1; out_bit <= in_bit xor test_resolved_bit; g2: for i in 0 to N-1 generate tst_vector(i) <= model_vector(i); tst_signal <= '1'; end generate g2; validate_g1: process (tst_vector) is variable i : bit; variable pooh : integer := 0; begin for k in 0 to N-1 loop i := tst_signal; assert ( ( (tst_vector(k) = model_vector(k)) and i='1' ) or i = '0') report "PRO000027: failure: wrong value." severity ERROR; end loop; if pooh /= 0 then report "pooh mismatch!" severity ERROR; end if; end process validate_g1; end architecture test_generate_arch; entity ENT00027_Test_Bench is end ENT00027_Test_Bench; architecture ARCH00027_Test_Bench of ENT00027_Test_Bench is signal input, output : bit; begin input <= not input after 10 ns; test_entity: entity work.test_generate port map ( in_bit => input, out_bit => output ); end ARCH00027_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00154.vhd
1
17205
-- NEED RESULT: ARCH00154.P1: Multi inertial transactions occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00154.P2: Multi inertial transactions occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154.P3: Multi inertial transactions occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: One inertial transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00154: One inertial transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: One inertial transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Old transactions were removed on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Old transactions were removed on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: One inertial transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: One inertial transaction occurred on signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS failed -- NEED RESULT: ARCH00154: Old transactions were removed on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00154: One inertial transaction occurred on signal asg with selected name on LHS passed -- NEED RESULT: ARCH00154: Inertial semantics check on a signal asg with selected name on LHS passed -- NEED RESULT: P3: Inertial transactions entirely completed failed -- NEED RESULT: P2: Inertial transactions entirely completed failed -- NEED RESULT: P1: Inertial transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00154 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00154(ARCH00154) -- ENT00154_Test_Bench(ARCH00154_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00154 is port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_st_rec1 : inout st_rec1 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec1 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec1.f2 <= c_st_rec1_2.f2 after 10 ns, c_st_rec1_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154.P1" , "Multi inertial transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1.f2 <= c_st_rec1_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= transport c_st_rec1_1.f2 after 100 ns ; -- when 5 => correct := s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns ; -- when 6 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec1.f2 <= -- Last transaction above is marked c_st_rec1_1.f2 after 40 ns ; -- when 7 => correct := s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "Inertial semantics check on a signal " & "asg with selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00154" , "Inertial semantics check on a signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- procedure Proc2 ( signal s_st_rec2 : inout st_rec2 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec2 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec2.f2 <= c_st_rec2_2.f2 after 10 ns, c_st_rec2_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154.P2" , "Multi inertial transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2.f2 <= c_st_rec2_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= transport c_st_rec2_1.f2 after 100 ns ; -- when 5 => correct := s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns ; -- when 6 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec2.f2 <= -- Last transaction above is marked c_st_rec2_1.f2 after 40 ns ; -- when 7 => correct := s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "Inertial semantics check on a signal " & "asg with selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00154" , "Inertial semantics check on a signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc2 ; -- procedure Proc3 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3.f2 <= c_st_rec3_2.f2 after 10 ns, c_st_rec3_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154.P3" , "Multi inertial transactions occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f2 <= c_st_rec3_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= transport c_st_rec3_1.f2 after 100 ns ; -- when 5 => correct := s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "Old transactions were removed on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns ; -- when 6 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "One inertial transaction occurred on signal " & "asg with selected name on LHS", correct ) ; s_st_rec3.f2 <= -- Last transaction above is marked c_st_rec3_1.f2 after 40 ns ; -- when 7 => correct := s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00154" , "Inertial semantics check on a signal " & "asg with selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00154" , "Inertial semantics check on a signal " & "asg with selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc3 ; -- -- end ENT00154 ; -- architecture ARCH00154 of ENT00154 is begin P1 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_rec1, counter, correct, savtime, chk_st_rec1 ) ; wait until (not s_st_rec1'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_st_rec1 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- P2 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc2 ( s_st_rec2, counter, correct, savtime, chk_st_rec2 ) ; wait until (not s_st_rec2'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_st_rec2 = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- P3 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc3 ( s_st_rec3, counter, correct, savtime, chk_st_rec3 ) ; wait until (not s_st_rec3'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- -- end ARCH00154 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00154_Test_Bench is signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00154_Test_Bench ; -- architecture ARCH00154_Test_Bench of ENT00154_Test_Bench is begin L1: block component UUT port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00154 ( ARCH00154 ) ; begin CIS1 : UUT port map ( s_st_rec1 , s_st_rec2 , s_st_rec3 ) ; end block L1 ; end ARCH00154_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_mux.vhd
9
91810
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block F4PZYYheLLEaNZEQmSZ2xsGm7VyCOT0Q0Hjl68htzF+rxi8s6U+dqhEcUd63mcr9J/mpHLDnE1ww 6aLzorO1Zg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NLIy7kyMp4S607mXWeI9W+0h/sZblXhisXOd3mbn+uBq4eRlL0GSSeDcRrVijqpz8NEcPkuOU1Fh rL2f+GfPZXhqN/evAq1O+p16ZId0dnFjdbX4WcsJxDp2e2gO1A10MoFt2t6S7vDtVjF+kW4hAyfL yFdOfubuetzxb7nIOnE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tGfHU8hcUai3bMysIt0GsnHBMk4Yy7XEdpig3PDg9yKaR9QgTZku0ECxOT0uJK0cFClZ47vF28SL 5K96Em+Zvkww60fS7cYz5fGZDfqmYi33Avf6w2D8whRrQrHci1bHdIc+dIP75is9DL0vPPrwTgI3 UWR29mvv1SOsY4exTibN7NR1fzs4X3r0mWUsvyw33b2+jnDjYYUhO8VHjxYx83+U81dgrPDKcKd3 k84YAhxmTCsL3+vSrkYYrnEZgeMm4GFgIyobZHg8Ghd4AnVpdCOfgzIFq5LA+ec8UlU+i/fBxvzf y67movjEh4Or3dlujqmK5dwL/GfN4r/ZbrJPhQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block reBh59MEZWW3sP91upOFdMGJoCvBJrz/mnNvgYSBI813UHLcnQnnM+q9jFaBqhCExx2qTwWaESin tAE90CKALrvK5pubZXEWo1VrIA7LFx5FRRDUiknG3PATOWD0pd+gr5PAjLkn55pDfeQupkoiFnk3 N1IHEWWqZzjDz0ZJ34A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D59MYVeicMItVhzuODZ7VWWudDbMRH7j/4HhL7ypBwnIak7pzK+oIJF69+DZDYUFAVlovV93fQK+ XWO3GZCwSBEj91ObUc8d+LcAoD/YT8kHDp/88S+NAUXBkCIgF6VeaJmPbEp4/+fxqi0i81yX30sf M8zATTlC94wl51OXMIB5YSLpjHqu6QE92cOasXgvM77izanmCgjJHbYxyo1l+9IN/gCGT3CGBs+J 8VYPWmwE3uFePzpapAUKS3+vGvpOfH6XmCrEBsCdkoQ7hOeextI9baF95ovvU7/K/UMmAf+4+mxy VzACFkmFTxNVdjAFIwQZv+FfzaY/Eh9vxd5sJg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66224) `protect data_block yCRw3rvjIa7hMraaHNvjTvRGQOxt2eOHCgMEs5s8vGTt637d4LCxPLMCYBoBds58yL8pPOt4z0RC Na5rZEcTGkgtmKA9dw1Fge0rNgPBPlbTxhUIs01W0mWO/9dAK2hHzanC4jz/8ebcH1t5fD1Bc5Bw KDFdzZTL/QpQ8WOGBrCP8punjILepEYqVG9mOVp25pPNcjK7DFszXkY5oK8NxWXAkUvlcn77z96R Kq2XOHYCC+UBBeGwEYmnykX/fvB382Y+CcBeWSMnHpRJNeHQqR6VXojNp/PnSBcRNMxnte13Z1pr 9gcOqpc8zWT4Kfkluuzx7TVXfvGgSabKPiWP9TaHZfxxGFJqlizsVCMR5rHW+TyFWx7wT2kDkMDL d3bBy7AXyq9r+QPOeivRZHINZQsIVxDg6jqxoA0AVXW9iCdjLxXSr2QPCxnfI1qPDtBwrXJ/aWaQ dtE8ZCrw7INlsyHFOG3QcVL1bwjKFjuGA1RObHPFy/IeB69pXUBCl9m9BDrB+McJc/UPzz6ohR2h EI/LeGXs6nlEAOEzcxwmZ6C67KrDSIgQK3L95AIEO2wTLY/nPHbI9vK+H3nEXO+RlJaXi6d7D4g+ Vvs2LaIgJ+4RdrBv38OdP/tzdbhPU4kAWDc20TV32eAqXsSl84oTSlttP1S/FaR3MgmdhTvsgW9u bCO26kiR3v1yRHfSjqYypTzKhj6hhyLn5PiRdJs2l1fOM26SKa4DWAy2Szk+seUb6MQM4m8PY0E6 oAxGTd1qq/k+JSWVttKyczKlPeLClC8uFAtfAzbe9Aq/vZh1CExh9atB4iHVLuOhRAUHS8PHyBQ9 /d9vqTLfcrxfZknFqJNpSx4l8MBlFEJ3fqQ+vTk44ozaVVp8pV7rDBsZGqynMShyOmLfxCdbt38Y RMx39VzBw81HP/G8I6Wi1kzLA1Uynj7qNWkQzEBBA2MeEbAk/BvOYKcqRY3dSAfc4yn6+Rsa3zTv bT36EwHfsWhjIPg3QldypWK8nmyJ84MWYR6+gNPF4G+OBokb/cdJau23Y2Np2CdA2lB+PiWEyMZG NIDGQumNMqSvpZvGj2D5DQEgGWeqELFW5FrfdlyixdpQAn+uARjUGm6PXnzXMlu6ish6NyLonmDW OCx90kOz16oSxHk55My1DJjg7NFLfhtO+GYWEgVu4Uh0LngsV2q63gW2DG6J+fUT7Z0aQfcRWEy8 R8jsPr1zR7G1Zum8ZLxxzexuta8fzoqrj24ZVNhEg5Gu03U2nXHNsnVXZBFCmiAKU/fmpyZDSoG9 Mk95H6ymt6aZAex2K+rpewuTb9WixsPi88/c71wDk612foZOXX2cTe8PheZ5gpKm8BmtjLZdWj5l df/twYugHQ2LZde+Se7YynLp7FppnmbL18cRJof+uPC9O0b6S1GxN0jTKZQz8jmXj9fC9Le7ZSIv ibghrusfP/LwJozy8KTxN8PgTB5T1kLuKEwYsyOUeZ7vwaVkz0srQt1YN7gv5gbgLioyyGFdLcd3 7D51OH1zLOzUP/Cq2ELWMxT0rHoV9QjyJYEz1lUOJVQ0epAbj1Z84//CJlcExDlcnEUg6bljZEQp wpQIKn9bjCxDqxubede6mIGIBhtwf9n7fnKnGbyrMsaCbZfJF6lfoogu4uUp7MmBRUFIKf9MteSl 6Y2Qad/6CGEx3E0eWsGTsa0C13X5mk6+d2dD3Ef2bmalxCMADe9pkzyA1zUbOXt/KJhE+COKA5YZ VlQWkQn+fJ4fxs0X4nkK6BTLxo7t7FZBmaA8dfgJ1MbpfQuD+GScLTQO/OpFHIiD6Uu5fuQn+KIz DsOXH+0TTI4eCA+Z0XK6Qiy9cfZVWuDb2RGLLDtw7KrGihK5I7gkZQUFPq2UcjFkKOs67rrrrTuu RkmuwjRpZ89tIirZJHYTiXcdDUuKIuNwZWplEQF3pc4usQFbLdJi+UgyQ+EmDWx2Mv9W88lqAzTM qwM4S61d29W+XYnNIz7SV/nYPUF0D/pAqpPgrTHh0k4lsknhMHsfF+KNIpO03IzcAOmAtv8y0MNw sTm0Mz0Np7n1Snv28LO4+63caNCSd77t/KYM4Sti3TVgYtaFXrCukw7EBkjPA5t3ogin9poY1f8J sQKZAvRx6NTY88MadZYO1WxfY4200CX6mHCBkhzuc27vNdlLJ9KVE9aj8iuFCEeFEMAMNCce9+Uy kioYc+Hf0dmRew849eivl4O0dwSKH2QAt0qPKV3M6y+DsE9WCy9WX5Gznqw3Snu5C0P+EQFctfy5 3UCQ9XWj/vr+p/P5bVZ2fCle9FQjci4ZRO0mRLYF6riW/nCqjUx8oVUX4+hTxSpuneUuhKeYEnXD dzrIsg6wGLAv+BadAP1JBNq4R5I4gBtZL4D+MQUsnOoiEhxusFXyxID6UE3tEJp3hOjoh6Fz72B4 aXYKn/q8WUPEwzpY4TtdFl4SmhT5sOnadp7VlJ4ZiZENJFLCsB6h/VCw9tSkWtaCJonJybw3UAwN T61FJVTmVIrEq5IAo5C/mdQHvK6gaCC9mV3wp2EJTv4txIdBrK2/z/Oc+of15EClFMnQpyb4Qb8J An5r5RBuowz0xOW4iPyx9U3KHTvPBvO4+GKjZSh6vFmieR1hEDSzEyOwmo1KVcrYGdHRJDKIzrm6 T6n6aFf0uIo2ECbYGxIRQmaups8Zcgs6EF2OTJSZRNbG8td77SCOUo5zKZ+V13mJA4vnIOt81rPI MZyXcqCJJwQWmJpgXuYVEbMiqwhvGciVkkkkkxx7MnQqB0FvJVlGCFKUtJP+0j4SdcLerfYj0Cet kA7L5JLlzMmWz0uWJvvD2OOR5ZV3c857ocSprSiixjxlmfy2muHxBMBn/4btpsPWtYaoMzCUbL9d Dr6A6hW5HXl8tGwWWsbSXbzIJSSadAutoUeWwElXB/rPGk3nyTcDfjjaM40WNwBw4eJoF7WjvnM5 Xpu+1OXoeRg7wewzrTx5+BZiaVFDrVSQHDa/blNUnjrcSvW9DWnQ6C8QzfwrLIvpHPnfXUDkFMXW LOnjmp+/AfaOI5fEEmOS800DsvplNWgW5Wz9bWylHVPo1GtV2WO6rqZMo9ORK2zd40zfDufL+FZQ FW2pAzT04LYpWC/LiON6HqHMalIQwvV+bU3ekxHXQwysNTy4HsyRvPqZAMJVoKbLOK30AS9vwcQI AOh1C3PEd4wBNSzNVGvFi17IvhXIJpn9qqO2c3k5ojMMUT/6NtcGw8vfMw/z7kkArEGfAaFidK/p azG372qIOkdsRWSLNCZanVq4eW3UFnMsPX+RE4JUGujD5IG+uh2fQXmE22TL8DDQWceirGv0nSdR ZzU76PPvWztI20YjWWNCbhS8+lf7FnUyZgzHh6IMscAYz2TR5w2q/dEX11Y+K/3+EkwUZdPLLvQt yQQUgl36QRfFB+nT6SPF45/5vx8ovnTiZJwDGa6Mc1Hq22hc9YyAPKOFs/BIio6udfmvP1d7Rq3+ WfxIk+zhu1Mar9h6IaaH3i+HXb+90/8c25greZ0pii4PBVpcDB7J6HW2GqA+Hye1VAlDqpOKd1W4 kbaQXkSjDL+P81nE/p3054VnWVTAKrQIqxnIHzjv2rYgjzVn7A6xpl4Ol4KsDplu6QPFuzR+biZx dUiNgpTZfSCoInZ9kLW97G1gVJ0NepNuCmDqDZsTn7Ud+Bklrt9vr7QHRgHrJm67J2oCBnS/cPOP lJYwsNS7bLycxrZXECP/bJikTJpxYvxmF2b6GtiBS2F61mku09YRPzJXmuW+hK+yQ0eYCF/SWbKl KAbQtKG8fTK0Z1UUfyS4WxPmMeAxLZ1tLdHocw0ccBfAYx6+soEw74pEhImoFhg3FNWwVI+ALUu1 33am4Grl5shhBPCOg94NStc/3QVblRG53CPKPzh3gYGi5upn1i76aPb23YPTNCQPjCO1J8CLpNIw H8wPP8FWcH6c5pXsZ9u8x74dDV/7QebjnH5AryxZLESltaxD7g6oSfnqid2Ij/zsOmLfV4tsZErv DsnUkbJtSH3qNdjuecZzEubY4I0ZOv6gzBsRGp6I583kcr7PZSfdyqBaeFdl1H2rS2rU60VIy6es iekwJRqnUjskANMGl3dXgxLu0mGzan5CsGqxmLNQa5xI1KjiP07ufNFZ4pVgX8dvbsGOQna30kDc fHvoJUnCIOQKlxx0IuQLion7VQXkXgG+aFwKMs0nzN8MJWl6j3zWXDbiSdmAti+V6aaWUBlxaqSO JlVUw77LvU/f9va99RvSQGA4LZXOt5wQf/NzZYUkzbV9c8F0Kdcep8LXkGqMCGNJNqLGApbNhshQ 4kmlS/c5rxIYvT6fsdOZ8TVJFNvIMz6HCFGkNwZIoynPvr6LeRgIb/z/x7w6NAC9FU7B6+Ql/C3X caNlepGin8NDM619BzSPz3Jgg9y8ZiRzd0E7hb+TC2O4Jiv7LgRNX2H4hM62uoQvNrkpsWd9EXcU npqGkzTwseTE+5bUn4LuYU2aVll028Qk9X7QYLV2XoO4X7lnJJ/nZ2Yp74fWdL76GqnHvnin4Q+8 AP4c9gUTc+5TL7Lh065AvuaVaW4/6NEh0U5Dr00eb6hWnsRMiJ4OlS5imdi2VCU7iUwznXCo/k6a guERDQ5jIMtw7MhtdkEuWx+nqj8B5qNkMXPKHvSjZYiU40121ceHDMo7kvp3/Up9NnNAT001eI5D ujfK0+t0qe+v/SpxK7DS8Q0sejnyTQg5oVBBJFH7/5YNd4LYsNSqWBSBtxUzHsXB4sPjeMqbCTol eWxG8q9BOKHaYK7J6WPg6pbhPrOPdZ0EJYJeDaLLSngdawoQgJVm40tGZBWpd8uFUh3+sqk6siy8 syY7VWf+cgoXITkbTrCqwRV/XA2jf/3xrW1JhVU/UcRoVXMifn6XNfoL36gj+EQzBR5r9H4bq6ZK 9n4TrIKDs8jNGhANfnAHJ9fsZjioIZBFzlGl49hDt3tGg2q+AytcagtGPviuOylltMODuvvWAcbC quqLFvP7HhRKTM04CJutLGYaanTvtwxjVETRDiV4hpzeMJm4C77anK+NPUWAFsDiv2RBgDuOEN0g bZGczEViVh0BQ2rOxcDKTYprutAtLbyEgaSPFQvvYmrRDG+/NDqJCsjgP38uhrt2SNo2h7ikk75p 2wQBTVlQ8fhAbNDMiMp6uUyMAFvp4dckyGmW+Zcp6p6CP+BxSy5yzKkLVV0a9cynS2fscE+mphSp pPt+PY3BFY1AeYXIw/VKH8OLpMSW8JJ27vj7uNBZxPnZisIzZ0/vMYQEG9vVJYGxB8FiWhCczi9F 2OJwFHkH9uH2u2ZEfiZliY9Kg6z5goNjVXgnH3RXSowf9fzSk8jt1m3sHP3+jCrV2TdqgXqJqsZT ncaHyTh+GSvnNvogRO2AR3DY1nLYv3x23Y7vUcnTrYW/QShmr4PADK7R+vRaBcnA7OVczjGLZZTK lIgiEVusNmw192Qw1J+D0kHq2vpvfTa2apeWEAnjwRNFmR+3n82uOfCF+cec2qUIFMEyZ37zDCI5 0yEvcHOWxmSabaXX48JWLWHydEPCAM2hrjR++kTfQk8Ds45dT1hBdNLaJKEvvBZ49zy/h2jydkBt x22J5fELtEGAJFiVY3fKJ1sOnzZit80WuChPbu5bzv37n0VCPLRBmhnoku3uPnUyfnxde9CIdZpc /2H20M21yw+wu9hqh+J43usQVkFyrwcGkVq5GmbNoouLNHUu85AnzSn85xxRRFFHIB5VNTzi5uen +GG44UMX/zjbAQPUeZA9DeaXuUn65/9aGdGrxF399KOiaVWG6+9D86ehKcW0NMsI+PCikHF9CFNW u5yHgFwAL+yDEgkoJNx/YjAMcmNZLSRm2hfDnuI8jTVgqz02knP9fmMTIq30Y3a03QlQylKUnRvy vix4q9DYZiuxpZcI2dNqcAE4L5JqyQm4t9uyWwnXciSAvRtZ8sS5op74ogIp+/l4tto/b+LVFFrb wGH71yG/B4fFA1jPRxWLLTooYe2oNhKuwbWDoRE63dsux1TqUBEqyKy/Dqq3aPhV/SHAjAv0QKzk DwGGHbtiILbo0L4ktswlrViL7/s2BKC4iHeIYWjUPSCZXOaasbG7mFRYOLWkB6KqEtoC3e/sXaBq B2A3qDHgE/Wj/Cyv/bsLVBaRK5m4GfRR/balna+Gs0jypqSrCRN/c4UJRqY0BrNhGHjKw192qM1g XBfDBcq4GAUuUwuGraV9IJpC8HQBAmKeQ8g1BdJSw2D/KzDO/eWG0ENeUt9/r3tHhxif/rVAPIbk 6yzlYYG+2sZubnm5BuxL2v0Nts03WQvFsWoze/yS096QbKnvBvDDqgE2DjjeD+GTXVTpK4O2uymx JseBkczfS758t95GWf8gG1BdWbKiiDmCTrNwmyRzwiiiIPW9AeFaPY8nzajMnJSLxksCkcvpjgkP gq9dwtzTGteburB5szyY0WJ/ko83TjA2/fuDNKDrWn7U7Bw5cJEfuwO3q8/ivrPHzxzwQHIfPWEm jbpwpM+1nHn1pvrgISJ+LIZw4aPP151if62vYTGSGE6VbXZyw5+k6iG96YuC55g/cY3o9C/+4ujJ URfhP/Ouez7En5GslDul7tq4C9LJEVxAcneunVq8g2uIaqZvGCldalKb82Nhfsp0fHgiER8CwYxm OqWLyIFE2A0ACDgnu0OQ8t1k97EgcmAYcXm1KobETXuFArpNCf21hRzUcsgOAldPEU95HzeHlpPQ RTOnKershdBguz7ih6+ALkHHl7S98litMk1fL8TMaF2h2FHTkruwrkFOjWPFhBsYtbvpfMlFz/6+ 0F5LN8ns5eWTncKe/HDasmqrGPkuVb5oCn1wX5R/CvCUgovtakPTZg6P8ztdyY8MgB5Wm6+utOwu 7KF9huGzF3NPXbQzPj1Q8vPTDTkM7lz1CS25FYvumt7dXk5XImIEMsXEoB4dNsDORW+DDp0IYPb1 /Du2rBNDUnrdqxiWaFPuDjYWsARjP0n8oFAylb0ckHWespiPgbakWaPNjk6tkd3MOLFTsaVEVtCd bxmmE+Ea7T4eJLccWaxnZqfhDBpqtCeqdg/SmJhBcCWMqHDqWK2c6pDbWQcDa2VqtpiYDhlyEk7j xBra33gx6MFUrqL4yiJ3EPv8p7ocNd8CKUJZX2Hl7krjbTDFnCvWAJ1AGZGSid4iXGv/ydirxg7w xnjnqcdN8p7M1yv9M5921vcSB7MEsaCFiWb/Pfpm/pNo9cjnwLAAtA7+qeIEVW6VperAerbhYOnl L1SFw/2DrtYzMwh0T2QwqTBnOLnebj8pauLceaql0JXzVCZaTLUXHgTrQCyE5LvYRWrxe8sPE2gf v3ucGWWneMPB/ZkhLczNSTU8iGiJmf3sSAIB7hcYzB/XzxqMTZofcy1eSdKHmTbomN6gTGCbqwId aAo588xQ1n2bD39aNvbaBdpYFB37uk9kURyoPtxrr6iMyPEedZK2wGnQ6OC/ZNuvaDtTiRnBAEXc kl4bjinFXORJn95i49wzGqipB+5ncW0qMfhvV97Sm4Tv/SwrLhpw3YJCqct2QC15dPYk9TIejrGW Bp/0psZ0qdGjHOj1wLDA7S2r2tLVEn7ZplWgoAf1CGUJbhweg9lcrNobjPbSXf8kXIo+dvgU6nhc qLCHfd6TSxdy/IAeXer++N9IzqhsVuZum1xIHKFLMgA9b+Z9IvElkY+JaRK2N2lxyFPed8tzV72P RHVcmaJdzyMwVA484JQmUU08JLYeMsiybJSN15Lhrm10Aym8YCuKUMBRgLZGcijdcX39Oy+Uphcn eLxUk5c5Zb0Fye3yzZ2tyze1yS4hkgwGD045cuOfUsq5vAFJFjiyvaywuuMDP9QbD4WAAFHMaZ0j oICF66Jd303vbKb3MkghwNgp+MqIAEZf4rRCbAXmD1PLJ+DIvaSCBjXSFrI92C0flNNmucwo+MNY QS8hx19vCcOkcJE5sayn9LhIJIL6HsYQ46BgMnSAKpwekeSNYCW56v3MHC+9AeinidMvPXAsBhnA 0V6obEJh9VEzjNhAJ+9NFj8XzhkpNMVzT0f/DewuEF8gY1WpcU2j7xxECy7K9GzCyMapPcWZcqlB 4z1+xohb8eT004e6CJgKIG4NcpivXkoK/FIJu5vH9bAhWNEvbdOb1y+kFTZjpdx4l4MlZdmohNH/ 9yyiyC4tk1mMNQhWFmZ4QCCQusPCOS/0eGGTughQaeuSjwmWaNlc21vXHjQBqOD7SSQOhrF+pSKk LeroCfO0yGYFs17BtcOaCI7vHhatBJ0VXDkOxDaUzvtFXuQaeD/+a6RuTuPWl6jxnndYrqokarXJ 5obfa5gWuGyXOz9oKJFrvOefUxIkD+Jn37X6cUUUseXmvtJoTnCIn4OS6QS2c08/Ke0e7h4Ww8gv rSR5japhBIfzBI1flFOGGZr9CDb0mPDWsysaPMB9X0XAAPo+t6MtSYYdBJ6NRl7qULjGbNAop+L0 wTrzJAOIq05C8ysnYXgKdWEIZ+dOHGvZgEXGUscJjv2LEecmW9i0Q44AnvRO+aGGe4zIqeSBtHtM C8brmSMfC+LJx71MFiWBLAdrmLvQ4YoNWmR6ldFgT2OMzQan5MMypoONuleB6haCcjw8I+UWn3c7 Md/xfo0Hg7Vd7rNniOtYVVeZRweTQXIL8wyw9Ka9aSPlc1cIphGH8Xb7556eaqOlUzkPnW9DedUT fXI66KYsVJ8E/i1+BFsspDRFJzSfJV5hoVIfWnM6EKXoqlBnde2K2cShOr2ldnHrg1Tn68drqmmE T5V3HEujodLOOd1ePlAfSVDpkKFVMg4r7LPxUGOEqTdK8joukWXAT8aMHa+EseT894aV3mrq+AgZ rA70KZTGM1MGFZpFrfy+wkYwmNL5YZRnVD6exPYaAjNs/l/M9CSMwp2dhFiNnZhs/NC6/683TnMe JbshMLLXiVeb0H90pEsMoGS1wm/OMtpiGG83PBbhywv7Zwfw/kiLGRPg6dZBqeKGFLLRO3PIisGH lwb+LLW+1B73bHy+q1eVc1KiC01DbYZtxOE5PDX7KHQstUvqDEUT+oGSEBPei2d4JwpzPs95Ix1W XdBt34hcwHN0e8oRK3FZduDWYlKPvMuEWmbBmJAjtBQAdZcGaBE0rSjp12T3cRqk+IWYHemFzQ8Y il++noKTlnl9Uiu33PS69PTjauejJzX/CHOUI6F0elMCTjuzbwYQvaBn4zRNqBSWs3UYGLeHOaBF 0FYfET009W+g8a7Bpsl0enSJHZOAMdTU8+EnatyWQDOzpgOxobaQYjQFcSCddlzzDZsNmyIlJl2B rNANd/XyDGpJbOCODwRxNMFlWVoZIAZT51+5Hvcl9aj9QFNTGRSW6T9ShPsl5acKfSnmlXygXBNI V1/xMcY8n79px08S1xmuEGLcoxGZJf4jvbLtJA+vJE8P8CHPU9inrsRAcuNXTvpr3s6Ehw9L68ut 5m+Gp+M5UdwKFiAsmD9shNSdwmNUwc1jvPsMrnRyp+1RAKGD/930WxJmgjrwzQVR0OnUNT48XnpN XRolgyl8BtMgSLemii7l6wTLits0Ge/1olj+JpWGpZJNz3Fbp7rkDCZ5oyb07JbKUyPH1DXRWYnW VgXl6nzZLNu+Qq0f+WkNv3ufR8brUdNURsDVb9TLpdINL/BLK2P57w1fiG1ix1JR0Yc5nqy8Uuv1 q43bslMjbDUJxOfI29gLW+DEmGC8a0jcMfoGsh3i//F6mog1c3Q1zZ2d+Gdp25+TDjj5vrR4HNRd MrmF/f/W2GcOW5Ek3HvlFWpFUAJZmYa1ijDfXGYAKCEa5D9lCjgAEQZa2+P3ZzbHDbtpI7Lqddk4 CZF67L4L56ZtMQuidaXPrE7E413rN5PpDMvA0TwB9ugszgq8xK67qS+qRurHiUEaG0kc/90+Hnx3 7U1j/bQ4qxDleuXcF2YsRzUcUtp1g0OMZvaHHJKzFB4lJCRqBQCj8hOeiTVIIiqWfS7yltKsOCmO eajc1WB/4b/CZiI9ULI2z98mSaVzuFUY8b11vXR3bkEHP8GxyJ/VxQPrUIRMRwdfz6dN6gbdiw8n DVGQtNqwL4ZYZWGxWKxlA27pMa5uFRkG4kGwQrqsZ9AL+Jg5uvXcy89BSq9METrqKNhkhxezsLUC VH+4qbhWchMZbPtPGXjEBkBNTkZLoSbGXzMKtd7J/q7/l6t+N9ddmcK9Sd+jq27+6V+dEfut5lyD CUWkKdRQQp+/DdUaCHDRm58LhQ3Aw7XdpmcxHSF0dxgAmDcc+ikVVDBEp1fW+6OmomStDf4U5inj RiYq/ISiNlYgKmPwyOav8oqY8V57+GAvO5qmHdiMONpOJNE4Hmlq80QKNsSyCQRUrc4keOIEVpQz nK8f4iof786eJgsKXCzuduPsIb16aoOodfgEuL9MdUjW4Vep/7gMgkIO/6Kp7VO+fQ3NiETaEKEC BvLQ2oyPk3CiZR4bnX+JYpcoX2EMMY6WNTtfXHZp07M5q3ZhqMh0kWmXK1bJzgcVZbqLo69chexf OqJqj4kFOFl2mCYFkABuxfAeIZPnumcIy+vm+QSX4DB3l7qtThz4Jg9hTyeeiHFoiQM+/GhBKjFd /v99OPDKaGtFUhJOfr/wlscmvdCrtQKJvniVfB7KzJZGwjuHNQKnMdoI7dC0pjm/9pIDnm4nqXVw 5DasKJDmFkJWkOmcCkwA0IprFXKkl8PvgBXb11vos5bYWk0e5aesweWunasaLRq8xSarSN8tLOAR zrtAxKzvQ1yoexJvy2Wv+FPbgHpqDbK82BWeZVmYdakDd62zLqN1U8EbaBD5pnJZgsZSC3gbPEga Fjf63Ge4Whm2kLBVoAhq5wTMzkQUXYV/8xMicEi+Qtq8V1hmV6kCg/QQrECB3RvzV49aD2uYS4l2 77555LuPIMgEimSJ8pN9KXZdMSkpO6J91ncBVjulPZGKRXQwlGKvTZYaQPlcfH0cd+eCNKCRx+cU MLyNKkUur8qeC4GxZYAsygeranEltHGpQKaxHB+dIGw18bdWoJrAq9MluMMu2SJt03HMNcjHLUdd lDp+Qxhb+GVpHaFA9ijozTgn8kXbCcKgAhOhi3nFtO1wakXo2lKHbFy3nfMsXbk0W7I1GXRkVHzT LTwCMDMlxKO6AaXy+hLGh0jOhgY3cEihhXT5x0A4v6OaFe7D1/DU7b9O5d6ckD7qenavK3qBRlvF S9lecUUSqlR69VJ2sxJ784KsI4rRjALxKMtwi45q/btio/0B6TAQ1x2COufpix04tYnTB8/gEI1Z UwbVVv4r04m7GIs5Q4+MsujW145O+TGh3X7Tmva8uL/tMXdpEPmv7jKEB91js3+Z+PejdPzJwsNV 0ogXAca6wwkoE3i9G9TJpH0jchcs75yRT6x1FEAq33HGN3ZzwO8oAStVFOEAaYd9nWFozaFP0agA 9bxJQdUvpA6aM97AfjwSVx8MRBJ6ZEvI3WE5DJCcg9n3iml55MHGE1pvzvdX8H3/h9jDVHV6UvAH Wvd10KWu7VIVvIOerg5d8akc+VpsT3SWpfT3EN5tmSmQHSY8BUIfNgIIpJ0JIouWhbfbMb9XeEz4 3xKkG9yN6aGJtpwcjXVk7oRdckkbIwpRnZSdjCG4HYQ1QCJoebxUaUF0vpRG22AsRHb5PQZOoQ7H 2reqodwrWGNR0YNMDa2OAZjveZghbudoIdQAHnzNrP7PebVjnVfBlAO/VSteuAHlOmE99Rnh/49Z 6tH7Ueaf4US5FRYvIWJHf/JEaa46vMpw33Yi+6yO7/uVuuS9Ml2EjWeDrn/L+ifEpFCyR4L3j1Uz sc2+8GKOjuBskX8EM0sbWE77GvY9efvesFZpbUlvQvA0KM4uZ6il09C1CnW81MiNvHDnqEQLPYdr Fdkn599Yn3QqT342GW6nnLt+PtMIecyhPP6YF06IcZzJKkqeQJgeEdM9REfdyyhkrSl/SgKfqSUg haaIVmOUqw12seXAfCsVKG9s5gQKmsa0Z/W/UEt3I0NwhtYqY2x4d/tlOkpHRNBB4kYMyjWtPerj FgOuMLOsiH0/52dEoD32AVFAz/nuyOQKkN3TnhxuNiGy7RcliaPsKyNNAZMLqKmNjgPhOrgr6N1X k9GZ/zmvTukt7C3nNPZzY2s61tWpzuoZAjxxzp6CceppT3QlFqk2KMGSr4vFPdA8HgZHOdqjZxUu NcyewtfgQwjeNkrc0Igg2069PTrvA2fxOAvcxME4+9uzivaXHYLPaXkWyUdZErZ/v+fy/RWTVqZy cjtEWgMuK6vasVCtlMSTqP1S/Vb7W4QX850AyibPb/4r7bFvDAJXaSTEZJssEXHB5Skq6J/mSD6b 5mgjPNd7NWNdo9H871rtxMmJq5rx9toKDNPuRDCfs7x9RsTh0GnkdOckRxHy3EzRH97EcQF8gN0Q 323IupcL5x8CmI2imPMVYNje+lKEg07x/c2k8ePp/luKDf/lRbrEkTYiax2TaJi+AedrP6O8L9OT hAxfsSe2pyhHGBhJRU4g2H31VFqp0rTXsP6w44LAbFbwEFwCg1eCEhm7fdewnQF7SigCS/5Mdtvq k8AwOPado7X6hRypiEBwZTgT6lcrl+l4uj9uuJpAl2L2Z2Ye2RXap6CXRup2Qpmc7YOngmqnVa0H qdf+uCSRsIhGYPHHBdB1CYU/6FMkNAjjmi3P+rn0kDX7oH0GRxetvK/jLt4iUkOxGqsHn71JYsJP rnfyXXU3SGUIoA6M+WIDTy/HFAE2QfPlSPrOG2S8sb4P6bsrlBQOIRpDtphqpV0OonD7zqhZQ6Wx oJTARwYeYHnyjIq3RX81yCItQd6tk+aaCZjf2ctZTJA010aP3pGjsfICSyhtJ4KAU5MJhHUPkU0w N/iOizFXo1UksS+3f1aRYDEqnToo06GYk422TE94Z/M4G2hh8G2kE4Ni3qhMmerkDhxRXhFc787Z nx/1lGPTtL5kLW6UU/hx6cfZmvFPWcYtrwMlsdGQnZw/iT3r36V0mvLFRtXIbg1jhbFWNBt5eMdg qdn4IMZGtkDI7Ey1u1f5NbNdHZld4GF1HjwIm0RNVSeWuET2cpxlYbiTq3DMiT67UNOP4iDkdz1L FwOqElE+f9tTlntXE2qXNKFbo2XjDFtVg9soAWmvdpNsSSUsKQQIHbIzhF7GzxD5AOQR/+S36wZY WggVvkXUQDK7BZ7tceJ2c6TjuBAR23CRSL0FzaF01g9Qs8SmhREC2kNWUJaEl8VIeRmrxbnWgb1K 5XJvkN33Tg1ym9png3tuc6kXipDbFc14/aQGZhaBjxCOO5XtTUUOrdGuVHWWkr0IBoEp//JJxxVd FUJDx937OYtgW72M3tNkXUcMEjPX3RlMCtwRsTIiePw1INC1ed3NQI7BhGYR2eAtQ01pRmqNgpX9 5snCKg+U4AnKjwOFk272STI9jTzeNmPeIpL3/wvq9i28YDPie0LJxlyC8/AOW+STgTtxp4LCW/Qu chLWPZ8IgImze/BxLnXKDIsZtiA7jeWau+VSYsYY47ssMbZsZE5Ot5guPjeC4aX90y2Zl4MM9qYQ naW9VUHcCXaH1MapiVRINbSitLUNIYLVirlL/4GRCMqp7yu5W8bP75iBNFxMPvt1zJMi66KHNCA/ 7bUcDL9roNYH7T+yHRf+KEzgDF6ML4CtrHdJjsHKpzRKvmbcPukv2qJZZNqavP5ULnGtYKNbjPnm E+D5NUQLWFmmxCgRVnUCDZkVEPIRZl5pvj4kbjQnAAAl2M/JBbnEnIc1SGJumKCYGOe5G230wVjX HB9/bCioH8kInsLseFs24mTKvWitMcgy2UUTVH7H/QanjPaq7uiWGo+cpLhXEgEoMWAlt8T0sAD1 idcijh9G4yeGB9+a4AKZ1+EqpZor+afO3f/16AWcEYz3tP/ufEPE5jWJ7CqbJTEhtV1XRtfW+6eD dpGcEwei6KNO1nhJh6YTjr86zThiyu3aecydH+r6bcWYk21i5TypILUVPnwGxFaKsf4PTbSfalyE VpHyBgmMY5a6UVexOCttAhfoMHKKngu610IElgKTwrm4EmiNWaaqb56Cu1+d9nbJBFyKzSsBHgUL 1O7OffubIMg72MT2odvbLDCYvnoXHj9jHY8hhN8af8PvgzRA1n6ui42Wrnsi52jm2kbxIt3qrMoZ UEWZxn5E4SeE45OnRNE9ZV58LJn6KVtKoZb3EM8JhvPvyoMKQowmZvQEP6f4VUmBVYHoh6fEwIUA mB3BqHslDeR8Zs99a3kj5oJROH0DLAAzd7rOZN4UI/43s820DzVko9l5AeIaknc1ch2d+mO8J6tR pERcO8Ip2KPoNHhZSUFV6XttCWoLS2iNGvjFdquOZb6T0zXkPk5DH9FR/JXkfaWLiDg4dw2ulZfq ljLDA1bPAYIK2YjFS6XySwLq+JMUOI9CkwdYFh3YYmLwdGyELs7O1So7NLdxUqY8h3dohkT7kHGD iqOeOLltQrvE1vpxLt0rFi3T4DkWWNc6b/9S0eaLCUxE+aHVWsYCR8MH5RKbAac6398KY6Rd5NqT y1SrlwtZ98rulnja4y69CDZiBTah+Ls7U4JrzDnFdRJ+GSfVTcDoq4FyEEgl9OkRUMyukFuRpH5h SECc7E6EKDxOX325ZFD7UOEZM2jZPGIXWNaUdU4cBqDmRwlCZUJEs7iEwkIkH+i5tJCSv9Cth1eE lCF0p/WRfFtNuyjxxudypEbiecP5wqC3G3M6gyFG27NNHVwRNe3XDjWmQ7lpJD+BxnjwkWZaWEqD cl0YaGAXGo7KwV/Bri6jrfzSdS/695LHVyewiXcg8EPFO6UC+zd16dTRJqXbzCwrQkCIN8c6+ElZ G0I4cumCLTBPZuHSnRWSeznVi7Q3PHGqvBVt/Kaw+PIXNDS7gj5Ezl8/Kn3tzXhFTb+hsQtNbKyn AiblhzH6qb5tlJy3zCQZ7M5zVSdpzTe5WKI4IR0Xe4gkH1x3GbHvtqIddvVcYe+CsuQbZlZyb1Lb taNf9q67beN04Swkr+ucXJQjKR/bxp+jlLEVLaGQX/EFW9B2Lvfjd7dqxT/8FT5IyBzb+6INMX9T b43p9XCmNiLPll4rnmKta4KzEHV+VeAaVtGPqJvFHWVjsG+v6IETclFi27IClmHzOsjPehXJOhV5 qNZdngE3hkwLw1KWXYRIwE4cZP+oII7RrW5mSMAkNAnqk4dblosCOs3V49pypQ2KCeJ7y6B+Ekoq mvx8+In4JliRBPYX901PKrV3sa3/fluPDY1Kvp9C+ZPDVsP73JfnQCQFRu/PDn/cu8oq3hLcngJa 18Pq/XBlxWJBDnWETMaakNMx2cG6KlDkuXFF3rrgiUf22mHaUX9sCXrG1B8bB+Oif4Iy06T9ujcc 3Yo8H+CQz6OL/bETwyvWLW+Fd11MdJFZK1gRvkA8FZ17zW73B1CZoaSHHHNyBRWR5iQyvM6m2o02 o+ByZS8MJIe1WRCfy7fwXIx7zGaWbaKqJ5JsFx+hjuSOvRtr5HoXdRC2Z8QUT85kFUyjbDaRmWh0 PkLSjCPKypI6xvQ9TJIoez7ipAeL3MUlPSBE9RTGS0bFMrwjs/nnbht9WJxhyamgJfcTVRdmRzj2 oEVfHrMRkbaLmORlze+4gdtMBlzqGyoNoiW5E4+Otk0bh9jOqsIn0yn7vD1A9ejWmlE+NXYNN9Yb 4XDlR4C7E1fi0G0AkjQS8Xsjgg1KaawGt0UIznfD4UVttJAeOpibOs66z92SbMnXVv8rpJYwEcy1 Bs01Stw2Z8tOgRpgaO0Vv7w/51ntJ0XMOHsY+/pVJHJRereZMm+fBp6/Y7+ZAn3sq/cYO3Q6NtLV QcuWxG8RAb2pB1gk8H0D2FXiSDEtK7PyzBkTTJDero3nwVPIAb2lH06fjWznCEdqF2LuuzQJTFdz 3cnwqNRuD2sUDWzL9eg7LtNsCXZQtx4OslvOmPlX2KEOblcucjsdFTpfDgArAB94A9+5mgHCHZyh 7Rbd7L3To0DJ9KZUR2frSU6jvnnTVUORhQihFJ7b5mTt0CYeXLh57z6qZHbgVv9PQBZA9FZO5sFj gGQc1y/FD/TYF0N8G67s2K/J9YxOVIPAWCeR1+94c+Wn73XKmkkwaHdUW5DxTvzr3H5vU3/tmhM0 DmugJcLEeca4pa2bR0s95XkWRkkkVr3t0UUyP/3Hd7PDIhwktnNUabJZyL8n2IJkHo+GUeIL3KBm t+9DJ+hjssCWCIGKjaxG0KZFCUO6Bi9zVLg0mZFIt0DOcicT3jcd1zhCQhCgIdqH05IZKDjhe70a Ko+wY7oROk66Cjoamy2NTAHMg61jIQdRbkx9FKsJZxq88Hy1JURIzieIsVVZ9coiZvU21lRH0EQ2 6Vc5XRX/euc0xljQBVM6bVNNsCzNxTu8PZL4EVMMzYkQGJU4WiIQZzorN+5jRGkkF2Dje25am1EG MIQtpv0K25I3l7LVmta8AI4uOcjRxY+HeK/tP8+yYb7Id9if4XFpkKdbuFlV5GEKYwq37msu26SD EMrDY/vKq0m5vc+Sgg1ZJLZMrWe8KMfS++JKDxiyc5i3Ln27pKJH6qlZar0CKb8pl3Whs3/Lv57T NuXCwg4FfbQJZ92iksi21TcJWewsemZGRHkgvVWOleSUTox/UksgExZGZFTWJt1HSxGpKstdSrXZ 1VjSd6nFYjotraEgUlgl45WzBdJm5EzxjdfY8O9NalMvd7zhoquPdQnI7xoMwetC1iRf5o+7IfPK EXSIRY1p1Sshk5jM8+i7IPJjGDszXPdXU5ZT7eZiHOxxy1lrZ6THY9eRyHyyrfgD4Qst5ULSs852 UOFTPz+wXq6sHNAGWPARYAVNoPa2fk3nXUjTohdLTewDYHlW0zAeyUJY/V9K5TQACqlVibzLcPog OJp/WsNhOBE8DEsCcV1jhLz8Wb6ftcOz6S84VC4eDiyceSy6v6YDtsxnfNy8O4Otzjl0iu1SaiOo hqsgJkWBVRjLcCs8bjGRCLW8q1BphKLQDxc4hVgnv5ywbfin/h+PD3v3vDsj1pAZQ19L/CpdfpcL vayHNK5qle5re/muQX2iEwXvDvqBOSvi62o4FODZ6598g8WFsuCQwBRpIeQySlZZHm3aW2eq4Kk+ zpKMklInnb/UIgAqrmkGzNOKv/eUjFh83zcvvkZjFZPJR9HNZCEbKTbx4C2LXTwq0rlCoDf5o4pG gJcnQ8i1j7/AN/gdb5Aq6+rejDe5CKDTHC7chzHaCsuhVCHucv38xSUZOkmnHHWOAhhA59jEjE0r N+7IXF5fkcAVb1FPQklRL1+tC2DjbD9PFChFbUXq2Hul/3k6YFGLh2HUq+5xal1wxJTZcB0UESYu EZcShV0lWuQLambrk9bZnxtLwF97OudxxtMYAIoHLp90rioBYq8n7s+DP1HWxvJ+jbK1Qn9sBVtX eC6OQB5IErlj6ZEuhBjOAQxh0c9DQwtnVGA3ERHi3gs5StwQIUJ6RnySXs3gnmSEDUc8fdERu/Cq 8zQkmet2c+Vpj837WMJ859PFNkGRK2EtcTi5b8cnBmTOcJyQkN8b6CnneuUr679kGmX0i4O0NQiw a7EmsRf6G5eLxAzvjmnoBVXRpfY9U6wbNe+zg4SL9uumFj/e2H8Ql9bbLTAknO1y5TJRmM6wRsNf 3EoO7U3qaldWGVNFwTz0+QNwZCeMpEmeo6+ORkr5+z03x/ysq69MGAsCM4uC7Dn85DQxNftTcZaw fH27jfQqmt5QZBb0As638ImdCrFYmPlCJxGSLaqfnJmJvVYfunPV+DSdLdhpafvOTagqJjniix8a 3buUC3nvAPLB5RiF7QC3umPEx4gaPvP2xli8G1qjyUmwXIO6pDPdB2GhhcUcn8sagMt/VzD4oFOf niH6yc9SwTcXIdYRwmr6jhchjbngKu3bCRaP1sT20arNVZA8hTQLtOXEFdny5/t70HL/wF040tQR dwNZE84XWgW9UIx5dU1tODo0FUksSsJvAvdSN62a2bofUtpvxiSKYzOQcOkWIft8zozXng662BSU TqgEj6e8vWb6wfSepuGLTcm9orkqegjTHUNYuv2KZxgoOymKKLQ/XSVoeG+n2lq1c6ESV938LLWm DkA44XGMVFT2lpSDKtVEI7YndMHqCWxky4mGz0j1ZCOg+qKlkXAZQCp/mX+FA8do3/qjAd/jEKcA X80ItBbr3HHKabzw/orPVQs9UKE3+2ZUXWHlL2foDJ9jDAod9gzxU6sYvV9IWcJoJzF+0FrD/Idh Hwa4Ae0LKsP46zaN+4VWwibCMLTKpmGNFB935vMW3WtZNfIkOiWOeXIVE2vxhaOZmjMu2Ibjb00s JRlj6A9ARjI5x7h5HZk4BYMb/YaRaL3HVtE9VkPCbkub9zrOZyh8E8DrUmNDqCz8c3qEBLDPJk1a hvhjKtdkvMDrs/ktgdO0/bBVg9qANn/uqrNvYAIY5/JB/SsoeNyUlGsziYmh0BcL8nlR0QmXhkYl tzlu1XCiJoWejIT80Hr1LDtUx6AF0SxLwvn9BBO7O35k7OCZfzcMSk9xfNGxBuFEKy6CGrT8x+p/ hF+BIUB+iMK5mtDubSDz2nFUmsoNoCoj6ItpqWGP5FZscS8IV8bR5FZHy8084GviFAyfhf0bQISJ svqK2SLgTvLDKBfM0bYVy6RJuvOalGe05GoNnk83Xo52SGg8aYdtQpLhBfLNOue6I2r1fDBP1azh c67oxaB8H6ZEhkvuMA+xg+dFDC9mMJaLY0a6PQsrjNfrofX56ugsXWytvZxn8XYhzJvd3JekDLrH yMbb9ZKR9ExmT+8zrN/AD4D/tEBdQVs1bIG5/SBvCb4oT+rKkSw7viRNFNzPb9F3/LlvmOgi2BHj 2dTl/imBJXOM2kkva7Nn3MLVFsQR++QqwaSVOSLJCi9UM4UnUu5snvSlLqt+2r8Ndjyn9JQ9YDRp 61JGgXcYxq7Ec8m0WtzeMSnrPK/BLFBtqHoxLFIZoCJTkhyI9FvrCQDJo3y2Yd+/kOB6ZosW+NOe 0a1LeriHYCevIjtWwMNP0iZAotRpTCwc5fVSh23I/uAW8hrMQ1y0N4plTV8hS0iNMjJykzVtpBvC Q5tWDpS6/Gjwq1MgnRpOCx8gAMjkwe23kOYHqBahoZLVaTK3MmlRU+GRE85R+jzLUL6bEoVSTeJq zN2VNdvxRb8L5IU1Pa53K9gxqMqAO1M470tKjwClTZo2F7AzjosSLyjUAefgPtZd0hVuNov+69yD rQ22Kc7n5y1P1mQzBQSVDw1gq8hbUV6zScgxaFhOGbYxKFeqUK3++PSbf/BpPSxWfcIgiWnQo3Os 2sAXanWZt4tgFTziLDrJ3MiqKpPHGeHEzfhepfVLhmQQzAD9lIV2a6zqkmth53rwk4WFxrvn648j dT0M2/4SdG8j2/PnhYb45eGa6aUQ75tsufuop/Vgs7Rij0ETCEBpH5d/P1Z3+374wHzMEC72SRQJ rypqXm5/UDrz9DQQyH0BTRcNkXctnRS3lbLIdTAsKVYN0T+CE13TSoGhCabvejqgdLpKtgJg0sgl lmc3rU3Lbv6LzGVvMy2w4zmV32Q7FAnETJS7ERfApH5Zx1zZfR+ruoCX7H58JyWh+M8hLTftbB/L sTBRFqVrgaGG1J0rE+2phUpiRc6QVwwH8M8kTUhnCuyYMHVbYKXkTR2fIIMdnmJ+UZfOpHD0D6hM w9s/d570m4xVCs12PHXusrQau+pJsoGYNFdnbufhjLUHd9BGi4CHhctngxlgvhTTe6PfGCrHa35Y Ky6uzLlBJRiaaXdeYO8v0vBKq3XulVuKcJh48td1JF3WmxCJOzuKC1GBa+k8hK05MjgVDRJ79am2 kxFNqG5nNGrCv3svb/iaA/hG+eM9rDy36VgljVLeXf537SyrZ75ryl/oD4p8qq0Mffr5ZKWujb3Y 8w3vVNr2dK0XoGOiG0DzukFrXGrRwTRL1Xl5Fxt7ChNvIAbM10pcWrjTXlRpEYFKlsjcUrIckv30 BJiwnvWDBSUJNqOKSKeTd0AqR5b02/yFg4HZs+IZrCtiOjdgNjeIfPu+sXKTqG1snoO7xrlDA66K N96UqbhUWpT4Tya9Dqmbfjk1TwJPYg41n/a0ElNzs8xOwPyUiOOb9DJHSd8FTwA+DJM9cP0/exkH Yrl/w21loh58UnYJP21gENNgDeB45W/QC1+bO1zDbPp5upU4QdlW9QE9G6jNtZzEQHck4nxZxK9x xmnsFDRSqvd30BVm4gh2hVjstyo/D3agp1JFuApNxVx+NjhTZHwCeP2kX4OIXBbDF4I72/NNoMA2 5719mHFhARvcE4WpZ8b41GNFxs0U5roKVRoGMzMulR4zTiGJNTyb+FlqZ/v49qdwpj9+VlJaC33E mdVYxZ+kP6DYfptI8WYC4Ki2i4yn5YLc1L0xGaz7+F9rUwo+YfhNsHI1aJMDmB5aS6oHW1ceulFk nOIToeXASRo8IUDtQll6bq0/aogqryZQBBS0JhkG0f3QEtPCXTNpyXe5U3/pfzEkh32Gu5zqpt9T pQUgFS1OCDMpxWbO53avV4zYB92W1blNiS/6VgA8evaZW+HWTJoT/rTUGFhXw2RSKzvvXG0bgTY8 TItRrZLwE8hSJPTC8vOLt6g0AxscwQUnIqc+sSRaSKf61vlsHwIJUALshqK/+NMeGPFzg5hykedf 0W/Fptg2lOwEHrcvQJBmYE31icCygwyNdrEQ3NUKy9FcqGK5rxvh8uqQSv0bZCUXYDvgKf9kbFvF SruoEnOYy7rS3Cv40SIWclaBdG669XV4hU4/QdeEO+WPA4U9bJnT+f64krSTCqw0B/p8+NlYaLLw +MiWLtrmNR13dqXBBLs4QhHEEZbJMM5qDugIYtU2Y58oG7qqhGeJpMf1pfKiCoTfNEBM+rR4dV6y giY3AjLtnqvBKwrgCXVCswZ5LKxvpmvHOy0lH6LEYrLDBfJnuUkln1O4Fpv/Jqo5zLp13My16iJW frXx/3GxzBQT7qCSKtWEe1CsX/Wt7Ewdelcpk/Reo4QZCmqeL09owDjWiYI+N7wskjT6SaBvkTYm sWNJI1MbHT+DtoXwl3AIRpLBIl+0lNTeurFN10bgVR4yNXyaY9taR6hWj8Y6e9l2zGd7TfY0gmyC jWx2wnO7eYRkZ8LIPEZKc9LWHS7twbOs6/FZSYigKHB9xgIE03cvz6fVtQ7jWhk4Ej/j3SDi/qw3 fYId00NfFXnfwpwbrVfzfVVuVSzcosqpsoZpZbnOLAIZjrTO2EJf8KLyhZEsOYRXIo6cjxbizVtL mPZ1pjbkhpkTw0vJ5ehgDz/aFxDbyewi/X8VUks4mg2BL9u6TdGofH8B51zPyunjoD4rV9ZHtvHk T/T4bIQrnuVDI01dhH9ZbDHhafFxldZVY8+byn4Lo/ZXqIsp41YH64rTFGlYUX4fTIF4iZpqhjOp xQsGe8EcAHoq1VZlkSJHPpiyp/bOV1wuO1qTLH3wQvWoohhr5efQ79zwEeMa+fIFdzF1qaap1SuH I6by4wZum0fBv2mYsHwf6GjyMT3qnmc4vetxx0DFqkaRUzajEunS1QweHRCK2YOlAlSoEeyDcxYt NJCSqa5dtLhPNylVkj+IBm6whLzyFZEYWJ/gDgXEe3myKMcGn+P4zcATQFq0BcvDVkeUHtyZCp/f 0YNxlwBI296F1yFdPmvWJalrj7HTpKMAQBf7DfYM8MM/HscoDZxxEiiqfjRwv2o3JOehnVALBzJC u7f3wnsFKz7t7dXhDMXl5PRZ9U9Vn/7t9tT7OxDT9R5SvBMgMtyh69Ntr5ynVDL2R1X5mOYurTN+ 7Fjbq37O0ofXWj92hB0j5pMaREGt2+uxHpMfZKOeHY4M+30oBZs4cFRmiKMdPO1zFBGsk061Mrtl Dr9z5IAASzvKKh3K4jDMDLA/LKS00eX48NIJBCqej9uvix9osxn7P9/KxHnvItSIngVbepFZLv1l X+qAwXh8q8hNicC2fcLBpiPx3UTsjuhjfah6aoD1V4zXZhJLcZvBFSIxVb1SCt4+x9YTCEvME+91 JuHmIF7eyrzqGcXFMMOcdad6Fv9uHS3nTaaSMWzzhsDpTwmzDBkkA9ukzAvuZP940C1bq+rfAp9v 4w8VGBhVSL6QixIGf4jAr0IF9KGi/cbVa4XPEinRXXAFnEZpUrzBJJB99E1spODLlZoAPzM78X5U o03M1BHOYe+cXOAyKkqhzmagQ4ReBoJapO/8I0rJFDXO2/IKyau6iMwWvOHBXIBPsNvLVW4GUn+d YTkZ6XOxwGawtnBsOHNxOYLW+bsNoImkOeh1tSxZ/7UdY3rAiHiRBf63kyGVl2+3UfevKmqeSSXq NKPrhszERA6udYC6uKWYkPkzIWyao66Vyjf0b5C57g7fof6fxB58I/MFSRONzOESmpNqINzowV2j Vw7VlvRIwwPsB8nwhvMsTgM48otDkLvy40TkD2AwiOLjmWaS53EEpDWIWc/YIi7LfxincSj4qg/Q MY+PatN7QawXNygHYJHKKrk6tKZa22/7sSyD3CfjhBc430nPsvDKJsdeIq1AHOfalwr0XWPYUnXj AcKefpUbl7edYFgjIb5L/oxq3tDkWHluxg2FaxHxtGs0/w8P5qI/4Esv1t8TvYAjtUjIk2T/HvFP M0cfeTl3b2PLTzY+YG9+sVFlfIrlAKeEEzMCIklnLV54S7mkoskLyKeIZr25DGkEL7iVhjlwIE5N kPAjdCAJ7JW6iYPqUzuYSRI2/phN+eR8YZCiB0p0Ro2rzJHpALndlxlP2ubhwWuUMmcZLZAtgr2X fjL57cG8rzcNv0sufiXssCU2vz5Mu/QdvoHsxWLkmL4WfQnPb9YTvZc2FzyLhm8FBMiYmmcoe5LL Z9LkfGmnKnpdSIHs/TFtb/O9UzAmEjkhvhtAJLd8dTItyhaLs9f7PHf3DaLQMkyja7F/olVR+tRJ 3vpBYDi+43lbrnJ8tAM4739xDEcH6xnml7eGc5snxh7QYiQULiwwKhgrK4Tw91Y6bN6oGu4+tZ5x z0UBvVD7kWOkyROHjRY/GVsNklK0oXODPkepcx1rFY7PW4wSwFzCScAI5H5JoAGNyibeFotmeHga YATzbHdvnes2g2pEyuxncZF5XhZ0YGsoUXamvLd73TLzEWR6e1/l59fS22fqjUp0k9g18C4XfdWI sgCscZ6CkTBjhkyRXZ1Fmy7x2cDCRFbFEM6f6xAkqMHyFAxGT+baVjr/B4zwH6+BbSqXhPvMU71b M93Igfm9AvtkcqZJnFnHJnW0DQEWmF1FNET9Gj758usz9zG4ltPn1GSEFNO/tDs7KhSWzq2XBw0O W8J4M2YfeayJDBhsPAY9jfLvdI+5G+/YHYG1neSaEyq0+/5B3SJkZF8EROic/DCD2jfZLdM91SFK aCgG8yHg8pb8X7YUvOoR335YlW8rrH8jGCAGIlRffJG2ihdg9mnGPiGLy6oayqQmAXYI2t2XEcm7 OS2NQ/HPl88Wn8YNGbs/6MFWurMZoGdWrfySFpHnP+6+v4gKBRUPpRMWALD51Ur80djq86h5lWfp eHqAAt33Opw0NIxDbqoZtiXKOJObJtTGmmUWTy0mkjggtLPoFUcNgg4tmXZb2c+GFf2Ud5pRkYGx v3xC2xuuuUKmD+tomOjPekYk9a4f0eDxD0iaDz+CgMTCOJc8iggz5+HJLV7zBEZprHEJu4f0ws+w pvjIFVQHscGlfpex/yRZUjrUAu9d/KsojCB7L6Hxf8YCb1JWL7LK7w5lXLQui26Aew434URhTy4+ YiQ6PvjpT0fJPU172bgyGYVzQFGpIaU5h3nWdigyhdExbfSbZAehFD2apNrI7L9Q5J8nSEVmhQm7 ZdQWzH6a4U1HZzChKdUuHQHPfo36IA1rGoXtAluRwmgjhDkxOe4O+M5xgwH4TiK5oc/k2kPjWbv7 aGvhYvxnuNKqX1al573wZ4TWmprsvzzCiqRk7EmsWARzTgncSql5P5gfvtZbNYeDBak4/4IiZ+Gq pUnQO7+vNhUg10/38QbLATzl4R9F8t5jSngiGLe4KUkKR80OxsufpYnyAFiOPeemrX0ruCWYSTfK 3WlLtOeBXar3nqKwV8rjconptGhsAHTXLNGJU7HK/6fGT9+KcYIuYzhRtg+ReUkGWA6CRefMtbto TsTJ5yzezvSj+2UbPCXi1FuSE5mqHmmeFlPWzO+mFAyxtza9sbqq+5Z68Zi0GPUdLpG5m6I1dL3Y HAnyZhAKeJqh7VFKo/j6LcATPGubVpTXP888dphbbAhBCZIdQFH29wVuSCRhoUDJDY9g1eR9cz7t +dto4BqV10OmhikLv/uyZPRAonC8zWy2Jsc87VOki8U8JGVm+RL+KcN2Zo/kFN6Nf6xzZxTIm8rG 4kaIIjkWyk6xmx7L4Ciw70MQZHhFQ8Dv0egnuKA75BfxWlIntnCSL25QTUD8tFMvuZfS69Hx27Et ELmhkFvQ+0/CtFnrFQTouhkeTKXEEJiAeRR8D5Aq3SuDk1UlcFjDa5u7A981ILp1nxiH9lMx3BuR XE4weLIV5JHTwxYLaaQqLFKB5U3eO3mRAdbcIhFLtK9Rn2ABgs4JZMEceQ9VV/10+IIBmwSYKZeF yojq5xw2qOepzoE5kcOLZQ+rAVIu3BnY9lbkfwoYOyu4rgQk7VCglarKBoc05JL8d+Ip2CFxWJJe QOtTdwWw0x4yscMjIFgqrTfGRHoKB/aVmk3aNTxCFnWvRkW7IuFCTA3v+VNVGU4lyMKC6k1P2pbN vJXVpBMqZiXpYuU1fl5l/1E1tcpjCuULvhPDQnIEfWRlIVS7gv4Qw8JyLpgLqvmhg5Dsa1l8Obwy saVHwc1roXVD6sGQWhDto9cYU5rcvm/G5zWBY9rSCBCod5k25I8Fyu3er51akjXrCdfWuuwp/9mr +MBXaL1scm/54gOPEHunI9jF6JZ49sFRj02FPConz8UMFmVWW0Lj/JTG438N8MABdfkomby/o0BI uvUewx/i9c8rPXO6blhATuzba+mW/URyNAwmeOQIa05w1iOrppoYWWAZYIfgUtCoL3EyfC7iq30T yuj7gad+dxDDLZDPZbixmRXk8wtoN7XNuhQrmHPLQocca62qHsI7rijY1/yapO4L3KBkKXaUNBXA +vTNqoke2bDEqls6X0jhpnlTkSM7x65B9Mv9regMAQOtMF8AnxwiQRHCxR/W4R97GsVyVWPiWks6 RvoKH+B0N+bNW59NR34zdki3/cSuhEmXWlK3SzGsvYtAKi/rmqoFR6jvUMqH4qskVVykoOkI4fD3 XTjUpGnvPy7yLX6NyUAzzvzpA4Ci9RmnypyEnADGmJmeIopEdGG6PjHVmzX3Db5lqu2HPT/54l3z 2pUJsmZPBxcIKYw4WIIehOwJM/NjPmqRyljyHTEjn7aHkiRBUSaakVXUEeBm3OL2tHZI7Snc2FNw RTPHqvNUmkDeC8ZNeXvJ2u7lJdM+Kt7Kd5EmpzqCvu2U8knV3t+TcG1hyPl6Jx7lPbcCE7olu2gY EFQOxGe4J/k62RAVNPpVUUgjdbbXgeTbUr54PSZnMSAv6C2q0xwuFWV70fjliUegs3eU9/zk3b4q v5StOEoRski9RWN6hNlB8kJhIUblEwkdYQC+/U+rtJ0J3hCtCSM2MZL59lqKHu6K0LZfA33rzMfg k3A4PbRLpsTLoqEyt9EhSOgD+K7TjElaeH1fEyqCrKMjJOUP2Yj37dRWnAd+p6E/78e6OMnOBqfl a7hzILC9if8Zq2f5P4HAf2rNWzxAvVqddUNEiSal6tTpr9YS/Gtfkb+uE1qw7lqG9+I2ZG37PPwt MqTDyBqzRIFWXcyw9txZnWxrPltLftZbyptWYXhUlmv+X1520y7QlJNHNLCayw998xS5+jVQDIKi d+pQwYecJ0qM+ic0D8BgSQ3TXd4H1ugM0qzYu5gaHTlPs1C8EaLdCBN2XYag9ijoAnTm52THWK/s HcRxEubwy5p11WXQ9AjyUYJhIy6a3QsS5hu7mCINvVagRJKyhHbXzjVa26zZZDTrcVO43UXmdT/H UWO79Yboz9/DKasLLAQi9Tx3E2y2g1Az4h1dbG3wIRQ6Y7ilq3M/NZmaVLfCVN+WXRbrCvns3y58 iZIHnmas310Svl9OLzSABydvfvWMDyxCk3A3D94XHdBd+ZDu/bbHTI/VVnScn4TM97MaQRnFaFBo K+CB/7fU79SOTae/+/AhoBh1t0Oc0F4ylbGMHuwpYHNO9QeieERDz3SlKPEBf2L3/YHIt7QQrajZ 1pCDfbzGPXgZBTaPZX05n1yQ8Jh+t4EjmEpua+If5Q3u4Pe5fox0wYtPLghagHD7x1edQbEfn/KB ynRwEdHxgeJ0da+bv08M4IRppNmL5NvZ1vAA4pOvlDVrnvTPrLhLfEuCz+EdHk7U0kT6w8bQeUqI gkiaNdtCQc9+U0i+7pwKvU/OELGopBJGpIwjEeI2+R9w4P3BDFYtKxKPPbWnLwRyQF9Qzu7JTyK9 Ee5DN/cUh+qrAf/tSQ+AhZMXmrUjM8lW04B3o5MVA70MaOwhX2zwNHcPLNzd35snqmpNKXDM1hSO Wd2Bj2w4gIWG5N/7mt72dCBreUAAswz9Gmtr7aU8+k4o5ZDa6zYA6hunL1zyLiEcA9VtDuEl3biG 0D2slRjJ92eoOBBhzhVqCaraRmgT1K/lz1CwqEGVG5y5H+dZploymkNTHJ0F/gTLVtc5Yj9/MF/y OeqZhJryoYMrTWXGvndSTqnfcLZYjRt69fz2tTdSa4DPQXlQvGC0P1cUrF3XXURLHWR0Kr9YABc6 bOVqBG87OFRfp+1QCGL9dCq4nJX5kpVTULwOWNMpezixCSReQZjFwCdpg8NiZ7yyC1G0PzAaLdGp 79Px+CbITRgIDmjhlWx5QRDxTkKM4K6TggGLMYQD5gpdYbXedXAUZajuMVJM3ysvqqAjJ5qcgO+6 y5ZWe+3gShExWHb2z65KfO+IzVN/TBOdyz4E4YbJBfUFWsKGnzt5E3Z+srv3+PJQqAM3qvmdIMx2 GwVZtcS6XExgiduf6HVDfs0rtmm8W1LCDSKCYkejT+i5ykvBeXQ+ucqndh6X8SM9DPQKgGhgiiBT XnT0P4907ZJA8+WDwQzyjBvzlAGWL0v+6nEucQqTdI6cleq/qI/oWP5JdDyLV9Vr7/8THYxkc/xm jrf9kj4x8abqLwjmWUNneB3kK7Tufn6SQT0pvdXjEgFzc5BkEnSm3ZoQeFcCF20NcIpFnQYn7cwA e0k0dwZwjb7rGSPi6jsLguW8QlMOqgtYBZucbKiNZmHucu2/GnvGpA3n/yLftC2Z96npZWwWDChG 3YT1JXfCb8CXnfpa/yfpBEI4j/fUt2QxWhQ03MTp4os8B0uUIYIO4EbQsbp+JQL5HlzcbMyAqVs3 NtFsBqOxjzvLQRs5vfeEUUXQtFBrDTvhyfdtvdTl1JmoLFRXRtNY9Sb4Hxqi2L8jolW757XUXGu/ Cf6vIbT7EQssfwaIsChdgnMyVt6/awvjJPQFPlqm4hh7G0EIVUc9gdLPd7Ibtd1If3JxJz9CmEUm 5lvRAkzQyidG9Z1hS3wBMCkiGd+yznsNpkDux1teGpg5+Ry03DFVna/xGPQsMraTy9nplX19lz0N jUrxpjngyDPui81AJuiUldPRvSi9HP479snDTrPLjyTFeAK+ZTbPJgFdaPanLoUM80gNVz9uCFG4 Fv0kMmkT6/EKBUQVRKee+cLzitk1D8dtRF51dmxulYw2eV3d8sV7Z1yww+HWvPgoq7EiXazpYwNb BPNM1QKAhL6lwbuGESm+BNDxsU+bnc3H6q2zoiYpcZI3jf8UgadRM02rv4HYALNnZplMPZsDz+DO LiH2XFXsGRI7YoErlPTkTpfri4n3VN+FpolL1aJBVAFP34ungXw1WQZ+4AmuWdaMHmwpKBm9hwcx AM32zEBBUFvY9YNARqrUKgpsVvF40kD4uIjEfvKxlluxp7VpNwh/G2W5KvDuysCoHNZ0jmVpP2Qs C+wQ++WMzZClxlZ8JrMlmahT2gD5V284aR3MbvCbjRVZ6MLP6lAKmSaMIuSKj4HUVSvkmATl20Q7 iNimMZY+XoaQgdXbNkNlVfuyeojy6G1PfqBy3olTyIYl6vToGfxX53MN12ZZ25GQN/Yp7qBkDOZO u8fsRUcQbMP1WGiLQg3MBRlZZ1deMpUpwLonsH07ia2MS6haXwWYIulMiUfnOayN36Xchl2blbIK fkOLGWNRXMQxCq7atmIPmemBa8EBIGSnJXEHUPQQ1KNvEqR8oKn43AZrmZ4Ca6cAM+vArJqOxHAI ryumrgPvqqXu6aHfN6VA4IrImbQLo8ALisFc64XHqsf08ZZcYxCo+RaRXL6crNamy0poaVzr1tld bwDmnHbXkRU3uUcbKv/PHxgR0+hvSZH8RAUdGBdHja1KvFZgQlTdfWHo8w/8lrhWs3LaZuMSyret 34+UwbLnJ73CISmtsbcAHUklUrEAWg7hiMMuMkNuf1x2ojP4x3QKNite0h+EHbmum00kULScAesY 6eIQz73CFPldH3cV0isAzvdDAP4g96rKPPUWCvt3Y5O7XiN6UrPOfnY5K+kxXUfrCVHa1q/WX4y1 DwdX12YfVE2ulD2b3B6+6ARtFuhcNjhG6dlhOpf4nI3Ouwfor8kniEP7NTyF/450rPcKk/QKMmVb 98dCxN0WC5gM5HgQHdRZ56/dr/QFmDJlcwzpnso/hWCLXinBe0aESTYjb9f86Lm+HLl6VlM8vfHN P8RAtZjZGvc27MaIHrlHVJi92Ba66tjznyoFWCOsHttZo2fxYqo3qXO3lGG0hHyvGImZ+pqCFegn owGcB5Gafotqu+XGJECPmj8LAqVzZSN6izSACSaIYIsEFmnTtPX5rOYRB3PyNlLRv4D/2NR45N0v frHPbamgL7KPHhkeRKBonhQ1P6lZTAwB69I9LASf/6KAkeJvo7QN2k2yQK8YK0/2pXBoSoAtR1GL o+okvnNRtEfEZcs7kDDCP4FP+orSTydcFoTmSkawXxaJaoPfF8e3n9p43GLkA7iZqzGluzmj518p 4KhnAaVWfL1Lwv8lRtpt4ikeUUffA189LemOma1RhNsPWJmZkFLMwLQ6iMiVnhczzgwvDT0+oyuO 8STX3gNgtB8liMhjDfFZcGFITddqqEJtiIRLWCgV05L3YuH6FiOz9cjS+no+b0AxB6UVLya5cEIS mkQxauhTXMfIRSVmDlBgEneC+0Ftbnoo8XhAImcX4TMfDMPHNgGsg4pXa5qQAutcPuql975e4Lps e3yIOdDHOvlkvVDf437UQBh1EU5KDfSt0cUZrcPCsmuJ9seQcmnHD5eNUA+hD8xCtXGc0ZYmaq8s CrdgOZ4qSIER/k6rRxplvbktw96IDOMh4IgkG6d03RdMcmjE/9m2siG/NdfMfvnWC6c9v3hRGI5W XxP3h7oG6DHdRmWA2sUWZoAlBlFXG2MX2Os5bRa+whie7+1KqZBjbcUmHna+ytI0AZ0tg7KuFmrp jSs2rr1aFzyUEQplaFRGCUvfGa+nJ5pjBFbBWyhnJN+ZTjDv/pFum4v57swBii7tmfYL8wL411GQ TzlmNW2EzUEg3HomboJ9Fv7BZBbfE6O0mUR3xzlUGmXOLNNhsYFIRnSZEdktlBFidOPc6cV6FhmH 7wEmN+qKNEbzOJ5KKHztsC6vpi2ATmLJ0+AOkhTQDZlliBqW0OE/aTRgPE4Wf4Hr3+PAKB8SGQdU nwYTb7oq6Ld9zGHdxAzWJCDpMZUCvLLlWUvJz5BSnCoMBVSkxUiXrePyxb3ax+foWMZXiCspl9XA BzdW9vwwBGuYuiohOok70en4iCn6BYrRUlwvDYVVUXShwngzyvNahMi4DYFRvxzDVfTM1UQnW+8W bYNpU0nlqkzDBPYuYIRc4na6ILYczUBYFIs7z2TdbN7obv+OROTGYRAyaBxk85jpEr6X9mRimZwK VytINzWe4w7n9lOCkOtjH1x2eHyvVgRei5hzmoQAjhTHQOZHytP76tTBj3d4CpP8MxiehfP+fN6G t8XFmQbl1/SMpFS1d53vHKmOb8UxYniOx8HqxSo+F9temygoGq2DUEDBJfljWOJJOOWWZaRS5LDE IK8jgXBg4cmVJETHpwcbAtEoOV3i8JBuOaimKcEUKwO7BS2Hex0mAdju6CJ3qa21982hUGoW+MmY iE52u8YRQSyNQzEFO3uyeLcnlCW1xKSZIIBWlNGyg1DxeHvcM1RnnN+vB3MKpBJeReK0CQj01AgF rq9chJfS5VuiWF2fGF0p5RSRD0YDYsdJiLqD5OHMpR+NS/TpqiESTskTo/aV/7zydCk9TCWom9UM c4FcLUvUoCVFhTwXd7e2lDzRoKHdXfKNDWAsHfBMVoxKtlfGDmcgv31HOFEf4UzLSTmtrm6MTnb2 RPCSRQ9MSvfZyNlhNHyUpQg8jHOgx6lOO5csWP1f09EN4aN9aK31ZiJ3o0JBIhU7LbBE+Ci9kARx XEphZutkTeyvW5/HI0O2RGMOw1GGJhWCo87kXg4OokiiNdHYhUs08sBtWy+44jSAxQVKvp0dQKrK hO+krI8kbHKEZC7vqmED8iiDlO18PsD6Mg0b4T2VL6VWRwiPceOcsKj4whlKDVIk+LKdnfTBcluF JHlKj9dBfLclJHCoXvUJvpuKVrKP38ixHlHzDG1mv1ldQ3LOPNDnZYolvgLnXrkCeLOjBYSLe0jZ zSq/+Jh2D14mjHWw0GyRSCqSQ3pW7AH9qQRU8NPvl9GmY3DEgApCSuz9gcEoPZ3w/DkuTu/ZmEt/ 5zeAlI8sxbL6jlFMfVF3T5gel3r56VtLluubUp073yYZXa+/YNrsPjNDmVLQ5rps/jzBEL1OxRjO I5yZUbTFD2zFu+WcsxiaWVARM4LTJa69x4qezoe3eUoxZd1OlrcQJu7TFUzrzy3vrtuMcvmSC/7N Hpc//1jp45lvPx2aCpVZm3ZQ6/Q2a/kuMp+62XVFYPcef+2Qdr7/2ryNwoHZLIrxiD3yucHVsbTz PGnF4NgeolDT8kzyFtSJYjRDntPfZ2k94Ncoa+5zaEZkGbDDywuI8W/Qk2ds3ui3rc9yuzVSMoRj 3TCyN0E/Mc+H2ieWwedyR3aHcXtPfW0eF9s38KC66KkzyQvYNNi6RpuMqjS9wwzHTT+08lNB7F37 1PGb6C8wyTVes9Y347MzWlV/AOkKODajDPyk6XPtNxShRIy0JjPgn8CLFlPpikPZ0EQhKklgB3Pp IZSkVSMbxFcSitIUYvGmv1mK32wlzEtGDqkpGLSZRpYVljOOc8qDk4NgQKRg2/f2KNZ/BHikTstp 0ylm8emav7WauZpzaSmbE3lFgC/+K5++SZSEs9m+Q7fhFg45z++7L1vJn3x8bOiDOU0zabXtczbU IipNT92ouVgVpC3j7I0CDTGLtU1ZM+aUYojp59akJicvJN4PhPXfoAZXj1PeBbfJRFSsGmJLBVRF Oz3K44HXB4LZgCoJJi8hf0n8v07mdFGtV8JrI47LxdjA9VMbJyNte7uaT6tJjrKGXfYW+26MPLeO pAAP6Ozb4ngiehGAot5b3XX4ZVEqwN4f9r8UXVuOc1oaMkyvfMw+j63m44HgNOmdaca6sFPsTRYF gNHGefI+wKCF8CfHY+7Ux9EG8nrCSfYClWc5ZNvuO+iveSmWrZ3ekhcpT3ZC6B/vwyjrA9BY2gWN 2A6yKjZYBOKAMibdPyLet320LBFLcemHnQHMiXCXWhLZ75gH9J3t5YNVzPEuboC2xGxtMISdX4qa dbfBudLnfSErL250DSo49gpnHRKMC83CVSj5ZE3DlpLDBkHUodgvZZ+cLQqxb/KaXoI7PVmyUUjw ig+0tlVNG57V7GOr67HcrbXU/whXA/XO5R3NX02ID3FprkAJXZ/NVnCLEPWkyJbRJMEHOc2bpW8D QIIrUlYR5dHHQ8n0wo4z7pIOmQQ47xvLyHC8e+Tw0hwYSTisMZtHpQshoGryT0IPfYLntBa3n79k PXuaNKOp9YMO7o9MTwkNf4IdU3lrCtwVteVyveWCe8nlSmNU2p7SbitfYR4hp83b3Qz6cQtahq// psfJEYd4uDzOW2wYe7aPaDd1IGX3krV+K/Ia5mcmLqK4Ur8QDC+RrY+tjqU0aNHwNxEFDRqkcxGe XO3FOKzcJpv2XqgsqpIZrxpLkc307jKG53aLQkoIcdw2WPNZyd0bTJUQqrWiwkj5hX0iimSdreQd bRWS+W4cv5t84OFe035hQWl1w+kIilF7YOpelbFkukUOTV1L6bXyQ4z8Oe71V99tyT0xjoYC6kj7 56RbY2uS89pWTpT7tqd54YaGCbLNzdB3vQaQiKfgW5rHjuzhZOcVpPx7EviSE8Sk7kSlKZrM3Lcp ZQjojRzBT/9o6OyNcv1X+RiS+Anv1MMt+/d2ONVZ3YeiCfYEsALoMflgQmzgZhc5aLPVeuBk6BQY aj4o3idqJBK4l6aJFVfJ8CVMsHwfQlJr/85oFxHLJFeUmtWfxFLu4q5vn96in+K7zMyBr1DQCCmy UkxAHLw8qZg0W6fbLfFhKjkahm2OY91u2U6CsCIwKgGJg2EtZympqwrK56KyX/vqZ7ufYRHVf/NW 9woxTF3KaAM/WikdKlmrHZIF6xR6I+2kGv+enicEcSvaDVyqwCbeU50CVQyy59njZeESAEsf61vc 1YBxkZZ3Ihe3xziQwJpeJK9/70mrs6oQKuouigqsV5UfleUuLDgSiSuPv2YiB2uEKl1GA2qSe1Bk oENxJMtG97A3aF/XOlGDQsd2018lhIX+m/KCi6UIS/w/aWoGs2UgVx6XESI1ZD1LG0B+cOSUMuK0 0JB11R62t7GqOiXulG8xjVHEmao4QPD2bGYlXoBS4EkC0PFYrqzCDfEHPwfbGITO+auVVuKTrKMD 8nJiPwi9Fvhaq+fbvj1G3l/wvcJlCrPGJ9DumTF/m+X3w0upWsvVag69n4WUr+lR1ue4MIUB7lk4 O8D48RESxKCfoXpgzn176m5l2JhVzbe48pOSDc2E7Qni/g3YdUpHGwCh56pv5pvBWAb0TkcYaApB n8BoaGyhnYngjFNoFcyttpEK6yc7YDj0Dg4aSqeRuusL7XV4vNyAG0uAkU2Vh0Q6ZQr2r/d4iDs+ 8GzItRdsfQB5fX7gfibcGFQDFdDrCEaSOmuZu8QG/bLLFFyH5GZOsPDFJUndKKRAwC0Yyp7oBk/S j0RRyn3lP6ksVzKHA+hlrdrHMj6Iu0CQhB2CFAG7hptpa7xbVC/+zDRpBJzFQyywuSzHsJlMK50A LMWyp1CP9jP3EmvAnMqpeyEEhDo22pVtWHrHD0tspC7w5Wl9KIHoNrpzGC3jityaiQks9bir0lDL qZxaPdJnziSwFKOI0yp0+DvJWd5pqyEzByJ225fkbn/u6+CWMELegd//jD3V/+nR9XiBuHP8u6bW vrCU8dWsZzyiC40a9ncsyl3xb0DOsg5c8yZWq5yYEWoHJri5emrYP3M15y/8Y9hH6RePCOtqwRBS u2EzdyAh1dQl++ltBSXdS7qeDA0U2ARB5kJ5Y/m9U2hhxCLXFz16mutg9x+av9zMUCjEfWVG6Bkz 1sPrX+Bqr4tKJkqFXfRdQkaQnelqjBtYd41pUUEXow9dop6nF7wF/Clar/92+HlPT8p9y74G7E28 /nqeRVm2OVijbF3xWjeRfVuTWDklb2x4oHPGWFWnA4aJ8fv97OhvYH0+NIJyv2c0PGJuBaU+Ked4 zysV2vr2nehJGibhegNNSOmffswQ8jUlq700bIsLzKRzb2S0v/mrenWHxXPKA+ww8h7KdRsfDbXY Q4IoRsges5WRYd74D8DSs3kSs15yWd+ghjzHAawHY858LpRKcxGyRgUYPSXNNo/pZ7QBik9ql0QO Tz0eADhkYLHsJJDXMa1FasiE/8kZRbea8xvA9Pdz/V9thekddDNdTM38oUDcgnEO2E4UTnhK0bMI QXuPyOxCFVwXBCaz4qG4U4k3jPdVUk7eU69dlSS+8Bsr85FFIg+92jD26Bu5J336srFtU73Op5u/ Iv3UQNM7T5BeuY1gL6ujZQWps3Sg/Nb+S7Y1bfpJeBVdU7ZjIwLHLP1RsWwsSwQRQlBkzKh89RT4 P271zWy4qFzGuDPGnHIVloyO5SidiGhAxpcT/FQMJ0aFI70a6N1/jz1dQMDIPxwwk4TqUzLK8SFC UOxRt0lmaYJRQW8ZaEisX2/hBZo9E3QGicWRSolsdQzvZSBca74KCGJBL/IBLG6EOb68tAIjWKTy 3WdwlOwg/Tak6T0KMpkg84iELuFKq7wtAoygHAzX8x8uL0BEQ1XDs7MQTbes8i7EEOXrpifHoLHT A4CKN3811/TD9xd4w7a/johlFHvOdYUL/RTuJZn+K4sTBl3O1cbrrNw0SsQ59osK99orDErkajBq O0P6QcYnx0/zvbVkypARcinv0w1gcCA+E+L+BTPWzQycvekEMpL2Go0DssFoN6xd+riWo7A7LoAK fwxpWrDpy7iqsYIov8O+ipJqJ8nDo6r66aA1Vh8OMnF5fJB/TfJpd/2RruJGjADEnCKr9o/VcMRV +zsOZCpiLEUALnWPkJYyrvcr07YyOnkg+SfbQ5Z7IaylS3quzbCq16Fiv8Ve1Yi2Q9qtGN76VQYn 124W4KrY+a//wlqV3BHeRkeUroeh7h/4nVY7yT//Q5C9+qIgZYIB1AecAqJfWBVaNiliu9SD3mxR 0bJ7B1beTwUnXMnhJgIYY5eua8BS2k4/kLajLViY4OCAIKJ4QZx0QStaKPPchgfcF0upig4HoN9R umwrfvO9w93OHxhHwiPGmLEGnDFdHIY/0XolGGsVKLGV1CeApkMfTa07n/YeU3UCE/2OxijHGR6c ezacpOAKT2wamFtQdv8bu7mIwxnDySAaVHWwHT4o7jgialJU4XE1OJpIHP3r8sC3NTsOwTJAIugQ FMYOoEzWqaYVB38+zXPY5546O/PD2kA/9ng/ltT1IaE5GukRQWokUSbndO69ASfeURrHCEBxLSsR vBeWVTl3ysmzWY9IpSsUdL0dKZGnmXtff49H4VWvuQ8SS2p4i5oMhOenMUPyvelHflm3Cj2xhnEi 5g+VHRa29bbKZMhLhABRgceAn+VEXoOfoc74ag+GxiC60rTq6tW9oST0BgMwQ6BRI4AVKtZtms+r 7DSy+QVczJ7pCLaN1KVtaIqOBoNHZwRxYWOFQ+/aj6BNR15eBupEqgip8WTu37IHrGdFtzTbHGlt 2bct7I2TZ1Mz8DvvIRlSxlAJGeCRI/XaSoXj7weV5xHymXuqR/UNDs0E7gDOSfet2DjJ381L5bxV 52P+8FU6K6xxzTmzZIM5vmY63fUPs0Xmp7zBbeXWTdJkcXdnvvW5GTGdqUW0aiznHwg+cu/BVps/ bfdYCHYhkHD9c2nnSUEMXxHJzGeSAGkAPtGi//+nPyrBfsa4YITt/XXUOipTT9CY4ZLyfGMYS9gV yKjO78TUnfD9fs9fao8ABFp3J1UJXistwCY8DkVf4VNhX6qbZEpRlM0dBJEifjU/Lbo2E07k8eVK OonOK4jZW1DZnpZ4YUVYa1oE9en3oBpIqsJk8NIX3ySRZWF3nYoAgQkstEnz/F/oc61BNpAOTonD KHh7AInRK4dEfy+5xX6l/MaXD8WNRtVWGT2sIyO25b/4dB39CqVnAqdGhjVlax+USIedTRBN/Mic BQMRXSALn8h28q0ynUBHMF1HOeaKm3C8zgZSCRr9cNZlxXMzJOXnlvG8h5D4h5l5ZzqaBx5LBBwq gubhcXXmvmVgurOOefJ07GWfCiOSDKQ8eOxngZmludBcNrlEJXBkIONp/suyC+RAhQx4+LqDI0mn w4LZMzqVUAuIpDLKHJxjUVidHweTFwIOOj4F2v5oEWtFNSRhqqV02I2gL1cERIUIvHY/U+XbIb1c +DwpgNolOgCjn8ZP7BmDBTYHNnf2mCuHmAAFU/nMd1IsqVk5Y3CLgmnZy9JpHykB9SL/dRiKdlT4 Lfpa4znGzQaMn0/vdXgoJOmbLEEA0m9+3RWykhzLxWfvh/eyP5pRoWiKcFCN0FMSwI+AjTtZ46lc eXiYeFwye8vdmx9PMQoxDOlI39K7ryZy0XWHfwCHYs/KtqpXvp5rnoMWlgPO5cq8zAIlQMR8vBYs Rx1M5nkKjBWDSDl5a5Bw77qF0mksMZwN92caOW/kYUErmdTB5VS6kFQk7h5CCJNdQYA29W5fuvHW V8yc37hRsyYvGs685Z4PcNcidXhbgrkp9fkkSncDMY2n5y1o9TkLFe3TQPrK3hOWrDlri+trCR5+ 8eibbNdr1sM3N5MGfkE5xx981vPns0zE+QTwC/b7v0MNM5F2cMaPhrBrcS9Xs1YuDAtCrnKtvUIL yTDXIkeRwG9CL4f7vVCeyph/XtfmGhkOwKKi4sd3eyj832IoVTTIxOt7HWqn0v43BRtOZfKfH5y2 KTGKNB734wPv3mO5VEoTiEHE7s1mpJyF+LrWoDoGokv6vAOyV060iCZyhY2obQbISFWrQRX07mT+ Er9ynKzFUAYQZyRnJeYUBmXPIhU3vjqzWDSQxrXMp+L/CXOIBS/BJI5XydYQ+ERA2VJg+naSd/60 dNCXtq5385eVgzdzwrcKdDTBXbxXNf8F+VH0u1AbcBFY1/jZ3WwZilWZVjRe4yMjOo3GjRKLdbCY t/GvGpeMDKjNcAv0qhSf77QXXwNaafaq6hiSXqbxcny2X01aCiEJdKnlv28+/vnn941htPyngHA7 vYNqqIF3DQGM5i5gsRSSrUSM4VOWymrxF4xL0TR8vDqJ29fvCSY4+b0ILjF2yMCokpit7rnzmrXT z+N0r6e37PdB65gXiBghQFLWXY242Z7Sn6J/ApgBJCHIsdE032GoKpvl1F3pWJZ/wkMuPkjTAHHb zX4osN+zsKqR2G0AnhGVQNDHx0At1/L1tPaUyWE3btsZu9mHGyivpvIBuYndjR1/awxkUprxP8E1 y7yslZJsNgZ5CSTtOHTLwfhjnv8za1PEB3GGaDxlHJqr2XdNX9QTDU9bMjFtiVccUrqwItKFQb1E 9KiCizgOzNqExIO18ee2KhJnewVSS4TZPv/xOcptlAwlicf9Lrfl9+m95uUHCWzr7EvFxn7O23jt ldXGcgMQE7mELjUiRBeNCsappXBH/lvFKIVyGJb0bmxMziN1CV37oRdPF52ZIofDdOh3LIriSjcO E2KC+iqsnsh1WKBALlrAyTNBJRL4WPdQX7ZA+cmHmIA6s2EQxwOwz5o0Hgl3iw7tLPFt1rGO/KFb OVbjajATQRG8VC/MUHjPXcLlMJC9teOK8oadZXW1yPap+LXE4HuEqMEc/Vz0LP1DxdghhHqcifME t8feQOQpKlvt88JmnenPKt3FAp6HZTknSTHWBO33UjJYar33ir8c9gV2O4v3q5jvMjaNlsBSb3+s bR3xpodGrDpSvaAEkGi4H2y/Y0SaxRELKWFD68KReyIIYJAKooitQaMxWnoHw21g0Ra3GcqGnGPV 4n3u9Je3HN7MD65kfh6da52vt0OocYJqQ9gfGckqOog3VXJsQ1xvrwmsCXlq6Z+Mx1lLrb8k1Ego DobrE1at0fEXDqBh+bvNfVD9bAZB2b+esewc7aqWjhJqa/n/SSn2J9mnQm1WiT2DUS2YZkN2hOTz RFCPu2Yed6opPukmnEMLhj3+Hfygr13sdGbpdvJ3pRDzPAS2+QLaBEamC6bhWoc7iBo3qt199XeQ 8yTzkZrWEACdOF+gXSAbS5j3nMvzPZnc/Iz4WmBH/lkz9QQ9KRBvCigw7e4t8LtB15uq/4cUgy29 NXRtwOfZXruUHyBgHgSlzCD8F/ihaH1Xw1n3+1EGQMKSFk8e4a5Fraf9ej+dglzhBv/9JSzIGvUx qpsMCtYQuTH2VU1bXe1B5DIv0R2L8DwaNerg63VU3PaIaXZmsk3RQoFdz0IPW8HSIWHteSu4OfGJ UZCqvII6ZiJgdsmmoqQ6a3rdHK6hf8bvqOTXOM5KOMR+nGQs5BYvGR+N2dsVhN+XXd7VVG+TUEMg 1/EmqmJTz+SGUB0FnH/CkQg5T5OUzBQNHW6cGq467vIw9jI0utnRijBdusdv/hZBJfipGABClifc 8L6U81Tnpq9tQt7fiCitzc3aW9VYc0NDixuCkDNifC5edcj79yrHgVVmWn/TalWcSfbQOKDpsixR 7JVesEGEdqn+xIkqR+LbhalpXQOItCcqeF1mPxiIE12dMFQvSVwauN/hxBDRdsOzpOkfGg3EBxFm YrN+KyRwrL+hGa+lWjubTY79rpWlgqbsdFUqx7JjsXFmjmNeAGf8rIz8MN477ikjswdHcaozXLaa OGI2EicMdQCrX9c3UiglupfzuknJ9qHkyn0jr2otU3A7MNwx/leOYP4ReahBPp38QLaWcCStzJPz FLjPifh+h2PNfxyp/lNIu/llLKhDnLUlfTtbJVUYxP0fveTLfBWf3C7p59TjHRFyTU1dpOuGP4BU lGErP89Jff+qvjUCarWh6giuVrRy2zs6IgfqHKfdFqT0hDmbmkY+K2L8F9E9D+O5phuTzqNcQ6zC ZQbm+wWhjWJPViFC55/H3ccODQbBfiaqFkiQq1xn9IwaAlubp+BzMf/VKj3lC+hGGU3rKxPYlnAC MsN6TgP7AIZbp/4xDkBKUEJ7MW4u5U/c9fv+/S3kVWa3GiuN9upam5xKmO/nyJM7GkPguVsOfm5c tQppWTJPZLaufq5z+5YjCqEyfo21+QLEGQUXRe3A/f+g7C1avWTiZm3CSBTC0x5B2vLFYOukCCtI wogmhG5kKLUxLq/y+RIRf7/faH+xzwRZlTlIwXY+ZdUzh161EyD/+9eG+VUaVGCE+/I4ma4VnG+F kqtbX8FyJ/XIzA5MwCcBv8bsetYzBh0d+gOSQhAeJprrng7+MeqVQPOjdIKnWKnz61Y63P6ymakv pe5WkfbycAr47/zfxVztOmcBcgN8UcsdamWPn5GOjdepExFB9g11YtY6M1merKWXeMhaZ4SGOufs k6FG6p5DAYyjjRrNCS5QWLVZ1vjVOUptmw6Aaw/2BATsdWUBbnWawT0pjk5g3poN5xRlnHr3wBSP PQw1+sLFXQ0CfYsu1F862bmyMAMgvBkkGAhkuuf/jXtSpZLAQwtdA5IVH8eZMVBeNcFL1pDdWNNo 4Qx7gIJiCmw0DcG0xi2h/H6eVORhdc7mXwDpJaAU95kj7OnmmqO2eRkAfDeMoewN36oaiXpZM1YW n6bj+FkYWLHaSLSEbxoZckIFHr4QD0RFr4hT+r3mqLUzpLRBoLixSiYENodHUhqFw7NikrC0IqSc L4ewOvcP96sySOmxgQHWdlwpSBU/uz6Xh4WdT0nKHDslNMhjMOQfRnAXxSj08E942ZWi1aMvIoyH OVAb3x86gzkwRYzNjM0VlhFDjrCNocJy+AF9t1OL8v2cxA0zqWhxMG9WgiqYiodJYcsDV6n5JHNP OaUrVLz6iDcw9jmfU5qWgFPag5VNBqyKCFFj0bBt5keyS6tWdLdtaSsyfDPZYYyBUGeavAiZ03RM +BU/2F3O6lWZ293bsIsq17xVWMxaPwdaGfBZOBXKPWIkPUlsUzFxf88rlvRm601XYO/SjOYEUObj 93WhpecZViG3WO1kEYtS17bp9HojzvRhsHlJTkuFLJKfuHd2lyyHy0tRXOKht/zV78cpWjM0tPEC 3tgUwVqs585ehBdmNkTHkKNTJLYI8PLC2ngo4hsEqy26DFiip5UsSQn3eFUZ5O0UUvjaNajstWid ip1KRfUDZTo+GYuIiSRcsU1e51t95nnZJbMBAonq6Ax22xccsIgJlK53S0SJHf7eqszIrVENjdUV 31sytmfhgQO5umyqgNbr0eSKEN3aWs365+zDVLy/W1PO4FCkZmouFHz1dqETnxxyL0WBa11yJqQg FmVI1S8TqmyNMuUF9OQ8e22i9VY8L9yXyVN+Tm/eypyQW6+CvF6iwzrWUyTEjyU7VSfTT/zHwEJ4 QPz1uttdlO7Wj/fkFU+tWSytDT8EJm1LQvoLVe42s+4jxiwbOe8CB8wcKpoq4PYVP6OCwBC0AUoC n3UbZTPM/ZubAF2fBeqFAxVa7wbKVUAxKLFRa5NWbq85pHAyTpkI/8ogPpzpMq32+AlGqBNfuCJA w/wanQf1bKUtTWoFQpmmDtqob2TLiJ4E6B4NDwkcNc5ZJp4CoYx9w8c8x7rLWi5LHSA5L5PCHVqo /p0q0eFTTHCSoFf4Wc//DFJ5/GMiY6gGFzN5tKu/5swA89/08BPXuCOdIKv7vu83R5H4iD/s2+/A LCdzH7SMNXZeNG2a4K9OlG0PiPvI9SUSRYHpnFkrcaJcPDOwqdM1Lr33iBYxY8HSVgvD6LdpP0Tf P24DLv213dgN/U7LsQJt2uhck9S1sa/8B5u9Rrxt+Su4MRc2aQWIqx562hHFOCDMedRPIwk+YbS2 uUpNuWwDQ/NCZKQdfT7uk67ij8in9cBfab2KHbFMs30zDBWKIbkVr6HxLzolwtN14pDLpWXes9qo aPgNWEgmuCYjBX7pS2dZC/ruSI6G1PdD1cluC+3iLiED/mkSSYc3UbtEvhopkxZXcFzpzK9R983l R/BliwGO+3bsdpEDQq3Q4tt/sRL06BxHGIcpVr9xxmO2O64u9/rYKd+k4o3PCTuqibVRWsQUyXpI Km81Xcet2xqfs2x70BzUAEPdQbTT2Td7vazKfJBgM3dK56MdSaCblefACoLHQRn8sxYsnmTaqogk VwNy02zjpTd5q3PpZEat34t95bhJnJgf2yXiLYboduhDIvbv5LYH4NnQEmId5ib0pWk0Vye/iYtq kMIjbU4UrZUrGcQHo3Xb344EVDLYA1VDzzMZ9eUXQr7sFaMJ5Lokfgc6Pt7vNZonR4EhiYb2StE+ EkNW5LufutHzYi6pgcK3rRlBHQJQqdK9USffUyz0EF8WT/R6IH1OSbmJNBeTk+jKWuOeLeX+CD5Q 2Rq6Rh9Clt4SCTxTWiZLVP9VQBfOTaY9s6/s4hMKTHY6eGuP2SPu2w0U2txNyVbHpchWoe8DIour De7aT4zyyrFRCAK06LL+7bIixpoMoThgdfCSAnbMI80dWgTPtTx5RkS6t0dbwK4xget8D9JEZvdl bUaC5fPKqEpkUbHZIC4hC3qz0NiCoNuiLD2/clVU6xBus3Cc2pHRMGCe7qgGoXdDArSd+9Z/J0mU EoJHcvVHx66l8/NgtbT807Na54Z8vrYPZh4khHTarNxJoSdIyfldBHdVy+itMaCAdzQ/M3VYFWS1 riro0ZQ1KNwNVwWf01QokuNCAUM7jtjpJ0jL1DCBokP1DlUTHXp6e2Al6w9f8X80cF5ipP+vBJG8 ZJzT3GRkCU4QgsX5L8Sa/pIozOS2G9/gYJiv1hTsN7hnyNRUqggBc/2KeOZ8x93eQWTedFU4whXI sZX0eA2qA6n8fUFpQJVYXnmWMq9Rq58QFrQMyXxJHWSrQuUFdSVmOpX9/Wt0Er8gA/jowzm1vnot eEyG3zd76PUuiox3ZKLwJMM+64l5+a9Y9lAxr/Ucw8Z1fJqYPHsQn9g7tC3MFSsv0CwH7OGWp5eL 4Ja0meVewEPLn2cyYW+hnwqVb0uuvF8wWzrpP+cGM1UBL8oXZsm6BA9FDLn9QjZzuBfcA82OBZbJ H1DpbkWZm634GQM090vYQIU8XMKnjlhFc/GLjy3i+MJcL8MLn6l/It207Dr0JGll7NmgNJn20W99 OlwVGaCnApmB4dIjWueRghm459K3clG0F6CJDx1J3NtvFrobcfZT+WeoYAjL5bvTVHAugnNCariM 1SyDK4c36NqbGvJqhI/H59EcaLGm09tOoUE1B7FtQh3V8/4DarOQKk+s1bgtXVp2Y7YGkTqDgUoU lZpLSDl5kNoZCRcniKffJbifkTfTfwqy+tZUDWZdidgw6bwgX6r9BL9f5FL8SMKEwj3N5Ge/7yAz +8JmZA2/G79F0kVQ5hyPdNTiWP/qz3eaPoeZHB0voI/fV0paDyOe/Z+3jg+qIyhwbhnlzzUKJrCo seAFcHEVuQNmIVWzF2sOV5HOlIdPj7TGROmll0DuZbOXJ1CXCX6dIKuEv51akLgCKnUzRfx8KoIT BJ4Ras3U9WQxB2NkNoUNl5/BiS1+GWr3R5Xx3HoMIjN9VqOSEgbqW3plmIx+rCjQZRNCqm8FY5dW vAtlhf6bAksqXFyDGQZMV1uAaMh0fMR6x/WiyjEfIo+5nM0BKPRM+8V98xdv9xFB0n5UonsLQq2h QUxHSKMtlE/EeGqwUk/vgeOGq6462UtY5AmU9Pt7QfXj9VhZDy9KIE7U3HhzPkOd6OF/xwJuSkl7 1f+03gv8FL31NKP/vnucCLm/AASvon7xPb9jPASecDkKpPyocJQ66AFS972/EcKzKZhdMEpNdylO X8gGY8LY8iINHFJMD7wcrozOnP1umkEm+wy8NRIOpZRgJES8t2Gpts+qwt3GTKAwP7Bo7EqJV+sJ LQWoQQ0Fu7fnve6usJ6J3XyqFDNTW91sWZCnVmyeogeXatcWFxT0GUfrrXcZUa3rhTp2+AB7XGT4 mf/rXMf/Mv+33UpjPpQ6E5SVhwQZlS1CTMr81er519yqUqTVbRnxbx6maEdWF5gK4W9uNJd37zme Vm8vUKi6bfisLx0gNo2l4p79lX/nPSLokEoUfnCciSS6vVjTcijUbfpBlkJu02taZjUI8e/VUij7 d8UqveJsnANLTk852Cz5+n433nKnUKmoXU6Uq/pOOwwqnK6vuZtuVo15DE00gNKfagxoDhvL8xvL zCRKsQuKYPBjrlg5wSDGjh2DKtYSjsoU1gOVmL/qPVqLew2h/cHsJoft6ZCkil/r22R5NSbIJ62l ruoCaJ8Uez21LiRlPktn257aAun4JcJpEkJlUFUiIlXWUZ6x746FetE7mTY5FHVKo+XpfpOmdHzg +y99UrJnOTn2no48RZGC1IM4+BbX42u2o63SMPhAhUOw3IGsYtRoN1iCG1rXT9kd7G4BTVUmJJcr a3m3yGuCT/Ez1/pC5xkyaqQ5qF8nLiZ+QB4gdUMm41ks1CCI83VVndXMPkv4GD+zRN+wDAzYxZdM a8gov9n2dIn084caGngWDSMoch9PRui48gciIowhPRD5DTwMyi1kBAfk3WXna4ReoSw240ZEz5Iu GwOsCxLC4zJVOAV/5rnjSlW78CC6Eu5em6hOtyzPLRUJAd2RH62EKp2BiAPA1XE+rq4kzXbo4FZj LoNEeKQ7oFDL1rpD5MK0tR/97isr6Y7PgZ4BgbDOqnTYnN+gpsc1ufcPIa1k99RDCfEjnTGs+erl b2T02JCrhtbQ+ryxnBWCSdNwSO/C1XL4bQ8W2me1tMX82MJUpbK9ArCnLXW9kDc6AlEmWmfHiYQY 5U8BQNwbQfslU/kCuM7mC6T0v9loHEFZaSMpEuOwihqnoQKH/dSSgnbMvtyR61o5Vo+gHuGENeIp A29m5H3ToW3s6r+z60/YrKd+LaLWRKo8lllb79h2NIn5k2KH663h73vZzuIbD920Vs61SoDUgxvi n0JNxDUHOBF6wYwM0ZEBQOYyRjE4KOIxg6RsNnJqyD7AqNi3vTawYrQuID8XHtVBC3PI99BZ4EPx tmxQxc5KmMH8WBEax0DKwCvI1P/mv9s6jjCNVF8Ny825oMx9n/eCBQG3BH4sMwt6zTrnUc3KC7ya JewdMZ92OPrd7lOaNKkuxpzlHxP5Op/9jGiX7KQwqar9hZBUqv/hqhfptroQnHBlFh9kE9pBtrq5 4LU7NWqCclrSMc5GKqVAJW4eEqLVKES04ht2XDAdeMRcb2UITz3jnhPPN0Yj8lLyhtCwL/LXm0sf 9N21Siu9MvnSWEW9Cc2kRguD+KV1mxIDCAazhRLVXdYlbezjwE/VNEoXQdkYFaz1/qtEzMTF7QrY zlRSaq+rbPEnynVNDNFu1gZQAfH98VxlMrG5z1Br7yFPT7gTfuEhMm8BuDh3mXliYN/sgw2GHHmM 4Vh378s5Bu1RMTk47mpNWDdoSi6tRWZAbqj+WxAYDGvIeNMD4j0qPCWOtziBzplQw8fglZKiTJHc MlpXmOn46eYMBznYoaFGhNGHpnZlJbvogY1oLzSPfBWCtO0jagYyuoch0lMROqZP7A05IVfU59AF jCbfUyV7K6n8KSM/vzCosqx/LGZIyrFgwLB4oKq1Nw9CKUSGq21hMl7C6c+wXdREE9SdKOHYSnfS llxEsXU24H1eLcHG6LuzZlqyELfYBJ41Sr5Udk1FPGA2Adr2Ki0AokIjK/AbLjhzhNVX5gbu4ZIK 0xDVkSMBE4+7fxAy/CwIQBRBlV8nUxwiwM/w/xDjAzixvU5ur0NrjxeW8dpBFjbIM2unfL8d4pHA Q2tfs9eUmiXnCQMUIQy4K8LGhyrkYJK/uLbY1Y4AeV62dLPld+98UtwHnTSsO4PuGMtBYxNFdKFd Sm60AYuLIMc/rdB0GTJJesJ184eeZw2SKxBYolf8ukuUe19jBoe0SMq8qdAANRfzo42/DBRiAAaS xizzFvPcW0zruGlZA5MHme/4KGORxEtDBjy/4mMa+HHQj6tSq/ON+trjPCplB02Ekg1CPKGeqQ7f Nbtkc8Ox1+iY/R26aMLNcPj5BhbdcrcW6BFPTQ/DApmnj2NC6OYHtSMGhBXwHmgbBC21bKV5T455 3Y9HapUb/R3RTAwPuyEn/CtISJxs45DvmmETJSlhor/AEXxGJIMr3302EEo0Y47UW+NVcwgNxVHe 7KWGJOT9gDYZcbLkjKq3KScA1OR5+tVEXMbzAoTc1U7crUBQLYjX88F3P/Y4HH0dJUcXozw7Pc3V s9Ip0YTRCNbsvIEyMiOWZ+V/hSdYmZTQqV/JvuqOn8hR4xGIRjDBbTOscgDhooBxHrgzEfchPQV7 X+cO8nmY1AQrBhTgBSqmnj5/boPCIxSj3KCLMeubjK52VV2b+5BN2YQPhQSYokEJNxGcVm3mc1jE 2L96JgBynI5KGgo/hINMnAt2dZlJ8mLhhNdjwQQncWpwNO2MFYyX4YqXi3uD9MnOs8ODnucS7+Qf YA/bF93Ymem2Exv0cmfExT4mBpBJuyA1V32ajasS/xIA9LGL5kK1uFgeKvJqVwGrBK+ac/YX0kC3 PbRx0dWKX2sB6JMqt3FKteV2PXJRZL7vMkTMu8zGkJGUTDiUwIDOainBHu20oz0ZaoRZk+iigccQ XulLamrQPir+j22VfmwreNIVkCHh1FLTmZQokVSKVPJj2zjvOb3K4VBQ9Ag37NJXGKh3Vc/WkdTk iaYs5Y5yILiPRV1vF2QxyjF5xJ4WTEFWW0KcYc/eQNgC3mcDgu8Lw3hJppZDFCPGuvIeBBllMQzf WJeeJC1w5BvsBpTZGFU4PFaxdB1YP0ejm0++BE9RDZ8QhqageeY38ReY+5Pg14otgYUMWAFax2BU 3iNU+xFfT8Q3VEu4UUNPYsP63A0y3SYFtvg8gYZvDsVDtctzdrV0/NJCWDOxAwluMIQ7NqJalWiM OOI+eAddWr2BIhgkUXTvTVpVanb1EMzMci+8SlYPXn1Beq9S5apnYtBV8igyche8jXKlFzUgX5vG Tj+qhoDQRKDkQKu2QCdhd4FUof7MtJuCx0o0dYjA02lf7qF+a9ded96AHjvN6FjiTt/0a6jZZW8v UcI8r8W7INAfuq3mSQR8ErZJzapdIsy1m/C7Imm3R3cpsRaRAv3zdhhweK+EGj4V7w3WFXg3G21H dJC4L56apTivYiBWj/1aLQfDVZ+t9o6CbBAyY1HWDGsKQBfPGTwwUfWQHowxCT45SPSCCX0Tcygf Ut2NnBanlcN9g/OsCZmM6a3w3VrazWcjpWcK5Z+fDZpsovPUi8uSxUj8Km85kqsfI97PYtXyH9CU ZSim7Z+wuivbAqBMD7s7dfm6+g00uE9XcLYjRB5QCACb4mJULBEfsqJi8YXIbJsjCv6bG/YlvDo+ usggfil0ufR30FL6XW1avVOU7i25G/in31vdERSL/iZryZWcsT8F3mIZ9cRi6B16sXG2acoSsonD Ph3k9Q/VBf8e3xJF1g+RVWE0sqvnLbDm7rZzgBllcYpSwOz5ICDUBeIIvBJ6R9GgXFql6Dc6170f alzUj8D+rVV1K6i5v8xp/3tBrj6zv04ZvGuM9R5vCUCNXEwQspk+0IfbzaovUcFaFGST0v7Wiga+ TOj+WY+6c9g43lB4b34jlHC1ZB5s1x7E0XfC7cDr/Q6I32OYPAq8ceYaBk+Y0Fk6xdaWiMh5+R9N OpLsSwsL9FVxJFaGGRO5bcdBIjqNuhXCEaYCRDBWifZvwJyQrA+pXZ29u1HDPQ77I2JZjWXJt2Vm C+UK2ycPTQ3W2/mjCltMrh5AN+eaZomWFa7RkjbxMaQESMhhj/7QhHhDcdws/ouAIpY0DPzazGgv 5+Stppthy8biH5eJJEDguD+vnFF+Dtfp3m/7TXnX3z+GqWghUQmPgQv6uIFw7oryEiuLwUGU7BqD yu7Js4t2/6y8UvboAO1zGzCV2qYS7Hh5UqZMv4HCjloUJOfZLhhEzNckuxT2SHuPlXyH5RIvm204 gTf/puoDrOu5EWUqYQ7IU+LZo+GW/myJGnXvIIxpklfakTeWM+pZeSPs5IqeB5pXS+e/KKr6FMWK 63jv/aPsXCuA8nZrwtLveNHhH9Rb2G3i8gHoYQU+hEZfgqxH6AhMDm5fe0EcZk4J4l0/19WRJGMq QhkFwhXGozFufJcJMDOFPLK9FBf/G0Jin5Fn7YVGPF5KO7w8m9ACeFvoPMPxmjWO0JpX1kFkPKTT zqUBudmGPrcauoM/u+cThlB2cjT93Lzlj//PT8Vj9DVtdhtkIsRzAQ9O6WBNMj5ZBVPNrjx6ms7f 6xbKbHiZe/+S+UyyZNxVysMCG2rPL+MJzuZdGiNEkZQ4tshiB+kx/Fm6OjV1Kc/IWFte47BmEj0W NPOnNjwnT7hXrwgDWe/IHt/FNBx33zqhyhvgRGAo8SJ7iQStx3Avo3PVYw7Ues43PSkaD03Dw+Sa deQ+1OAeLeGPqTIXxCaC4MdTgI+G0osMzCllAmI8YhL8CzrsONpzctFi5BD/yEZTuEPQWCqvlJGx sI4ji/P4oDPneGAWba3h+Nn44GuoR2Cbi/bbG3gwwUR4NKBclfeykB8TeBdPBNiz3duANDGVewLl Y7IwrpFAibzWWLPKBbBu887C9dJ7mlmH0tu1xnJDEG+AbLZpXz+RNSby+fZZKviw6TcV2LGKwVzr slo8ilsyYD5/j3IFV3QirRINAtrkCMRNM/z3Rrhzhrct2XCTitZd6U1VmNA3E2TqYvv+fP2FG0PE wEgpg6gpu5jpmMON5ZkiDmIEWGDxTNaqoO7gzBV2Wl4T9FIJwTer/9GlAYzEue0sc8ia5O9qZPNI SaH5G8d8/JuNWGvrK/HoTS56eQvmAj6ERpSUJhHzXXtF8/V3lGWMPnQbDNoZ04nSzNzyxpbcj4hW P/0J0yMm7QexTjIwfI5+pE/0Dy84MGLu5wHxuWGQKAUHMS/X1d6fhf4tVl7YxKYf7mj77e+RhkZm HGO5lxfbIgPgZFfORGqdGGkpXLsobdNowutoR7Z3/5TGdn7WA785yX5cE6WkuF19Ty7tIjRrp3L3 W9CRtQSxYPQAlO71EWZGO5QvfC+YmpgNobwibn4KpD4oLIEvF0LSo7TJicxEqIz+u4C4Rardsptv fx0MobVSuhDdXfRjkGSBWSQxPMgmxpL+iGY2ILI8DHXsMoCeocFOv6uFDNzV+K8Hzsx18/LNSWMP gj4kXdT0tvUOCNgc5i3NFEh0B80fqEQcu+iIV12tUHsNCepkAr9B1CIwLXK09guc92XVGGc9DVbx RYUwECHBtXjLwRrSvbmZ+SGpmM+UDvYsUcqX8nto6dQz6ctVWfnYMxJJ6BE3IjHNqCRIHzjkAacB VCvLop4a8qVchyiitRzqOyGNDO6Omhqr/6BDNm0kv3efi0WGrlEPASObByyuDcyU4olnfq3idUF8 TuZGE7I8D2ZamUtCImHVKZ0H1qTVj3beDX9Ii7NX5O7W2QYUfa8n7+9kcgW0/QucHC2yum9wjR+I znfpLC9RkJWeBe+XYrf0oO3PDccbrkvUIH/1DN7EZwrFp6PXnfPCWCpf0LtwQshaigaR8+10bWZa MyTXAoP27EGdj4c6pVBJjAAt5ou3S5Kj1jQLr2AIDKH85qTJjXDXGzNugZlkDLU9uZp4L7h51t0v IAv4ox3q3uJCBbhGGL9zg4ucsNc2XKbYCyKVAtpHGN8tqbJfD8LQ7MF0ZjnqhjzrSrSZBx/uXQBy isvrTVWGPMYSQlEKE+e2FZWdBNs82XokI5/3r0u3wK3uAdKx92Hh5cmrBhTa3A6Mk1wXjZlUxxNI bEzxOxePsJawrswulQnj115+YrrC1T/zns78nckHACJiNipBGiIHnKRTfiXwmox2Xfq6jBcdykE5 Zlg33sMbWkuMUPwQssTUJ0IBUfN5fQ+SwZBVLxFPp9ZQ0mO2Q5qc+etvnjCD/7P+h9sZCBLIj122 rUbVcoJBImj4CJCr5CNeuRB/qr1i8xYpUaDuxWmKM65gwnZ06Xt5kvjgH9sWBPnLQXEJITIEqkIj Yz58ZyOZxIsOEaggKdFZ7EQVu5r9LU/yzInyiSojfRL7VcCXQeK5dMe+qvS6DBUe1n9Pu8Ioevo3 zZmk6VZKUM6Qm38N8yjMxDkfgo4LN7z+46Fk0zFpIpQELsybc7D2DyDNu0098XZGLddW1w7ezthk F6nOCC2irAIzFfKCZpO3SUUbl/CNwptNflAwYcv+fh2Di+sXDDm5q4HnU/ywklWeDcsFW6eQ05bC DLnt7vmbZMhryyFrSRBztiAP5ADZN6OttDpxziVs938uTYGzd2Y3+o87wpUG/q6GMBS9orzPYqr6 BKcjqh3OwiUUeHwMnGEw77gmUU9fd7olteVF29MX30w2qWuyPcxTB63Y7nZ8clsKdoBYHTMxHj76 ttmVe69uvT35JTX3MtuetfF2jPzlm/PL/MGsGneH2xwlBNpHezuf+lO/adFdJXjbJNFytRu+qb92 csjaOw8CQrtRFP5Trq/bVDFcY9zAz50AADJfILHNoej2U0FcnHL1KRPt7C+//oEvdvCZlNcCUMBw 58ExOTQ+RiQOz9TFYfPoVbhNHtqUjjv1kwAsnpt+gqOm/HueE7dUGtwUNCouQV9SwqwICartT5dh +3FIXuapxwITBz4ePUHa2+Zlk0BLABBFV3lLB8n2q93k11JvHYbrQAp3ORe59HhWg4ILhCpolYhw D0XZMAHFQ2L/lV3bXsFA4zRbfdJgT0Qhve9vDau35EL2pq+KkvuvE/ffoeaNXGKKuQ3ugeAZEEAq DZzHTHPstdmVrjCRVgG0qKhHjPb18iELxJlwQWljtJam4qkYYKV6pPc0VycBnZiUhALBkFoWVa5J 0n7dxeFHpyLrye4dAuwvQ3hSI5DMMO29L29PphiZmiUMGMeCMBmZOEiinvCV7SK0ENqQU3dEBTaO XIwFh7JmrtGaxXZGVQxaGO0y7HpM4rjKu92Rvmk7XGNHHciQbpplungHwxYfII5pk3RkaHhdlo9h ZEQT9ITlCFBu5P7o2b39DVEeByUL1InpdtL2+0gnm8trY6rlx5qgC4SAy+GI0w445RKc+rp8GwNG sVLpPKd9zu6FNdOB/7JQsVRb+rfFEaOCReBLlvi+0RW9iZap0acm6wzNME/F8qUix2uZOsnBMBr2 9L9/Gcjx6m5Aaz/3yfOMg8CjFMXjh4PEYjiivQzYNXYaceH3/NnDnNN8V6wqHUpm0mVcIDAj78pR 3S3pSt8PkSzY/6he5J7nPbT9DscuBECsqPhbdQwF47z7Ps9WnwEkshdKKRSIgNHwUit6V+fdq+gV uIMzkKXwRpLsEl4mZ+iBq1hoifk9T3rsIC42TkVNXWU5Hv1p6rtuCUp1nr3CFMy1HLvx25er7tg0 TJsn+/Lb2TL+rIUNbq6JcvKh6SgKIv4mPvG8fp0fWICKo0lP/rGh+AjG0AZPOov4/gkEbTaIdZWa gNb9cMes8sqsGJvRbbS83ramy1LuwU+RVaq88pu+ctRpj8vCSbr8F33CEe58NoBcCPZPx9+UF1dV 40Nl7Wwvxp4dzMmuf+ek5uz5003HKvS92wh5tpz++wZ3E1NgU3yp5Ug9MRsfWfvYdLWmtUW8n6mk HDqEfE1KQnohxrhVo3013HCaeL7krPxRVFS4n0Nopmsq5B0zPapNbb8ruEhFGH4e0QeK9OYDCJfC j5yu8OYDXnkjlPIm6sHUzfMEEi+xPbpjZwmxCYGn+cBChptcNdUjbaQywR3GYOyYCQjtYVAiOtWo +vuOwS80NWpCjCzTzUld8+A2JAc+bFWZU1mUicilqKMXlj0v3o2v3rly0kXLSmLLeh7936Yw+Fac x2zJ1uvHRBACavlulwhpPh9zdb2XJrtynomQVe4QKuF0noNCZ8qEZ9T9nel6SZ+V8nK2LvZCqNmR ZQVjxQP5bwYjVaUROvc7wLn8svPjHlo8bxtVRtEUPdFpbX7hQCjOPMib3nrbgWu/ysAnYPgAsEgD VjCGf90B6crozVq908E40PNuNEiVd5ziBIFA3QEdteEJx67MTG7TPNIIcGbzkwhdqxW5ZKV7Fsxr BgWwKrLy4wJLzRgfRe85t1nQPCwaLW4nH5ZMLq+fyKS5hTh/E1rAuHJ4xQIU64HrXSywAxEb9Rdd gBwJRCMmoCCJbgVdDuKJGqldrXia6HsP8WOXBrgqySubaxTZdPVd182jbwLi9TBd86SZZprycoE6 ZUXzZhi0Sxl/+DzPmKNVOZtqymXElqWvUwwFYnrwT7F9XB1X2dnFnIm/yFm5GAqgUl15LPwnNm+r NxiVqzt7V3cSdAvdbC5W9UvtYovok8XSbapiTOvL5/00FjO+lupRyvkB/E5df2iyfH8kJCvfsmqZ GXRXpn44VqT2HXtpaolrhvhz0Hb66sfD/gdX9vEL4yKrXtUwgKofvPxDH5J+y8eRHOYymGFtubwU osTK0/sZVWnP74gWUbn7IKGAYAkKts5Y1HyRB41fGq8vyglCa6/D9IldNKAiIjzHcrTg1yMOKSws y2/FVxFqkV3xKZxmyBZxfiNDzvcNhqrV3+LeeuKntYEcwjBpytnK2RZGhJw4JrBDFpAIhOF+S5vF eOM59E212U/herqgOOvYtWR3ge1sqh/zglG11nEuOL9kBd68ANfTtWHSO4WQk9fQh/smO0vTy7XC GMOGG9Sb0K2lkqZqZzX5LEwsi2cI8SyPSVcM6Ng3BJg4Ayk+CnzmaTvUwPLGqEV+opL2VQVYKRCs JahnOVm9hpthD6Lkp/Xi9c6z30USx3nFCcZ+yv7MoqDY0bF4wuag+Ky/wwAarssI513xph1wzz09 dYTFvQ1uCirHfuuO6HlywlpF+cR5KDG3vMUUPqGX+7Y8mWpHervg9Cw3J9ZtVJ4nvc3V0gfunsiG eDP1y6SOZdZbRe4nbmYFwg3d5lj3UfvfS1LClc2nseWKjpJfAQbkbUe0CcL83H5jH0GanZqbWj0d Y24ISJS3Rf0hJR0ZQir92zxyUI7N3cX2Uv7AJxLOebRSFpwVrKmoWfgHSBFDlOi7FlsfVuFJxkCU fqIMPZ7YxjlAsaHI63B+5F6WL6Wk2qYvNzlm0diW6m4H3D9187uX3k9SWeMkhwdaUkACF+Qm0vww KcnVHr5ri+72rVGAd+U4ki7OsfmtKKij120Xn/LsnPRzSMrL0WChPGLurZdpjSpQLuXrdvwESLkm wbTOugGu3sVrHyuDRoi9kJPRf619NvxdtYkt4oZzDvJjmUVp33YqPm29Rd5nHOsPr3A5zJiVIDp8 6pbYjiSKeKiezsdnagdihOJx6ER1BUrCAIB91uuQzT1DVcjH7sSeRYJZngar5QJCWcMxxIJ7gg/C GQCwOGaIM8J6Qwl6wCaQQRYdQTHng3fZ0/P5ynpBbRcmLMdLU0xGbvFDLzgddnBIOof0hEWojWKs rfBPCt6RrA9pJ3uZhwxWHnmH0g8xnlXXU4EicnhYhtwEbMeWt9rHshm7Zwe/dULGXX0rWMPDCctD h10H2mIummh0c2Q7y9AWXtTpsOTc57D9UAgxtTXa60rZaxkdrVLQ67sGuwwygHF1xOGaknkmBcOU LcTET7hz0Ut/+RWrrWY9p+9u8laUxInT8oe3oplsFyqkkiwtdJCd9wayvZur3sL4bPmAHfNli/+5 h8M5VbPDUT2CDKJI0dWc1Ge6nYMHp0Lq6/viFSunRZJyC0gAoqRBwsiW8dFMR23wh5m4BHCDfeML wE+eCrNfurrzWW6Jgd/W+/eHd2PkLjoGiBazGfHNGoDlDPelexECuRjqp/FK0jc6PBfUPpmhbcSA XXkNW5OpCL/RP0zsZKYqWYWhnCVLPToyeo4NbPoUoNaYCGgbQVn4ZX5f0S7+IY1wSwSF7WXBOYYa TZbE9XpphA8qS4ntzAFklzQ9vS4LAno/2frSgXUw40NAkmFsLEdV4B+4XKdxwZ8HJ8ZXoj/d6udY zipD99LazoI+0+W65BUQ8DEc9d6bLMgWZEpWMSpfz7uSRuhtA9ic0HxWAUkTY19Nb3/lhClpLt1o hylPqAIShRTr+SEw/TdN3MvjQ9zqDeFwzBBo76Lw7HwCSNZss5BSoKj3g2bOKbl5Qw+D7Q5G3Rr8 DRa1e6OvIRHJ3BwDGwdcxcdUW2kuGgb2UDSCxZzAqNAPR29RN+eY7lMzMOBuE4Kw3oEzPp74zbV+ wDhxi0KAbGgKuSrCKz1f92uyWMfOXMl4GooEqqbkZvKc2WCjn7lu8bakBo+N6+Qwj2KVGD0W53f5 r5dHrXqvDCP5GkJiV0giHvUJvWBi39G2qVVeFnMK1dCVc5NnikYGQtKo+lKrBNzga82p4WVaH07D deLFwkQgfEJIMzc5kQTBzPby5KLtvoTuyvQNGcNaMcX58v9ICgdGW7jHXDHUSaOkCN+KolzRrUWe /AV5bVbUizws9JOnEqPjODdeS78UDedEXx4F3xNJVFECE2nG3G3NMybCXlabI0gOqOd6Mhgivtcf T01Hm+Y9mf/qqeIY91hieudlLVo+25+2ODjGZB1wwfLOfX7XP3rj60rPZsH7YhPDeWR5Fgq7lirW rI/tXH5IwbAVPbJdaWhg/6MHMK0E3Tmco/snOtLoOfM9VXEFEZ7CWTi/Vl+A9wREiEjWNReuDqsK sMTp8bkq0RwQGsNAqUf/CDI1orLY9S6qGwtIfwtl+fH3CDe2G+W5nlm+XEy5QxYq7ogWRm3uR6hm NjXmLimcj5v7uUk63OwFfEJpfFQRECnNJeGS05BlclZxGeinxTF32Myzx2lx/FtoeYQgEDEH/V/H 1RI+Q7YGp+rWe6PXM+V56r6/Zz+qXAR0OKDw3aWHAYcVCYejWEJ/PAXOjbQZ7bfM6Etx5QlaheRO uHu8G7y7TucWNp6sclNLNNj8JqFVpgjx5oxPVhwoTqoj9wnwgbZh6biWw4Gp6dqVAUWcgd6j/qI5 5aCsiMCQ3UwjfG7CnorPvvVYmq6XozGmC5OF1Fi4vUlpNwms0av90jNH0zRIiX+A9iPX1lJbMrsr zGtkO+tby2FTmciOzEudOR3TYLylONYUsP+/myqbKppaKKoP8ZjXcC53jtMkFd67FC2nmABSmhUc NSG83MPXh52dcqQA1DL7YJNjKz51uDYy+UuemMXbqhIMZg2nRnzCJVc5b3BqEBLSoqULdIMmuYDn bQnmNjtvGseRr4yUMuUYDG+IV2e4LkOtIK1cZn9zabmI9nMa6ZUFCAazY8ktjQgutzqLQiyWYY8z 7iC+xKrzUQAAej31Tszj1fMoHksTuExThOqtN8DQEa0GNtKmS74erV4jwiNokr34dlnTTMd4cMfa Rj4fX0sP+qDoSukhe5tAep2SzOPkw/k63hf+UEQF3kYL1s8//PcH3a69r/umDgCnYLF9jfflSeSB tywqVs1S0RZm/CpDtNpxyKAlvB4gYpi5dfTAKELYlY0yF1B01OLNKcxFX4eKfLvj0k1qemU3c+JH tDj6PY8K8nxj4Pjko3HKRaTU0O+mBpvO44o9dRApLhg94eI6VGLhrxSw2wftaD90zbPOKGhG8xpN ASQ6Kj3kB/rZaS33K3g7ZtcWc7WsIUGO3poQo+KYx36lIRfYJwdXGyscAJIrI6O6MDvegnGkxtTA IjaFEUTVg0REEHaZ6FDbwNkjGVDTtdkwHCVGljE7eH8Uhn7bn8Xl5XPZmvWiykOAWzwmVnctWHRs cPONbilqUBix81hUHQtdPqQDiKnMaRy1YwaywuO3tw9uMqMY2JPMaKjvj57Xnenp2b8Ro+3fNTQv jxXH2XjZTOYNvvbJIRU0bhC8vgyRwjxSQwhoIzhPP+hKB8qLDN2G9zJ86huB1Ps8y4Bv2Ehe9jUQ LwhUI5OirXNnuK0LQbyCppcDZFLWyE6NlTi3k5VCul7MMa0uWXP2o7wnouhpZAeZFJmzyXxfJTVW JWTcD2i/IyKnbFLsfo4XztNBvkVVlPPrjjFHCE97csXMI0uOVebkEo7XkXUhvWo9IlxZNdhbjIWC O8CsJzmy6jJyCNvuKjJLyffp84/VeyIX1WNOmL9e17eo/ovQmbWC55a5d9COEsXQiduoMIg9VF23 gX4BVX2jCH9Ao3kFXTBgk2c5WAOIbOzKa4dL2NTgHLLKdgW0KAvbLa8ap//7wSaBthxXmvMPdi7g jmhA2j4FwrDEVIzex1UbykD8g07VG1aaE38Y2uzyiah2j3VEDsbozWxmWiYMaQqapWuaDY/FRYAT oJVLfAb2h1rSHKZKf9XkV24EsHuOnf3CI0m7OrOyj75loF8UJa+Y426u70/69hxgbLOrpzIVfS0m JVEZfSEtwlpPoPZg7eALoiJPJPT0mjyGCpwNY7Z3lMHgvWhG1ei7s1hEg/NUFoZUmxQewo7Enpx4 4agO5BQmCjoISwhEX9hk4lXtYdZm33kgOHJQjYY4Tgr6AYNW0qSHd9UT15Vr8Dpu+q0MemDq3hmR iISDU97L1qtqrz+zgxUcznb71MNQCqhccokZDZk9Aqy7A9hCXsKMwLeWfJmW1MirjZXMHyrA9JNG tgekKQQQL3ApXp7sFXsovIFICiJq2WZRjaahmkS87Ekt4nJf6X/ZDLXWVH5zn4L/Ujfp6N+GOTFB v1mkkKHtpvNMCnBNKKZ3O7/Eepu9EqR15bVtfmTUi4BcX9N3syGCmvVKMzuMmJfua7T95KBGnGi/ az6tBrZlklRjUpyai3Njk/RAAu3MFue95vO4fdi8++7dFrEGIHkp498ewerQx1FDC8E9byx3YKG5 xZdM57hBG//IKW823LNHd3nyyZxLIUP9eANbkC14faHHKVZ0KVpjkw3mg0dApBQVskQJajv/YUDy ES6BayDJjiDnwibl4gTZHyO66d1AWm+KnkbYEQExHB/gZi3Fye2tf04NPBzXBgB8rzkh455xnfUX XvFVgrqWRWh1OhKdG0+WCraaQV2S4tp9DsWO0zEiv/aTV/clqY93v7nJgYOPt56+V61op9cb89Oo Skqjk0aXZdzSd3c1+Qku3FWdGgE4tStalLszDlAs/QiDwsHRgS+XC1XGZqq7xlTpSFsE3KTEcpC6 MMYwpxN6WaT51G58ofAn7DgBEBZ368vREY2oDbBV/kcJr18ySjW0xGkrpMQHScZriuqALjpa96Pj cAo2FpJJbrTDQ2L7x76H9QfY5mgqk7CK338ujPPTuTjca6MxROf9YnHks1owoEzd2cqH/+sj2gdw mbd5utKfEyvw721lqK95VCjIj5hbl1mZ5zG/f8ltz6b2zPOfPtGLvHBIAdD0nmyNqFUUpmjC+Pqg 0AhoGSjafhZIgAj/54eExCZJqfJZM3N93TeyssHJmXcj5+26V6PCar4sJNxE9xYSr2ddv7J9ucox qAln0wNBRJYEZvt6Ma6ZkQqfCW7eUZXes7Waxq5k9XiRuuMChpTPOG4UtIQHH19TU34eF0JkiPFv Ev1aJUJek24hZKAeJ/QBJGf4MCEhpJGmfMODxrRmPtVonXA/MpLH6jDE53/CLny4+Zm5T1SfUUfc i+ZPoP8ttg8oo9ZpxMMVBjcFHorE8Zj3rhlUb+PR6W4vNmr0fcsGZOZKPLdwjS6K0cTY6EBB4BMQ n8NjYsq8T3ug6DpgpsVAAJK/yMtlaj+v1xQXM0uYHwqjO2OYPbFo7NxvBsJFn/tddIswZo70DXx6 HPMKJ+jazPC5QZ4+s2NkYku1G317j1jCsPSWjs5w9Lju8ZGf11PninObiFu5eRhhVd1WgXHD7k9Y seGfRhpD9LQ1TPNYBE0oYvezhped7+58G8r+otmbMOThCLAHfdZS5z/LaUsTM5YvI2+AAc8pghii dYosxwa9w2HEgEUhszCxdm+3lgd0Hn5Lnst8OMr02MMNRA3WyIY6anhYe58BERCAd8+Kv7iM8uU6 aPKZt9ywubWHZL/+IMDyNyzoeoMaKkz8kn4BM2vHkxedr/SNHAToKptsWVtEq934SOgZKubFdALr BaAVXQCfj3EAGTsUIUWxCve7iasnPR7hPs9arxEpknMNGkz/bsnFzxOCnY+2h8YjXKPNGAZ37U/m kpF09Wd8GAcA5W0Xq+Ko9iMTT6mAlfyKR0N/klmn32W1mEbZz8dPYR5fGX8S0Mv8vwEAKvxx5OUu eorY6gBc2Yfc1x1jluu2V7F3C2dz30LuusCHmF9i1TcbALMx22UEF+4TFn+Hvj866jimLWgnoUKz YhIXQWNUA1fBe4cjxE4plTUHu+IH4Y6p3CbJagqQ6wdPAjjteKJ3ntq5I/uVjHhIg/wi4c8CikOw CMM5wzUeZCWzjtJWzctoledMXiG7+dYjV/WdLsj5g7ZL8b9/jon44AnaJ2Jb0cNvgjkITv78PfjO Thb6JUAv6J4E0Y8oYtUifctM4ELmLDPVmeC8BpVSR3hNNgfYyyJa0EQAhBHHmdzNOOUOcU1NCKJ3 Mx7Teo+9PXes0wcMQqVHVq6WTKLz45yqg1tovl8YoDRNIaz9Wn4uiC4fO44KmYHqbuTwUoIGWuGD lpb5DzAcKgqdc1MUpNm8CSXRcs2FWta1o22JRmK9tGElhj07UG/10cdVeyOOyCVK8qigdx06tzRT 1ubvKs0/DuyqckgzEQfjD+sQYt4QwiymlbJWXRutY3xoTkoxT27rmraX83xVZc385wn5RASY5Gez 4faK2zolF/fnpwo8jAVDxuhZXA8Np2x97YB4DhPugIGUrpI4qSi1vdOpTNBn+KGqkO3WUXH7T1F4 +BxOm1kuv5HEX7NP0Wnw9WHsKlE7dRz3SVQ4wsvSRtAnOQfl14u5YAfEW63TKwXcOzfWHeu9RcBZ pMV0jX8DCNKXPoX5vh8grzILCzy0lhHs+6yaVd9ueuf9gDJrW5i1aceLDhwoeAFi6+JFI3LBFnCm hA94r5AX+5bl3w3SiJID9URyqVzGfZ1CL13FtRqoLWYst+yq+S7fzYN7oXeQUvVtW4wcgQJRgQtJ JLFqd1lkHBJ36TjFwmOdFDNVNHJJE1HLb4D3du88EDtv7t9xRqgV4mjxzLGk+vZn716cjbFTDBlC yfwEUSVjc++EkQ8RgVVgKdwsa9MrXfhAkll/AOQbknFSgGAHK6g6ppnpJgHJHmR4L1elBERyCQAx 4L7OyeW8Rjz5QWEV5XmFqYeGoSoXhsuwwRMRxao4QkP2CDjUTxb+AZ7xy4brIYlZO+xTl9dop9bs t6IvmF6hi7r88KbP3voIwx1tWPMmlqCpSztJcPFXcRPWAL8yiKqDZR5bo22UC3fmDqMXUSwV2wzV heeMZZbo7h91WwXpJM2k4+PgTIOl+wXA6J35C9t+df+mv+LN0Xa9NezUwCRkst9f4wKbxA2OJZ0V yLKlSqxQ/MSEFBQM7g+FsKWQj1PzKWE8+ZkwHgEo+WN0gjSiMajIlJVYqiMrxgAlgdvxKwWVe9XR 5qVo9X/Pha5SN0ojwBGJRGZAVppnYJNhwvtTcy7Gtng2BKLMmSQbdUPQOGRY8FjcE8Tm2/0Us39z /VjGhrZ/RQO2wyCeR6jGzhEFcRpV2M9szu79LtIqi8uJPxiS92S6f/T+gYR1+tO6Hbd1M45X+wAR 8RAtaUfovvrIOI+DIk9LCzgNJltOdWqePYUmO8x00a5lUfDhob31ktqH333NUo2ukL2Ds4+0F18t Ij/NhcCjwq+XOhuu4tuS/U5flSZKcTamn5m05HF+weayTTEZBES6aZCHS1Vad9TVFHBab1VffH4D gVQHPgx9lp4NAdGphMnoeqNiZy4/lk1arGebdV3lB0WbCZ6Pt4bC19KGIXYNfNwzyrq0MQF7dtzv daY+mVY0w9CCDPe83+L9jl81P+V/dEUJkWFzge3LqcOB9YhUzRQtPFfDJuJFtj8MhtDMWJYhEtYk NG9/bARkr/721glFlAdMx47QmfkVTxdvLFqd8dHhjwrL2GgvQNKv6rIAVXQyJxP5gKTVXsYk3H78 Sf7+bvEiWK8QsKlHe2NtOTjxB1mRtdjaRrf6KMZTxCRkXlqkE3Ar3QVXYX3BPaBcs+4SmMUday9I CrZ4Vahe/yeNUIsjHqZFMBDnOYcy33abzU05+Qq7MzsDQ6+ZPBZysS7L+9s9wAPrL4f/6mrX9vNZ hVSbsp3jc9Ep1Hm6amsqIe9JYi185KW0CP2m7rT+4DCVGQowhNQ0eQ6C3d6o0DPEjYOQ0NhOVYa/ 1ani3Kn0kOJILldIQRQ2euO6BRnXm7vddYzgwC09y/ebqtF9M9Zg+gialm6WRYIvMB7nAmUuS4GC +eCKAo2sJ+JystL06gP3r48X+BJTq4X5YqB9NklAmqIZMVVB6zxGqXuPILt13JLM2KbfPU8TvZOf N652tMb9Zk1RfcadhC+Bup5vJwstwahU2XL0cJx7YKsrHqLQZrBh7Q/rgN9c03pxJprryaP2RtyZ j0eLid/lvIi/xQF3kdN+1X9PeRL/4+Z9uDknkeHflRijHoyOQfDDsLUJP8+jHbvQYZK/6OjCpXPa e0UQFhWEM0SbFHCBKglHdFuVgg2uPGQ9JBS+THI/D3uYtlmJjRCRx8QO8i8YoWviqL0QPxKwYT3J k0jGLlE/zBDjO+PoJAZn50oVUUiDAEIlcXfAS3vpOmkLNi6WDZhWAMzIWGWdzr9LBgRTOT+XNNar 3nNykfcntGvZHcWP+0XkYJ2ULF4XulLeCghtvpd7+FTecVVxMHqsOM1dW2R9JVfLbU2n2yFEzJhQ LiPNU9WIdxH8IcEf+zdRt2bBJidRwCZqdezVvH3Br9f6LD9Ep0kvZa9rwtUdxghjKe4xDoPnrL6e Pm8UPS8yIcalN8sSaDdXRXP6P23UUzwqGa1vqSe0LW4P6osWFJ0YK3lTSmJClKwbKB+xn4d6MmYl LZkxEEd75ghhb9ISVfiWTL2wFXGsJ18ndJ6bxl6vJnNtiZQ/f9sDMAjRgDkxEyv9q0jYSwe5Lv5t IUvRzsGVNOCGpJiEiRaA4av/xVq890x6HfrcehywzUMPMUyc2kx3lxYFUVZAaGhpg8yJ4ihdtWMf +EpayowZEPCqagjGgaCgKijbLoNdwR0AsGmnR3SX/M9e+zFQe0MMqt5F3Cn/TDjo5Vs7c2Fs3uP+ j+EfMtm7X6ghtqsuzqNmskEMGRemjTuR/YjkgfIRSjnbm7t8n6BtXq9Z515T7oG+MwXAERm21UAt WtQzb/G70/EdDVM/Bwied+l2C2YZD+BM3rSa4FmrxpQGq0gejQfAWfHJa9uPUMDwG2VD7jmju7Ou NAmHOABlGaT793YFAYHCJwN4zUOhZiEKRMdr04c/BUQuGWSYcxln+aJMEWpQ3VigARIutRyumQIK HAvsK7b86ah7jODHSBrnVfK1DU6w1ejC3rOnteG2B9+M26gNMgeGH3nNEoCcZILxHn8knNpABHgM 0KNNNYXZLxvuqkjeRtLt8zuLb0fR+6mG+CBM6gBHdNhwAGVuAhSCqGDRcEAi85svsg+yt2riDCGd 5ZNGZNlY8yG4l+/pJUCtQ4matCTB+TO/cO0Z5mH4oZfggwf79P70TdmyemlYlQyTgq10ehSO9vxF iUhWqGBkNPa1utTD/ElqtfHrBhlDW/7Dn6hj4s3sKJ0B3dDYLc98nuhorjbe79KI3i5trbAWnKJW Ey2pnzZzkoQLHrwb98jMcH1Y1NLaJgz1LWyn5d2Qa1Y6zoTJNtmR1DCKIeGcgq+FG3A8T6+JpIv5 4Dr2XbwzoU92MQBXEALAHCHQfn3G6SGKIg3MwEF7ZP3+bdbCc1qc9IyAu0LfDfYPQMJxzWMGhCHm otCMjBS/JmWlvssguNJvOhRQGBtclGV/CZmLuvndnZs8EL4rza+BjMyT9T/zEAnswYi/rrRlvqDY 143njIFugNdpORz47M7h173kyWYGHmT+ewHbib0A+FR14HoPaCSKgotLmKTuc78V8TtvGRFaKXwW x9oD1YYWy9zWOcRUOCXeyH4Q4G6LrbGOIg79GbUX/uyARjpuR/dcDhEH/7eanWCfTGMSbvaA1udn y889lCJCmzGEt5ZnDINKZMJXLw9U9aDw204Kvy2LMR9Y/mtNmcO5LjVypFXdq/oYg44XO9cqWrhh RrWYIIMAhh/llwkAaFaLBB+xdcyjSvb6VsXrusMbl1Bcy/FacERV5AGa8kI9jKLKjHytJSOm2CVU Um2CixI2BCe8rojRV4uDe6yn0Z0kxMpnAdM12mCZfHsEjeyiK33n/xl0Q1a3FaE6mHxPUaGKkWPn 7OD8FzVBeeGrkJ7coJ098hJRCucgVzlSFc+30lNAABSeWtodhklTpkCzzYAZPYJ8pKmXLQqUhEAh tHH5rh60Bgv5cQhiFAYWpLKAWrOZIcylEeC5Rt+nXNwRzen7EI429VyMfT7SWDHcEdYy+hRCq26R 6z2dHVyZVEZkIk/uXB5AKg/SrfDJecUzW9PI/fX11pZ5AL/YbZ+HkE1CP7pfOXPVIV+faeEKw1rx kLk0d8eGJr7eac8G101xF29/INjEbZCq6RmeH8dFsTM6igRl8GkUPaezwVAL+IGmR4/eqFo+7R6u S6zWpr2sUkGWRGNNjYlSH5Ntt2RDtu0g1OZpdV6anuSoqMLIwqYX0k+WFnIp/KFELIF3AVEucyZI 9dDIh1CPT2NV99XrcqUNuMOSi8wFWvQM3O5iy2ZsxSKsaozPfKEyvH8PhvgFTULLHStNiPOqCdK4 8PsZw+OUraGM3+lRbb8bl+aYpooYb+E98T/QJZ2IVfm1MCgC6+ivRPl1VSJLvCqPSS8BXv6gvGm3 ABoLzlqjhhl1SunYt61L9za2hwE2j6ijAPRIokFyHb3LIL4QxU0fDmVuTaEMzlne5I+TjIUmi0E5 QWCLi6ZxvX8iHTfwLWeV7FCQF9bp4UsJ82o9EiH6fAaqc1oIvCy2u5PMMwIEHR2Db9NNYLR5Y9KR YQ0SDdfZGgvbVodnBbQErkeEMpWHR3keDeJ8IX/DQc5lnDxgnpe8eUNgagl7ZykdTdKgiJ5wSTyG 7KX+cmB+ISb3BSu7Yr8VXbc/c+Ecasp2Z6H+cgo1ZDd9bBv+BKDLWW8Ebmm2i/FENKxBUbTsyMdw nMTHMx3HNB06OMbmP2AnnPT5Mmz7vYoCab+FlR8nnxcF+fmPKD5dL74P0+yKS7yfbj0EddA7+1Pn Az04iMHukncRrbzi5cAqi3aY1cDQVCNylVoMUo0PNM5qffzLFTI3GgmrvgDin4uevUPy7laDz0b7 EpDoYenfiMttMKrsfkr77r3AovX0aqDSaunWROpUHeCiV47f+B8dO/jRMTGW/+nQud4Q9X7+/IsK qhIgmuQ2ppqXEYH6FkcGXMhrleBUdFkAohHVAmZG+uO52FfT4LIl4nsMVJY705sP8Kp7B88Qe0Nc s1PKTYyNfpawFam6A6JcSw0X+iyZ3h/L7Onb/zTNX6vDKEzbl0IQ5s9ENhF6I2GJ2iQb5HtY+RqN 2ubuVZy7mRza63aVJ+Sb7Dc+lBx0qnGzQIDlHGvfs35XlV09+iqCbYJO0HkPCCqXRpDraCWk8HPd eN1HOwM893z15+gWpAO879H66RWH8A71fxVCCeiJwzl4F6dqwAq2b46zd92LH0clnr7+T/vSgLPH XDexyUuAAA1ZQ+TbD25wlB/T2mu+qKp/BPL5ruaOgmGV0NiJ1QTikW+OyJjf3umT/w+dh+lxRqNe WVm3J/JQOdeCTOwmr2m9mgqLx6MnNfmReMhdypp7K7LEDv5RfLLvAg5qOSkLGAxNGJbatrnOvj+D xQhIb8qVk9Vsesp9STJZiIUweVoNvUjVM1YC8zbNSMwpODca/+BymSOhnL2peFV/x5R8Egs96ZaH pW7PGjZ+I220qthCLrZrNq9OpgfFuNEIq5Zyldy7D6x1POGV6kcb7z+2Mm5Fsgdw4y2Wgd0l+Miv uclqppLIZtRYpCGcUvZ7C/V7j2Ho7SmDaJa2477M/rd8iwQl4Qmj0VoJygv7q4je5Y7Id3hnCGRi r6sTkBoxoXA3jmEtLGucGPNBf8IV0XYM56hgji7+D92w0knfkJLxd5H4wVSmEVq928az5z4oCitI 6RpdDvVBSPpjHoWd8CpI2xlfpneW85lrBQFpvhwkdkb6O/qOba+sbcKj1ZIj5gEYJwsqa1TABqxA uSoie2kf3h0gyL/33gK8f/gDqI80Hqb9Y38X5k4pqhFLUBGO0sgDcDPH8QuLsfe1xsMp0jxOzD+J hhFE6oqK1Kd/eo/AY/nnNMiN2c5ayqXdmDNARPFeaNomxTiyyGwXzIZb+Yd0FaRK48djn4LCq2A2 TFrUdQ0pQlnDiv1H8VZlkjuNbca8u7nEAWcTvSGVYJACWfpe8wIoM9QA8NQBX5WRK9TZ49eCQ0h5 pWbRXbnvZFY6A6TQxlSsjOfm39mLHmyqoqDJVcgH3R1aBFEkgv2eA+KNl3AS3eSORNWcc3kCSX/v baZn9hIVjaTe2VjX9rJQI7lwRta96Cb0SGRNq/kPeDKj/yXcWVuHQBn1WdfPqEoARj3qCjQR9SrA 15jfS/ZHVAk4bFLFJd3cwy10ZaEXFLmfG85nvNOlu1vhmcjquxGxrD8egmkqBfk+CgotrReioLa6 I3Z1/VB20FZL1odq3qOEazDSHfZgcKvF7HjqhzOCZW2c0ID/W+56QV3RWWEaYhS+iXl/kEV6f7y3 iSd/QBcs4NwLOOMWW6+92lPcD+mnItt5lXBUJGMRB8vNmRD+5grQlNGb+nXhMNgWx2yrimmKZ9+Q NR0b3Dx+a53uJD8K0Obw+cqclslJOCzs7mSge0sH3Ox9Yh9U0TeiN55TrcpLZRNny5jGb5i+3V1A CAbG24rimV6edcKb4UAJvsfiVv6DxVQH4NdqX/t1N7HzHkJIdRR7bkFYZA7Hb/8otPLdaApffqFp 1xiRZnJo2cThcDhVlk7EcN+VQMeWc8cvA5TWWBRjvybO6o46LjYJjDee+aSuFEwlsn0Hffc+kmqA tUeDyt6gim7xWsD4uZ7X4qVfGSpHIZ1xiPByhnhLKYFtu6YEPnaCubxLw9iv4zveIXAJWFWZVdid ACq/QKDpzaYWVE+tfaVuyvRJlyn7Wbo95bEHMKfl3xFe1diZlBKrnm+0keWy+IAm+92mqqrPohTf B8cNZty0ydzYG6KOnqbJJd4xCpH2amLbnTXWJtrZGxeiRu5o+j/u17cGtzuo570rNDXPdEjjMN7m Awyp87Kwk1LvD3q84Wpl2lRca7sfwwYDBoVXpLFGze3cV/XMAKABynajvQhANJuWXqbbXFqS31um iPJ8+p56l+kPNo72BEtJz8CmTz1lZ7eIVkCydvj/MIOQ1qij3D2sssQyxA8f7/BE/q/NDJ+n3X00 V2SsW5uApqVkkhyoHVBpEwAeGy7C9d+DUy6DEFvKeF/cx08o3bEv8MPYVrg4e+6rbZA1Zs4ecuo+ tJpQaAAE26tq29+6imk7IfKNbYzojU0pFqIZlcEucrQa2m5ODzTYrNDdoh09c61aI9b9FIrAiS1K 0BJCtOVHYm29sFeV/68k/HxsRGPNuN5XUP48mr29uYRzIgkHacjDQiYHFKGkfcHc9csmYle6D99O O0BFfMSrZ+BzoKjAfP5/lIdFf9rrWlR8Qk/XhwJj3iPq5n5ExGBlOolHMvE5uztvwTsdkxHCujY2 AnJKiQtkDXdJcOKwdqFBv3Mkdz0C7LDOV4zm/n4TM4/3GE3ZZR7xYKQBhHUJjUZn8YDzJ8D8JJnk beqgC/cZEgNkklaTtD0i0LhK4HcHfFOEUCoCLtHhntrGGQGy34JyDkU6nvXMNlSg0ZM85C5nlGei DxQsXOiud1iSxQf37BuGlh79UoNLWqeHnBcT6X1QKtAwl6+qRFczKPm4l4/f1rB+5iJPa2sjmXV9 FjfVbJYAHM922H4chd8vzKif3jE/PNkiHCO/6YrlJu7+0yqtGd2DpGPogk/WppYHNQROW1DNC9vN t0qspJ2MLgTEYGhnBIoeVH9ya/o1sWy++V9xbsEBk3FWnkuI6cgy4MXiYq+64evNVQooZh1GQTrL GoAe7ePHBr2r5lOBYD4+llgcPbDfBPDbuiqlsb2udI9ccoKEIbYEs5FocNplFMnPdqZIqmOVDM1i QxJKmnVG09yOvX+C4hF36a9MtSilGdSQhSNCmKX1M9fSPse3HGVkr4B21JBlTDQT+BR35tMSarWf 4EL1tXvUYfFD4aHnbsuvhu8b80+V7+h+OLj88Q3YcDpIj0IB+GjI15Z8BxJOUg4LGZhTYrIzTzNN m7/lGiATmv4Y6BtzGPmGeg+OPSWCW7JIkKN5HV20/B9lTVNPxCVp+4bOBWD6/kwg/F7edx7byIVL yBOJILFpLv5tjLIUmL45eRllMmTlWrNnwIyftNzTHU7N9avH5iEualNDLlmOorUKe3vhYpNQ2WfP bQRbb6zZBuDxDOVT/ARM9DWiPYUbxPK+bPWfxkI01DHFpvD69lwV89IvUpnZ95AtE5XGo2e6l0YX c8GqiFHGIechFzmpK/tQcy15GwdQx9Yx65pYY40/S/WQFTptG+Z1HiYbqc5TAdpNTefOrjVCxXn9 dr69W7X7IMwhbplOx3lG3dv802Rt5951a8pkAlylLHstvrtaw/KpG+b5l9Y4CqjhM1vpmLtwXKbZ 1eLMYh+0O4cko5VfTfOyZs23QERjgxl92mZsGKQyBggrY7VZNJ30ICAZ0ll3TQHtjyNl3dPPeXDT f/pwEtjBxYQzT1HQa/3u9HTEyVaI4AfE30MffwgcPlMGB/XQccq87DgI/4zYhCwZCge2s3EpvFnn DeqYtw2BbLAAd1DGDK7PAWnIQIKBCZXSOAjRdmxCpSShmnlhiv4v6DiYqkQCU1sgwNlrFo3srrwb 2iklwyhfDIypS7+edlt1AAUfO4QSe3eN7whGXDsGA2iyjpE9UOwHq2GACdKSP7DqxFls96AyIJIC U3NTVXYi8fr0+77w5est361OngBWY4vuatxSjfaYMmqKqEe13EuGPx4qTJuR2nXUhHCL/cmYXEbc 4Z2/NoD6EjWjDIb5idZWAErnBtT7ynpuWqRBCXEDqUw92rWmuQQD9SHkqtLTyXvvV1YVzRaQMXKC zMlexOfoBG32iT+c2nAFebXCB0tavmxRFLL6U8DbBb2HPUgHdTfUD89wohujxRqQ6uejTzSK5VCB 7TYSZFEkOfIzI2VAnInN20Imsi2HgOdanhN8KPnQHYIM2HWqQU6YSSCV8ggv0IzlcOfqjJg3rlhY XSaLagoJu7fqUQz21QtP4iIanoNtXqnjR1rojA19YvZ3bsuAtHT4DDIGUyQqNnG49Xc4jlwuESzE BDP3wGQmgEZDhJJiN0voDav2uw6OEGcGoYqp5G+fUHjCHSPLeyDa9wRUJadFGAo343WOkcDaqeJV QwP0gz0DguHw/0CIAUJIoFxIxshajX3darNWDvuTRd/sjqiBjmVLyE/qpOV7USNuldPSUmt8hg45 ayoQsWcwFDhTLtOBISxK32/02NUF/EWzI1YU6XjvvRJVu/a8/cdbHoymMKmJ4A8DiJ0bsG0eWeib MTCaRmaxiWfzMUFAGiBWw6CCkmuY3O7p6/3sf7yobf75GqJFT2lS0WOFsoj4gaAOmBtDN0/0gnlC kQWwFRE2O/0L6UzZKivIxuAy/EEYfbKiVSgHsq624LkpMA45Ij/BYQnJu7D0C0zpIcON6UxMV3oa quuLsRiiICzDAM6V9I4+TTaVYMHqtaukiQJ09dngV15CtcrX4iTOMPagJeT74RjH8vw6oh1wewpH cQqiOVTbYrWdg3gEPusFq/j3kNfAkCXab+B0Kd/cJHAeU4qUcYORPaev/u89irsgFURjkvMdR32F 0lx1OCLGr4Xp+tFtbRUtUFZZigNbCK9aCEBIiTjy8rKmlpvHRGgy2fJsh+U192bjfD+IjwYfuL17 OuXjo3f4e/vy9FcZPv6Y2JWNxdxNgtPpUWF2G3OeQknsu+ZlkyxTAMPNsOhvbiz0q+sI119SwE22 rj6b8Ng+waTL7THvtS3B00FrOw91WJzzQZNbL3NQbvcIYSjEbWrn2xka5TtSZ0JCs9v/BWRkjvcz D9WIiM3D+4HoPAE4Q0RPzGVeTqD3VCN4Rd7lSwttdjhUd9ckBOzNNZoK+QZnhyp53bEdcSmsF7e6 uRYcqDB3Rs/ww8HmmfQ2ShfPGC4rHw8WRvZvHg8aez00su22PRl5pvuXGNoTC/iF5iYPFfRUXWxz fV1aTPvkfjZKvMnAlO7tb0OaBSNbj2PYatzfe2v4mnU4ub2OJFnXkjGkZKNL3UWrl7SOYf+tO3NZ I8elrqahlkNMVJqLoTd6R+GuXlXEpMWL/+l1Rqjo5t/d0s6N+fJ1Ji0Ch80NLOxFC3YirSmmngGJ jhkBMRS/tgx4PiRFXL9n1FISshlPSXea0d2ZAEYf1krePpAUVnW7bQHw/xi1d02HxP2yLpYce3St /dw+tSj9j1wQAsgP7MYJ5tfmMMm+bKvEs/PecbDJyzYTc6+e1BPKUHYOtgJs9nVnxJdQUhv1CMf5 k/sEo3S5woxgxODPfG4bfkeJ5o14kGExTlEhQmyU+shcGOdoV3sPVWVH7rNkvmL6+4npvUfiZdiz peZ/oNL4XXs77bYUqzXcuwJh2a58GanAdH4MmnRS7Tlnp39UVrB+VgJ8N3bZNY8urjzhc0JoLAJr M1ExETae5PzQeOr0SLHCxlRxGw+J5MkMepNIXNUrYZoBXeCD2MwnhKexB5OM5wNXXctOUlDCOWd1 zkvcsJS8IsHd97ro8lDBUUGDGOssyKNrGB1H3DbsUEAhwPqV4Ywvbh+1xZpC0qQwmqLwlHOT11ym nBgdkFgmBrzEEnkDNCYP4Lkfcm7y54IacsIBBdpJpVzETceeNqRP859/tv3e3LA9A9OdVP6i2etK NKTMHSZjt9/S6wvj+HlnZnDYKbmT+sQTy8DxPzOCqt1tBdBAVRYvcseIlPg1Me1Yghnd16zN8h74 KL9aB4vYWqJx5ZI6N/EnDcJcjUfLzeq2xROnTRVkiB0llZ0wSS164nk2+bm3JKdGdDDH83ttSF8T /b4QN0cASI3Tjk8usTp0aK6VHHicVrZtQkzzkT0M7kS59hL+72ENblBjC1INwkebz9Z6/mPvt9NQ ZklIT/RrC4PKVNfqQH57PicHofyPyUQgwQyt0yKggfhe/UEZDlMl1vF6/xv1UmI4u5Xps2GiNfqO 3JvUzG3M51Xe8lHxbiCqihUu6c/+lDezrZVEfOl7RPtCv+Dr5n5DM7vhTc80N4ilwNXqT0047vc/ 5+8YnXGVl/HqeUGrVb57JxLrA+Sk6qhoXW38xtfoeBKGyK95wE6lywbsEdRLAXkycaqhEy1RVWqS /rFyIoOO6l2KnFHDGOh/XaK7QskssgdU4axWdbjpr1aSklN+u+caJiLzioV0BeCM3KN9engJvWAU 2T8BEAh4mX/YoRFMz467PIq765m541cIhTC5OilMXW78x/uRF9TpXlIsjTr+WAq1fhSJi8iOtaOt QQWstrk77RpBoBz3OXEnpnmvVhtJ/5MSvBAHxDOiggmwIBFdsL9lHCukIcQxtZ+jUxqLwl2NpqpE tsoSjHE9a37s6JJyhs3RRgEmRyuzsr2R9EbVOQAEDLNFQVrHj8znoMB05CW3RrAqM78E9kQoxIcf z/V05c/RZ8jfe2yoW9oaEB14N351EupbjdumbOPip+GN96Ft+31Vw5P1bRZnCYh+5qr+XTs8CFbx Gz59OCJpMs/Qqa7yb+q4u7TOLYSZEaGS7XK/AstjNSt8oEDYzQCnk6nuUJeoWZDVvpyxC/2bnwwb +crnUYbgHweDmHwwc1VsGD7sI28XUpPoM91AEYDYCXIWQTb65N6O+2MUHF3pMD1goINID7AZ1446 RGXKbZ5pSXmm8t4ExdsN4zcB+sK6b4WLnQqYonl5ukDHHFbZted4p5kHu/YPOBvJ7LRQ5GlneXN7 js3YDPo5JijncQGiVT+XoRBET4VQhc3HA3394Sc1qNlDMQG6L+4EORZPZY2KwwmxtXcYnyXVKfXl mc6q14exZiTTyx5fNmtJvfJzPEWpXqXvshG7jwXDgu9/PVRzzRSV3TbK+6asbMutADCYqJzRqnn2 9ajoEGl2hWQmOqBW3eZDZ8dhS9g3pmi5BlcsvQLtUOocjgXc7s7eSP+9TNQu4E695OIkQ/m0av0r PVHPkD19MfHbG5ARCFwSLYGU/nNBAv4eYg80uadZRnOp5hHmchpc8gDmSnKmLrdwxVsNmMsDjZsE i43x3XLvVHyd6+mi3DUyzOGh5tngifu1386nq0QQ+Px2GqfCG58p8ZGqWomPMfRFHuff6HFf0VIP L7xANbaP9kt3VuEPvy6tjINMW3/V9C8kzTTze3DuBQToUeclbxc74/E9HwSxyubHUW+awyNtmiFo 76m8/WC4VCUyxMaWwN0Ey30cpzKvCj9j5eN1mXrRh+CcAUXYLxvjcNzI+1sIv1MC+pk/AjhgkTzK XOrkwcx9MoYWon7fSIPU6zCKfWkzkUTsvgsiH5LRElmD7YYzTJhwPQseeIIOzs6qf8mSR14i/Zpq K67iSqYtzMCbUygH6FjJUcd/zjS9pj2/18pEiIYO9L2Tm45SDCyy4xo31w60uCTpEiMTV+uyPKtF xucHJnMw1sDx7acqxVU9rON0GQWmMfx5g7JSZBkMlq0JoBUThl5JVkMnuUMCUu81fJdUMCeo38hw 1RwL/2NzF7PjsjbNKPlYlf7UGqeaUNaYbmQclFfDZO+0YcKggPH/RDk9aZgEUFmS2G3Mk8Sqqsxs yZeEybneE+K5IFRisLPn+V2rMaH4g4DT6OWheNP/Vm9PJ8y7KCZ5kCWUevxhOjBh1SIQaPfAhrKR y2TULxySTNWehnj1aslU8LPbCvxLzslXNXvxjJqIjTbC5yYvvCMod91hr6Kl8aPtdE1+NvAdX1I5 UFt6CtsSDVmJg6k0kEO6Xh5reNg8IxCBw20BrecJMtWtMCWRwqNbXQWwOxLhlTsSMqCYlVAg5IeM s+e3rQgggKbiXBFhRZP3rax/wowR8zblmW1T7ob/g9BsAvwlH7xMxWdt57QRRFsSwc9i0ljOx7XU FN3vO1wNXd5XOM7Vqx6xe07n6BXJud2tl1ZuWvI7i6jtx6Ubc5exYCtLvNUX23Rk+5Sx1+V7zwRY hIJQBrT/sIo/CuaxA6OfmVsecr7rd4ThQaQUDb/Fe7wFXkAkYRYhutNZPPZfHm/BONTiQ8OItb4l BB+ZO4Jf2t7K1FeCxSNAtd3DOdN/qwg8WfKkISDW+/MdEYe+f3f4QHFrKpifErG9C7iyeyf+DTsf LAsZF9v1JtLGjTVfAZei0GwH1LR+m0lUZawLGfSOZqKa750mgXg69APubWynbs54jqxSZOzM1Tyh 7AAythpoqknMmlsTTNqBSKP/WvDwzRUnenVtkgga9sPwN1LlKs+9xuhTMyxH4rHD9oTEmRaDGWtU v7MitlPn5VFFPJkSRRvgy/0mtuhqHw9OSl77wlas+bmHF8zFgrUF6lCtaS1m+FD29YifbhY2ycWm H+l7z0/XDcnvM9OxkVPvzlWafGCAd6beb/ZYlAQLy0gi9LYj/bUHy8NY79NDSU7K+u/69pBP0PKH QDINAc8e7H7wOAHgLYurYsO92cSHjdGNmVUZoA5JiAVH8oXNO9kU2ojqvCKdqeVlYxziLaNcqeIo Qf9FxS7iCGz5xeM3BJ5K6fNJSNbBwU9tXWqOAoq2MTmYuiR5lS7rMl3mgU1vfIh+mPrwWBWhHqHe 6Qi92CysTCgLPoGLRWzv8yfGZQX3cjiHX+8fZCAFyVK6nGUusuc9ZC7NRiJlJ+cdzxPI3ZBa6DNo AAo7xDCZZIHs4SxC897d5ABL9w0GLjYPcPT7Xq+2to38CZy2r1evkpFLOCL76z6JHWSZR/Ljwx1C AFolNaGrYD3M9SgW/M+SpwVjrYefFrUAm4o6XjMJZQL0pGpi1EhOdFoG7CITrvDREouoSL4FtT5p rr1gl1MJUPyfCK79RwaP5wdnk8LJw6KrGyvT0TTI4Z7Tmj746tsPgn5FdCLSVt68ySfizeoQibUo vSP50NBhrHMeMVuCGsVeQMSKf5hw4caJN/z4C+poy0SXjGQyLCnSEbl7HyHPo9i5ir2NKiWpmuFs WmdunJlsD/XycIrDHdOgleXh8M2txkHYXBEaEV5OwkF29qXkmYg2tdLDDE7hBCUMKD/VC0RWGYH0 dbl8v0qhRkPa74ia464rdbICGj5529vdCTMTVvg5FfpSbs7nJqhvY4HeLed++SpkHDV9ShDYtWR7 R3UoNToVRHRDibhda6CaYHygbt7Pr+f9ebPxl/5esTgQWxrhgXerd+j6ORNIpmfwi7Pbg7TtWuNa p3xU7YwQN+LGqu+vmFByTHwAKw6UF7fJqtG3SgEWCXrnK8vSD+DAG4ixWH5CT0BINlo/U4Vpgvo2 2ZCyOnVh09uOQvZJxaKqwTiZgRXVhhqMN3lCFtjS3UJNhfxjd9KeAf1FpuRAsZd5d2hPQ2zG/hwf 1mULZYoy867fc7uCsPMXld7llXV0o3MQVWW4QQ9eCDYrKR3ANHAbdj9JkVHb8DiIkaoLRqMHOsGM i4DuG6uX/NAUQ3M8fovOhnbc718/9U2gNObl2Qwp7mVI07qujm9veKjpBNuuwN5J67z6VvtmRZRl Eh8WjF+kYo2ekFXsmuuAhpqrnWva2W4ra72LNewkVrY5uUAxdMizUGt0sNro2JKET7ScwQdEAmjK tcHjcykDKP/RrW01bbDPDJBlxzj7U64ZRo7ZpuPL9G71iNY3zQk4yPoEiHjvTTHJGnu3fzKZM9xb qhRDgAA65mPicaKPN8rkOhDzfrZrAx4tQ26yraWVST3PsPsJOIcK3lDhceKID/wIswaal2sAlAMY RbtY0d21DEVI3S5A0lvH4U98w+TZCcP3iEwK+zI/ghW+cZ2m8984pU54Ys1fus3mJ7g8IXKh7kZX 3MA2E6LeuwYDFD1iyUB7r4AyIf1v8JcH0kcId37NoRFGicAiFOXSRIDDARhD5dPidWveYdnznDBH 4Jr1d2gG/iK9W3Lvu+uxSGfEud2m5d9aw6ih/BdxC6p/zmnUkFJzxJMGGZWRypTkHM1AZgFl8fAO 0XCrRtGF2ZuFMpVSPnOcV4qtNP7IvGN4trgiqwseaiPscqolunQSfojpuhnhwnROLn6xddhAmbCV GHy18o/UmkGsOvujEWpbfWGxXzGaIUwpJL4P4TAW2izsW0h9ChI/ErVWsBxwYAlo2bVsaNjOqz1M rSgso6nYw/0CgrztDN8ynXKD1Cokde3tYbEuXgAGxYGxA0fJXa0yV9DZTzga7JTjfEpd+qDVOtNu XYtgX7x1s4z/jduX1IK3QPBLdWqSgYbkACwy4YscS9k3XQ4AdctkUwVn9LwoPPovEDvQEWyAXZ1V 66vSQWwXRTTLvTSMCB77vhBu6jrkW94yP2+GFi5uWW9ePj8YnODPV4m91z1dwIJVEuKe7ceqQxzs 0u9DWO4zhHraX6uPTTan4luSvClKR6bcrAz/yhGIECF2A4yDzGCnmdFW2Uyv47Ulf/jpjcDk8bmc 9qLvLAO/SgZ0otYmxQ7gN68sGq+jeeUEMRDyCSXcxpwGfRYcGIQmvLdRqOoC4hSxVPlUQ/gurV1f ICDZzmUMLVL4mEpbxxQCsfBVcY5ZcaIS1sO+9xF36i3028KkHoschtRZzC3uaA1T5DfCR9DbSWld jK9cJ31+LFOI+pDGqlEsUR+92QUfJh12opkK4frxQ8jQ4ZMzsQrV+1qV4kj9TFCpELjFNlD558sI Jx+3KEfKptceyl6h8zTDh5DM7xJgSj9Hyjz9LBJPiWzyngkyIvRHD2e+yUcxJEq5ih+OfQ9l5+L2 7ALwK0g84zrP6dspL1ukdgDdJw/cv1VuPkxQkMb5ZACa8/v7eA5FehVnWMlSyzCgpRcChVdIXSvg I6Sap+Tw9pSlkxGzEubz8BGylmkHumpLH7GUlIRLysUmit6A+GOyQd0YQRsqqjBYcdPty53u37K8 AujM/ZDWqKoA2eWm+4pOcStOWUIWNLLWCIymV3e/hCJ6UGRhQNf61+aSF35TLKIQaqF3icwhj6R8 KsMjMjtN6IJ7/LCXdi4B5iSsGXJyZFq+NM3r/xk5WDskgomxil5VR1gViMti1WQNTDK853A0bdKk 6OzkZFalH+0UYY3N7g+leGIJV2EnZmrtLxKyCcjMT66VHvDEGSa46J3+z7AbZDvYDwly/NmgljuP +1t8ImTnt1vRnmdxCEhxvU9o4aBI+4PcX/cnvokfQcvY/qW57t1+/VCSzTCRP1MsAMJdBRmLOlaG 1h+S1dZBjksi9MxBKo82evTvT4YjceWhS+w1m7NNP0hAdFgCVEYKBq0syI9bQAW6BjGZg6YZXoea ZvzONvFj7iQZWoilO9CD/XjJN2TM7ArbS/Fldc1A4++2W1v3a/e4ENZuG5Cy7L/FswvngPC6l9GJ aLmWHQiezU3h0/o7m8hisydhufgxFmCqQVHX5ksKESePLfQ0+QUP5ftA7mH+LZcXbP2gSWAyG0aY KqOt7ohEKkDQZDT5E7ONGiVzJosNW79QVooKB5rK8ZWKZZ8r4fHCZ3vaFx1f13BMPDpTyCu/mQbo AStfDESanxQtT/qBwGhMJ60wWAZpFcccF47c/jxklrwacmNnW+qnQN0fKrBz7ZVbwXy2ZONd2kH6 iBD4oiP9Letc9VnN78BcL//myzfWUhlrSx3RfYZt2E9w5w/CuflWmQFcyJk5Wh7Fpde0sfMWH9zF c4+9DoG/v58tUXlyjbV3jlVE5EsYnVJVgeFfqkMvbVMFXy0Xa+ub6YNthwdgK8vJmJxxmyJWHonf LqAuayt+scWwSs4CVNcsIyhHVeaU6GX3uwGHN1q455hQShvs9y2P/WWNSCElQGX2zbJY+xVb9hyA /mjSlOTm6lk2wPrLy+456gwE07T0awB09Zh4nHhH1eOoSB4JPer88vQmSRzY1fvhztDAXL7ZPgHX dfSIZPZ4lo5YvFl+5vlqvWpSWMkJN7nutsmhMSFbdUEXzpn4vc3Iya8YpOb2/WjCiiS13jO2cU51 TB/VmLOyQNAG39yJ+fBEUAcgi6nB+lUiJqUtPgnxEqU7QIFmr9GowMyBhxL4AbcBK6PCbna15EaJ l3DehDSnzjWH5EoRiWvm45PZi0b0+I22v+hmydqPVqUxBE51TNjgQA5VGRP+bCL2z0oNq4jHxFKg 7TJPBL945L/xxsia1NCY/usQhwpEcL+Uussy9WyGkPdVPCPRJRumM/x7iAd6souz61HBpVE23TkI YPRRpPJWcDbEmj6Om0kiC5SgtclxQVrtsSgH0au+7k9KIRD76qnNmTlREAiu9AYNqlCMiev+KCBJ NI/M28ThHBCXZIVBSaxxANnALDsEZHHbJweqJg+1bymdilLegE9bS7MSjNYaInhM11/pupDPDvg6 tjSxogZzae0G7UqPq410ex5m7DED+CnqmgNYaaFiKMgHtowvyL1whGbJdZNTyND3BnWxk/3Zi/2e sak4BOJf7NCODAWXlqqR5nL/goZfZIPhVrmXcUtsZqIZTBf9eFeUcF32yeu4go4qo4b6JeFyM9/V 2Bhf9/CHTDh7sDTmJmRV6+LJGQR4swZrHVDAYxNMqlLBB33+WZPdj46idroy7N4zvA+1qn93b8PQ /zyN9i9xmmn97/atTzkh3hYwMoH3DP8+j/BTOupdSUWNIRf/MbZdi1s+v2VN5v/g2m5lp5UdKf+A o3moCopUwJsuVNPzRRNyI6ZUu17y3Sms1omHGLUcucLJykIghdLXOo5E5aPiwA05LQeaopBzAAu+ Eq9q6DNFG18vt+6UJUHSwR/tpfYjotWf2j0JNkbQaAbUSelo8udkfwNqSdZuVHf/GfPp78iJ/mld upkfbFh8Gko7iPQmQ+arBmwfCVLDqjg8JWKfJT+PKq8jtrxpkxjb39AMt7KcdEdNuvOSTrD+dpFQ jPC6/AEW46TJ3UogoXEmTg9fUhgYJQiaLb9+GxQ2UaFYxoERF39xEadXSDRO26FHneN7L90qPLOD vcmIZUKqaAekLEMlrHU2Hk3lsMTguduM5X+5z5lVux9uIt3HKrj5cS1YeqIBaCc5w/q9OuOTlWGB V1yq3/dVA2mlKY+FW9+wie10ziBYCG1UGiAAKa/jHDzdsfM5eJsqc2qGmFB10dW6k2mTzsPmjcCA v4OJN0SCEVOH7h08swpsW9GKASla5KKN056Bz3nFC0lv2NkOwWdCTcmu/vTz4adzXTfPBfNi+nzC ZmRWCo5jXUidzaebJkG++CyrZHFLkGrDfG+yOsqRMw/GZr9viw9PJuWG0EhMs1lwBndGvFZ2XIZo M6Y07nFRVTKg/i6I/114S3CuyFrM4nU4SN6m7hs/Zj3UqMsSiCKIbNLjmJ6TEVq0XBchSKYGzpQ8 IWHHcRDzJjsRgyLvMyij2lXFYN05szVOA3PToEawqEoUdvL1Vlsago76DNIucK1kbFnWIbgOLPo9 EpYfVJDxAJviQLV1jRUG8xPqbnLYZoKXSbMw7mRe280F/+LkA8Vx9m0rxuZmtZMdF61s6KzYfHn4 AK0CYfgDuzpDNoCRh6iMv/YcuvfjosIZ8Gi4vR+a/ZIrE1/XUrsqZClBhhBhqNd//Eqc2M7euI6T aQqAogmeUQa1eYd35I+pQzQGfsRcp2p0TzsULQ47XRL1/mGQeI7m8/RwXXRQTXL7Hza/P1/7z4iy wzyOonHLo1mvstJNFZU6pcirnbkSaqm91mIJfw49f+a+FzpU+4ZAkIeR2FONhoSUORiU94GBY2lN ddyXHP0a2ZcKIDm/BuJu8/gf0e/KjdUx9S9/jXWSaxniNoaHxc+O+Cdw9x8QN3ynDlgM4qG/ONJ6 AeQl+t9Iglc48ay0hcG3R3owy/SFXeQNfI7qTBeCmzgbGiSMgmi40vHbPN24T5NhVcOvpTnFJhax djH+cSjf8ZQHQe5VDHa0UvTLX0C2SAfaXTxKWZl5VMm3lw1D1V4Jgjup1laRqAw8K2h3Boo+d7Rd fUzoLsBQGtgrg1HWDFd+60c/UeNtMowAFxOBY7Qo1iWG3nV94rO4ErA9ZlIHZKZrSHDcGTrDAIeX pABSoNiAV1igxZQ5ve/zmlRNlgrnNXCL2L5+vCSNAhgDs0djJZBM8IN/3lPy4jAqRfF98vZ0fLn8 cuVJ2PeR95mi4HfOpEXx6EFY/fjQivfCK3ioi+N5l/fx1yRwKX7ifIZmocSkJsJd92uowWJLNOa3 mysFQTgozpX6myPmw2ZLoeuxupSEsjrfzbsceTHsJqJvZKDo8iF1YKdQyJMQlr3ycQYm3mbYGOlm 7oosUx1hLV3u4QgKr3couiqrUJSNgQEbuSHiuIFLcp+btPZMnCsHg7mjDlvoKNyqqsDjBoQ+zXht GHwrX06TUhOPNpT6MxIwYLk+3LhjT+mAMA4Xh97ojvftHze0nj8BFQJSTI8VHSOOHLo7b/BdMZoO 1APqQ2LuZFwCzNBoQeJ2rWULvbypwHPrWIJMYY5Kr62QV5AOFgTaqp7HELHiLfeE44MmQMVuziIZ 2of04Q/bLZJcTw1cu8vlq04nRw1kkJsBQD1oJqInoWbLBLQpd2ldZQ5bZcG0/EBdmyJPjZNjiEPk GY5jjXuHWKu5yhi1iOW4ZK6jLYPl3TJoYr2pZxlWelNeUCP2xrpHt1Jqa9Nmlefi5ZqXF70BeLsC fKRDQvXWM0CN9471KpO6rlLuyE5ouyB4kk3DdH5Mctiw/s0uCPtj/Dbqarz5TKizrDJmE+rmf7VY J3y3/wcxzU1vAryO01cjlQBUI5Y4q9E/7YIWI+yytShW0UbiJNUj4nXI8cV8WBekSOPOL1X4FPLp DgTmwlXjeiBszXbgN6FQxWh6xBwPlLzeB5W5ciElGly7lKwtwx58zeidrBV/UDOrgzKRj7RGudze XNBBZx0I2UMP8QKJhWEZ7rIJdVNb9eJkUW47xQXt/VtBE2jaAHHWxCFfNg7P94swZ3x3i6UF2BBk KChu2ozwlR6ui7FEqHdWD7ZaGd74DB9RAfLe9WIO/NoRWRO/Shkyi62LJSDQRhouiBFFMIK+kgoH szWXx+HYdbMrKJhapqCjwarvMAEClVJ1fXzoEJzvq/kafHOiwMr2Fl5LKUEoyclseg/G+uZ7t806 oZwxaIkYX4SGA+Q2XpI6WGohoTU8wOw8dBV9e3TvPDBr2u83UBOnS9N3v0fMDEP0XA4S0lgyDGSi qF3qnpoJEoEDbqTknM5cWEZsJO/Sg7/xBGO5dHgKtxXzRrX5wsy79KoYblvHaqwGhzLs7TtGcqFa mFtJ20i7LByUTaR8yAKX1d+QjoYfkuh/bmWqgHksXa6UX2Z2XYoD5wqp92iTQ/bZGy0Z1pdvwyq3 ChD4hMrG42MIv5YigXsJqwpt5ij6Ylr5M/q/GM2mIFrJ3qQ1McOAsRsvSonNSpgOFlT8Erc7JREp rtD8CrdVlszCRoA9DR76QWTUXEIiB+6vX9BQqIWkBvAU/2eE2gGUMIbvW6lZPVnfWzp1R3tpRvDS 9/iG8dKGWgfr97OBsTkqmjDeBjYpYTuM8oo/Y/bdQEyBGZ5c9fizTt/dmG7aqp/KVQzwO0mIcJiB vcBNHvAx13Fhqn77Ofq5tudqaM+9yT/Au2W+5FfcLFGTy/Tn567RPGxx3zjUeRTwIbA69WztrMfz ilyxgTPTLyzNhUAjMrYxuQiht1M+UFD72xukDVDYL4x24J2NRobNt+eb6yb6c+3HszmQGwkL+62k 4US/EIIO42iT+JCpYVzwxwNBX6d1po5YYr6WxEFQJPJwGFjSewDE1VH09F95aJLhaHby2hkaV6r8 E0NJSxJuoXykaGaglf0HWZOHl2Y2MqLRF2qOcRt89GQvq5zv9XpyMZv3Y1WVVTyYDHECHz2XWEwZ xesejUaPnV+LENgGT2may4C3jPEZcfSt3cqK7Z/ZQbN4VfGizILTgb24OTrN0tQH5XrLKp07l6CV 4qeeIiZX2bSi7rg9XYq5ADxBwHJLTgkeFoVakSlOkGPXxTlfd3XahYX397MA/iezq0Si449GhPEv bnKtXvgXRIgiG4EIOlWrO5XUJsrnQnqVBobMhh3S2LQ93PiYC+RneLMl5EnHSd2KOrU5Rg3XkRA+ 5eWESaAmce6W/kPEzg3HotWbWKtgEALJK/Ajv+Te2VezqI1Ou7gATcjcqJ8SB9OhQdxVgBFgWd/b brRi3Hubetp+yW6ZBW26h4hh3stkAPZV27hNZlBeErCN4szlCAQD/4cSp35TJLYALsSbb8hx5apk tcRhM5T0XbvcmNiXCB6uWjDTssu7/eY6lGjWVZSPZrCR6LRSAlWpwpQK6FqqZjjxNR9GS00Pqybz D+8c7cPq7jrCnquZ+t2MOV6fv6+z2yBwfx6hxCefiAfjiK9Hl3cMxN32H5w2T6RatPkcBXXsCC2j ovbPLcKmTzAocrGC1e8pX4Eef6lcNe5IQTWzxASLrRbmNH7W8dq9V2GuQgJbLQnM38aQxHnmhlT9 W+Y8+U/4bQuriky96nCEUXiNiP3TO54W8uKQoHs5btCvwBuq1pmnHdJIwkbwCx7NWPG4PG4CJAl6 vfuyGxbe+nidrZ8E3t7z3mXc/9ZQX3apOMBrHZ0OfE+7yI3X4EdKW3GN/xfRIocdK9Rgys5e5LMO 6moJTymufZW3GoRgGpEOSm6euz1mU8zCL/XWjvIxKZPogXGEtKO6oMWcRNlM9KEzANiHcUxssBfn u+RixzzS/Ax9YVILyrBoTkgOjfPO67tmIuzPcF6LsieIc5CeOSSyyBdq3XSrsK0QCDy1NB8j1z7J ms/4PLp3cuuAUwdyhTXfLQSo/pnPuUEIqx4MC1yVOub+d5klCWFc2FvNatyi7hSq8wB5vNfciNg/ 5GqbIPrnZx8q2IBeECiy36zfFRMB3zuZNXoO1iCNpB5XBxvI0XO7ObO/AZkSYuJJMEMJ8o8ETGXV 8HY6vfYNVp++gftjzBrTGJmTM4Y47fk/duY93BEJFkeo8QFJ/LJ5ttSuVio7l/BMkdV5pSj/aiGb QkbJwuP1pXk1aNKgwRJBR0hFLrIhUzRW8uG+jEVT1K1PxF/Nri3CK6ss/UcRgDzYFYV5ztmKTHev GkyYAkqvW4MKtzjfEUxYBzk9QrVFp9Bj4oEDzbje9UDGh7wnxDAAomDJd8W71Q14pV630848S9EX prSDI/PN41a5nw6bfXpEPmhuYAtTqtVZg2QzaoJi4EALKMrCaPBLxRyJuvjz3f0zlils2n6A0wm6 2u37FDi7/BtwaFQW7qeZJ8wrLubL0V59AhTzF1xLW2f7x1Dwpsdb32EXq8NI7Nm+wOBd3klkzxg9 yWLO0oHT73sHlcIWUfDoHE4I3YQmFuX9GbqpcF+OhzxOb+2PyaOJk8F59tN3Z9B1Bm+81qKpFQ9/ qlwGGmopulpGOYn23kE39/NRqasfT+s8PgU/kFI0E5z/SP1uxM+KSpJNnJ7JMOdBxZqBdDvPEIM2 f06pvBimri4Yh0OrzCy3dGPHVscc2CPUcVa6Nf2htNytmW6cooy7GIZIObW/ckNohBMTrifGqngs 4wqmUfWykFUj0XlIiYb9DIPMP7gzSkSIR/tFL0fQVpIG66L0XEuaA7iz6+3HvNdaqAXBHJjtJrAz obkn4BbIafvjQdUyST4NHANVwvaQlFBSiSEhOalyHxvvmStqHLSg38oPBLB5q5quKu5xRnY1huhR obNpKA+uRwdtk8gaJh2s+1G9aQqnMWwMep6D97gfvEh7CgzWdqPYOR6DwXMcKPUrxHUHh+Zk2LGX 1/O+UZ98ctjts0BpbWh5CQWI4H7QUy8AWyyBT3eW+beiXrPbNohLdQEwPzE+q3YNB/zJw0JgIiw4 JM7m6lpKMobvR/cRcMH5qRkx8qzqvjSp8Ap6tupitOaj7Yt1mpaupTLjWEp886ig2hP7oV4jicZ5 Rw6u97MxWgkmsU1FT18QcIUEGnSP0jl5UjjMuMP20hdpEv/tnSUZJkFLKKU58TeHHPHT3o0J9t7j 3H685cD/b53bga6sJbazxMLy6GlJBCpkaSD74AVc763azXPCszX4K59WvusgdEzf1xAnhiPKq2Gg 9BGATmkFVLkvYyCHMEByRvmTekdTnDUahONaYKnljMMgF5HeMhJj5xP4UxSMR4Kz90uvNfVd7Yj1 ytrr8D8YZcsBj1VZQn39621jHI7ie49enrQ+oX8eNXfnmnQYbszc8Q5y19uckLPbo869nBst26xN r0BUP2T3oKIlfob+DB04wwqAIGjoAD3Q2Hjp2rx84rdrfxvjMFJier1+S7fbREX4OQb8mKdMKPjH 2Ce3nUBc6tzJ+dV0fSMzBNAiTi5Q6n6qKxbvwT+r24G2Q8gL9AcLVCLXnMtgd6mSTjVLKh/nLExE LpieV0Nlq73J4dzLWgU8Dyo09YSwU6Q1GXMmbgvZjILDNveizgXZ850HmeSLfejrgqwsktb6LBdW UYj7IZgBrTjBgaq2nGEi4jJwOfSoqmSgcrSuRhF45UiPusanXrxp5PDxqNo6ou2eXxJJ5X5cdPgE W0uPHGLRFy4nVfNgjqDVoOmdN5SYr79HZPzHkE26qJTBS2iVhNZn2X/f1U1x2nF26grJfGg3gTsS vcoPbyqgC7FQcix6m+WKC604Uczad5W6bhl6moRBjGV1Yy8cJYwL99krncoT+bVfSG2i0lu0pV2u vL8gC3nWQUgXneAbOSZx4RwFlJwoUNuQBjJIKuQCpDdl+wF5K1IICSW8jr0fN8CNLHsMAxMIAz5V cQw1/tATu9pt7Q25WzDYH1vFJGCAT299dwnJegE6/mbjEC1NmQ9KE+DQ7TpD6f6eRTN5X4Z3UbW6 qO3Q/XtejYORpLWT3EqO/pyHwt9AePuf0ZOEPN2gk6uYuFakH28OYWHOlYENy2mRquy5zz0EnW3g Ead3h1qaov/E9OiJpd+lOoh7CvVrplqy7QACxJ5EqQsEHppL/CMPjdU8hf38JJyfN7n9CK3rV39I V20jBf33K65Lcfj6RCFGm6878J30jyHT9wDnsPkyVFIAIuivqjb+nEXExC2NVadhEhe1l8Mnt/nU oRy+Uaq7Oi27+eQp/G4G3nCel/AURz3FNpChOT9JgjwB4vdAa8BP4iGGs/xs5tX05X57UUKuUsQU ybQ4eQ1IxJMogDcTxGH4ku+b1n9NeqbR4g3SzQEaAvjVFLwFYGv5v+9POr6mInnftJOH2g787ocJ sArIWX6Ibz7yvaOK0O7T5QnoIiW0KG7bkN+Bbkn2E2ypIRFSEPsgBDcjvvUga3q5O5Jdjdn9IKfv iDzcDMSyikw8S6SRCWv+pdfQq0mwgrkOVZxmAJsNTI9rtR7VhmyQqbrCET/2W912ydqwRe+ML17N I7s6FPb65cJrL7KkT/YwyfqnrkLnXeHnjRrr1UZFcWCsrKwU5GZkgNraep9MAYnn8alPJ8f5+ZpI ib//BGe1NC5UThC50fmELxCikDiyjhdhEzqoqJdtwmeYu6I3aq1lwuatv+3wL2TPeZHFXRMSPFVE QrywXkQVOSP6IRsFGzrkQPiBvkrE2Lt9kcjaHQAGA3sTQDLk2Gj1WTzBroi4WXI1GCOJbqjEYdYa z6t3Y/0D7rirCs37lYpHIkBD4DQy6o3LjBp83FLLKoHzDOHeMNUwlCsvLQo2lt0RbVbX+z7BUZwS R4SJD4qdW/vGOFY6CUPL+VRYCguJsf8xmrqaaIJ34vstY5/1ISmnhmsd5kh/uv7wdnWwcEuXyJ67 MaeVJlQAC+o3czeBTEFtxVM3mAXaOhgi7dLtfyC5LDDeOVl5TeIQODqfo0ELYXRlCLZVvgyES5+Z gtG/wtoXOPrt+J+MdYQeSHjnABpZkkUyNbKJAdMzmXwyjNBmKEBwmolVU6r/cY8TiieROcx+hKp2 bMHg6rEuwrZdXozlPpLqUedxy4+OxUFv+/cjIC/I0MUKFeGgeyOGGYCB3bDkVXI5sv+Va7d2bEDX xoapgPf3MhcGI6f0d6N+wbwDagd4Q1EkwurEsQVJMqlLhTzpDQVXUUO/5drUJz8AZt0JGd9G60Fw zgLHeSTeo0UGzEmJn30OrBH3re8vrsDCoqJveyJg9qh1QCWhVHwE5DENLQPrSizL9JGTBUCFinRV 3/h3JXuVqqjqkD8OeZTaXfxwUeLhykV4uHX+RUlcvbHgHcpQnBpCIq4/iJs+ngDqG26v6hAt5EaX nak2+cuauui+vDmKwLrVOoU/J+QB0pynY2ayys6fXLGYTZTR+VcHK2VD/qcpd2/HUsLvrk0ANKMg dF/uhq0RnjV0iMB1DZRU2DOpnjgrbUs4GCD2ZtxrkxryF31iFGEKu4bbEOnrrTiO+3ga2JyYYo9N aKIywDNH1CIAqUASeCIyy3io0Yg2WUXg+pOGOjrVSeZRnTXH42NxCLRVsk+8vNLxiiSLlSmBTvEv O/V3si5mVwnPdRULedZcylmG/03rXwQr1roIl7ZRTht57stdqz9+903knw6y5YO7ZCzsKvv0ZhKu F+Np2X60uIqP8BpK05L8li0a4ZPSlRpKzztY2pnl8AFL65v9y4AADTq71KLs8gFRldEbW62WXNs+ T4AS/2A4Nst0A7m2qtF/gbiTe4ljhoFLwZPFrQrFg+qSoBT/3NFUzRZfKWO+gxFMkY37nYW0YTpA 5+HD2/y7tDCsPlNanJqQc5+wnq/nHclRncxRCZtCTrwJqxEJIsg8vpL2JOxewSEGweQXglEGADnT 2SfkZqWvwigtq+oHsZQ728rPc9uz3rTsTCBlwa5r7x19Ivf2z3yturmpOrCw9heW3lQyoOgCMYVo BYzr/JPaLlQrAyk+wxBRqKBs0i9npIPMD9Fh4W3FpMpUndocQRS65Cn9W1JtlC1uexD6SXwWeYnr VgELGB+iGQDtSwqPf9iwZml+YqyhQjM5AFfVR2bLgzTCK8UTEw/SVo70KBDta7fJ7aJQaRTIgr6z ZVEVNcyvh6KfvU1dMXDSBNbIQ017xWMCZzJMv8eJPXxseTYNozZlNxWpIgwM+LInGZvQlZ18mLVe cXWxWomuFfC4C5qf6ouehBBPORmagbbrcq/WeTFGBA1p051mvrqMi8x4J+na3UxFjtQv7kLAGJIA TctsBstO5RnysFoA5pU6R7YwzCktMB42ZhoPR4zT/fSGd76oS0xghM0yilhUUG/d4klomipznO6k Bf6FNJL0+8xI9DQUqnvOiFlPqjw0l+itrP78ltZlMZ+URkM3X7iJfhM7UBJfx9NzkBKz+VIsq8FM TKz3zz7HTWCf6vbnBQ40u3JFHJpsNdMQcLvknCBwsr3Q/uC3QA57dKWkwTa6hmEEzfmfQTSaIobU kAGY4cSdqA/d9v4c2m+y407O727zfGipuhBwuADVUhXm4TzfI09dbpmqg9mxPtS+UYiCZmIPMuA2 XP3W6eJ45gmFAQCjAjonT9nvdBk89tuKuryD/d9EO5sYAT3vdtuabeHtrENuGmQ3zavq24rOfyW6 L96bSq9iA2onIFc3jce9iT4O1OaaaaGxywGPF1QQ895/mCRA8SnBF3YLLWdOY5uq30aZVZ3GLeVL VtAyeE848kKR6GzrCqFlZ7NqWQ1i4H3a1x3j5deK2DpLFPd93d409m9AGmKmGuITvGSKDuLjpObv ysAwJeIDLqVLSltMH5suzWTlqF5wApsDfA31xmeyGMnJzl+zLpm7iNyQHhigm7INjLf4wIy1l6sy 8PNQ0rmM7jmagVVJFlW+le6plfXmQQhWhj1cYzhkVEIxFk6PWRrCix+tjeN7V8cb/aqq8F4aqdiN DMfZ77ADr4BEwoGA28lrJkSiKqtCHV8fyfF2deKhQRFLk6gYLG6llqB8yWF5pXqv3AEoZ9C1Jkw0 6AJLSRjqxKf5MZz/aNZ2GblOiKekhkULofUAlqge//gqgOtynaedqAkPvvLUAYxnJbL1c09hyYBk cFAKGC9P460wnUhGxs5BvxluoAz4mQXBBibitX1m9Hs/3bdYwS8iMmEg1zJZR/b7B5ol2xZsfS5j 1rzZPHC6QCfECsYRaqKw+CZJhMd3Y2o3ORLuSxZVfNZdhnOxHhRVgEHQuTkmEvHrBkWe9URM0FMJ uEQIk6Vg+j9T5z150SrHMJ+EBhUKQMe7EHwxH21hCHjrOEcJI+EpmkYmm/P0T8eL9u7RZ+/DybnA ss5w7hdkPsihLQdRWPgbywQT2VKBMXPXZFAtJOXMomI0QgK3R3heKUexq09504PQNiKQ+7Kejo84 ZnkQHWLtdATigrHj/DVR2m0Vs4u7om65YIIgvg5i9fHTazitqY/2hoSS49DjK/locjYC5HLRsoAK y9FEdzmF/si1ZQj8c/gDq68yFdzAH49Gvl6kbOhlNTNxi89p56p/Miqjmxt9SndxNtp84685sEGQ 6vSAUyM7MmR096XeG/ijWu1zEGzNCjSoKa4ziRzYnJkWDiPx2zM1BKaZvrKRTC05xgOBnH+aUYNv VuremufcxXmV9kMY6UvhjK1ZXa7eO4em+Hxu56x+wAT1643Wa6gtyEZohprbuQWusm/mVoml8/sl FjtyKvoNAbZhiCBAETkKmkDLwb9clz1Kj4zPINdGRhlG5Jg/CQPRXmfyxEJFKmFAiJoYlNAosEto FJIw2+rqAIP6GOETx2RY4Bdnu701j5vbRUyaIj6gVgqj8XodH5+SL6rYGbIz4izZajb0qNzVMm5Q vfeRIuz7VM7m2/KFTFwU7TGmZ52ognge0MpAMj3zLGPhXIRvxnpX+jHm5irroiSwBVoYv1mukAj1 KOJLLyuwz1D91UnoipdXy26E09gFS8G7jIWhb3o5X4iU7uJjHHWKwD7qOY3k7MzJBrgEetIWvgzB o14u9S8XUiKUimiSyrja5YwuqNSzPyIAuKusgzqlHZlgYYMNjEumv9ACRquzUm/pDlnSaB6AfWJX EktpUeW7NPyJOcNwEZpdxbf6U8wyRR4nAVX86kKGZaGGkUYK4EeBhmQNyCHiB7HAQthQ/5OOmhbs Ai7Ms+ZSR/bcg6/CKWbWlM8VmWePVaIFQ0mthviaCQDC+/yN7XYjN/30wscuJbj1mSVH7Jw7aEns Q+aDxT0hme/aHDB4vTybQ/Qor/E8q9AkAZ0bKL2owoWCxYGMBU7hnU+o293ERW/TD6uH9Bt7DKMt CqYo/QI7/H63AWdSe52iDrkA0TOvXfTUj9fjM7vagnOiv5kKRou/NBO+ZBwJ4KZAlk3sz+5ydpTO ENtKcV3I1AHtB0WEy9qqBlSVCAgQliKLLRnFdGAo6w3q/eHpbZP1pLWolzFtyKxjLH7jJksnv8c+ 75IwhzdfPyqJNKwX+M1hMuglYlDKPkF935R/cuo8lEYEq/Us5XRGPndLeR4SpG4VRXbiUm/GCZUX iCaG5Hr44pMCG61uuQwY+vOLCypXOdqc+1ii8HqMskTHExlfGb7H+NU7IBmCDp0CpgiHEgP8hrvP TuA88pWuJHPeDt13eoTmogCnEs7ksqd+WR6JPhzWtopbtNW6tITmPzCMWXGFhqd10+H4WYW+kdD/ UjTkYhDrWrS5gfwEqtsxMBgWwSB89grb4+eP2VqQJtE8bvhQqxqDWjf8jNrrFNuVBJ6yBPyDpHIY tgDn6xGOklWK71GgxVus4Lvt00KC3LL7WxxVBBFSNq56jR1z8SjTJ1+BYS8ZOYWD/8DmTVvaKf3X z+vQwbkLuL2Lx5qkSOB3gbIz1He6qrli0qUP+6HOUImjx/woAmZ8QwNfIN5Vo0dAvsalqHWChhTL 97h/hVXjXs2tzrbJFu2zFchUTFcovglrtr1TrM50RQvwNbWNklpqkeJVf0YSmuvM1OixX+kA+EaN feK01hDQZCwiRy7783bIuHn1EWnR0GowzDEBFljUAsU93isUA+yKp4aMbcMwSBw2N0U9f+5Wl4eU pd/Pd6LalCyg2HsLdedCNG4qomP3n9sY9oOm7LyP6uKrExlhpG4iiXTOELrp94U9BToZFwB95had N2QeznAQ0RmWItsQe3RUh5j81X1joAHZQfL5Ej+zunLC0p2nAmcYgCo0CevAlRWyaUysGGJy49z9 TwOC5rKaUadUnz6Xz0mD8NRu3It2UZBFEibTelDRifJShHR9bpp5YzluFHYkLCefy6RArElPo+xm Ld/2prw8wd8XLrVqqrAXTtcPYaFGV8vPGrIyoNrR/Kxp+DDqEt0dhHGlmtBuV0xOZryTZNcfTqi4 6pDKIGj8mq32nMHTavuJJdtYqp1RNiC5mt+mZejTkNqUqiH5kgyXZb+g0fKHmbM6TTlCNDSq9Rc8 UaBuLmyvuFFEt1ZiAYe7RTaBVFN8cn+ZQ3TvNK6e/KbLFg+RweiZv5FdNvrURH2uh5y4KnN5rY3U VVGUtVRk9728w5/l0bBN1EfgFUiwfrHY6Ex/EaBXKS7XOs0NJ2kJa9oUci2oDGN5BMZ1KCI0E3mv B3kJLBBdd1FnOiBY+9+5DENsfdWVAlBg9WNAj7zuQe6uQpLpk02u6w1fGJ0Am0rTFbaGn0KMy7kT i6GeBJgeuOk1jdbXbfX8sPJrq6+qpmtb58SO0nnVj8Wbvgt8vhw3Rt2VcJkv7EADENGFIlQeyAJP ll7HtZ1NaeK4JlSMmnUKmwjE4fIbImvlmkEuhQscOu4BSurPQr4efR/sF/9i9BCSCoQASL4Gzu2A m6RTAqlKVN0tBzydhvBWPDfLLLHad8GKZfDVDS5piy0ODJdrcEoW2OEMQ+qB8vi0AW4hoRVayvK4 NXu27Cbd2RnmQZ+3yD/gXSf/T2Pk9eIDiqAWozWjhTxE3BWxsBOsDvGWNXHuRQCOj6wNqBdA1j30 C9YeS52QE50IU6xNK8p1NZa8+N6w0tTWXD/YaWOXsdiwzpOHgHSP8aPuTfdxB+9EWvD8Ouk1M3/d fVylb6JfK0T7UFb+cgvSxV7zs00vKrI4GMC1KFSq7ASTZ3MeYmo5MWXYk/nwpYZB4m1F3tSxdmqL no9ExZI/QpAv6ojjclz9qDzev5o0ZMLMDIyNtneqyjP/tJt9KJ871z49slxaUZYbKf4ylk6yIsax s9T/t4fgbIlR2OL9phLzH8zlfGsomjoGGpTwH4Abny/tOLqmOggU2BvcVGjxUg1EfX2Eo/u4ME2v kyOPPmUAM9iZ5BsJZlCoxEooXNFHEibSAGzFKtOk1RQ8Hhkzz70dK1bhAa4vU7SXgMQ9u37Ukr6R nJWdkwj/t+lbMOHVO0Ta1lzO9ttSlC4w0XJ/mKhJ84pYXrkbwn6BK3Pb/q/F9WGrbIgOEI+6O4w+ 3RoByupTyreo2rtcp4J/qV9CZN9dBNDM/XqCM9b4uMyZ0VNOmH1RpdlnpoYf7bsnHXGZraulpOwG XEfXDrx5DQ4yxo76z2AsIa1nfDFnRqHyRCw31UMCHvt8yDhYKYKo/L/V72f9Ywyup3FXqnVuH8l9 1PQ3SkZ6WTLRZxfE2VD1X30ZAmdl/HXTY0dmqaCFuRe4OzeLLsaiCQyDHVtxT8JmZ/AeGnoF7v7y SAtxRsnYOQ0aZyXqi4ecKKLyWAZ+F6zuBdFd9jn/PDvTy7H+7c3Hu6nysf/tKz/BF/HjFAssR+CQ hDkFqxP9lq2aVSPiAiSQIwTGIfLhWVC5iGJX9TWS2xCYVvRH41mSSPurSrZpSMuZ7ubJ7xfW6jv5 C9vp6DR2da9UdGD7oBWHGawjaH0BsvpcSLkar+bTkAXjAzkbYQfUkSDQiKNH5S8XzijgeKF20qmU XfmgQBnAB9VS/oB6iBB+1GyN5Uou/XuzzhssmFC/1hZxcBGmwRnpR62IkxcE8IgOgCkVzn9rGiP3 hpYbKauTDPsDfZXSI9YufX+/LcOrNUb6wxSJHjyFfTstGTVIKKWy5CJlZxGpH6OkqP6XeE9Z2BYp I/oJ3vw15hUiccJVjOOpD+nE8/a7PRH3yMNkbgtrp8nf2+IcTBJ/DDlKwtDbtLd3BYQKSd17hVV1 9CPKnGGZIz0RWrlB/iDavqXjW4pNjn4pRxXSLnJqqkdU8FxrsFrpOlleIghTatTA5Lpa6lsg7E/6 RQxlwIX3Z/SFBZ/SDR1EeiqIAnnL7ogiYJsAueRgXNZDtjKtsubCkUirVczsdHsU0L+uesGAzSZs UVWE0IQDQEXEXFvYDUD1kW8Oe5TzhaMI/CJSlHrY3YeEADDcIUD1WCRAmPDN1y+dtHU3MLxSyLhV p35xVJHDOJZRZoCsg9GOYU+X2RCkfq7o61o7LiNwuEqHV07A8s9FQT13lRHO9r4= `protect end_protected
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/rd_pe_ss.vhd
9
28156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iLOC/zM7Joxw/7u1/3kvLPf/gE0DI9AX05I+qEOauE/7yu3hZQ/vW/F3DMobAlAfRXjv3r131UI4 xp3jO78wPg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KVI7kykeCSUX5fBocqQpSGyifzzNp8Wh49gB3SY5aCkcF70ujPDG/9hKzVEDulBUoOtbOqYXhoJ6 cDn5xn6BYki3kuRcj1mZItS4T8QaSDgMTEM9Aijj4k0hN6ZLETGBDBJKg2OZZ79WIQrCLm+Yp+jA b9eXqd54dvp4eMuMoF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qYUG6c35gMmWOmJ0+Jjb5OdGb2PCL0JkqR78MmUYY5UoyvL3BwaxAiIKYYVkJX7CEXshqD+eBNI0 9W+MVH7wRTJDBCk6PMcmsu3NeQu2XZMDibSGlfuAGYgFFKMmAwU1iWlGD7S5ZAszDxIG7Hsub1G3 cGB12cyCQuhcHZlpZtY3OJmIyOR7T+UzJNJFbx6M7i6pUZFQbBnvjcLm+HX6NInXcmNM9wltRgWc QA08ofalBcb/79RDwtVfdkQDfNV2q+E28Pw28JJ8iQGzaRuMeSskaCSFhD8B7An5FogQZOgaxqEO j5vMiNlwpZqnSG9tOie5RYD0VydWTxnYXBO0Gw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A+EeNDHsLpmHCom+HasfIuBBRE0S3bFCigDt49+x3CEwcjYWjxIx0qCtRtVq7CI9wSm/Gin+WWfG 91dfuXZp+eucmiP6CHPUMHYExivhUTYpZBeDxxcPgEBLzUE6gaaPNLKU7gnB+L6RM/V+crK209sI xnRl4bgcMqLIseSvXtU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AzEbyYz2+7QSiim7WpYfcDPoQjw6mNgDWG2fArz05h3/f9vy7U+kvSbnU76BD0mI/hcdrW+NdM0u WayqP6k9VHNoaeVq03RU2UxLJGfLG1pGdUvSG5M3AoP6lKe0tGtU/8OU2ukN7RIIxnFPT5zhqDZ1 bz7Mq+GC7cYzUWbYi8FRC96SdwHeUzfAf5SEfMfH+YvrY9MFrF/8eVGfIgzjjI3MYGgC8EkgyuWQ D6m2kYJukFy0EcVvneNXMtGT5lTBe7PkgvpUw8UjK2OEv+w607nnaO5HDvgeARyB5d1AhXWLn1mj 1Kn9vGxKm9guwUGKwthrvkUy4jU1ZgFIlTeLrg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19104) `protect data_block U2sqQ5Qkdfcwvu9O2/bTBoNh51zIYpjS8j7C3+fMQ7h0wN0JOPdBtyrCfdE2QfLKv85tSc1BegVo KBVgvvg903b+N8RMX6uz+ksO1DPK0Bc/G7dtSY1RFMIuhlsZ0eGDXGOOVLLlLPpqcbIR732iHkNc ei+L8oALEVwIpf7+Qyuk6wz0ALJ0rUD+vqp5hfVGyYRf7zEnD4pweTWN/5IJ5K8cUlnddKFLIupP mqyWRXQjL2LI0oXpyGrtiKCxgC/iwu6a7l//KqBavg9ZdpB3KYc7ss1Z6GnDCoDwwnAeOZAJbQpL TM2Ygooepikxl3TS+SX8B6yHp/EmeLN4AbbYyuj4cEKbIDpjSNgq3cNueoypY28/Cw91Dr+g0sk0 m5COCkkhSaXJoIkDP8M3ien6i5uCbQ+edkb72Xpo/uw9LwWe2WgIOHHl2q42fANP4pBi8kI5GUzY Ow+VZbdwHDCcu/+EGN/wnD4E2bzMF3YvipqRZ4+dlNd1CqAV4zaz9jngH4lQi9DgsER7IrhdISKI +BkphY7K4mDDXoFmwUCalW0/mK14Krq6D6OAgPOupHgQM9gWSCK4NbCl/BHbMYhETWBXKSwhEevf 0ouMElCCDzTQPABImhtXqp0+Ln+EUi5+ZiyhaScNOArUaRSg2A70bOnkwZkpKWuIJ6ekYvdCLEFx WtpqhxsBj5L+w6eDX3cQZNoaTePPN/dvunXBNYRioVdg121U9CfggaZu5m03/zO9Nr4S1mRfPH7J MQ9omi6giypyGXiNukrIpYo5tO1sezgM7Blafx+9qKOrTcQaeUS8KpQXXlwupNB+m7Ons2HmzO+F zv0fetMTignnAw0uvTpX1odtI17TGBLg2kmb3vbEZKMXibkQRWWKGwXaLoVaOZuRpMY+PDj1VKUO VVmboN8Jq+rm9yFAaPITFpCgz8tCBrT1iufL/yHSqCau3cdHHzNB4gCY57dHeIxBaGHBVz8KITj4 DLp2qbT1iRzRPqWD+2cqojGL35hsk+McJh/8WAshX2O0ECwWRrQbsXSI+xjLn4krEqWEA0pIfZWB mrZ+lw5/INBGw7JPEozScLYfUvV4NKT769e44SZ6N1ho1aHDI05k15/sozEOhZLYNAnliyrQBkUf ir0deJGlPH2XyOITsVckllAOzwUdAQPqceyTMjL1OsYwe6uMe02izKLensA22wrwVKH9n2aclzu3 Kdog5Xju0HxfHqeWWTFsD0bJs5TFYI5lKKmnNMscBKhOiKt7UpFTIX3wUZWHOUQcMPBudHswP1Rz XD9dXP4vUYviG8STMAt72hjJgHPqkM7rN2Mt8Lj+6pXTkLWVNMC7IcdNmSl9GFwBnTvv/7sjJKnD QOvKabzUW/boM5vdcPU8iOXd5wev5EiEv19KrozoWB0H4gEK2nlym78UJ7yWvXZvV4CBpupp+ujY V78ViKjXIvIaFLvnEhQtgb/4RiGerkSqnveTYPLk7He+2H3Sq83zT6lIO0L0Nc21I2Uknrg/gGsN WdDFnsFWheAVTj20SWOBFtd2Gl8Dp/JVlpCX/3jvSKgF5AGAHUhS0gJZGLZZiymY7Q1AHbZr63sj QqWvXavs0xlIJAjDlCEE3iJ7sE+C0F96O1ek9EgE9Y4V+UbuSqnx2AIYHVy/nD6Qd7k+JsFoxhhq pXxJRybnHDrVks36jKM9SCAgPX2B7nGfXnR2UuCU9f5dBeCxzpyLTO/Cv/Iwcg/C8Z6E0X164Usr T0a8As85XgTJ7SvhXSKyzoX+6ASCtmjgUdR+MmnN2LaDeFQBzdjKlq42ulUAN7hLBEoqFzYAnJBA 7AkonwxuWY6GK31wy/tatnbwcaB9Wg3MFlDfRQakcGMRB5+4UqudfEwzHFW7zY9sBxDQOVi3cVxY lMj0stJmRZVg/jh47vWLkb6nPfGL01tShHouP/JmBUHO0ib5JVGGsxPI/w5ZkS012uA52WWe4AqR aNhXBT/Wj7xly1nvj0d1USAfNDiHDeISRI61MXMw5vbg85KGPdwmz5E+iJGZydtlaPn4NGX3klxG NZTqj/PHYizcH5jxsyeLEPUwnlPHvVFAgXl08dpCagKsPCRGGtLUNhvsc9eqBLvOouwpZL2+zEYT cgA4BRcznT2Jm//EZGihHc/TS6ZZjihHBNH+ZGbu+wWcTgtrodj4qEf6TyCoR0jvgMX9RmQn3if4 Q/NyETOSjRzVrQWVuPmJnKs9etxfSlESgwxhJ8Ba6sAag2nWQw6QCyJ8iSe2Uau5pYhtJjwAxCQ2 zEVnw1i66UUOpKAY4w4kXg1hvi6hdcEfN2NcH1tm2oZMB0BZVRlAEMEw2WLQR5/tTkOWMdC9GzNg vX3NkkZAiq7peuNRFkq9+K3TvrZI0tEkcKtpDYbyexU3cC0WzWj18ES5qfohi+0pNXS9Zq15VPmP snqeR0mD8usuuj0+03rfnxzki8CfJFRhMT5zAhpHjoDhZ+gPp030Yet+gAqRvjX08iGKNOXUy/So 0kuzLpOY2V4idoOcmlcrwkX7z8vj3NFOQrr8taKkA9yjvFJ8qWaBK2+8H40YZ6pNNgfytS9uadvK iiBNTmpWkWYfbTJGw+gniuLQSXyzrm8WkbGc/tDr9gygDQvCZT3fRlSdQMCwqo69sIYM6gkSBZSP cah3ClpcUoUkss0hrwiKKYUpzT1PuicD2lVIDOlAV+Nt7aVw5mElDXwwV8Vb/hpRVx8EqbbpeIW0 kUHdZc3HgPsfbNg1N71zRZgHYTEJvidEWkh+PZ469qRm0XbO/G5YzUxc3FA0cnucG4vT5n1PiKpd 15MHzsd2exRokmMpLb0k3q8xP/Xr9mokDnFT1hu8eNNL18QrPNIWrntez7ZBJLcX6MmgXSLgBjEy zj8rbDLpVPIDLb7zFB8sgbJtjUa+9bWFQY3AIEouuU0VY6ByqgG/EpzEbfE0riAFKnwNLdgZt7yh VU5UhDItVDgqacV/QCMriSLeQj4ScWRRf3GUfQb9m3rg2X52W+uV9kKofy9Ecwbvrf56qMRxf5So BS4G3WMWB3FW2dvXZc3vdU23MzKP3gW513Bwr9QkYZt9sbQMCVtCLt2DkugERw9mnh0814ew5KB3 Idql4GPyW+/LvSky5sdZhXgmFEv7y7E4k0DCMBS6L3qxEysEl/SBpW3bsTqw5QNuauTqfeU07NeO iwk2L1xfiBv2NY5fD1cGw1jkdH2E99KKjNSvW7em4LARB3Q5J/uoXDOM4zuYAHBzlbgNDJHkNjF3 BpKXxO3IMFUq/39LcJnIa4ZTI5ScvfKtn8QucLyDcB4QDXXGLg9uArcgLSkX2H8csW3AByOe2DKV ORIwFbDEf/2cqKkPjXWUgllCmGRnKYJgmu/5oH3zBFFG91P3MTHV54zZqiKjvcUfuXisgVim32fJ 3QMmlHHDE8UhxLlI7CSl3tZWpMwVNvHipqSXfHfyrt7YGGE72Lb3ncTeSZfxOsd00+1JPqEsYIxq uUFnRxMTIpBybedLpvUTth+f9qv5Y7p5i8FS2BDDzqAx+Jjg/vj56kz1Z6um2sjM07zZqG5XVxUm qZhIhpo8iqGbgYJ1qz5yALxVSKNwegz0Z9HV5486yvXuIsXVUJhakMemKN7YSm891UcO3Z4aVxlf PXN6ug5JQJJvQIhuhZjaYWEyN8zWSZHWR9+LkbX+ZP88dA2+hAK88T03OEtNbUxTdnsbTNSA4gRA LClvGXqNaIzTKrMWkY5Q1GG2FRL5ZDGtqHNjgmeIApCXbvJaJPmOhYSULr5hWVTA8sPHDVAyZQ44 KHlf/kYd2vH4CCndKgZ8NPmH5MOA+X9wV8cG1yVULrkysoVc4FMw9PYNTsKJx1YQQhErw6hgYTgb oJNm7dyNCn5OB3i/s5DgxFEN85C0gSjjPe7YK9s8wgM8EFIjjdjlrgqFeWEhWsUhPiVsMisRmXGt Zww/aqeNXbmuKPg9rQzf2dxYb1u6BvZAZwGEj/QXCpouYHA/+sqV9nrLS6P1O7vzl1ykZjvciCRW piT190KlFkTmrQPA8XyM6YmLLGQ77OL//RfHCKh1MqBZ+XIT7E7dgezchbdggf3dqhCUn865meWG 4smMmUSw2zPjh2a1dQtMj/TJshXb7GWVPLWEXkqyIbeajDTa9PxoBrk+xJl2XSOaafzLG2/AyYTX daD7xWK9iRYcrf5qb/nEnBr/jzPwagIL9/YIAmhFBHrU3c+O3wA0DPGbe5LNuve9RFYsznRdRJ67 TdeU1C1zDEB8UwjEISHF5A3sunKHdHAvd/+4WmWS+eZ6begy/Gmkmh3ySlPvHDlliokxb5IZb0RZ GDRSFBw9IZYmfaq1e6VpE1kzqsyzVhD1c+X4OUp63FT5sZEowoMG+b6JgTlJCdVjIyaIBVP+w4ja lR78lcf0mjRHSuMS+/78XsViz/S+dYOGzS6EBdpaUoGKzPFUBMiL+fKfZ9/E7OQvFDWWo0q79pPR 89S8YN6Cirw7+Q5e0VehaiLY7Gz1vCtFi5bwjdRarfIwYZ7lxLE18lBxvITbvJ4MRVprrHMNhWKB H58XpB4xYsIk9OFG/q08w4ZyiYKgfH2D+Byjt6B/PnmSb/p4NX+mrFsXyhDkIEj+Z7sGhkG90myz MmJiURPA4XhVWdSNpF/YqCr0Ap0qiD1Y2TwUb3I8DRaa8kw4JK162FvcZUykoIMOlNVrNsYNilGN uFrQJJ0faDBuQ4NYjFcpfsGJKGItzVo2f9Ypgto/S2QKBpoFchVV06iW0HLpfHcTb1RSrS830Ge4 kPoRxqCaUA4c1wxz+FILYM/qzVoaqfEEsj2dggXIgWtXPfXkXtMudaKnGNK2jT2ffBFRkKQ+L4kP zP8Cn0GmGJr1wROF38GUMbdYr8rAbzzG0Lx1d6wltxUD34fZIV1nsR25jdeVG452aH6RhbS8KZZz HGthRYwKBnHxwhAel9K24H1jIvxT47UOwm1qNczd5fu1sef8kvTY5CRlsa+wAPrxZdbYGW/5YFBu P6njcMwx8qqfbqr0Ieox3+0VxVXwVivS5ILZhLlyUnimvenItNJl8o17+0L6oh473HKBcnb9ixSW EseEXfFF1Pj/tB4nNip5oRorcM09jHWq9wUzL/5Ckz1NgcARMmvPCK+DWpvpl1kPwQkjyOKc3weO WotioMQadHnnUQres32HHBi2Cf+O+yyZWurVzZkFrbUD+zGVHVd1vXUvCNpn+JfzXW3nu+/eU5ls iYJKPwBOjc+kW5AryeaXTdo71wXYuaEu5QnNsy9+bJfzj9wUyIfQ+D7qIbtICO68jqh0rXyE4Yyf cxYRpRkIdxRC/c0PRUTj5htOAC8AP0F7GHDpGRXNNbc8hPVkSF6NzqDJc92r+o1L/XIFC0cgrAOb FDobTZTOGk6a//0DkvqV0pxKjVA6n8yM7yuADO9MWgOEcJmJ08vPNwn30f2gzyt0GAd4GcT2lLLc VUf4MFW4LqpYe7W46sGFSTqBkqB9crzIZAhlGc+WzZ9bYzSPg2ekS+qb04As7o6Rx98FtP5SOWsO IcE4xGqZnvNbfQyQ8H4Wp5/IETwbdaAX6ymY2Ad4nB6Ap6SHvMHjgs87bAvDx7vziNPOrFmyMr1c hn/x08yPI3Uq3KSq/u8WgDAABBcUB0l5HpcjnANctnqsesnBPzNOA2onI+Yl9hzh7TOvuMneYiMx wXlk11q/3kehxlbqvsv5wjn0Es+wCAtNpM+DkthYuNvpGkY7DS1z3+8KhxH923wrJt2UvycyQVBd VYGsNfoiHmdqjPCDpfuGywEVa9Am3fLN4DJR7tMbVChg1Inzo3meUhMA9QrYPbTrMO8614hVAwRW 5DqEqYSXXBkGEdgaOP9vqdA1Xan5VR6UuXvWdXk2lSPs4aplZyjn4M6swkdNe7SotNjD0aiqKaSV ckOTH+r16DFVGPovX/Xkk4CFBxXX5J6Wp4noWMHcXA1L9mExBp9eKz1RtQbnr4pdcgG8dlgz+HAd vKHQr1ZplfHJyTJpxge5PUCyMiDNHT1IE6jNxX6FexO0Qe0I1FvyDRNiMFiohUglhlagrK7iOfZT QqsTR7cG5trwC5GwlIjHpK44zC2m+2/6niHegQNVCKYJj56jaEDiONRj0c74jyOaV30b2e1sYbh0 tKSIPaQaAvf5HJGoDVE9mMW8vHDSdMUylodKDBZkG93o9Ry71KFTNs3fpfxvJM1fV71+556jdIq3 9PFPr9d2KASHco04biATGA68QVFQrrAtul1zTnjyGQl7dOCI6WJCm227O5nJ0+AyAj1zWWU7a7FG lNl0BbFCl3d4qm3TOejuQcJSoiK5iWhOrQrLfMlLTLoBeeiiVETvEhrn6ZzmJus5lrB6JzrGFg6a 0hJDEKyigFgLccLVaW+R7OFdL19Q45cWagAAfG7uxnDTgM+he1akgruDnQ+Ddeu3fXubS1pZvO9C jAqEC1tWhuTLpmUx6xN1QQu7EPOyAxKDOCZrl78M3w4dyyZXUgirxODO3qK1ZnlZoF9XMAV4kK9g aTtwpHTQTDrRdAc5ZqWqc2rb9Ari3d8qSkn7vtqDUK+OQstvyT7grdzRhUQ1gQa1NHtaCrEklgx9 9DRvEN7IIQVPPot9VLN8Trk5S5gSiCr1w0W/LYWS5QQfH0+BYAY+0CrruoOMNrsuwo9kmi7uaUIM ylWpz5b5+Aw8sbCeWjyvToPGn5z/X/TsblxVIDhZzozJOSxP1KdBBpKI1j99ZOk9yXcYx6rGme9i 6rCtD0qWMXek2nHjFZOGXvttWIe1WPJIbo1eYfwXk6eZHAZ7G8ljBbB5A7SkCuITyzU/CTpi/EgO lQSxzWlUcvO2tXcAnp8HKYtVDv58hH62Ddp02SUrHjqjo3sUIRnJHvJTa9kZUM2Qg5zjrCK0LdE6 RL53OYsSIN9e36YjoGijt+R+ynGSGaLp14jJ/DnHF1JKC8RALi6y1r3Yht3OtgnoF3XM/ScEt8DJ 09lrFJHf45zHzH3T3HEEicN+XOgxEOc/6kUFpUxQNoCIFlAe63YBkdz9RWF9gI0fVQklPMU27Oq+ JnW1qfDs5NGErcwTR+reqkAC8v14OfhBAaGUydkBVIFhvKWWiDy9yqqtv74dAmBAn9MU0b6Dg0pl ysUjH1UOn7d+Rx6/vMroNAEobL6T6IkOEuyTxZ2s/DsENZRj5PXUQK/kURRoKfrAHORm4zkR46Od WDhVawnq+XJhzgsE0ITvnd0vGuo+1yCpAjgdyG63b3a+eLgBBdbbAKhxo0GI4deQa9w8o9+aQSw1 cfCjP3hH2UoJmqjcW4EZm+QheRyjayZEHAxJV3CSZgCSbj9qJgUo6i4E/FyRUwPR7rVC0TLvPbpZ AI+MoWLIRKWlltidIp7PrK+v0VOcZ3VO//utzJ1I87dIQQ9lhB3ADDb2DrxAoZxFtnomeJ0+RlKd 7nSQ9O5L6oUQ1kB18g9INDJqjhWpFYUySzEHDQk4IVZs6/WKhK5h5/k/FWEpLbkK3fGfvbWNiFl+ rCusdyG7f010/xb29XL7f8eKcrYAGhtQRX6gM6x8XR1yT747n1mpJo4rhNJhOd7Sxmo47KFUvb5M qgbsqkIaCGjYW4mD7/zBnJ/vlS/OWWS6jldXD4ZIYGn9OUM/1BOEU9oYASHTNMtPfQkE7n4yda2g IztwvQ7q7xYcl0ZyESe6Sv+wFGIXX5bMAb37D+Kt4fvo+iZS/RjeppLMpYksBn7UMvtPKHiy3VIK 2XcCKWp902qw+BNSdYxe70AwFoIGVdAWw8t7JSdfTQejsdTxZaBjrPROvykAvhSMb70g84HB5Ucu FfB85LsOCo+7QQqBrMJM+zvPWtxbp22TFFb5MOnwQVZS3ZrlO9YA1jpygBV0rYfnrww1ZGoYDIf+ z3qvKD+twwF5A7X/uHCX0Wvw7TFP+zbUT/TtgJllk57Ub01ECl47kljgt9l2lpcjNLBdYTohzMxD eAuglFSjzWfpMBcBWcF3LpVol3HISGj8uBYIsk4yYLzlmnlhD7KHb5S2urHgYG0Hz4uIcGd0GT1V rXnGefrdaFVDAlQw607Yt54zRWKD5yskUc2FkHXj8z9t+nEEGRYEAauWbTS3OXGxNRQ47fkNls3b 85g+97hscqMW1Mvmv+8M5eyFvqRTgJ2QVRDHaw/VoR/ep+sh5rgWkJ2umJGXnPHZPU5dA8JtBiPT 1KmZKzulQQr4a4ID00XXppeYDNWhf2CU2gTqiCtYIxwi6nk/4ogA+IqZsMqdeV2c7cCTNRZgF0hy vRFR8rlMf6rhHEOnUqCl2yySQNiXyrKMymWCN1VyLA5FRqiVS2c15RjSGOWEU07tEYnvLoD4piwO +Nw8Fw6YA8TLkxty0Lm0gjGjyLiEBcRQupTLihAvvO4CUG4cL8kB9AsAP/OWjvDU7x7dMm1SKdKO ZTeHMCkoMLYEzEyzCmZBiE836TolW0g3Qx2KK8y/Nd7gNBCLAZoGDFgtuz6Um+C7ImBITmU8ek+Y STcenRX48m4DUJ2dHm6DKkDdm7b+P0eGGskPINl0NJe44OPfzFo6CTHHAH3FVAXsP97h6cWqGY0P u9ghfbiQG4A0KZ4y5I8yenaYdcjDpDpN8oGaVI9pYgK1XbW0ndS4518QBWDw78gSoW+Joudb+8EJ f7vSvUTuQM+/HxFasNrNx64WWFJSwlSOG1ELplUYAhuvmfOWS8//gEj5HGI22GDl+k8XHJHfU6lY wP4gmVs+scyjwiVjeB4QcQxO3llQk8NbNkzGx3C7kEvAOD0r1CgXCUtsaLa+AwBLKyRLXOLTgfHd 2pT6JHjdvbYSZuEiIiA4y3rnjlJwExhDTl2nPbOkNsLKheOSCzRzMWxlyECMp9Q3SssOyeO/aK1x M+v4HhuJNr58VyifyngjJvAG2HLRIRynvxW2d89CTnth/4UxmEAOH7+2vzZ7vrrydII35ELZcivw EMH9X1ISdEYfZ3gwqWRgcos4qvPwh7PlqbCBwborXS6MO4wzs9Y/YLE7OpBpAjCqRSqtQQdwHyAA kgTfm8MVHSegTcSda5g7zl5tgWj50efCyplSwLe1geoY8gfx2GMZbf250elPnxVhqt80hiNUwhyj kJ+GSAezRmi2waeaCDr6JZ4d6k9xIjn6OAXpKYgJE+NBIt/svDNglZFM6/hFuKGnO0oLAXK7iVWv F74Yh33SCV7BC4I1AK9fs9vZxPo8gvkDFdA4RMvFhzhyYJnwhQTO6/gU+g+so83zvKz1oPcW36cw azkIs8lH3hDDFmsXZmpCl9qyI9qDPVWMoaT8dxfGukIfuh7ZQ9LtqsH1m+A58Xqvx20/tHHVxIR5 c9Jq1ukVzY7EwRgURJlpbhnbfxGy4XubyqHCRNPV2Jl2mbonHkYrLL0kCaJUFBmy6LTjkjU6c1Wb TCcHBXxAC69G9QaOnpTCwLPfFzQ/j7+Li8mQPM3N26DGpKAGNl/0snIvlUoQUQdsUcjkkYU0shop tXGtYGq+0k3LyWTlihNOaQKcv9DAIeFZ3S1rXNDW7Vlnp9HvpliTGOnR4PCzGIrGp7baxqp5Sqdd laUgvhE4KGJZevmHW2iW5RSThM+k5iab8fKkcXKBPrPtWHfmMcLpecpM00xak8Bl4ZB9T5RiIYUO YbxaBpbAjojzFHOn5QPTP49EUqvIIZ3YMFSRRN4UYa+ExFh1zZ+08cYtrrWTX2pMvvdJPBRara6m V+BubeCG/WLWbMZ4mrRGfLd6hDt7R/J/CZvzhwFrm2P3zEgUaHnT3uGu/MkFVldeLiC3nWak7SS9 NVZcHQY/SYe/HYFFIpkZd5nE9UCv76UsZAcdnIwmZoSmliY6MN17dOs/0X1MNrGwxmSzqDN4MSb7 fO39fvcHsTmU3QP42pUE9UGxmZBIy0/8K9OqZFcYP+rY8cZGoH+B16+rihjDMSJ2Zf+5ER345PYB P1jTHRb3LMlW4I28zU+xC4mzcRL0MYTe2qG9anE1VQyEoAcJei/OgjeHq1/rMMTJYE5kd4k6Xs1L wFGFTjBych7wLlmRaBwkDd8mI0cu0mDXDqA5BGWFh11lEoMy6PrvgHsexRYG8EX4UOmqF92CccYW XO4O/LnrJAwpavhTERZn/530cHEgP850YbpkLXw7h3ByBVY0YuZKvc7g/ipaQaXnYvasBbfoI9H3 oXBNHPmxhKKnQle0DPLvELysFcnE+/zBwcKmk87PJ0x1rUBzhwQgBtXXzhY0k6MPNXynV7Xk6QMT 4eY0vWhBKrq1mOC6vFGYrBGOaA27rN1Qurid9oFdahfWljXbjcie4oLdErgIG4MSa/mtnu2N+TJx v/VynE5a344O/hqspF6+utaWrEgxPQ/PW2/2FA981qdEyjFwXcm93TEZ5BeTXSK56tROFvLglLmR mJZwOZuVM3yFkm8WK+Qw1WmiC0sbwpbbMWQMvNoEefbM1reFxeCkemEX1xhwMrAefNsTKEnLPgCg YqV06fKx56Ram6wZOd7mN8h6SmNkJLcGDZ67u4XtvGiJXnHCktWUqevauoMsmbd2bLSJOGB+nRFy LbqqqdqM8wB/5Pcc3gTiYjypST+g1meZ0jfyHvUWcf98h17herdvmdxOc/ZImrotgsqzvIIa5rC9 7ev6QSHLK3s0xdgjhxApP/jLLFFK0JZ2itTOVMr/w06WmawtMcYh34xlC79VvDrc2oig0Y51vzlm 5f4hIm7rKxCE5CFCeU0jcjDlFVv94uWKoNB8akqFp9yAQA2Yctti7u1CYm2C8V8RUAZ0QweBLy68 h51Iw3P9bBAg07Kz+/+bjLsTJZueCUnTBT/fwc56kUFjQblF7obVhCy0cUCPeVaeb238MmBZqZk2 9nWOr9Bc7VNbJtWcVOLVZhpG7BrQUc+T9bAl6OYKqJRiOcZYaQDSWuJnfSVugvX9/iU8j9yaoMrD o0YlxwLLe0D9D1Wykg1kcrFY55Ld/gCuB47gkPs8ePyyqreE4hQyn+8xhrrgAjne4QsKxPnpYsT2 uX3PpCKz7lOol7B/veUfWWgG84rsjwiaNa5Mq1MLunRQF4Ed+SD+NW6ziVC1ytAQzWart9QJiH3p 8RdmKvHrEyrXRW9r1vEJV56KbXKqFXbq95DkJMYJPTKDO2SeljWPjjybpkNsOwUUcEJgEytKz98t VTiznEKLE3sd/MIB6BnDmTF4CCKZxegtQzuQh9jWvCCEplXvk3z1ukTZVgTNKsQO67Kwck734Xo8 r+RDwEIowVpzE6sM2SUV8Z9ulbIMb7LtdAUqibQeDQ6oQn5Cn2YxomfcHvBnG+lyMAcPn2EhcKTi Jvq2Z9JMi3G5rpHbKUssJfL061pmCH8m4xncC9jmCGspmp7ME9Nd+D/PBwwpiEDV7Nby9FrFFQO/ vIJpEJ56Skql3aon0wWGBK9ToORm8DxMII3do75bY6Vc7fr50LWaf1ekSopa1ofWSxXX+LKVfcJd MU4yLAjOwLnjYKCLoOzwyzB/rdmhpQX/MtsKK/7PaPNQFKjhg/CedzhM9IZznWkZb3nXhn3NaRwt XCO6zPxBkuuwywP4dOxu9nRmvmfld0KuUrA2u8CAGCpA55sYyphLvN+wq1r+/36DAYdpnCo7bgfR l0ygMje6CzymRdOxqJqrQR/mc6V4pW/n0N2MJ8pjuR8uKBrAZGt/T2oK4dHSTeKNdwQa9BRlQ7s1 Uz0VSAwQH6nXOmj2H7eGpMTCiw6JlSz9wFjC7FNpsoUYZtKXPuXMChaycii+6fXM0FR147IFdqOA g2OQ9v/pBZEdZ0gD86vXCPkSBEcgToJZyg7VwICLpCwYgGzaFm7M2OKNYfWPqjtfOwI49TomtOiS dxO1b8htFVIACM+Z97d70/uAqvMpy0xkgboumSGFNGBRkWLKtOgwf72ihd/qICMmkR7RAHEOQtY1 3Bmes423D3JaTOtsQuJJqCbUpQI8LtQSQbKVsELkCl+CKRg0kGwjTJwZ/Dezq6C4BDb28DMq5gX1 s5wT6C+DhwhyC1dVvae11ZbQtQTz7IsCEUHezQeDoEtKse8znUYF7RwoQ1BKIDxxUboIJSKKCAlU 7PI2RwRFyNAVB79eHrVGdfGWObL4pBMlHwOvAfIgQAGkv3ity6Mdx6TgILt3XXxi6HhnlXrMG8Zz uqSx8rk+UhfCHBFOy0OANQPIBt8X1u+ehrEHUhgXl0iTIl+6zfuYJrHRaHZCmdsnEnB4rJWXHxJ7 XP63rQ6Yl15Td1q/TrrFvGuL7u6no677XQUZ7dXP8I+WDqxHbXFD2g9XgfheNOvzooT5lm7tcNzN R696oI11xbZw8oxzcmqszHltGWQYdkvyqBmXNbBwnAWFGiEWAgnrR5t1GZQguY1djM4P9suTsvrc HAI10LrAndkcdHhLP/5B+4/OpC2WuLoVwNfglcVfTo2kyJFAcXBtxKDfuNy8yqL4RVjpsZe1hPdU R1+DD2lNNfuhE29leoicDqE+8sXfAYVTtXwOOMC8ymLrUPD/RRY3nTGIVpONtNP4ITYUchj+GqCx Mo6ksHpBBqhnpsuTbfoEQyEmKjNC0YXFhqPau/VhXGQ/EELrEQ27KhpvAmF8yTBEeFqqsOR168qt OjGmg/c6iLVSPJCR6b12Lo1+7ROQEvDl/UcLsYalhNFl0QwSkQpkSpQWzzExbaAiAHmczRXLkS6a Qgq1QE8t4d+1FFFifz8mpvwrss+812YCVsn3CvWOSnrQOFL/lVHS9I1cbXYvNv0ADKFlePhBz8Qu 6wPD5ZZkS9kcDAgwTdb+cXA5QEQyJP0lIbRSkmX+e0ewpo5HR1C2I6nAjFRo5Og9owie+CconGez adARJ8WvRpoqy9jOM5XnLu/u7VxAWAbi8QBe2PacoavDTyadzBgSR8YfgevzXy5VZOTFS/pF4tib mVT8IE/9RBE8esWUt2KeyBa3OuLINw6iqnl6wTnezYGJLprNIAxoBwspmmprm+aFaeuJ4V0RnWlM TlTBA4O/KxLrCjVFOcuaCTmjMajT57viUZZAVwFkKc32gCNCKNNIfLQ/n6IvZ2GNt1tI8TVoBd63 s/JTl+t2KfdDx7DmTpJiSF4YgQ+r3i/o8SvuIy3b8IFwSdCBg+8xVe2QWY5eTXdg8BbdrGMEmcKA XCIFr8YULmEJWNCA8j6tu83xPfsym7EQwQmwHK4F5YjZam7VQ+jpZ2RPsxNHWZfR7EDvYsP6+CRs MILuhQZ54QpU3ZVG+nfDtpJ7g2k+aMCx95Rw9yQIubr1NGjn4h78HacBmA/YrUd59tJFY+rGE4s6 +qyJr2SwTDPOo45yHd5F5Tr4851/LmjAGIgLtCF8aBsd7Sz3IdasIOSs3EMsshd/kVV81DRfF7X2 pSbkXk/xfjr2XU+Mjhsq9ah2E87MhgcAoRZbTDkWe04vqALjMcx1ubxi58fPyl9LZXjo7VAuGCCn LzMVM9Z1C6q/TtlnoQpLGksgTIXHfJDsV1IY7RVJaJ/BwNOQH/kT/yoXLcyVawPEZEserJ6EkXv/ uSCrf+QRgMQQmKwoBFD13ib153LH7Z3UNXKtgXRmwMNrblRhDZq13nD6zIIeUVOaU0YBWtE+XP4W yb2UwImAKksyvMf0Nn/HXZgi4wzskGVSubjZtHMPTYfQU8PjT91eV2b1dwLqriKqO9u2WaGInayS day7tbU8bjSZgJyyj4J1SYFRlUVfPg6qy4lc/WV50msIE0GU/WBSotDen/57COk/RQGbmAiLzGVN 7hG/xQnyCjV3jrlPzsF4UekrQNldFxZasneipES271lE5PWic1ZVxdE8bIOghSvz5WILI/Nqz1sF a4A7zefxm5+H/2QCE9tyDbQiQwUJ3e/P6vM+Cf3kSCOgYrSxJc/VNWcuNuDeos8eIy/itIv4gL+9 JENnQ1xlSvNQdhvL7siPbHPek2ouDCEiFwUpI28HTQ2rD19ryXNoDBcG9yl9bryhgxv3C18Qm9eT vOhvFZJdcXMlX50//DbjWVrcKIapuk0mdYj7BG5X3jaXagDifnnaID2muL9Sc5qdmSDtbpLO10Ep lefhsiQa3OL5MM3t6KLzITvlWO+/EoGQsRF/u+WFKBFpwZUpobPUhkgLducYIXZX6ACVjfQBw6oE QgV5DAuB56a5/4cFPIgm0+BI5DtgP7s5kGSb9xk5f8Qj4kjxe4cEfqht1hw6lfB5VLHCxTr3tXEP 92A3RC2cODkcr1uydYV+iWV4x/IWmxU3rfbLwxw+gOvbOr0AnU5YEDlc/Z7mOAWj/Qlo5mwiKsJu lCbwFu33h8Eby3b5X9Tbpt7Vr0pQbWrqigaLwg73ViYh8oDDhxf7i9qwbzFusqJtxqoKNIJ/3uNW 5SDWtv9yZE7vYjMZRtXyjNKhwIft5aIVGAXicsNm2imHgGED83SJkYzH1MdRju89at5u7FIf9oRq yDyxK09CSXO82b1a106nkm2sr4nhBBLD2M0OUUW2TQHAyw/WYuOZToyaEkbYFlbMJ+RPb0+DEIz0 NOF/e1XbpwZFPyljhuSRzlNHVoUfmWIfo3+9PM2dMOJHJxwjdKDmXF7nISKFf6imgmNOyLEIcvl4 kNzWkUvmY+LsE3zwInN/thrIbO9XrmrbIODdXefU9iWYqd0/2eXU+IuSuVD2/LZ2G2bxca0hvKbt NsJOfj3akAZzuSMgR9IRriLs9NTzFB7BqG+kchgn37lESHObVaVtcHaxf5GXCuhVuu9ZQqwQg1bX QH+lBrFahbaKhYW4CHBluWMawH7HBW2dQEhX3MyH6MHVylYk8UtyiU4BHzBckFp4NGRm99Wkrlsp YpoCqbbeH/Wmnkc3U/8unS7oNZNjhgBaylxQF4ySkMu/E1QjIdV7pIkvyeP9ccm0CeZfFeuNg4uA qBxKk3VuJlFUzeoJSBwSzw83WrIxlu8x11wY2LQU8G/tNETTDT5qVPiWfJ7IvyrmHcs+m4JAaDM4 p0W4fFIjYerAslgSWPyHq5i47kDwZwt1VML8Bf8SqGrWnpdPCGMt6n82HHRlJE9LL3T35+Vg8Vuu STtQ6P/zYb6wFziqXzxdjhg6DndzRIqT4m/0B91LFidp/lZFxiPiqRPONEMZSVUVFqPGcDhTXYpk TXCuvhtclfDAM3bZKUmfNyVkBuFWYZMcSBL4Qy1A+oF0SNcqQiTbzImpsue7sJcC1ptqnQtS/N7d Jjv73ovOa+dpKZbxp2Rs/iPZfRLGBEwaKQVeBl9/uOriL7ZC6LsZ6KSXhnPRRua5dOuajmWv7WSl bYl17ErG1GfoMGpC02kzp8yQPsnXKykNMiaAjjbN/2WzQsACIGJ8Vl3cqPGtPKugrinOuBSOyjGQ 0K6WF4gvxYYeySjoLLfeoD2smKvT9tmcFtEYBjMjiggvfCKrbgYDW0s09ySpRAs1gZOrfnjav8yL ZvYpdAHRL1V00+W100PPzXLjE2VaP/hVgAr1XtfsX+p7MFZLhNUycDCPN1enXGNdvfq6NP+ZHJ7I vB1X5QGwL/5F0DXzrT/wmHXM/FQ1TyxYiL0TwxQy+EYc0fjgb4qg+KLyV7YbXEcyWlcP4viICiNn Bim4vdwJVrfkwS+dpPIMMj8xvp/cEXABwkA7j0CUft69NYbC//BSya7UnstkjlG9RcuqtOyuzLxC x/hk/qLtJ5QqvpK4a879YHfYoNu3i8EzdUldGjXSjwTfhK4BaW89oPjUKDYta445VCrrruXnFA4O CvlVpEA5tA3O0T4TLiYfSEGEMJ5Klq0WA2aqtmzwsgLelO4RRx8g7VtIYrhPVHGVSBQRuTxmoXef LR4U0jWhsXSRVi5rVnA1AJJ5CJ1/WgwaTm9pcHv9H56pblrGk1dJu+SKpp01tw/ElBG4SnbxTzjM 6wA4/JSkYcluJ8RJ36eKKCUzGeesk4RpdicS1niiGhBcQ+IdKObTuKVtdpB6kdBGkC6IpO8r+hry w8mKer0dkKR4qet3wYIMC4ahWCBelQeH5oKUCmC6ut4HBXxL0IhHMn0kSmFai1RAopcMPjY37Br+ fAzRzVEQVCBPRn6l/x0awoF+T53l85Fpp3Y0tG0IrD1HO2vK5ClfFiRFNG9sWD7wSVVcli2aS1yJ UpxtZ3GlJMbsDU16gPxDN8R0Q5OgR3+EaNkRrGG2/uss8aC6hbRMzk39yV2i1fWZ19PMbc9Z2gHl 9exsUh0FUeCorWkizn86OuhpyUW5GQifjiIm6aIC4y71ox0r3zbToZOx9gIFsSIRYHc48eI9eYNY +Upqum3eNXelc3/o0iFcXZikiYaZl6eF2uJU2F2syilmhjhJ50pGA+7g2ocDKsmkA6Vd2fR5rM2Y wG22sxoree5riCVAIZdyfhMoJxDgqYyX4Sx3vRSYIeTYCtDXZ84YkR0TPB65QgFO2nl+zIHHNO1S vwMZxZTlGEN5HvBJqkwGTbHNA5K0xrbb6/BcoScpyt6HgieSHds8mSXDGR/B4pwupcy3BZYFP3xZ 5OajzAxGcB0KnUi9mFis78cPyU2T3aMfk6oHXNGaeGoFTCiFIK2yZ7Jwnp2zUiR/y8n+4bWQfxjx oUkjBICVNz25fsxvX3K+qctpC2ZarOwD10IrRyzsUAtx7LXuI0nxBE4lDJRe80m/l2ORWuI5KFVh 9CNw/0xrk5iyjLie1PR44d2+ldazQ8Y4MexkMp1JmlN+luF2RMH1I9VHY+k4pa/VGU7rPEO13ePI lQIY4SA0Tkg2Vpstw8Dg68fVup3cXz0bBBOX1f5bUrSq4z6jAMA28iv4Z85jdaidXzkHNoaR11BK wYvA3kJoadmnVJGCcp/2TeQLXm7YIw5buO8FjFOg1e+PhKZo6AVXQtlbRicUPD/t8H4O1B0ljpb1 Xzqy6VAxG0cbwoSR8LIAuv/OIevLGNWJ1HtNJe581YlBltSj7AMY0znAp0jFlZgA7u5gwsdCYBS1 fCxaeKFiUpDmbpObbSG2iqyx00qXCpDWKF7aM72IofkiroTffejDhZi0TXG4ZpDEzFetTfRbnekH GucwFFNAzq5oHURtoK/f11NkePSJ9Fjtc/Mqum4TVhNCaTEvbXHMW5Qu/0LtVBUNjPQBxBrL9r6m AVCfH4lYtDGC6+jEWL5wctv/awX3+PZ7Z6e6EXT5qfi0kjpFbE61P36BwY6vCzMFobhZXJTyucDE psF3kY8zyKcPoyawChNpZIapNIlicmFzOAlZnYbM6J8fEvqgXfF1r2DDaVS85wCyiDJprIoF5wY1 QnEncJViC+6Hyrr+2Gh3DF5p2efCgwN0Cx+Au6bLrINj6fyP5jZmdLOPWGO9Qc18iw2mPvmS+7UV yvyznwIheyA5qwgNt+Ad1HBwJCamnfLsoLm3Gmr+oabI7PInJHqDDq5+p3vcTbqbGwU1cI3OrJyi 9OoRmIiA0bcbZppKx3A9ogki9PkJSJNTSIjA2KaDZMevNqsCajnnyc8d7CATpX0m1YEyDEQW/qaG Cp23VJnWPS5l58hFtTF2qgyBpQJkePd5mGFuEP/3suBphJIHpuvJiXMcoVg9hap6yYAr7jsyRHg8 M5JJgOk/OoJEXZmZqGgsss0eerhdQ38XThm7hR7N1JhlOBi2zh299Lo7Cc24qufb3VYvKsiDowUB 1/hUDsQQ0L7ISPktKOBQKf28m/VZDH8+1Q1d6wYadHnzJAOgFul+6BZobOKyUPmQm4H5VUvTLmbn gqTgFU4xwIR/1IrXFRGe2P1OHDqENT1vGHhgFP/CqGCQAMQVbDHCKEulNs5Xh7ZTNnGf+xJTZwuK MostmZK6L11bsD+pb2rx9R4nHfNtgaFiOhkbGGwO3XkC7eK6+ybZkMXIWjRlJtKJ27mj/cfxmRPH f5FzVH841Ba3LwgWeKhWL1/zQfLlWQCTwG5dqYniQXWaKdPIxwtd8ZbqGmGJZBNjhU/unuBp6DlG UIQ/pX42ch/w0Wrf5GSNwKiNF4MSV3mdiyPt7H9aRQTjHc6LgqW82GoIC1Ugkk1WZsXQwAQtZYkE +is52Ie3P2U3oXA3JRzuk1ymVVwMSOfv/P+JuOwVMFLxZxFeASPoa9/1kFJSdxI+iDec0Xgt6+/t tukPeFdmlPn5AHwuuXuZIdv1znEbTY7jEMvtqqTsxaboZagAKlKx7BQsshmRUhFrJQL3IRVDW4G2 RErUDw2vPRewl4OMC7CNqdyqw1l0R9v0//CiN/cOf7/1vXuIAvHvRCzuPmfyH9k+UdsMCy6ERtak bjcepoZH0RKgOy4GxN/tD+8P3QYRfTvzQ6X108gcJqR3dg2TW20zjS7HBK/xWLYQ0/RSY2jQvhgF O3fbGPLoZ9y6etJI4qTaVRDkZn5xldeWag3lo00UbT3E1RKm9xcJnmE5bbRxj5xfAv8uk3/ypH11 sfuKeiDwM8CK0qWH2lC7xBdmuC8e8NPO6D3OycNY4p8T4+6JdSiH1eSqXYHANuKpUCFTaVOHjI6M qs9FjuFYEswEpsasp+7wQaeuqkNJDof445IKsDTv9MJCeOlQD05pi6AUZBftUr4z+kRFIQONUOa+ z6omAiAktYKQkL2FGgwIp9pEMNI8kshr2imJHNKzKEvt4ko1cWcDSTs7XJfxgQ8j5E5zNGqxDiHc rTIqWeyFGXCWTkT7uVreeXjJSiJIFcu0qA99GHT2rxdXm4zstSNQR/snKQfOulgtlrELwk5KG6+Q C7IXkq83YtfCUYlA0BVvSNzqTG59QIo0jpt9V5znrfOaYEWhanCIkssgm2VyknH35kAkhvtB+F+s wOARh4uXO1SeBbcs3CqCVJf3AMsNU4fuch3dJtbAz82NHIH9pNLz3HW2rq9K766oUikvU6WzU9k0 UWquTsD651IBHqDkm8/E6FmN5FxH6UgmYeXwaSxNrejy/lTo3/npQksLTatFDCAMCKzF21nFiGVB C8CnVQ4KXD651TmLSbx0itfexL2oMkD66bPwjcQq9lqmUizL94GNNQ3I9nHAGx2IZaJm1S+0XHcE /1BBXc5w9BffnCFJlYEK0CJWWewMp/rTbskrHW+521aHcbD0SyPG1g3K5M8AuxxcceD0sduPq9dv P6w9t60mzok7eNU15eBJzYWbm9fHqkgYu1ob2OLRNmOK9/v02kEKkRzr46N6vMXF2hkkYpOgj8SX kwLqjdKN9U5alj8+a65b4GreGmgnBqUpF3YKY5gTc8of7ZQPKkgWMZiB4fayvBmoQGOJTs4TlxMT lKrVClnfeRHf0MvqK7huSVWzl14aIgJp63HcJU9P/2Rlu+BLE24LzyKp6fW5RluLPgO8WdQQCBwr 5HWQ2Ktj0K8qPuWgHTCY1EEz2IZXvzys6eaiAYZ3Oc7rc0ZR60U/MSZuJJdxyTZHJoKG6xs3cBJ2 WWre9WtS32rH62YRsTeFCmTe6yarVndFyEm1j8BxtAsDBQU4W9bkkSOmYFCSrnk57qhqoXELeTwk F6wbsLGdwQrGEH3t1ldDsJ1qOa74gpTwLD3wIoi2Pn8Z5HNdWQFFOLYifmvdrLhS7VIKHkWcCtgB 4DNGF1R11YOipzMdkq59WQUQ3DPEOvFzthjibO3T98A4grLJRYSA1ahdcls0Q3urPTMIZ4qBWHm9 kkUFMtxZFRKtdkmy6ZI72cMfj/vvg+zWYgd4k7lco7UKta58wDItfm3Axx42iFW3V79p5nibUD6x 3PMyiGScx3GYqRXVUAC1akldgIYICATt+zsQpEQ0a1JCaFD2uhQj8dxkRjRYdFyILY4A9RQDfALN RmQ0D58eo9vBiSx98+yXMX+/RjEfl3427XyHflwGrfFdscUgRcKAEPhpKD+k9xC04eBc9WLp8VoG lkWjaRxSt2uD1dVx4VSEqeZtM7vUN2S1XKzA5Wa9vWW805FilrElMbcEhHZIQvJ9bLcFfXgObJIR qaVIt1tm2u4p3L7uFDtYaPZ/+vw+N8wAWcLcoq7qczHT/TmgSFtj7nVdW7rILH4Th/GrNLZXz/9t tOpcF2aEg4rXtcbhWoqBOZJo1jG6svB9doP26Yp5nB3jjMdllMY0uASkLwLYzIX9Yj10Y8+gOvUi 9pBfWYYnDf9v1J7lDDyCYl7jHX+zU4fLRlkMNJOj5auVaX3sAtT+OEsIX4gNmEndm+I0zJl3ivUo IgOZ4inU1TABiGktZvP4SxTElGnzY3dj6EbU7czn2Y/w5MiLpAF0vhvvdquqQ0ycy7szxIKAIvU1 kZ2ms+kJp3qbcJFxlRKKyB9jFec6UOquvXs/RV3WUc5O5z+xoiV8YtWGjOGWjlR79Xlna+G/An1r 1vO/eK/cgTdh7LK57g/Y6ZFHag3aGN4n7nsXvbm2LMhuFORyV6avSY5zJcT5uZAg8t5z6IXhMmRL dZXjZ2cIFTCz2SKk/BseMuxXvFv+dnwzLZNJUTnWPczuJmcgbeTHkNo/oBnRm3d4rbZhbarfsISq SkjqN5/ZIwDgeAzebw9QJ/JUkP/tuNvUuGsvPLCjpWLsy3VYkGTplAYwXESchwnCr0gKqO4C5TVQ RAAiB4/BkY/eL6C86n3xTK2GMdFnhpwk4wDTniWZ+yhkxldOvQAeDfVZ8K4C7FVzkawV/uN5KPRQ 9TxLiHXAFKbevHa+89qBhUmElulnsiyzXq0qtPtHThapLmfsNsLgS+ozAFLmjrwvBG9tL0sD5lLO 8egrb/k1hX+tTdOcpVKJvdFrlix5J/k0kOKN/YAUC4k98+pr3qi2OQztLyEk0UXFKEbQGae/jJ6A 7ZbNu0OxAZPfnHUZhSCqkHHHlV/wGJ89btVqMdVtQMBuISMf2b6z5+yUdpIIWEynQ5jDfGVCe6JE da8919ncx0Wc2CARaHT8KAVOysO8J3G3zpwBcPeCZm1p65YEs0G2GmITqHtx8zE4lpiXZcuaZLEc 0DrE+t5bqgAlEL4R6+4qMvrS+f9Uucs/qZ4XmQLesgbuFCfwths4jQaClu+R9hJaxNlmscNdboqv kPaKKrHJwi8VwlLjgr3NhtjvTMuIDST2vFzNA813Bj2TwdUQtb6bBIBtuMsQvJXgvYT+pKz5Nm3d n9dhzDYxr5a8yhJSogftnXj8YWA+lB5AzO4eJWKpsBbr18mwR0ubJaujPbDjrUgGrWgmyfjmEofH K8EolgBMgR5bnVPJN81UjkqpjHi1fbnZukjniFVyu2PPKPawqy8wKzoSQ3cp2klVnBlAIjtDpnru 2L51Im7w2f1hGxrVAIJBHbDAEKBfxI//iq5tMmhbVMorCWJNOxQcheyMX9NzylCSGplzWPLeRVuo XjrUpZFi0FwZ2/dNVZ8AXwTtHw1lv1lfVQLAq+/wXcDcHcW0b96DHu1mTkLMeyeP36i+veXOBtH4 BRyzCTKJhK5MAjuVHJ7xAVPgsYEOWT/FJ+fUWtXGI0rQJLrIFWTT43QIJxuqPJyJRxs8IFGt/S84 G8d0SHKaU2zGx47Q8c/P+W44McRz5+7NwYIH8heru2cKoruIyIcCMLmBm+YlPeMmj0D9QFwwuBK/ yqVRtsjk9sHjNvyCUXrLY40nMWqQJ0K38QvrhFtDKT9WD6cRNrd1ESNMEafQ4016W4bBdRf71Ci6 4vIv+ZGWBeKObmyCQAakg0KJiL2GvlRnnQkq1IG5auqSKbTPoWV+ceZ39lyXzoik15Lbd+qOgwlx 1zCCjgBvenlaprQUXhImbpx5qVMmqUowNlhSlyr4zGjhiuk2VufosBpHbRQkuPVAdMsPAn8YijfE M8nZVgcq1VdYhTN58CRP0WlZeZTxiOFXHzdcxEeN5IPUav2h6WKLImlLpPtroIxQ/9Pconts0rJi P8SwM2hRcBABXq5Tk2VHe2eBPrLkRkzyuFHUSasnG+tXHWda/HHy/sDd17M2TWb2kHQSRyY1bYu7 hOFtCLJ9MX6BiKCvkIHtbO6MzIvaD1w8k/TS88Uxl9NeMHtGwxWe41wHpr3ABLiGdt60+hcfPBj7 eChnNUGmku48l8NUXmjxZIgrp1KDch5z7RGQUICNZPA/LJlLBjXxebE/T2HTuoHuWaPmF6g4FokV v1DmiB1p/Wo+kz/kmhhyNgh2c3nhKaQcZ8nAkIFObEmOqqpoMw8JilnE1wD3IeW4rjQZXTCv4TWY HrGLAXiYAnMDgl4qSeTrmztT3/MM8mNuzIiZZWuM/oDj004Pg8OKRYCXbPtu5PbL/IcDCzP0HmDC fzCYZ7oaEg8LLbxL5dHrvXAYoQWEOkirpWV7LSOJwweNKwtYGOn8ZCpoa3YdKdhM8uBhvCJI0dnY 8YwEKT/Zhq4BBXdGbVeMWnTEZVFVmB0uqHuOUUZfDMTsHHO4m6z8idGjbsL6GqfnhVZMCk+vF6re UZnEJ0KyLqdLkgozOe7LMgsogSWgAeEJpEnRVEATL0D0E1JjasAM4OaPCMjcMCZWLRzrnrr6+X0U 6C9G9ViE0xrzlYhpzZaGHXho1NHYCVxcp+hjVBhiPLSv8TIv/7ssdROz1u6kNSnMH1pc2OvEKf2b PVPH4EicSIOTosnYhLSVT+fkDXm1YkCaoZ4i9D2dIk9cnXRtizT+lLtjRqO54dshxkeiSzDfpSOR acQtMBE9IRh5/3DwlRqD2eZb+s+XnZUdCZ3qeaNsK8A/GnNAbQtpZevNWW5kDJgoPyfnmhU3Pme2 UVzwnjaZHCciGsZ+qKOA2oa0CJvCJFDkZbxdUz/bTLA8GNrUTqfILOFWs0NuvEGkI+VjtQZ5is5i 8LQpZhyMLOhViO1f9hood3tcYv40z1nzZJ5LCk0Nf+eQg++pTsldKqY3/vKdhykmRc3ybEStmUYu tzK2G4OevLJJvf3l9xNL1irooO8YcF6UnI6QxWMIWbaisR2pr6cys8CmdnBDmxxCQ5FLzpfiQq5q JBNUYFCsYGzP3nLHt6tJy1Tj+LbTnXj09LPvnaY6X3irQ3EHeBciHBb89tI0g5bKtCTqaPYtVkZi uD0FHrqtPMxaMm8zTbG4P9m9xoNIcL/CjGDrYOVdLRGfqnB7776Qs0j6GLo/LR7Q2CVeFCFRtM9p 5O3SmtjvNPru7GBPJoGeiwK02q4yQg1wIsS1RqME/bG6yS/98p4cjM4gJbv54bT7ENOH2koat/Hf VgKUMcONqGhf/2D2hs2NydT2sebX9qIAvmGd+kYM3ZXYPDz5gmSgPdSGqd34Ch61qtSPrFI7zYVF dACZVCxBuqoW96vjS0MGRvDzfVnrg6SoqZqSV9Y7DSTQSikGRpiehuw+3tjp+4LA4QGy4p96YraB 3MiIwEaTQ2P5PrJlsPz3ecSkbsunqL0RHD8CBdCQkun/1KuY5ZHpEW1UJ78DkGUHa1dBjCw4MrDJ vWTQQuOU/MkoaiaH+CxvYyEOeGMfBr52NJevjTrRQCdrgTA0ju4I0g9s0tV8nlhLELAtGH1J9gQD UYifk0d0iOXgbD1w3M4FP1B4PsUWz+ZqiIYhllG5ZT0xySZAO9ORG8ottIjHR2ia9BL3ak29G2it nYclN8bEv1s43Y5gzhFALIwWjm3GkXXYvhed8vlh8PgJWaEvWPE0YL9MeURjYLqiWFQvtuoyYuhg gwiVaOn70L7+xvrcg5oc0cjNfgcohGBv/TB3ybUTXJwC4WHIcZqMZUSvCzH5M1yBmRqE89Vr2PaB lEAIGww22Xb3qNRDaUyaBZNFkiRmOT0aR2KXU+pelRH2d8vqltKZr/B2H2+ukuTb7s+2OohDZnIF 84gpUSw3w1A7POmvxELtPz/A1KS1Aq5bAxVyQNFdAb/DNKFI/1FQWfMaC7Iit4drADKfOgPpuIXY CysMvvxN+/KC0qeg062fIGiKPzw3iNAeQT2NziS2hkxvKJ6oF2kEL5z+Tr2eTTRA7jcZWx/rlRpk rz6V771/L5KlxY6rPtAAmIZicu4MPYasdxMEXDh6dkSUytkzt/fcJ6H7hwP4T0ekNMFkyYATSZpd 4Cp46w+JREx6aIwNoiFL1q6ng3CLS88Vu7aAm6Gu9Lef11BOF892Hc8A0xwi/DmuzrmwFoAd+NVA ifvU2LO2fcs02+VTuUisVfgphCA+KvXjF81EU7ErtiwMEv0TsKdyPkOBbOxWhtzvUDHSB3znNwdc yDG41fw/f0cio4OULTiwNeAJJZsvy0W6NifXNv4LJl563Vu2ecFbpWpeZyLi/qObfVcvt6bHDE+X IN6l7mcDZlZRQYlzWmTrTRfaGzAUxUwuHtBR+FOkjIGYRR/5eiIBAKkBe3AFJIedAcKEo/+jr44g YzdXxZ8Eg2PE4lSHKKwHWpcOVYEdAHA+BtandPnNV4KNEbzWLrtrIsM83ZiN7nz2C9gz6IxaPn8p ES1LLdMBqrXNpdiHzvdsuu4OrxRwCj4UGEe56kou4aez/sS87p1SZKL4czyf5xwvmkSL8WFIhfiS 5SrETCfUmCrIW3Ind1aK1SFTRKiVSyNfTldEM/dECNjIhtGM70J8WvwqomhSirL2h5Nc1SNuCotx meRH1Tis8lwylMYAAP5QrpiQLnPGaur/ulYXQ5koY6MGg+38CS87q9+CZNQh4ItqjccS5rMafM19 PYKcAJQvsF6oNGWzrMwdb41GD6QnhI/XjpvUH3aeGzjzp/enEU0i5V1id5Pbv7F9fI1U97mE0Agq c3Ac81Pf7mG8RbAuWl1TKyUY5XC996W6PyjBZYcvnzhOu4hO8igZGw/uEF42MaPfczEkr6/EdM9h dgtMv/OT8EJ3LjNYrAlbShRdFnph/mMZ3XOlG1lQwWgXl2t8tEDuuTXexvNFRoHSfIDeV0p0zyMN DczdhUPIojyKhCQYFQNXvYpkBs/iBLRJIZ0r2SaFh9UaiHFwp6fmJlOPqERY4hEjKIzgM7XmEW5a LRUrxzgh9zHvNEIQ/0yM2ONbLXXeAblm4mjvae/za17D9Jgx8dBD2Gb4BmJXgbhT1f/aC2Xt1GKl Qggmv9/3zvSk9anRnu9UMZAFDO+PiTUK3Q6ltMxcPdHo3vNpTjQ08K+z62MiY4qjy70etW2uVj54 wv2F7pxBqEPO6d62YMrSE8MvYXG+BqZFDZI0Yr6n3exc3h0MgppvTJN6jKLz2txYlnxW/P51KieG I9DPUoQBCTvXrEemwF+DjB4dGn2QeSJTiCrhs0j6PKHwd1dKDPmV+dvjhAYvzjr/UcNb0OhHX+4e egu5rV74VhFtalU8aMQ9M7pjhR0Xee//NrgfzWP0DHfXOPxeSuK5FV9DLVfxPeB30Tl5y15JlVkg oM22r0wZL98xCz4U2GhZ+YPi6uC7mGCgHJDnA9KadYxVV1cyg7kTaiiVc/KL0iZqY0RyYOk/bztt jH5LmmhBHkUtnajVU+K9YngbJqaIi6y+7wnGrPCHBLf1EWMJyT4gQnVdIMBjMxgl1q7vDVrPLU+s VKvWT0ngm8Ur3IGSkEeGdNTk8Ck+YNtAmd2tcRrrh5lUbGIDIf6U1hKilZKcgOizj3zaIiTjI8m2 exckQwfKzPc7 `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00113.vhd
1
5648
-- NEED RESULT: ARCH00113.P1: Multi transport transactions occurred on signal asg with indexed name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00113: One transport transaction occurred on signal asg with indexed name prefixed by a selected name on LHS passed -- NEED RESULT: ARCH00113: Old transactions were removed on signal asg with indexed name prefixed by a selected name on LHS passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00113 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00113) -- ENT00113_Test_Bench(ARCH00113_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00113 of E00000 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- begin PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_rec3 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00113.P1" , "Multi transport transactions occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns, c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 30 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00113" , "One transport transaction occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; test_report ( "ARCH00113" , "Old transactions were removed on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00113" , "Old transactions were removed on signal " & "asg with indexed name prefixed by a selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- -- end ARCH00113 ; -- entity ENT00113_Test_Bench is end ENT00113_Test_Bench ; -- architecture ARCH00113_Test_Bench of ENT00113_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00113 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00113_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00646.vhd
1
2728
-- NEED RESULT: ARCH00646: The keyword 'Signal' is optional in a signal declaration for a formal port of an entity passed -- NEED RESULT: ARCH00646: The keyword 'Signal' is optional in a signal declaration for a formal port of a block passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00646 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 4.3.3 (5) -- -- DESIGN UNIT ORDERING: -- -- ENT00646(ARCH00646) -- ENT00646_Test_Bench(ARCH00646_Test_Bench) -- -- REVISION HISTORY: -- -- 25-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; entity ENT00646 is port ( G1 : in integer := 0 ; signal G2, G3, G4 : in integer := 3 ) ; end ENT00646 ; -- architecture ARCH00646 of ENT00646 is begin process begin test_report ( "ARCH00646" , "The keyword 'Signal' is optional in a "& "signal declaration for a formal port of "& "an entity" , (G1 = 1) and (G2 = 2) and (G3 = 3) and (G4 = 4) ) ; wait ; end process ; L1 : block port ( BG1 : in integer := 0 ; signal BG2, BG3, BG4 : in integer := 3 ) ; port map ( G1, G2, BG4 => G4 ) ; begin process begin test_report ( "ARCH00646" , "The keyword 'Signal' is optional in a "& "signal declaration for a formal port of "& "a block" , (BG1 = 1) and (BG2 = 2) and (BG3 = 3) and (BG4 = 4) ) ; wait ; end process ; end block L1 ; end ARCH00646 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00646_Test_Bench is end ENT00646_Test_Bench ; architecture ARCH00646_Test_Bench of ENT00646_Test_Bench is begin L1: block component UUT port ( CG1 : in integer ; signal CG2, CG4 : in integer ) ; end component ; for CIS1 : UUT use entity WORK.ENT00646 ( ARCH00646 ) port map ( G1 => CG1, G2 => CG2, G4 => CG4 ); signal S1 : integer := 1 ; signal S2 : integer := 2 ; signal S4 : integer := 4 ; begin CIS1 : UUT port map ( S1, S2, S4 ); end block L1 ; end ARCH00646_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00505.vhd
1
1453
-- NEED RESULT: ARCH00505: One or more signal names may appear in a signal list in an initialization spec passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00505 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00505) -- ENT00505_Test_Bench(ARCH00505_Test_Bench) -- -- REVISION HISTORY: -- -- 10-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00505 of E00000 is signal s1, s2 : boolean := True ; signal s3 : boolean := True ; begin process begin test_report ( "ARCH00505" , "One or more signal names may appear in a signal "& "list in an initialization spec" , s1 and s2 and s3 ) ; wait ; end process ; end ARCH00505 ; entity ENT00505_Test_Bench is end ENT00505_Test_Bench ; architecture ARCH00505_Test_Bench of ENT00505_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00505 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00505_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00593.vhd
1
2950
-- NEED RESULT: ARCH00593: Resolution functions may appear in subtype indications used in signal and non-signal declaration passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00593 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 4.2 (1) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00593) -- ENT00593_Test_Bench(ARCH00593_Test_Bench) -- -- REVISION HISTORY: -- -- 26-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00593 of E00000 is subtype rst_integer is bf_integer integer ; signal s_integer : bf_integer integer := c_integer_1; subtype rst_arr1 is bf_arr1 t_arr1 (lowb to highb) ; signal s_arr1 : rst_arr1 := c_st_arr1_1; subtype rst_rec2 is bf_rec2 st_rec2 ; signal s_rec2 : rst_rec2 := c_t_rec2_1; signal toggle : boolean := false ; begin process variable v_integer : bf_integer integer := 1 ; variable v_arr1 : rst_arr1 ; variable v_rec2 : rst_rec2 ; begin v_integer := v_integer + 1 ; s_integer <= v_integer ; for i in lowb to highb loop v_arr1(i) := st_int1 ( i + 10) ; end loop ; s_arr1 <= v_arr1(lowb to highb) ; v_rec2 := (true, (1, 10 ns, false, 1.0), 2 fs) ; s_rec2 <= v_rec2 ; toggle <= true ; wait ; end process ; process variable v_integer : rst_integer := 2 ; variable v_arr1 : bf_arr1 t_arr1 (highb downto lowb) ; variable v_rec2 : rst_rec2 ; begin v_integer := v_integer + 1 ; s_integer <= v_integer ; for i in lowb to highb loop v_arr1(i) := st_int1 ( i + 10) ; end loop ; s_arr1 <= v_arr1(highb downto lowb) ; v_rec2 := (false, (2, 15 ns, true, 2.0), 3 fs) ; s_rec2 <= v_rec2 ; wait ; end process ; process ( s_integer, s_arr1, s_rec2 ) begin if toggle then test_report ( "ARCH00593" , "Resolution functions may appear in subtype indications " & " used in signal and non-signal declaration" , s_integer = 5 and s_arr1 = st_arr1'(others => st_int1 ( highb+lowb+20)) and s_rec2 = (true, (3, 25 ns, true, 3.0), 5 fs) ) ; end if ; end process ; end ARCH00593 ; -- entity ENT00593_Test_Bench is end ENT00593_Test_Bench ; architecture ARCH00593_Test_Bench of ENT00593_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00593 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00593_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/pro000028.vhd
1
1711
-- Prosoft VHDL tests. -- -- Copyright (C) 2011 Prosoft. -- -- Author: Zefirov, Scherbinin. -- -- This is a set of simplest tests for isolated tests of VHDL features. -- -- Nothing more than standard package should be required. -- -- Categories: entity, architecture, process, type, subtype, case, enumerations, array, for-loop, function, Attributes-of-the-array-type-or-objects-of-the-array-type use work.std_logic_1164_for_tst.all; entity test_generate is generic(N:natural:=8); port ( in_bit : in bit; out_bit : out bit ); end entity test_generate; architecture test_generate_arch of test_generate is signal tst_signal : bit := '0'; signal tst_vector : bit_vector(0 to N-1):=('0','0','0','0','0','0','0','0'); begin tst_signal <= '1'; G2: if (N > 5) generate tst_vector(7) <= '1'; tst_vector(6) <= '1'; end generate G2; validate_g1: process (tst_vector) is variable i : bit; begin i := tst_signal; assert ( ( (tst_vector(6) = '1') and (tst_vector(7) = '1') and i='1' ) or i = '0') report "PRO000028: failure: wrong value." severity ERROR; end process validate_g1; end architecture test_generate_arch; entity ENT00028_Test_Bench is end ENT00028_Test_Bench; architecture ARCH00028_Test_Bench of ENT00028_Test_Bench is signal input, output : bit; begin input <= not input after 10 ns; test_entity: entity work.test_generate port map ( in_bit => input, out_bit => output ); end ARCH00028_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00125.vhd
1
11332
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00125 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- PKG00125 -- PKG00125/BODY -- E00000(ARCH00125) -- ENT00125_Test_Bench(ARCH00125_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; package PKG00125 is type r_st_rec1 is record f1 : integer ; f2 : st_rec1 ; end record ; function c_r_st_rec1_1 return r_st_rec1 ; -- (c_integer_1, c_st_rec1_1) ; function c_r_st_rec1_2 return r_st_rec1 ; -- (c_integer_2, c_st_rec1_2) ; -- type r_st_rec2 is record f1 : integer ; f2 : st_rec2 ; end record ; function c_r_st_rec2_1 return r_st_rec2 ; -- (c_integer_1, c_st_rec2_1) ; function c_r_st_rec2_2 return r_st_rec2 ; -- (c_integer_2, c_st_rec2_2) ; -- type r_st_rec3 is record f1 : integer ; f2 : st_rec3 ; end record ; function c_r_st_rec3_1 return r_st_rec3 ; -- (c_integer_1, c_st_rec3_1) ; function c_r_st_rec3_2 return r_st_rec3 ; -- (c_integer_2, c_st_rec3_2) ; -- -- end PKG00125 ; -- package body PKG00125 is function c_r_st_rec1_1 return r_st_rec1 is begin return (c_integer_1, c_st_rec1_1) ; end c_r_st_rec1_1 ; -- function c_r_st_rec1_2 return r_st_rec1 is begin return (c_integer_2, c_st_rec1_2) ; end c_r_st_rec1_2 ; -- -- function c_r_st_rec2_1 return r_st_rec2 is begin return (c_integer_1, c_st_rec2_1) ; end c_r_st_rec2_1 ; -- function c_r_st_rec2_2 return r_st_rec2 is begin return (c_integer_2, c_st_rec2_2) ; end c_r_st_rec2_2 ; -- -- function c_r_st_rec3_1 return r_st_rec3 is begin return (c_integer_1, c_st_rec3_1) ; end c_r_st_rec3_1 ; -- function c_r_st_rec3_2 return r_st_rec3 is begin return (c_integer_2, c_st_rec3_2) ; end c_r_st_rec3_2 ; -- -- -- end PKG00125 ; -- use WORK.STANDARD_TYPES.all ; use WORK.PKG00125.all ; architecture ARCH00125 of E00000 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_r_st_rec1 : chk_sig_type := -1 ; signal chk_r_st_rec2 : chk_sig_type := -1 ; signal chk_r_st_rec3 : chk_sig_type := -1 ; -- signal s_r_st_rec1 : r_st_rec1 := c_r_st_rec1_1 ; signal s_r_st_rec2 : r_st_rec2 := c_r_st_rec2_1 ; signal s_r_st_rec3 : r_st_rec3 := c_r_st_rec3_1 ; -- begin PGEN_CHKP_1 : process ( chk_r_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_r_st_rec1 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_r_st_rec1 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_rec1.f2.f2 <= transport c_r_st_rec1_2.f2.f2 after 10 ns, c_r_st_rec1_1.f2.f2 after 20 ns ; -- when 1 => correct := s_r_st_rec1.f2.f2 = c_r_st_rec1_2.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec1.f2.f2 = c_r_st_rec1_1.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00125.P1" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; s_r_st_rec1.f2.f2 <= transport c_r_st_rec1_2.f2.f2 after 10 ns , c_r_st_rec1_1.f2.f2 after 20 ns , c_r_st_rec1_2.f2.f2 after 30 ns , c_r_st_rec1_1.f2.f2 after 40 ns ; -- when 3 => correct := s_r_st_rec1.f2.f2 = c_r_st_rec1_2.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec1.f2.f2 <= transport c_r_st_rec1_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_r_st_rec1.f2.f2 = c_r_st_rec1_1.f2.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00125" , "One transport transaction occurred on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; test_report ( "ARCH00125" , "Old transactions were removed on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00125" , "Old transactions were removed on signal " & "asg with selected name prefixed by a selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- PGEN_CHKP_2 : process ( chk_r_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_r_st_rec2 = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_r_st_rec2 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_rec2.f2.f2 <= transport c_r_st_rec2_2.f2.f2 after 10 ns, c_r_st_rec2_1.f2.f2 after 20 ns ; -- when 1 => correct := s_r_st_rec2.f2.f2 = c_r_st_rec2_2.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec2.f2.f2 = c_r_st_rec2_1.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00125.P2" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; s_r_st_rec2.f2.f2 <= transport c_r_st_rec2_2.f2.f2 after 10 ns , c_r_st_rec2_1.f2.f2 after 20 ns , c_r_st_rec2_2.f2.f2 after 30 ns , c_r_st_rec2_1.f2.f2 after 40 ns ; -- when 3 => correct := s_r_st_rec2.f2.f2 = c_r_st_rec2_2.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec2.f2.f2 <= transport c_r_st_rec2_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_r_st_rec2.f2.f2 = c_r_st_rec2_1.f2.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00125" , "One transport transaction occurred on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; test_report ( "ARCH00125" , "Old transactions were removed on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00125" , "Old transactions were removed on signal " & "asg with selected name prefixed by a selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P2 ; -- PGEN_CHKP_3 : process ( chk_r_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_r_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_r_st_rec3 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_r_st_rec3.f2.f2 <= transport c_r_st_rec3_2.f2.f2 after 10 ns, c_r_st_rec3_1.f2.f2 after 20 ns ; -- when 1 => correct := s_r_st_rec3.f2.f2 = c_r_st_rec3_2.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec3.f2.f2 = c_r_st_rec3_1.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00125.P3" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; s_r_st_rec3.f2.f2 <= transport c_r_st_rec3_2.f2.f2 after 10 ns , c_r_st_rec3_1.f2.f2 after 20 ns , c_r_st_rec3_2.f2.f2 after 30 ns , c_r_st_rec3_1.f2.f2 after 40 ns ; -- when 3 => correct := s_r_st_rec3.f2.f2 = c_r_st_rec3_2.f2.f2 and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec3.f2.f2 <= transport c_r_st_rec3_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_r_st_rec3.f2.f2 = c_r_st_rec3_1.f2.f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00125" , "One transport transaction occurred on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; test_report ( "ARCH00125" , "Old transactions were removed on signal " & "asg with selected name prefixed by a selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00125" , "Old transactions were removed on signal " & "asg with selected name prefixed by a selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P3 ; -- -- end ARCH00125 ; -- entity ENT00125_Test_Bench is end ENT00125_Test_Bench ; -- architecture ARCH00125_Test_Bench of ENT00125_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00125 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00125_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00280.vhd
1
1785
-- NEED RESULT: ARCH00280: Block statement with a guard expression passed -- NEED RESULT: ARCH00280: Block statement without a guard expression passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00280 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.1 (2) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00280) -- ENT00280_Test_Bench(ARCH00280_Test_Bench) -- -- REVISION HISTORY: -- -- 21-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00280 of E00000 is signal S : boolean := false ; begin B1 : block ( S ) begin B1_2 : block ( Not S ) begin process begin test_report ( "ARCH00280" , "Block statement with a guard expression" , True ) ; wait ; end process ; end block B1_2 ; end block B1 ; B2 : block ( S ) begin B2_2 : block begin process begin test_report ( "ARCH00280" , "Block statement without a guard expression" , True ) ; wait ; end process ; end block B2_2 ; end block B2 ; end ARCH00280 ; entity ENT00280_Test_Bench is end ENT00280_Test_Bench ; architecture ARCH00280_Test_Bench of ENT00280_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00280 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00280_Test_Bench ;
gpl-3.0
dcliche/mdsynth
rtl/src/ps2_keyboard.vhd
1
33504
--===========================================================================-- -- -- -- ps2_keyboard.vhd - Synthesizable PS/2 Keyboard Interface -- -- -- --===========================================================================-- -- -- File name : ps2_keyboard.vhd -- -- Purpose : Implements a PS/2 Keyboard Interface -- -- Dependencies : ieee.std_logic_1164 -- ieee.std_logic_unsigned -- ieee.std_logic_arith -- ieee.numeric_std -- -- Author : Original Verilog version by John Clayton -- Converted to VHDL by John E. Kent -- -- Email : [email protected] -- -- Web : http://opencores.org/project,system09 -- -- Description : -- -- This is a state-machine driven serial-to-parallel and parallel-to-serial -- interface to the ps2 style keyboard interface. The details of the operation -- of the keyboard interface were obtained from the following website: -- -- http://www.beyondlogic.org/keyboard/keybrd.htm -- -- Some aspects of the keyboard interface are not implemented (e.g, parity -- checking for the receive side, and recognition of the various commands -- which the keyboard sends out, such as "power on selt test passed," "Error" -- and "Resend.") However, if the user wishes to recognize these reply -- messages, the scan code output can always be used to extend functionality -- as desired. -- -- Note that the "Extended" (0xE0) and "Released" (0xF0) codes are recognized. -- The rx interface provides separate indicator flags for these two conditions -- with every valid character scan code which it provides. The shift keys are -- also trapped by the interface, in order to provide correct uppercase ASCII -- characters at the ascii output, although the scan codes for the shift keys -- are still provided at the scan code output. So, the left/right ALT keys -- can be differentiated by the presence of the rx_entended signal, while the -- left/right shift keys are differentiable by the different scan codes -- received. -- -- The interface to the ps2 keyboard uses ps2_clk clock rates of -- 30-40 kHz, dependent upon the keyboard itself. The rate at which the state -- machine runs should be at least twice the rate of the ps2_clk, so that the -- states can accurately follow the clock signal itself. Four times -- oversampling is better. Say 200kHz at least. The upper limit for clocking -- the state machine will undoubtedly be determined by delays in the logic -- which decodes the scan codes into ASCII equivalents. The maximum speed -- will be most likely many megahertz, depending upon target technology. -- In order to run the state machine extremely fast, synchronizing flip-flops -- have been added to the ps2_clk and ps2_data inputs of the state machine. -- This avoids poor performance related to slow transitions of the inputs. -- -- Because this is a bi-directional interface, while reading from the keyboard -- the ps2_clk and ps2_data lines are used as inputs. While writing to the -- keyboard, however (which may be done at any time. If writing interrupts a -- read from the keyboard, the keyboard will buffer up its data, and send -- it later) both the ps2_clk and ps2_data lines are occasionally pulled low, -- and pullup resistors are used to bring the lines high again, by setting -- the drivers to high impedance state. -- -- The tx interface, for writing to the keyboard, does not provide any special -- pre-processing. It simply transmits the 8-bit command value to the -- keyboard. -- -- Pullups MUST BE USED on the ps2_clk and ps2_data lines for this design, -- whether they be internal to an FPGA I/O pad, or externally placed. -- If internal pullups are used, they may be fairly weak, causing bounces -- due to crosstalk, etc. There is a "debounce timer" implemented in order -- to eliminate erroneous state transitions which would occur based on bounce. -- -- Parameters are provided in order to configure and appropriately size the -- counter of a 60 microsecond timer used in the transmitter, depending on -- the clock frequency used. The 60 microsecond period is guaranteed to be -- more than one period of the ps2_clk_s signal. -- -- Also, a smaller 5 microsecond timer has been included for "debounce". -- This is used because, with internal pullups on the ps2_clk and ps2_data -- lines, there is some bouncing around which occurs -- -- A parameter TRAP_SHIFT_KEYS allows the user to eliminate shift keypresses -- from producing scan codes (along with their "undefined" ASCII equivalents) -- at the output of the interface. If TRAP_SHIFT_KEYS is non-zero, the shift -- key status will only be reported by rx_shift_on. No ascii or scan -- codes will be reported for the shift keys. This is useful for those who -- wish to use the ASCII data stream, and who don't want to have to "filter -- out" the shift key codes. -- -- Copyright (C) 2001 - 2010 John Clayton and John Kent -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- --===========================================================================-- -- -- -- Revision History -- -- -- --===========================================================================-- -- -- Author: John Clayton -- 2001-04-30 copied this file from lcd_2.v (pared down). -- 2001-05-24 changed the first module from "ps2_keyboard_receiver" -- to "ps2_keyboard_interface" -- 2001-05-29 Added input synchronizing flip-flops. Changed state -- encoding (m1) for good operation after part config. -- 2001-05-31 Added low drive strength and slow transitions to ps2_clk -- and ps2_data in the constraints file. Added the signal -- "tx_shifting_done" as distinguished from "rx_shifting_done." -- Debugged the transmitter portion in the lab. -- 2001-06-01 Added horizontal tab to the ascii output. -- 2001-06-01 Added parameter TRAP_SHIFT_KEYS. -- 2001-06-05 Debugged the "debounce" timer functionality. -- Used 60usec timer as a "watchdog" timeout during -- receive from the keyboard. This means that a keyboard -- can now be "hot plugged" into the interface, without -- messing up the bit_count, since the bit_count is reset -- to zero during periods of inactivity anyway. This was -- difficult to debug. I ended up using the logic analyzer, -- and had to scratch my head quite a bit. -- 2001-06-06 Removed extra comments before the input synchronizing -- flip-flops. Used the correct parameter to size the -- 5usec_timer_count. Changed the name of this file from -- ps2.v to ps2_keyboard.v -- 2001-06/06 Removed "&& q[7:0]" in output_strobe logic. Removed extra -- commented out "else" condition in the shift register and -- bit counter. -- 2001-06-07 Changed default values for 60usec timer parameters so that -- they correspond to 60usec for a 49.152MHz clock. -- -- Author: John Kent --2001-02-10 Converted to VHDL -- 2004-09-11 Added ctrl key -- Changed undefined key codes to x"ff" -- Reversed clock polarity -- 2004-10-18 Added ctrl keys to ASCII ROM -- Added CAPS Lock toggle. -- 2007-02-06 Added Generic Clock parameter -- 2010-05-31 Revised header, added GPL -- 2010-06-17 Change some signal names for consistancy -- 2010-10-24 Rearranged code to prevent shift key outputting characters -- -- --------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; --library unisim; -- use unisim.vcomponents.all; entity ps2_keyboard is generic ( CLK_FREQ_MHZ : integer ); port( clk : in std_logic; reset : in std_logic; rx_data : out std_logic_vector(7 downto 0); rx_read : in std_logic; rx_data_ready : out std_logic; rx_extended : out std_logic; rx_released : out std_logic; rx_shift_on : out std_logic; tx_data : in std_logic_vector(7 downto 0); tx_write : in std_logic; tx_data_empty : out std_logic; tx_error : out std_logic; ps2_clk : inout std_logic; ps2_data : inout std_logic ); end ps2_keyboard; ------------------------------------------------------------------------------- -- Architecture for ps2 keyboard interface ------------------------------------------------------------------------------- architecture rtl of ps2_keyboard is ----------------------------------------------------------------------------- constant TOTAL_BITS : integer := 11; constant EXTEND_CODE : integer := 16#E0#; constant RELEASE_CODE : integer := 16#F0#; constant LEFT_SHIFT : integer := 16#12#; constant RIGHT_SHIFT : integer := 16#59#; constant CTRL_CODE : integer := 16#14#; constant LEFT_ALT : integer := 16#11#; constant CAPS_CODE : integer := 16#58#; constant SCROLL_LOCK : integer := 16#7E#; constant NUM_LOCK : integer := 16#77#; -- constants -- The timer value can be up to (2^bits) inclusive. -- Values for 49.152 MHz clock --constant TIMER_60USEC_VALUE_PP : integer := 2950; -- Number of sys_clks for 60usec. --constant TIMER_60USEC_BITS_PP : integer := 12; -- Number of bits needed for timer --constant TIMER_5USEC_VALUE_PP : integer := 186; -- Number of sys_clks for debounce --constant TIMER_5USEC_BITS_PP : integer := 8; -- Number of bits needed for timer -- Values for 12.5 MHz Clock --constant TIMER_60USEC_VALUE_PP : integer := 750; -- Number of sys_clks for 60usec. --constant TIMER_60USEC_BITS_PP : integer := 10; -- Number of bits needed for timer --constant TIMER_5USEC_VALUE_PP : integer := 62; -- Number of sys_clks for debounce --constant TIMER_5USEC_BITS_PP : integer := 6; -- Number of bits needed for timer -- Values for 25 MHz Clock --constant TIMER_60USEC_VALUE_PP : integer := 1500; -- Number of sys_clks for 60usec. --constant TIMER_60USEC_BITS_PP : integer := 11; -- Number of bits needed for timer --constant TIMER_5USEC_VALUE_PP : integer := 125; -- Number of sys_clks for debounce --constant TIMER_5USEC_BITS_PP : integer := 7; -- Number of bits needed for timer -- Values for generic Clock up to 50 MHz constant TIMER_60USEC_VALUE_PP : integer := CLK_FREQ_MHZ * 60; -- Number of clock cycles for 60usec. constant TIMER_60USEC_BITS_PP : integer := 12; -- Number of bits needed for timer constant TIMER_5USEC_VALUE_PP : integer := CLK_FREQ_MHZ * 5; -- Number of clock cycles for debounce constant TIMER_5USEC_BITS_PP : integer := 8; -- Number of bits needed for timer constant TRAP_SHIFT_KEYS_PP : integer := 1; -- Default: No shift key trap. -- State encodings, provided as constants -- for flexibility to the one instantiating the module. -- In general, the default values need not be changed. -- State "m1_rx_clk_l" has been chosen on purpose. Since the input -- synchronizing flip-flops initially contain zero, it takes one clk -- for them to update to reflect the actual (idle = high) status of -- the I/O lines from the keyboard. Therefore, choosing 0 for m1_rx_clk_l -- allows the state machine to transition to m1_rx_clk_h when the true -- values of the input signals become present at the outputs of the -- synchronizing flip-flops. This initial transition is harmless, and it -- eliminates the need for a "reset" pulse before the interface can operate. type m1_type is ( m1_rx_clk_h, m1_rx_clk_l, m1_tx_wait_clk_h, m1_tx_force_clk_l, m1_tx_clk_h, m1_tx_clk_l, m1_tx_wait_keyboard_ack, m1_tx_done_recovery, m1_tx_error, m1_tx_rising_edge_marker, m1_tx_first_wait_clk_h, m1_tx_first_wait_clk_l, m1_tx_reset_timer, m1_rx_falling_edge_marker, m1_rx_rising_edge_marker ); -- Internal signal declarations signal timer_60usec_done : std_logic; signal timer_5usec_done : std_logic; signal extended : std_logic; signal released : std_logic; signal shift_key_on : std_logic; signal ctrl_key_on : std_logic; signal caps_key_on : std_logic; -- NOTE: These two signals used to be one. They -- were split into two signals because of -- shift key trapping. With shift key -- trapping, no event is generated externally, -- but the "hold" data must still be cleared -- anyway regardless, in preparation for the -- next scan codes. signal rx_output_event : std_logic; -- Used only to clear: hold_released, hold_extended signal rx_output_strobe : std_logic; -- Used to produce the actual output. signal tx_parity_bit : std_logic; signal rx_shifting_done : std_logic; signal tx_shifting_done : std_logic; signal shift_key_plus_code: std_logic_vector(8 downto 0); signal q : std_logic_vector(TOTAL_BITS-1 downto 0); signal m1_state : m1_type; signal m1_next_state : m1_type; signal bit_count : std_logic_vector(3 downto 0); signal enable_timer_60usec: std_logic; signal enable_timer_5usec : std_logic; signal timer_60usec_count : std_logic_vector(TIMER_60USEC_BITS_PP-1 downto 0); signal timer_5usec_count : std_logic_vector(TIMER_5USEC_BITS_PP-1 downto 0); signal ascii : std_logic_vector(7 downto 0); -- "REG" type only because a case statement is used. signal left_shift_key : std_logic; signal right_shift_key : std_logic; signal hold_extended : std_logic; -- Holds prior value, cleared at rx_output_strobe signal hold_released : std_logic; -- Holds prior value, cleared at rx_output_strobe signal ps2_clk_s : std_logic; -- Synchronous version of this input signal ps2_data_s : std_logic; -- Synchronous version of this input signal ps2_clk_hi_z : std_logic; -- Without keyboard, high Z equals 1 due to pullups. signal ps2_data_hi_z : std_logic; -- Without keyboard, high Z equals 1 due to pullups. signal tx_data_empty_o : std_logic; -- -- key lookup table -- component keymap_rom Port ( clk : in std_logic; rst : in std_logic; cs : in std_logic; rw : in std_logic; addr : in std_logic_vector (8 downto 0); data_in : in std_logic_vector (7 downto 0); data_out : out std_logic_vector (7 downto 0) ); end component; begin my_key_map : keymap_rom Port map ( clk => clk, rst => reset, cs => '1', rw => '1', addr => shift_key_plus_code, data_in => "00000000", data_out => ascii ); ---------------------------------------------------------------------------- -- Module code -- assign ps2_clk = ps2_clk_hi_z?1'bZ:1'b0; -- assign ps2_data = ps2_data_hi_z?1'bZ:1'b0; -- ps2_direction : process( ps2_clk_hi_z, ps2_data_hi_z ) begin if( ps2_clk_hi_z = '1' ) then ps2_clk <= 'Z'; else ps2_clk <= '0'; end if; if( ps2_data_hi_z = '1' ) then ps2_data <= 'Z'; else ps2_data <= '0'; end if; end process; -- Input "synchronizing" logic -- synchronizes the inputs to the state -- machine clock, thus avoiding errors related to -- spurious state machine transitions. ps2_synch : process(clk, ps2_clk, ps2_data) begin if clk'event and clk='0' then ps2_clk_s <= ps2_clk; ps2_data_s <= ps2_data; end if; end process; -- State register m1_state_register : process( clk, reset, m1_state ) begin if clk'event and clk='0' then if (reset = '1') then m1_state <= m1_rx_clk_h; else m1_state <= m1_next_state; end if; end if; end process; m1_state_logic : process( m1_state, q, tx_shifting_done, tx_write, ps2_clk_s, ps2_data_s, timer_60usec_done, timer_5usec_done ) begin -- Output signals default to this value, unless changed in a state condition. ps2_clk_hi_z <= '1'; ps2_data_hi_z <= '1'; tx_error <= '0'; enable_timer_60usec <= '0'; enable_timer_5usec <= '0'; case (m1_state) is -- -- receive clock transitions -- when m1_rx_clk_h => enable_timer_60usec <= '1'; if (tx_write = '1') then m1_next_state <= m1_tx_reset_timer; elsif (ps2_clk_s = '0') then m1_next_state <= m1_rx_falling_edge_marker; else m1_next_state <= m1_rx_clk_h; end if; when m1_rx_falling_edge_marker => enable_timer_60usec <= '0'; m1_next_state <= m1_rx_clk_l; when m1_rx_clk_l => enable_timer_60usec <= '1'; if (tx_write = '1') then m1_next_state <= m1_tx_reset_timer; elsif (ps2_clk_s = '1') then m1_next_state <= m1_rx_rising_edge_marker; else m1_next_state <= m1_rx_clk_l; end if; when m1_rx_rising_edge_marker => enable_timer_60usec <= '0'; m1_next_state <= m1_rx_clk_h; -- -- write to keyboard (Tx) -- when m1_tx_reset_timer => enable_timer_60usec <= '0'; m1_next_state <= m1_tx_force_clk_l; when m1_tx_force_clk_l => enable_timer_60usec <= '1'; ps2_clk_hi_z <= '0'; -- Force the ps2_clk line low. if (timer_60usec_done = '1') then m1_next_state <= m1_tx_first_wait_clk_h; else m1_next_state <= m1_tx_force_clk_l; end if; when m1_tx_first_wait_clk_h => enable_timer_5usec <= '1'; ps2_data_hi_z <= '0'; -- Start bit. if (ps2_clk_s = '0') and (timer_5usec_done = '1') then m1_next_state <= m1_tx_clk_l; else m1_next_state <= m1_tx_first_wait_clk_h; end if; -- This state must be included because the device might possibly -- delay for up to 10 milliseconds before beginning its clock pulses. -- During that waiting time, we cannot drive the data (q[0]) because it -- is possibly 1, which would cause the keyboard to abort its receive -- and the expected clocks would then never be generated. when m1_tx_first_wait_clk_l => ps2_data_hi_z <= '0'; if (ps2_clk_s = '0') then m1_next_state <= m1_tx_clk_l; else m1_next_state <= m1_tx_first_wait_clk_l; end if; when m1_tx_wait_clk_h => enable_timer_5usec <= '1'; ps2_data_hi_z <= q(0); if (ps2_clk_s = '1') and (timer_5usec_done = '1') then m1_next_state <= m1_tx_rising_edge_marker; else m1_next_state <= m1_tx_wait_clk_h; end if; when m1_tx_rising_edge_marker => ps2_data_hi_z <= q(0); m1_next_state <= m1_tx_clk_h; when m1_tx_clk_h => ps2_data_hi_z <= q(0); if (tx_shifting_done = '1') then m1_next_state <= m1_tx_wait_keyboard_ack; elsif (ps2_clk_s = '0') then m1_next_state <= m1_tx_clk_l; else m1_next_state <= m1_tx_clk_h; end if; when m1_tx_clk_l => ps2_data_hi_z <= q(0); if (ps2_clk_s = '1') then m1_next_state <= m1_tx_wait_clk_h; else m1_next_state <= m1_tx_clk_l; end if; when m1_tx_wait_keyboard_ack => if (ps2_clk_s = '0') and (ps2_data_s = '1') then m1_next_state <= m1_tx_error; elsif (ps2_clk_s = '0') and (ps2_data_s = '0') then m1_next_state <= m1_tx_done_recovery; else m1_next_state <= m1_tx_wait_keyboard_ack; end if; when m1_tx_done_recovery => if (ps2_clk_s = '1') and (ps2_data_s = '1') then m1_next_state <= m1_rx_clk_h; else m1_next_state <= m1_tx_done_recovery; end if; when m1_tx_error => tx_error <= '1'; if (ps2_clk_s = '1') and (ps2_data_s ='1') then m1_next_state <= m1_rx_clk_h; else m1_next_state <= m1_tx_error; end if; when others => m1_next_state <= m1_rx_clk_h; end case; end process; -- -- This is the bit counter -- bit_counter: process(clk, reset, m1_state, bit_count ) begin if clk'event and clk = '0' then if ( reset = '1' ) or ( rx_shifting_done = '1' ) or (m1_state = m1_tx_wait_keyboard_ack) then -- After tx is done. bit_count <= "0000"; -- normal reset elsif (timer_60usec_done = '1' ) and ( m1_state = m1_rx_clk_h ) and ( ps2_clk_s = '1' ) then bit_count <= "0000"; -- rx watchdog timer reset elsif ( m1_state = m1_rx_falling_edge_marker ) or -- increment for rx (m1_state = m1_tx_rising_edge_marker) then -- increment for tx bit_count <= bit_count + 1; end if; end if; if (bit_count = TOTAL_BITS) then rx_shifting_done <= '1'; else rx_shifting_done <= '0'; end if; if (bit_count = (TOTAL_BITS-1)) then tx_shifting_done <= '1'; else tx_shifting_done <= '0'; end if; end process; assign: process( bit_count, tx_write, m1_state, tx_data_empty_o, m1_state ) begin -- -- This is the signal which enables loading of the shift register. -- It also indicates "ack" to the device writing to the transmitter. -- if ((tx_write = '1') and (m1_state = m1_rx_clk_h)) or ((tx_write = '1') and (m1_state = m1_rx_clk_l)) then tx_data_empty_o <= '1'; else tx_data_empty_o <= '0'; end if; tx_data_empty <= tx_data_empty_o; end process; -- This is the shift register q_shift : process(clk, tx_data_empty_o, tx_parity_bit, tx_data, m1_state, q, ps2_data_s, rx_shifting_done ) begin -- -- This is the ODD parity bit for the transmitted word. -- assign tx_parity_bit = ~^tx_data; -- tx_parity_bit <= not( tx_data(7) xor tx_data(6) xor tx_data(5) xor tx_data(4) xor tx_data(3) xor tx_data(2) xor tx_data(1) xor tx_data(0) ); if clk'event and clk='0' then if (reset = '1') then q <= (others=>'0'); elsif (tx_data_empty_o = '1') then q <= "1" & tx_parity_bit & tx_data & "0"; elsif ( (m1_state = m1_rx_falling_edge_marker) or (m1_state = m1_tx_rising_edge_marker) ) then q <= ps2_data_s & q((TOTAL_BITS-1) downto 1); end if; end if; end process; -- -- This is the 60usec timer counter -- timer60usec: process(clk, enable_timer_60usec, timer_60usec_count) begin if clk'event and clk = '0' then if (enable_timer_60usec = '0') then timer_60usec_count <= (others => '0'); elsif (timer_60usec_done = '0') then timer_60usec_count <= timer_60usec_count + 1; end if; end if; if (timer_60usec_count = (TIMER_60USEC_VALUE_PP - 1)) then timer_60usec_done <= '1'; else timer_60usec_done <= '0'; end if; end process; -- -- This is the 5usec timer counter -- timer5usec : process(clk, enable_timer_5usec, timer_5usec_count ) begin if clk'event and clk = '0' then if (enable_timer_5usec = '0') then timer_5usec_count <= (others => '0'); elsif (timer_5usec_done = '0') then timer_5usec_count <= timer_5usec_count + 1; end if; end if; if( timer_5usec_count = (TIMER_5USEC_VALUE_PP - 1)) then timer_5usec_done <= '1'; else timer_5usec_done <= '0'; end if; end process; -- -- Create the signals which indicate special scan codes received. -- These are the "unlatched versions." -- extend_release_decode : process( q, rx_shifting_done, extended, released ) begin if (q(8 downto 1) = EXTEND_CODE) and (rx_shifting_done = '1') then extended <= '1'; else extended <= '0'; end if; if (q(8 downto 1) = RELEASE_CODE) and (rx_shifting_done = '1') then released <= '1'; else released <= '0'; end if; if (rx_shifting_done = '1') and (extended = '0') and (released = '0') then rx_output_event <= '1'; else rx_output_event <= '0'; end if; end process; -- -- Store the special scan code status bits -- Not the final output, but an intermediate storage place, -- until the entire set of output data can be assembled. -- special_scan : process(clk, reset, rx_output_event, rx_shifting_done, extended, released ) begin if clk'event and clk='0' then if (reset = '1') or (rx_output_event = '1') then hold_extended <= '0'; hold_released <= '0'; else if (rx_shifting_done = '1') and (extended = '1') then hold_extended <= '1'; end if; if (rx_shifting_done = '1') and (released = '1') then hold_released <= '1'; end if; end if; end if; end process; -- -- convert scan code to ascii code -- scan_to_ascii : process( shift_key_on, caps_key_on, q ) begin shift_key_plus_code <= shift_key_on & caps_key_on & q(7 downto 1); end process; -- -- These bits contain the status of the two shift keys -- left_shift_proc : process(clk, reset, q, rx_shifting_done, hold_released ) begin if clk'event and clk = '0' then if (reset = '1') then left_shift_key <= '0'; elsif (q(8 downto 1) = LEFT_SHIFT) and (rx_shifting_done = '1') then left_shift_key <= not hold_released; end if; end if; end process; right_shift_proc : process(clk, reset, q, rx_shifting_done, hold_released ) begin if clk'event and clk = '0' then if (reset = '1') then right_shift_key <= '0'; elsif (q(8 downto 1) = RIGHT_SHIFT) and (rx_shifting_done = '1') then right_shift_key <= not hold_released; end if; end if; end process; shift_proc : process( left_shift_key, right_shift_key, shift_key_on, caps_key_on, q ) begin shift_key_on <= left_shift_key or right_shift_key; rx_shift_on <= shift_key_on; end process; -- -- Control keys -- ctrl_proc : process(clk, reset, q, rx_shifting_done, hold_released ) begin if clk'event and clk = '0' then if (reset = '1') then ctrl_key_on <= '0'; elsif (q(8 downto 1) = CTRL_CODE) and (rx_shifting_done = '1') then ctrl_key_on <= not hold_released; end if; end if; end process; -- -- Caps lock -- caps_proc : process(clk, reset, q, rx_shifting_done, hold_released, caps_key_on ) begin if clk'event and clk = '0' then if (reset = '1') then caps_key_on <= '0'; elsif (q(8 downto 1) = CAPS_CODE) and (rx_shifting_done = '1') then if (hold_released = '0') then caps_key_on <= not caps_key_on; end if; end if; end if; end process; -- -- Output the special scan code flags, the scan code and the ascii -- special_scan_proc : process(clk, reset, rx_output_strobe, hold_extended, hold_released, ascii, ctrl_key_on ) begin if clk'event and clk = '0' then if (reset = '1') then rx_extended <= '0'; rx_released <= '0'; rx_data <= (others=>'0'); elsif (rx_output_strobe = '1') then rx_extended <= hold_extended; rx_released <= hold_released; if ctrl_key_on = '1' then rx_data <= ascii and x"1f"; else rx_data <= ascii; end if; end if; end if; end process; -- -- Store the final rx output data only when all extend and release codes -- are received and the next (actual key) scan code is also ready. -- (the presence of rx_extended or rx_released refers to the -- the current latest scan code received, not the previously latched flags.) -- rx_output_proc : process( clk, reset, rx_shifting_done, rx_output_strobe, extended, released, hold_extended, hold_released, q, ascii, rx_read ) begin if clk'event and clk = '0' then if reset = '1' then rx_output_strobe <= '0'; elsif (rx_shifting_done = '1') and (rx_output_strobe = '0') and (extended = '0') and (released = '0') and (hold_released = '0' ) and (ascii /= "00000000" ) then -- ((TRAP_SHIFT_KEYS_PP = 0) or -- ( (q(8 downto 1) /= RIGHT_SHIFT) and -- (q(8 downto 1) /= LEFT_SHIFT) and -- (q(8 downto 1) /= CTRL_CODE) ) )then rx_output_strobe <= '1'; elsif rx_read = '1' then rx_output_strobe <= '0'; end if; end if; rx_data_ready <= rx_output_strobe; end process; -- -- This part translates the scan code into an ASCII value... -- Only the ASCII codes which I considered important have been included. -- if you want more, just add the appropriate case statement lines... -- (You will need to know the keyboard scan codes you wish to assign.) -- The entries are listed in ascending order of ASCII value. -- --shift_map : process( shift_key_plus_code ) --begin -- case shift_key_plus_code is -- when x"066" => ascii <= x"08"; -- Backspace ("backspace" key) -- when x"166" => ascii <= x"08"; -- Backspace ("backspace" key) -- when x"00d" => ascii <= x"09"; -- Horizontal Tab -- when x"10d" => ascii <= x"09"; -- Horizontal Tab -- when x"05a" => ascii <= x"0d"; -- Carriage return ("enter" key) -- when x"15a" => ascii <= x"0d"; -- Carriage return ("enter" key) -- when x"076" => ascii <= x"1b"; -- Escape ("esc" key) -- when x"176" => ascii <= x"1b"; -- Escape ("esc" key) -- when x"029" => ascii <= x"20"; -- Space -- when x"129" => ascii <= x"20"; -- Space -- when x"116" => ascii <= x"21"; -- ! -- when x"152" => ascii <= x"22"; -- " -- when x"126" => ascii <= x"23"; -- # -- when x"125" => ascii <= x"24"; -- $ -- when x"12e" => ascii <= x"25"; -- -- when x"13d" => ascii <= x"26"; -- -- when x"052" => ascii <= x"27"; -- -- when x"146" => ascii <= x"28"; -- -- when x"145" => ascii <= x"29"; -- -- when x"13e" => ascii <= x"2a"; -- * -- when x"155" => ascii <= x"2b"; -- + -- when x"041" => ascii <= x"2c"; -- , -- when x"04e" => ascii <= x"2d"; -- - -- when x"049" => ascii <= x"2e"; -- . -- when x"04a" => ascii <= x"2f"; -- / -- when x"045" => ascii <= x"30"; -- 0 -- when x"016" => ascii <= x"31"; -- 1 -- when x"01e" => ascii <= x"32"; -- 2 -- when x"026" => ascii <= x"33"; -- 3 -- when x"025" => ascii <= x"34"; -- 4 -- when x"02e" => ascii <= x"35"; -- 5 -- when x"036" => ascii <= x"36"; -- 6 -- when x"03d" => ascii <= x"37"; -- 7 -- when x"03e" => ascii <= x"38"; -- 8 -- when x"046" => ascii <= x"39"; -- 9 -- when x"14c" => ascii <= x"3a"; -- : -- when x"04c" => ascii <= x"3b"; -- ; -- when x"141" => ascii <= x"3c"; -- < -- when x"055" => ascii <= x"3d"; -- = -- when x"149" => ascii <= x"3e"; -- > -- when x"14a" => ascii <= x"3f"; -- ? -- when x"11e" => ascii <= x"40"; -- @ -- when x"11c" => ascii <= x"41"; -- A -- when x"132" => ascii <= x"42"; -- B -- when x"121" => ascii <= x"43"; -- C -- when x"123" => ascii <= x"44"; -- D -- when x"124" => ascii <= x"45"; -- E -- when x"12b" => ascii <= x"46"; -- F -- when x"134" => ascii <= x"47"; -- G -- when x"133" => ascii <= x"48"; -- H -- when x"143" => ascii <= x"49"; -- I -- when x"13b" => ascii <= x"4a"; -- J -- when x"142" => ascii <= x"4b"; -- K -- when x"14b" => ascii <= x"4c"; -- L -- when x"13a" => ascii <= x"4d"; -- M -- when x"131" => ascii <= x"4e"; -- N -- when x"144" => ascii <= x"4f"; -- O -- when x"14d" => ascii <= x"50"; -- P -- when x"115" => ascii <= x"51"; -- Q -- when x"12d" => ascii <= x"52"; -- R -- when x"11b" => ascii <= x"53"; -- S -- when x"12c" => ascii <= x"54"; -- T -- when x"13c" => ascii <= x"55"; -- U -- when x"12a" => ascii <= x"56"; -- V -- when x"11d" => ascii <= x"57"; -- W -- when x"122" => ascii <= x"58"; -- X -- when x"135" => ascii <= x"59"; -- Y -- when x"11a" => ascii <= x"5a"; -- Z -- when x"054" => ascii <= x"5b"; -- [ -- when x"05d" => ascii <= x"5c"; -- \ -- when x"05b" => ascii <= x"5d"; -- ] -- when x"136" => ascii <= x"5e"; -- ^ -- when x"14e" => ascii <= x"5f"; -- _ -- when x"00e" => ascii <= x"60"; -- ` -- when x"01c" => ascii <= x"61"; -- a -- when x"032" => ascii <= x"62"; -- b -- when x"021" => ascii <= x"63"; -- c -- when x"023" => ascii <= x"64"; -- d -- when x"024" => ascii <= x"65"; -- e -- when x"02b" => ascii <= x"66"; -- f -- when x"034" => ascii <= x"67"; -- g -- when x"033" => ascii <= x"68"; -- h -- when x"043" => ascii <= x"69"; -- i -- when x"03b" => ascii <= x"6a"; -- j -- when x"042" => ascii <= x"6b"; -- k -- when x"04b" => ascii <= x"6c"; -- l -- when x"03a" => ascii <= x"6d"; -- m -- when x"031" => ascii <= x"6e"; -- n -- when x"044" => ascii <= x"6f"; -- o -- when x"04d" => ascii <= x"70"; -- p -- when x"015" => ascii <= x"71"; -- q -- when x"02d" => ascii <= x"72"; -- r -- when x"01b" => ascii <= x"73"; -- s -- when x"02c" => ascii <= x"74"; -- t -- when x"03c" => ascii <= x"75"; -- u -- when x"02a" => ascii <= x"76"; -- v -- when x"01d" => ascii <= x"77"; -- w -- when x"022" => ascii <= x"78"; -- x -- when x"035" => ascii <= x"79"; -- y -- when x"01a" => ascii <= x"7a"; -- z -- when x"154" => ascii <= x"7b"; -- { -- when x"15d" => ascii <= x"7c"; -- | -- when x"15b" => ascii <= x"7d"; -- } -- when x"10e" => ascii <= x"7e"; -- ~ -- when x"071" => ascii <= x"7f"; -- (Delete OR DEL on numeric keypad) -- when x"171" => ascii <= x"7f"; -- (Delete OR DEL on numeric keypad) -- when others => ascii <= x"00"; -- 0xff used for unlisted characters. -- end case; --end process; end rtl;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00397.vhd
1
16045
-- NEED RESULT: ARCH00397.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00397.P2: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P2: Inertial transactions completed entirely passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00397 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00397(ARCH00397) -- ENT00397_Test_Bench(ARCH00397_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00397 is end ENT00397 ; -- -- architecture ARCH00397 of ENT00397 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_st_arr2_vector_savt : chk_time_type := 0 ns ; signal s_st_arr3_vector_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr3_vector_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_arr2_vector_select : select_type := 1 ; signal st_arr3_vector_select : select_type := 1 ; -- signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_arr2_vector_cnt is when 0 => null ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_vector_select <= transport 2 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; st_arr2_vector_select <= transport 3 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns ; -- when 4 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 4 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 100 ns ; -- when 5 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 5 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 6 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 7 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr2_vector_savt <= transport Std.Standard.Now ; chk_st_arr2_vector <= transport s_st_arr2_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ; wait until (not s_st_arr2_vector(lowb)(highb,false)'Quiet) and (s_st_arr2_vector_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with st_arr2_vector_select select s_st_arr2_vector(lowb)(highb,false) <= c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, c_st_arr2_vector_1(lowb)(highb,false) after 20 ns when 1, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 2, -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns when 3, -- c_st_arr2_vector_1(lowb)(highb,false) after 100 ns when 4, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 5, -- -- Last transaction above is marked c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 6 ; -- CHG2 : process variable correct : boolean ; begin case s_st_arr3_vector_cnt is when 0 => null ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397.P2" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr3_vector_select <= transport 2 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; st_arr3_vector_select <= transport 3 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns ; -- when 4 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 4 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 100 ns ; -- when 5 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 5 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 6 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 7 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr3_vector_savt <= transport Std.Standard.Now ; chk_st_arr3_vector <= transport s_st_arr3_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr3_vector_cnt <= transport s_st_arr3_vector_cnt + 1 ; wait until (not s_st_arr3_vector(highb)(lowb,true)'Quiet) and (s_st_arr3_vector_savt /= Std.Standard.Now) ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions completed entirely", chk_st_arr3_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- with st_arr3_vector_select select s_st_arr3_vector(highb)(lowb,true) <= c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, c_st_arr3_vector_1(highb)(lowb,true) after 20 ns when 1, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 2, -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns when 3, -- c_st_arr3_vector_1(highb)(lowb,true) after 100 ns when 4, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 5, -- -- Last transaction above is marked c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 6 ; -- end ARCH00397 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00397_Test_Bench is end ENT00397_Test_Bench ; -- -- architecture ARCH00397_Test_Bench of ENT00397_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00397 ( ARCH00397 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00397_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo16_patch/wgtr.vhd
9
22085
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lptqRhamg10D7hMB5OSfJjY8BDtuC5fszVpL4E2MRYDFc7fj4Axi287anZA4s5tt0baXxcxpEVtv xNWZD/7cIg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N+8pro8/jbgddYH6V6DuOl2RcDQFov8eYlPBEdcHy3N7zIewzI9IiZC0O6S7nOLSZGju1ygoMMNW fUmhOZut6ORbFMJGwytos3x/PD+mPCWi+VYGuDow7zvZZ3A0QvgLxJn5DTCokntkmbgX61rLywUU g+LPQDns040N8cqtG5I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YF135qcAJodFmWr1g/L+9BM2mx+UTxuGrOT0QF4HZPdo0ivamB0vzxdftjV5MQccQdSXJPChXQ3N un0rskEeyoaPlxfqRpyPp/e3Syw9Z2Uut0Lj01COpAT8P4UNJJuyvg5CdGULqRb41k3X0FzaYVj6 nuwaw11VMYQm/fq5DaGazxrLD2xtqzJO4TdnK6cK0G1lhfeID+F5gGWGJExSXUq/2xiiIkb3+nnq Y3eimcQ8emgX4eZb1FO5U1UjwwrbPr0Zc4da5D2b3j/UDCDH+uieAjj+CtKvhzbr5evpmj+Zy3JE dmbR1lP2V5YhkMRT+YplfYb2H1gUZt5GlKquug== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CYxVZaKIgML2xe9jMO3bTQH3F0ztmyLWQla5pB6PkhOu6z1i27BkIFFlIVy58X99UxjSg+zvkRgh UEsEoUilIaIJe6/VnPhhA6WexyYgXggjSZWavI1LUAjR0Ktu4C0TJwYq42jSZdZRrnKZEW7JIMry +2CLMRihU2+nANgs764= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WsreWDpxDvrDWBZSDJtk0eTVVa9dHM1YDLXWmG3aX8VGpnotl/Euq5xAVG/ouakMXwbsASPZcCqS hKl7BWQZEK01EgjuNz+6DhLFtYOYBXF/YbjR//tZY6zrufiSnbeWld4/1KPjXipvUD87N0fKSAHF uA0W3RQMZu2ghLueLO/lEezkA3E0hTWS9c2HbdRV8qanHIO3xUexcVsQLMCZjLSc+oCAk/iEK0Sg nZnA54yhO62ejKJPEs/7FqHCFbTuIOIi7jJzSX9scmw3rzhQJ/EaOxtUV/yyCjTedyinRlBW7j6y NTOlFJSJeiSOBwDpLAJSIYPfZEXvWAUplNWt2Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608) `protect data_block Y+LrWm2JoAUHfs5Ur0+lneIJpiKvw/1NHgWMMlyceSgzUq1ge/+eeIw76T7EnJ3pxAY5+jV5obTb OCKwDoa9dP5jXOzN46ORC8JfaywBnHYcLFUszhvB4d0SryoGxnL1oA53bncG1kSHRbueMr/nMU2m Va7Q3g49n715jDB2xXVJvpmtB86Ntb8JKSICF3xtZVT2vhTIrplBUeB1gCnqz34vp5LSZOIgHZ7f 366zTb1jyLOERI+i4UinUMIRTvzBzimShjnE6nL7zCo/z77IBBhqK1k8hS268k5/eI0yaq7SadUH IOpSLKJsnWG9f2P2qscmxtYdZZjiZ7HDaWpUvX3mtFV3z4XfZHSBejprzTBYezuzBILJ6dbC+YcF CruXeY2X3I4xAa7lQpIDwhCMCJ0N2p+60StdAHQ2zeWxz3SnuQ811RnmuR2hu0dY3CZTbtkUtgfE LLsTaf7+Jyhe1v4kUXoQ+V06CNXlqrPiXutsAn+tA54vOkClWC6hA6eKnmRqFVGNO3gwocxdpyCT tXngw2GgnPnIwjEGrxuQw9mJg4GMlymkpoxk3D5kAJJ7Mc7jIenVwN5s6fp8P3uaSUDVaYOJYiUQ bc6av8TbNXI1ZCYTOH+RjLc0mr6qoG+roTym5PNgoJA+O9mJgtbmxEJqXWlpgz6BQQBRXUzID9Wh gBdPQG3i7NtCeamRe2Gbe/yrYMDHEmu4GNmmiVrZ0xjT2DjLYsA4E63pMZZV8BKvpsk6RSQe0uIz xL8tjvqD2xtpuoE7ZjAMRL73GC2yLB4WD36PZcIUZ7GsFOIhnJEYKYO7M/xX46xWI7125PLkw3FN QOWEBNgGwggn4mEeBvNBaI8p4fnrZWWiDx6Uqj8oNKeXNKdCNDD3orVzuzD8/hiQKRNiGfYfGNuX UQAEMggMcDUvqlFcR/miRhZTnIu5PajqurS29GTjFpKdYqUKdg/KKBCFuu4nfExLDOSX7PERDGDF bMRZIuqs4aJU3iPV37TRvmMCXRG2CjLgVKoouZIwppMBCJXK9Jzel514z84molpxBBbCZOEILt/a LaF1KQ4pO1PJxQA4ayx3CGXNSYt5vkqgC0VVNF4l6tfytZut9jrEdfZxieJsQ377m1qRl5Va++hW UZdLE6g5MWPrHVhQO2acK7aTCkzFB8N6RPdqEmffOlaSFLPTk8Roit3Z4FbH+a4nDLdIgNxe7NcL QO+L34Njr1C/7UVHShCjW+E5XU6GIq6+7nWFmuAm4KVMPqqRZghuU89DdK4oT8NfjQO3aLhAuyml 8OHwjHibHikUj7m+veJlNIxm+KQKPAB4UfnepMxAzwXgQNtlvCgxU9RB/TE3qc7W1oQ715zkJ9pE q4e+PXAFg/9Jbn9DI9/QtPeZaWqzctMawjyQiHFJplQEs/RyuqFI3mX7+AroiIGybTYctqKSeNTS RoBAhfMsD/oed4thmRxoc01IbfCcZovU74KZiBdXLEbCAWm1V69tLOSWDzEVYuC1Fg0YKolZR3+0 0kgJw71esrCCDukKY2KpLnsyqLBtsNWlT1AkOLuxqWMsaKa5WH1RnKTNPCzoI9oa7l4avCkMYqmS 7JHAVq8JAF3smOUZEtKXdUHAVs6ihfwnJsMl1idTWbFsW5UOM3PlNqO+QQGGjef//ZJI5Eme51Ua 4TN2OY6wWstalyXHHRw5KYPNOxRNFy3cME0WZnWBkR35ngmAuMLtPD8ocBu8iujjteimYneESJEr DRU+J5QToVZ4VUo0EGsAS3ZMyChhD2TJ90j58wxy+McYonK3pRMFqoxz8Hc1nJQAyUE9YvZnMI25 l6lt6J5aKY8CXg7ikGo4PRZodmivj8WI3RWkW30PtuvcuNyxzMLfcAuITguz1mPiPmqy9g6x7XJc dsaYL6gs/3JK5v40RHrLmfQlj8Y060VIBntuV9y7/TR16n5vWyvgYilbhPmAWC00FQfvG6V1lxm4 bcnmGC0twIGtux1z56tgdBKiWNoqO8ny9kylWlkCYios3xk/rxFPG+5ca9orkHOUIXZ1J+qBQJxo Tl6yWePn/w3LIlq8MLCuITYoPe1UaxjpEE5x6vjBrWGMpCv438IUc14pDjqqVQCLvJGRQm3AIWGT HZdqrLzuQ5Whx3CoaqTZCrAZGKSfR6RMs6d619KTJbDMLa0Bmz5I6Q2UmEZIH6GRPDiR/xbtmAYy INCyF5lyO7Z1P+euKwcbS5l2fMRZ1kggGhcjBD+F3YBadisQcE5YtoePMuZoBqAOjx76iHfSh+kE cTpE2swXyuopxGqaHy/qauV9kbcTvT+ek/5+TI5/rRejoZsqWIRtgE4UDer2f9oefrwcdoBKEy4w rKYeDyJjBfgsJAal7D8zpzaESDtC7DJkNiD57tI5ETMzzOUTbrpc9BGOH8m1JgsvTl/n3tIY9iZB Kv6K7aI2WNgVmVXOCjTsa0v25Tbi2KGKrL+Xe9wQCw7YfKpeo1Z14Lxu+3Eo8qQtoRf5fyJ8NVRo atxLop0EqwJOKookt60PRrMoGE8AM6e1RhglMwzUj7L6KE3m28M9jvZ0EERefpnesEj4YhcY4WlL 4mLzJKMaUqQmRbc2Jl7N3HIgV8XKwRQUKqER452lbirSadNgkWOgt7qTaD5ZQHfCOzoAC05Aw1A2 5CT2i+WFKXon8m2Sby/+uMoErQqH0ZnZs2thk/WVK+oux7waEVzWLx3r2tRcBPCRbdGMijpOm2RF kKGwi/RoxljkHQt/2HuGfAGy8+CDHFrSCnQORFRbcVG/85yodpF35BcE/v4crlpjp7KSTQ2QqgWv Pz7wTODRiSWuhsXn4dnSOsPNZGeLW34yNWNq1UROoKidXucpnqcqp2AdZtm9aRbLtpAET337mUVn M0fRdKPMv7+oUJRriM4Ez1xBRxK0ga2avxDL0Us6Uyule3oJWUNuwWLKpEmJfiMmxJQCI3JpVMaY xX+TAA+BDoQKJjZlbmXlXwEecKEaXuYY36oEDD9HJbGHfxVryt0yq3apCFtR3GJNEfNPwKU2ZeqD Ttj4tyx15wCwEmKlhMYo7OBfzIGq1/8Fweo6qQprQBgw8UKx/Vc4ZiRty7F9StNxuYuAu0y1SvKP vBsWQ2TC/Rinfn8Xx72uCvRb5EkBYvhnJ7e2bqUJgB07Iq1Fj8HLY/u+R+p+AfheUH+YS1/X07BV o2vtLOIKe4pDo5e0NtPhzSI8qhhXQgtkU0rW+SVNbiY65kk4D9/4o7xXXctypXR8pOjCyzglAUiE mC4ccV6IdqvNkG7MeQMyUM3B/xruVE8yih8+eDQdTeM69py5Dyrhvmy99vyDHKs99rGF7jsdLwod pjlIiHFN/yoHmlvm+wAdNzGtJiWTX6WKzkSgztFhrf24YT5BeNDp2ZpAiSb43uqL18Zy9gQ5gENb OJyAI+r4rSHipAXAj3C+4g2/ogcy7paeZ6IlvPsyfgEiH6dDvdt/FVZ2fhLIRq7F3QliDn+iM0K9 DbyzVjOzwBgqC/pOsLZcloVPoHdG7jXP+H+VnilimGiy1odZSbqgLBvF7WmNfRdBLCAupB11LP2H oVu3WAEqpTKeg7JOfGY6bBjk6MLPuASTGou+zuSvu5P4RMSkI8FvFCXv16gBQKl6ao5sV/W1D5Q8 ngOowmIjM81SdI1LM+ynfMCI5FC/1cJzzQKn9h89a0Hh7I+S8GHTaLiRwHfe04s0/nIJ3mkmPPGi QjQgi7PkHOHIZBWBs2obKwcM1HDgmPOlc8/ezzOJBlbaQGMzg4GdZtotjrFPDsAxWh3DhSFajgiX D2klQQOa4N9XVakWmJH+gT0Ppc4R8UNHVPoEFwsbHfmkXxdTE08rbsb8G7arJRrQnSP1skq2l8VZ 8d9xHiEHSwmxN1ICiapM/WWa3/U/IemUTy7EWalf4IYRpeVpqTws7N6MX6mxWMZ2ESEAVE5TeHYx BsUIsDz3lDQsrSYwbYqNkeZPxbm60S9hERtEiL+SyZ9YzlJrzhFD970NYti7ST+kGNJYzSY/EXR1 npF7jGAY+43Ai7aoGS6S9gWd5ILZQMzvMyJljPTkjecf+6Se86wu7aFI8O8WCY9PQM55AS/1X0h/ RoucBr685gQS07ASZk2tLRHRhvLET+af7UOY5xixHtXqa0OCnU68ZmDRh/no9NU09D67ePeyGDkx 4nDMw+GcA57dCZvchjVfpRR5tZrNpxtSiTZ9SMkcoiavM+2SjrxFN5tfT6H6fxyCPC9Uq0yzv8ss W9g8uoi6DOie7vlUnH65fhdxGSwxpCadjd327+R8kuzvEWN3LvHHfhDf38YBxlbxFY7vbtv15Pgd S2WNfKQUs4dMPPRnL1gofO4dQIdoipQaCxD62DZll79hZVonBp9aJvEJlXxzf78zdkDTSzp3RWLt 4ZdGXUxsCWwpyrhA7/hc/F3PnYdZhwFNGhWoKXn0YQvY5vMwN/2sm+Fveii8qNN+yXvkfiyPET95 8QGqSwTCDERv5zgBBP2AxAzjPyH0GZ7rqMzDtZZ0Zvajfi3XLYzbdCmwXmJnc2HFiBTHvR+/wx1P nTmy/IO8kj5AvjTnk0snC/DL86G7Gs6I4jcG/ZfbuYbbf76r8GCzjwV+5gQafvCurFWorc4yuutK 969k8ufy+K1TfuhYLri4QB5MOUBSn/j+ZS2domicb1C7Z1o/swvcY5h3OslCran3IOMvMR85fs8s UjT/z7qXfShWuOh61n8NbsstdxdUTb9RyaL6gqE3s4dXUs9aBHCrfum6VwAKR9UgZl+XPWcTL3nm Jov0rB6SZb/Pfu0JITHjgWAt393kCIr1fa5kN04kzj3QcisZuPc1k/+Nb6HWQ3VrDZJN7r6IE9aq +AXmoYAxR0VAGBREsLrBO9wdmc7PHvSZW2UrMO3AM3IyKSDlHFrHhcG+hbLxi86srhjRSE7E0qKQ maa459c+U2VLA7+orCqf7aDBFXZf8D0fMJvVcAEsa2qT+acXcP23GcTMztOigO8/4EWmfMXHQd3o 5feZF3FoZRyIHlHZVd8Txql5A/DZY+mlCwumpHU82MNu6Uw76xrs/b0S2QIladHIzIilM8cUXEcg 4b4ZOyxl/ty9Rcqn7hjOqhVAPqpVPhyjatlf0ybB5NJm0i5luVc2bToLHhW8NN0c8PYAvXnG+vAX 6TXIDZMGHkM/0CC6ayktoU60GMo9KgyA6SHpHvorASiggb3WL1btevHDEi3/1lSJ0lSdHQ43N6AX yx0nEi6+1vvpjR/FDhN8F8DMqDYy6jeAvtLFNzrx3xP+7qYvQY41uvPUsRVQPvzdYthnu2m2dM/t IoClZvEvD7nj9EZUWT67sTN5mDiS/jhHPbXbmlwFNB2djXc5ow4CVLSD7lKubZcNQxIp3N+CZt8A jg/qIOuRNK6jFX4NfzPS2dpXKf03X3kxiT+l6/8slnrT8IMHSp4SjcI7hx+u7TvSMJ1OYhr53DQp YHqIDs4ytIwZCI4K7q3D+f/xMv0RL4/6q5rSgExGk5BjEwQgVVVcM80aH30fkcinBq5o/94vkKH2 aLfBliXMd3ghSFNEEroI3atPE2AP2f8Eadxs4pjk54vc2vYG5kLbqJJane0Rzl4gXV6j8pHprric mDMFyxiNRYLr5uX3o1niMDckSeEYDQjamixdfxF4TSIhATSK/e+PbENyqvMrQ7mvf1MrpXkzIMOI FSsKkabPsklA0r3Ecz/UAQReghAtClVb9aWbPjiqRsYMpJU5AMRxAKnmuIsrp8jz1rAKpFLkKfqk AWpmMBXhC4M5lkaoOOM2hxLTaP+OKmk4/sjX6LLd2vfWF/lBUrkWj4SWpHEw1/HtPdQbXrCBXmrR MblcmqxHb9AMON/tr7/MhV7zAloYoRmQYWF2ZUIsYlhY+FWb43gycLTvi3T2delz6xzeHbC4z0y8 Tuu6Hu7mtDVnnBuoaNm4dNvfOuZLUNcRvjOsvvv7I0lP2cPv5SSLhuquLWnk557UZ8YZ641xxEn+ 5SEQTwIG6hbNlOxYQI2/9fP7iSzkqW4ppqZH9YVaqkoywiYv1+Sk+0JbbsseFmvfw/mCBFVSBm/E uUoNhCfC5lJKLJRVCx90vU0eBR0+4ZyOUG0EUul1Mf0uAkka0ihNG2snn9EZbSimf+SVlxDBorQF /Uvkjkq1AAM4HC4QEnAJYdOee09FPPVaITtnF3najRhc7rXBhvRVPd5WN8LlBoavuMebLgVBCDw7 8tnLLbmNZM/NEKZHzvRIPyWABxsBTL1SXFb6SwNUupsyQiBZqkT8ELwCyBGgYb3b1PGXCLyae65P FDvQGucVgb0K7f+OkLV18Jfjb290scSx8dFzixahFcnLx6tEMvzOC7Kq2QK5jm4qGTHFAo9cjYoO U423p4APSHex5KAj47+4PNoRZJZ5uxRaJKSLlmKm66tqGOQdOEAP3Lzymq791y8mkkLnMHEzFcUM 5ptTF3mUsNTx4i+ChcH/tJ4IQ9lN8TwQoP0H2dnBV89j8nPN8e6CskuyzFHYVsvxpd/UvOXsqjFJ YNUYmgq9u07g14zWPg7JQfUtTINOpo2jqB23FWA8rZCZ51dzu1sxl+YUlHQNrLdnWcoU9cpK3lxx ZV4+WX9iU1Hwy6NPqtCiETlBrh+/kvukwElYdqaohkoIxa5SGKpNBRdBqYadZoDXtha5MWLVN1XX PnrocJQxZ4ROpdpYZH3EzpyCYJcaXC1SpeA1GKxz9/1OSPgPfbgnTq+D3oXSBwGORPnRCqg/+SvD NrkemWC5HIgTSh5KCeW6Vc/IRVUf+ogd+whNfnR6c3N03X5uH75+bf6FHiFOZta2+MzeG/ZAqcaP cO0Ib78U7GfpetA94n7A1ut/WF0AhOz1BQDidkq3w94ZC/LXlgtsAiBCoNAC90XiSUpdb/TmDXDa mGprSHm8f71NsfIUxp5nNgOuGJC3Xfy15Q5aq0Lxf4p4lQw/DOkbQTaY9t8vgn4V6s73k0bLZUEe IlqHe8fAahsMr1n98fjB44cabymaM1aPMPPure91MsC/9mR6wKG2NudejPmKJkaWx2LxJxcKzzhf 3Jo7gcnR+aBowjh+9Ek3A2kqnfg0Bxm4OD9ZYtSgBMLzRbSXNPu7Kdl/oisCcl06lKrMWGk0lakc NXmAqhLm8AltVrtEYybKZN8P9Fhw2hizuH+jBs/S/PaQknpUy2ynycw/XV+/Qb2ffqlr0kVZEL84 JQmDbcshd49BS6aTI64lUyH/iUkildyGqJngygrHkMUZRSyIneIVLQTKkrXZXBfQVx5/+Cndro2b x42mzodDfZXK5JQxko3KLStifo2+w1a6Tyxr2U0xEiHjH4BWTRRLxTah66cJxyjOP51f18Nx/4tb VrW28ILuWMEAQt29invO8gBkra0DcJzffBAyS5DbHwyENBQvEmL+JS5iWaBjvN2KPXRGjsUkUqhe BBV97dfjtpUOcbCjoN8rx38Jqk5zzKshjkYZMLvyEZf6hNmuXBqlHkrQvfy1yavKxhaBc8lbW0SV uy/m1peN2Ra2njkEpJybubRy3u7cvdgIydg7Vrl77JOKpqVFoL2zRTRLOjiEoiAsAW1Ear7RgtWr usKF89Cnl/A0TGmT/srP+Njl9VD9KXIqJnZ0G2Sj3MLwZRs4CjnW02A4wkKKfPLH358ntOew7QsO ssGh37GVWbQZ3lqtGltB4ynbyIx+bLs+oMeay393kJoXFD5z/JkrSUEI0789fB9CvfPJpfeNwwGx NoCs5U2x9qJF1iPr/VmstXX7iio6pohmnblQltnL6lnqTZzABWLcx9aWV9EetBGZLzP5MkKreIUa WOnzzDYxuTceCfwHo7fCytNl6I/RyglMPcJJKK5HYQoiZ6yLCm5H89qptLe7a7aUtYyKE0ZTLcX0 QEXqC7KnotyHzntdnQwdEtYMesLeQDMML6BoYWcReWgSZ3ydBtuee24lYDKPV9zJ09WHC9zV1EuY 4n6pCq23sbqRiVHrWIX9UR9inmOZQNQysjB4JzhXnQ6nWQ02a/yXAR5DpuEGIKJpt+VyD2+FznGX KTVbe/gkROVaV0pl3S1Wm0HERBVCKtGaMEAMq17pQv6GM8a9rxmy7R/gGXTSUd7ui/46TXnHMKKZ Kkv70v2b+p933Izoxl8iLtkPp16rf8+V5fZQjnXWcG6WfIuw8jAXrVN7oxgNH/mGbOzGpV/IIE5K zFTywzNs5NNaFq9rbb9UTfNyJ3TR2yBpPGb6qdL0CLV/YycPzdFiVDWdj+keVGQxNX1qmCn8giei WHVDMEyAdWhLuOcWeBXAtEKvRR66jQPt5ZI17UQa60DHGTfr3pqqui2SPqT44ibmQpQ4dlDqmzxz 0JOA8ugb3bxQrzW34BdSGoPpwBUmhE0Jxc+2NyxjhoqYKsC7V82bO7P+VpgaqVrNjBupfem05jc3 kq6bf3otlMkqv4dRrO6JaOhoET/kXLXIPvgecwpn+vVlQxsuuIntFf2hFqnBUsvdEdAJsqoBEdoM UJGrcWxD8ygodILOOGSjAOioLxlbCbPG4KM6kPEYzlY2ZuRx8TdFbOzT2SFES/tJXusvZNsTPpft fmUF61VbUbo1UJN0gbhHOjg0xh1OfGc+Z0b0FuVyR2ZwltgbDPWm/HwGgNuxN71ZrdZQJo6/eyPz QZI8Vw018fZH6tAZ09ZM5wSByf01Veq/FJkyaH4KW0hujlGGxYZ+SOtp2N+Oppppg+cuAHVWyKkL zuLlo13I2nFQOizPKI/gRWTrh5lUGqqF1iE3Kvm7OCRC7Y77jvCwh0DC6ZjK0LVoYAnyA+ocrcuy HSYUZMB7mtZfJb8mPuBRYMg1plJKZQPaLpEuk01gdIbLEgfEhNnk8yle7au/euta4Yd6q+21tDT0 rfjO6DpaqC/gQq+pZ/pjHnmzg8i9MA4n7lkT2IB9WBnBvEBfkkWfnHsRXaP90NT3AofTzPHLx0HM f64KGCbCiW2dNifcbgkTDMtuS8EuunruJfnXda1D6+esaxM5keOr82GAcjh/ysgYEOb3w4zjHP0S DcVrxwTiPqXUY/qZ6HJhZ2XBMLlkNW8nDnQtgxAa3iqzfZHOynNtPMm/LweVeabHMPUxbD1z4ExQ BnHFpyrDEPEGv1XZTghxrKR5T8fMwcekUyDccDrpGLn2drY8Aw7vi5j0fayl+2OvfQ81sc1MPiOv FVCo+9taJGvNLdlEholV/f5B2SVNpPnt79bdXmz5/Ez5vkp9QzrNQ6vJw/q4KDQVfLquv0pD3un2 e85pJXEWHyxirtbARvBwAH495aIjU1uCEXf8xA09J2ELT9vH3q4iCTeJh6/uU/8pFhTRSaXneFgx F1G/Ow6U0m4qSmNf6qCJQ1SqNqQkL+FHUgZid7tM4sXeDo0WjBsMSgA3lMKI2wYPDGJ8sJCfshq6 oAQfcY97pPF+AmbA0HD+dsfgclxus0f51uiKkNdVpwyJxgKIqOWOB13aYVJxamMtdPJLsu8Z5USZ ADylP5OGxOaG4/M+vsgJL+ccHR8hkVS2/nDlTlmAZZEoGWQpZxZeWa3PjBo//EQro9v0c1oetnJb ZZvIKT2uR7GnE9RTZ/hJNzG/3Do6PVQjLKoUGLbf4cKSDClfeqLkQPaO9llVM59ad1Hvo1ChNq67 dxrf0ZFSa1lfdsmSVDDO/RRC7EzpYXZPunpzKXxES61XsNEurPsdmdUK6TlbPr5wedpT6RZOW5sp CZzplgVMNS6o1WUEeDhVsLNvNFAC7Ppzs1P6bLpbDbhh9vWTTq5qlx4WYrxpQOR9VEtAQlqbwNn+ t8JekRjZ54XpV9mETtyYn0wIS6wCgwULJTx9mPiBPa0cYKyU6jW+0AMgFzPZO88ZM/026QDNjLZS gkUFQasP+CJWnP3OkCcZvYO9A/OJhGDtVGCBhV+boR25J0jpJl5dg8wybdaQIMaKlb7spU5kJZi2 P486g/gsSaoUGyq+2w829LJEHzJEJN1o0zHhG0cab5PHYAr2oqusyW6H0DqJxomFVaDvsEoKozEo Ff5fpfWFcEUfzXtkbu/vt6zdktbPj434kNzV+tsVVz7Qwu9aTr7t7r8ZAtxIQNhlB7aKFMzxvw2C cQj1K0ygNJZtdcuG9BkE54OFJ+8LJtWQSYX+NbDa0VBmlCZPyJjzUqty9eHiIf84wMJ3CAmYefYk doIaDiGDgfqzdFYyHdVnZSMRIwOIp902K7zzhHY0GNDADl3w3vYGz/CSDDwhC1NoxuJYeutYFhMb Ih8KDB3MCnVFfvibSiFeMXtryNsbyZWFMQ5CvNY7M+HyWgwe8NVzztfv8MHZIgHb0WFutpiNpUxk k4z4Imq8iZ8DjVrypbcQpt3ItlhW/gCnPbMx4L6UmSRmPDh0HZLhpsmiYTYC85OS8YrFbQRcekrS SSkVDn/8iXBrGRUTkm0YgP+r08ypwL7GiXO7Bgbyk5/FDjCn1eEzsb7I4TLsIDrMYpAP1l+hiVBB +MRDBbwTc1uW8y+c9tfvfTffewbqiyX9Pk9gFVYYXbBox7SZiysr68DtFJIHZBSGyTYkVpOKK3rg dFU+PA97SAJZwIKFGoufMtBtCA19TrJ64anp6rS+cfjf7aG7Umnotc30SKn2u6OijPjzeymERgMz Ty6CAiWzn78Hico5qdJDbgUZbTmEEOV1FsGFqsHQa6u+8SWrcUTmyjSN/AXj5bCZgx6EccjEVh9F rb6wmNLJy6iVr9/BnxGUCHbizkgw8jcPi4/fSVlBCOtZzqT3PBtO2doFvVKnMAc24BeChvHdD664 YxB6GcQjB+lU3SLtDnltmk6Apv99RztgYDtu3udPcePvyv5DpMQz0LiGH9JMwjI6iUyL1MyWcCun kMzd06tO5vH4AiUA5fw9utRCSf1h3CEejHtwsKAAxNS95pWeG5A+WmWkF9Qedqahyqc7gOvHbJpb T7TTJNH16B/fO5JZkSLDYok0shfgzR+awUfNSKIA7MpY4JvFYeiLt8cDFFfRxaIvHcITyBvxWAgS vFrrdeuz9/gkJQiy9tVOrTPjZ24QZv6lyrvcWDyE77EQmHbihxW2D6yPbCYZuYAcH9/wRyIuQ4uC mjxXo6QxeTe2PBg5+fySdBQDTirOSThFEnRjWVmfBZXJCK5EBaaSxOlu+Z7RtgnWm2WDpSCAloY5 Lgql+aKpSuvY3fPJimGbI1jW35lamo6WWI3xic8KQnVYMb3x2fj4mGHKBIUHcLy2EssuD5HOTnKQ x7a5GXg0nCGbzEdWvAu1k7dZOGDfOw/lyhN9+ss6g+ys5HuU4B9oyn3fxVOUcPbvqyhxIU5rOT42 eLNrAJLrtwKTcVj2BmKz8PLP2Y2tgahgfPsI2BFcMZo71inKzBLnPJQ+jXTvmTQY8zVl2JG+o5f4 ikO99JEktIZB35lr2XNcNRBgishLsk8jGQEJuXLZLcwRB4Bq7I5vN42i5UvznzhEYH+O+0wVYsio vwNzJjEH/Sphiwn7ep8s14JvLdtlD65W4Fu+7lGc3aLaxtq6tIFL195Tu8nvqDMjMoB+dApufkNW DImHYhql9dBlrvyPI3u1maBWRdpBlnvUuijku5HVyh0zqogjgknQvOwhCaxdDfdXiu1vdaxdnFCo rshcyIxH7zFmGtmfehAx0fUxVltJqKBa321PCIaWbbMiLUWRXCnJqL1Rf4Yr863h/qHtJFghHIvs 5sJjaHGP2psyV3lrS9/n8himOTB6XQh+UbGNH+e0jrlecIaPJPhV5FWIakcE3LBzlMiKdpryRmhZ a4TBoRfUWlzPRMQenT30hoHFhRU7HCyIL81981pI9Uq+ME66xKKm0QBuL8s7rsm/W/0Tnu+cQNwj K1K9ldKZJzNhlOBOew2Odf+P9WXTrvOhLCOTErvJ4tb7soHhhF2dPkWqD2HnmkNYOYh2VI/krD7W 0bR9doeac4sNs3a9qUP2UQY52AzhTjVnxPPV6xTOpwPBmvpCbviULITBVWhsPvmfDdj69wKbO+Ho o3O9YqPYd/TivALLdssz/IrjxTz1CaBSYIM+wyc2U4C7pmUYYLLC6tYU7TKNujfAF9MgIVrn71oC Rf4RY4kqjR/LCTMp+ts2pszmwWmniTEwEzsNItlNzBDlmSf4ZEr81LziDarqXRqbzMXWxpMOqPAi 8nvEg09MuXGE42v79N/dmIRFsWGF0/Ubcz5nPl6rlSkzY+yA97syU4weyrtMJuDiOW2pzb7c1ORV aC+ZSxib+y21pecFCAZB40phn9kgyAs1u54ZiUYeP9/acO231lJm6pdXUi5ShmCSAWNAhIBAVh8k WcBBCB2t89P/+dG1L8it8XVOrYfSDFDGbqphNbDHLIUueOgWCtMackImW47P960a96DSbl6NMj5Y COXJyEBYm7YXcJSM6il4xMRNfJeLdOQ6LSzwjGKYR0tnOS1hRu2mDgmoQ1hfjQDqWhgJxIeaYLnV nylS0XbXC6/UcGwmouwaN46huEaOjX6KvZT1QwyNz+fvH1/njW0fwQz2YV4yZ8sH8WdSEowomVz9 gLCuNzkj/ypXS0aac/g2WN7VJRGu6eTJJ/h+mjTmSpwOcHpJbIEXBl2k7q8m1tb6APjSgD25D4Tj +xGRtVzhBc2atMS3KRNzqT4BXQVUBdRHLpwF7cy0130L+Ki9IzZtFUpRXpr3cXYzE4eDx2Lw8CnJ mQbREz5YLlHG/hLVI0xtcMIiJVI6VETPBsvlmZr/O43YzK4UgKKgv/uSX5NGXeHdHkPRb07kIkRL nvD0G94Ss/65AQd1SZz1ZsVlot/zo9CeuVY2N0inq+7H5FEMaplJPpy8BYkvXWMvlJzui3TCY5Qe fcM57RHBKbbyI776sQQNcM/yS1bm1JJxXZwG+3YncwALmerXDxa50aqRBJZ6hz93CRcPhcpXvLHs 5LeLeJwclp8v4beEyLuvgRA+M4liDV5Wor5hZifcK6akongm9rbxGrDl5k5qxpm8p9RoIyhxDkDJ TIDDSnFudwDbxws+tM1K2n7+3wrofGVEfFhYH7ghLF/2yV6ucvemgNoj+bnTWOKDoDoIyI2MU9Ux /GEiCNg+3flbKr4STbLCYbiWypsnuisB1E7P+y4cAF0DU/mkW8tN3lbxp5e3NXRlvGuYDclyhpCm GcwU8yFfAx33p+blfx9dkUjpO1snniNzQynvK3v66QJSVTSSs7EgbIJuGKVW4DDE+0Ijpr3ndFNR FY0tk4/LxQ8Ubo6Je3W2l27hCabWfKDm4VmT9WI2IYVYJK3E8GezIJgTV94mT9ezABwFkuKT7Z8U V2HQKj8HBFmWrD+4Fl7kMOi5PBw/dPgk6Gi6xislIq4GT+wfdjtYDraV3xbxoIrTtWJOscOs1W2A hCyDmmg9A0rG/kNNXehasDqIg/Eqokm1KOXeObH9qeXjdUBrHB/nTDU7A88aJys6UgG87fSoXO0C gFJv2GMytWp3au03E30W3iZKdctDkKsx1KbJXFiGCSdAqbfyeHjspguhd8UdRgk4N80NXaTSk5Yz EpJAXiMxvrjmkDK/rZFeyhlttT5Xdam07OSxmJ7cXv43guotHfC+1OqmGJ3fZvKnBFKlnNqCX5br 6GTmVXbi7rwWDaFyYIb1m10AbuhxsLMPdaSdgApdFA+VpHMYHt8AGJg9LRnjBv2c9zDMHLeSqZ1d 7TUlPEP2Sr4K/S7TEthqNl9EPW9+YTikWMGp4vj2dS01+53+dDCIoHA3g0xU6VKokxDjcP7jsD6s wO+uDrppDG28ieMmPX04NOeSJMUn2d1ithITtZa2LZpkZXb4Az7ENBkZuxjVmNfqe+yNyTN0f9yW 9+pe0fZs5SjPYGaXQqyFQ+xWi6Wq/EBoX08kLI20g+UWK4mF83wNc1b4T6i2RaFDLXO5zmzZTgJH /S49YN8ICxhyKqQr6JWWCFL8cxfcMaB4W3h+BzPKc6n83dJLFdq98F+4+mx7ZSOO8BSWp9IliUj+ OVWlaGvFMfEUCznNwfWrSdVvqaBRaEVrKn+XONo/8OX3UalzRe5vOmnl1iTOTL6bqiIxzunPZFa7 C6eBVxG9kB412OSsVNBrg+R3th+7HbH/4CwAmzniPMQRDnS2UbUK+wuMmdnqRNl9aygBblcDJ4zd LVN+3gjH11ve/dSW5VaNdDNvdKy0iTi6yYl2vvZq5/SLtGB4WbQxVWLAjQ+PsKG5V1My2dIdGVE+ c8Cq/0Y2bXvcVpJWvPFmapm4OhG3Q9dMbzJmYcxa9ko7URvrjh0FiGpGX2PTGQJF8P2TWCbtkE2P UBFsxU7avmXfjbfIXQogKn0oBojBeIk+QrbJR/BLNLen1yZhyAlvcvKSnKLYQmBKS4mK1h3gGH0k Ltr8d0aIt1s/AQU72ZLYM2qgjut9eAax2qS/Z7fnPPLg4EardwVkubKejevuj8oPMraZ1nRqGjq0 7NuLOM1S/7ddG9hM62frdHNUHBVB/q5JhEz10Gk47pCbHu3zBXmaDmb3yCl82k9D9I22cFJ025bI duyyEOfSqw1B9y0kc2AqTynk8DCUfy6uyo71TgOgv5GA0XaT8XfMKnj8Prq/pYXgo8nZAXlbWVbk Dx2QOc3dJSPnkBC4gt0M6muPHvd08tR9Lpy0VnKbeD/ZSLA7fxx47jpbZLV5SB7h0wESnM7yQh0a tlXwo/cwTcVhI/DkiHzei9QiGz5pba/1Pa9bAc+LZ3BfXRyjw3Rx1PqvkfC6MokDgH9xOkssfgw4 A0PTK19G1OQgkQRRtMwBqEZ0b6OyadlKu8ypU/SWrgWC754PEIazar4APxLbxw65xsLoPOYwJGJo iJUSrELO16VrxEa6F+q7j/Zirh8y0f/k2qKyCHipRlS8iCnRLQ40q2SgUDDojVHvHpvArWgFNP1Q Z/nENxo8yxS6xzeD/8SsQVXwNKp4f11Irf1daVkVVvHnDhfcsZ4T+OTto4TTGQNFQrqcjgp0Xbyv zjcWV0WcHNyk2hP0UX3xcUAzZnpWoeyJ1IPWhRt+Yf9tK72i73HOU5NrL8cUMopKS6zzLfMw5yVt s0SmMrSrqvmu/UqHgSJkSds9P9cGmmrs05J5UaXKzzpkl28bs+K+NWbdakR1YxK324oLcIUGbzKu 3cTHb3bcb9zCnIZvpy6BdVRXkTCtpNB0t87bWPoQmb1x812848OzI1qXi+vyF0hYUIjkFPNtr7Pa 1cKTNz+lpFToz9msA+DgV/5+hgEbS2AiAUvHBYVev/CiUnmtn0OrDeJHL9LH5dADuzEb83JxikUX cGiGs+9SeSyGBiarBdA2XjViAulirfT5rVJLuOVT62OkXOOMIfLHbboBuOoVeQnUc3SN2xYoBYEO ZJp5ufVYmeNuX2ojP88ypK1azliXDbeYMf+VIfBjspzWIo3ujuNXlWPKcXId8MMHRtBUYVTzlD0k PsrE9MVBgXEsmupbdi/swqW5ZvTP0tpdA6B8ZHletq7NlFYk56WhAxgHpGaMdzfOeIXa8ldPfSdj QSyWAvzc144YEdmpEeaE0r2fDpgtEA6GSbwL+H9IpAlIyGcC4d/dmmvcG9ZEKMBBDKqHv18ZjQQJ /Q9jgqnHK4BqeI4UnMCbRxVFCeQkp4F25IFHoa2aD7+tBKkTZO3FCywzJp9xctylGjk4ZYjhojFD 0ml4PVXRlV31FIoE2kDGPHVULjOnGObxx0h+1rC8qsIzOZJBH7JM4UO6K3rDCE0929gZpsaxQsgT TogiifznK+imhmcPQGjAqOvvHDV9ZnASLfpd1KNlXYdC49/mMlyEzzZuMn14v2JD3WAcvNbu7Eif 64FS1jBxx14AxXIqbVh+xdtclMyuYcYF9nGUsdbgV91vzAajGg+yytlTbQl7l5Np2J+glNnBadih pFnsZbt2Im5XqVfWAQVsMTaCxrILg+7CZ+2wmkRVCghi2nIl823W81tjTxY9IhKsHZ5RD90YgPfj K2ITQ9mqTwr2XED+pZVOZlft6mD6Nt7Q2qp5FRvG76q3x8I73SZ9WzL9Xn/0eRarzBJtg7mNmE87 uhvEtmTt4mdCSQ56EzjKci/wSHgCeM5XS5yZ5euXMJGA9v5lUsflwv6M1XVkRF63C2uv3MevTaKq 7Keen4Lu84iOcTbqHXjEB9AgKX0qRLJcdbuHrF+34w1H6BpiWZupIIXeVzkSh/qR6DV6KGPT26+N pygOIm89NqYArW5NV09GEbXZ3XEEUsASSsAyZV3Dv2IT8Hf15bjYfe4kK6gvF7IlHHWEfu3ahB3P fSwi7Do7uGtcABQeULPo/j1qahaek9dN2fi74BjTFb4S1MU2ldAaRgRwT/Zn9OjBCtBJ0tx8GxiR WbNdcw2RXr6PGiW1myzGjcsB5pVx6xku8TymEXhkgjtashDmLoDaxBqSL6A8QDo9Pk6G5N6bd+09 i3hh6oEHvFX2vXwnxS+nVoTAZnGXuOfPqkxFxhGoM7WUZ23PWdVcaBSBGEmXF2nHoUXV7S0El2UC Ski/b6C/+XZNhTU5pIv1ytBF6tohCvcrvU3xj8WURL6vCx4xfSHxlmE9sCfOi9csuMO1Rwbks3lD oMEdQX8LyVF9xXIHoFIPmPjpcDmYYFE6yGhi5flUfk+du1UJ6E9WTIH87rvDn69l3dp1ckv+nlWv 7zrdsPvphg0xdcALmnNZdtPU7OvCxUZRx+1/+AMjwSloDVXiq68G1+ZZMQ74aJESqAF9YEmeYUOt 47MJH5SYbf/PdmHpqHeKTQNT4bnD549/sTsSehKkh4WqpgYOCuKhFSmDaf9SkM4Z+YdS7SkLw2j0 EHm5RPXYmHvzeDahMjU/QCcI6oaPCD2L1D5mrmO9YI94vzBZLXosQjXTa8RnXhfbbmYfZSGPqu+Z 4aHP80wszCv2YrXaM+dCGiM4cP12R/yWLExbWkYXilKK6Wz0v2cdA3MNQKTDryQn4aqKlFHHMNKn TlOtR+HTarMfHgebz1PMFBIwBIn4FETvZvmm10mOI0nMnFdv13NY1r52Cc72ivvF6iPgdYLU/b21 bjMQJYL6lflbyA1hnuM3ek1YlWTDHvv5Bp5BjAK3XDbBYKOCzOdatNOz4RgeOWZtqlbsPfndEQD7 pGObPK62jNd1RFXmeMdXQWJAIBLmU5jfBaEByMzZXxHeYtYXx8BQkbrJSEEPx8dV1BsWHMyZ6xOb DQ+0BTjNQ7xh8KfOreVvXg9Q91ldjmummLpM6etzf/wcbstpN/N5xSrvFfz+I5V3baE/1BwMeatn 8e5g0ucXIP6Kzw+42KJxHUBrNcJqgqzAvL36XwC5K1CVC73IieUcVpJasL/zdbJHNHFIPPm1UvxR ZyYNoLldXFaDYqCbEe0jEpQJfLnemfnKO+WRUdUgv5f7xakvxcJZ1U8nagzCWEJolyN+94h5RT+e FiRVEN4tOKX0o2hXcCv+C1BaFZTN49JOO7MXBj3alHrhYh8U4OgIYiClov6LMSPOFB/JlIBo4tUD dx0SZtymPeUXLZ5s5zV00HZDG4Ph29vWMo5SQqa7hydPbQ6nIehCQLUDKyHI5X0dfFZW+Ypwj0HH ktHPt+zx3XIzih710+P4QpNAcc9ycNhxJM29DpoBd2inlPbbmy2sw73tdIO4Pj4l9dE7SLdceOYi vdJ1GEVirgJYbKjZwHJ5G0JcgGgbv40E5hrx+1gu4xIC+ti3xTkghUMQ0NoigXAxs3yOlEM1fg9z Oh1wuQxPYeIMM3UroU09o5f9po2Fpbli0VsVDVnh3kfxU6z9I16NeqXIL873GXiDkmzS7b7D7ZTZ GVGdBq/WLjbqWNS2xaRc4kj1W5CrBK+Wk6gvevG1bbS5uOpqypZs4m6x9pesfM+OrCdqg/IeVK17 zqckTEjDEm1VGdLUPCCod5f1W1alg2rwQZMJey4aRsQrWwo6QCibkVl6gDMA+B9FkRI1WiFGR5PY mSNyFRXgW2BJgI1cJbCOMzoWflt+895hH1r0odui3qm2Jiw+snx5gUu/MkZkWh9ZsLUc6kKw5Axf wSCffjs/eH2UK5xo8gYNmo0YZs2nP1L0YcL/0Zhsc9DEODrohhDdmAMV2izdtSijlrPsCMHQvHHI rOkmyOvdq7zV/XMvJdm+1zhSnmenhvdYr7XZ1iWZcCfLEeiyq3zFzgFbW86va+dPjOPCsN9Dt8K3 UnDyoGZYaEhhRtNmN3pttjpqCgLrx6jE/XfV1nva904PO/lpYEKFNekSon+4Rtdkd8fpuPM2tyK7 w7BcWkI6dcdTJMi5MMPKdTid+SHkSd3UaS54XUmHklTfiI2d2a7QCGEPVCFhxL9LlipwyXX68rMe rFT64HfXvIX5aWBwexJGZSPrhDbQ1uZV+FHwY1HOIwARIdwCr/Vp7JhfFUbf+DrUEktAiX9uwv++ fC92cvIbsMhIl4lv/NH7CBdhvPo0OkJizhlI2sK7IRaP4OKawFnVCedA8d6zWGFGqLnsK/JKlEaj FUZn0aYMNJgXkRNHFgAbM8rFa3boH9KYZuF5VpnarBBvCBm4gqdBljuV/FTWUc3VgfaSs15yPpZk SxGWQJoeKJJr0+iJAuduw89mFp3AHi6Vyd6hfZ8h0UGJRtKFokWMJ5cvekalPluEqHtqz96EoOA/ gJ0Fdju2lalK54qeueFbAPQhRoFQ4D4Th3qwZ77gwhM+hXySrUAP8BQxGOotMhaFxYanoSOA2ujl DJxq7D0MqURoqQ4kG1Np+vqwZs6jcy3+y/jQ83neRwODJhlizENC4GlXEq8W2vB5olQ7uvZ6GhLg 7kbFmKrMoPUG60zeQPX/RYe5GakrzZqBp/Ztx7QCqywZJQrmhloe3eooL6YlNpbmnDcVJGbxq4Yj dT2Rbu/Xu49JuOXd54kbwxrNo2J8IAx8l05gFCGO7rWxsQc+iUoY6m9KUiTgG1ljOYOc87JBM6+w hRULHX6WkYTh0zppTeaZiBFocO5+uyXWMR8ps8FlCAFHOk4nZ2Gc1fQsx961bHvNzpPzplNK7npK 5dXLvU9IXXKyD4sYkwYeMkb5ofi9ujxJfy+LiUqndXn+N+TU4rvGGKCY9uo/7y4FgEIPTeZl3ibE VUFNPOT9idupbNAcuL7JudH0XCatsVAXStxHkbDTQ1tI86VIQQ/1ooGIVyCDNM86uyNLTCLo0Wj8 sKbB8MCgvyPnAMzSWluWc7DZYYrhs7OTiyLMT73OefEGwITuxSWmPnBgZ3sfwtmT524g/4SkbV9O /hOkgqWImkTOVrnaYxkxt/+efG2joextCppiC/5qGcCOOOZ55uMyyhNBU1eizqccoi0CrvACsh9c ro4Y5ehLiobCUJLsJjl2CP2L64Yt2NKASS/qETO3HGKLcjDfRI83CpDxjesICwq/jo6j0yW89M2H Ws/E++CCVojkKB1cCGSedF1p3XRgKT1XAuQr+A5O6cIdoblLukxV+/ozawBahGYERyZ8BxFyxYGi yTMR5UDWPK0XlDeR5IAoJw== `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00386.vhd
1
70428
-- NEED RESULT: ARCH00386.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P2: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P3: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P4: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P5: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P6: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P7: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P8: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P9: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386.P10: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00386: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P10: Inertial transactions completed entirely passed -- NEED RESULT: P9: Inertial transactions completed entirely passed -- NEED RESULT: P8: Inertial transactions completed entirely passed -- NEED RESULT: P7: Inertial transactions completed entirely passed -- NEED RESULT: P6: Inertial transactions completed entirely passed -- NEED RESULT: P5: Inertial transactions completed entirely passed -- NEED RESULT: P4: Inertial transactions completed entirely passed -- NEED RESULT: P3: Inertial transactions completed entirely passed -- NEED RESULT: P2: Inertial transactions completed entirely passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00386 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00386(ARCH00386) -- ENT00386_Test_Bench(ARCH00386_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00386 is end ENT00386 ; -- -- architecture ARCH00386 of ENT00386 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_boolean_vector : chk_sig_type := -1 ; signal chk_st_severity_level_vector : chk_sig_type := -1 ; signal chk_st_string : chk_sig_type := -1 ; signal chk_st_enum1_vector : chk_sig_type := -1 ; signal chk_st_integer_vector : chk_sig_type := -1 ; signal chk_st_time_vector : chk_sig_type := -1 ; signal chk_st_real_vector : chk_sig_type := -1 ; signal chk_st_rec1_vector : chk_sig_type := -1 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr2 : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_st_boolean_vector_savt : chk_time_type := 0 ns ; signal s_st_severity_level_vector_savt : chk_time_type := 0 ns ; signal s_st_string_savt : chk_time_type := 0 ns ; signal s_st_enum1_vector_savt : chk_time_type := 0 ns ; signal s_st_integer_vector_savt : chk_time_type := 0 ns ; signal s_st_time_vector_savt : chk_time_type := 0 ns ; signal s_st_real_vector_savt : chk_time_type := 0 ns ; signal s_st_rec1_vector_savt : chk_time_type := 0 ns ; signal s_st_arr2_vector_savt : chk_time_type := 0 ns ; signal s_st_arr2_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_boolean_vector_cnt : chk_cnt_type := 0 ; signal s_st_severity_level_vector_cnt : chk_cnt_type := 0 ; signal s_st_string_cnt : chk_cnt_type := 0 ; signal s_st_enum1_vector_cnt : chk_cnt_type := 0 ; signal s_st_integer_vector_cnt : chk_cnt_type := 0 ; signal s_st_time_vector_cnt : chk_cnt_type := 0 ; signal s_st_real_vector_cnt : chk_cnt_type := 0 ; signal s_st_rec1_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr2_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_boolean_vector_select : select_type := 1 ; signal st_severity_level_vector_select : select_type := 1 ; signal st_string_select : select_type := 1 ; signal st_enum1_vector_select : select_type := 1 ; signal st_integer_vector_select : select_type := 1 ; signal st_time_vector_select : select_type := 1 ; signal st_real_vector_select : select_type := 1 ; signal st_rec1_vector_select : select_type := 1 ; signal st_arr2_vector_select : select_type := 1 ; signal st_arr2_select : select_type := 1 ; -- signal s_st_boolean_vector : st_boolean_vector := c_st_boolean_vector_1 ; signal s_st_severity_level_vector : st_severity_level_vector := c_st_severity_level_vector_1 ; signal s_st_string : st_string := c_st_string_1 ; signal s_st_enum1_vector : st_enum1_vector := c_st_enum1_vector_1 ; signal s_st_integer_vector : st_integer_vector := c_st_integer_vector_1 ; signal s_st_time_vector : st_time_vector := c_st_time_vector_1 ; signal s_st_real_vector : st_real_vector := c_st_real_vector_1 ; signal s_st_rec1_vector : st_rec1_vector := c_st_rec1_vector_1 ; signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr2 : st_arr2 := c_st_arr2_1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_boolean_vector_cnt is when 0 => null ; -- s_st_boolean_vector(lowb) <= -- c_st_boolean_vector_2(lowb) after 10 ns, -- c_st_boolean_vector_1(lowb) after 20 ns ; -- when 1 => correct := s_st_boolean_vector(lowb) = c_st_boolean_vector_2(lowb) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_boolean_vector(lowb) = c_st_boolean_vector_1(lowb) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_boolean_vector_select <= transport 2 ; -- s_st_boolean_vector(lowb) <= -- c_st_boolean_vector_2(lowb) after 10 ns , -- c_st_boolean_vector_1(lowb) after 20 ns , -- c_st_boolean_vector_2(lowb) after 30 ns , -- c_st_boolean_vector_1(lowb) after 40 ns ; -- when 3 => correct := s_st_boolean_vector(lowb) = c_st_boolean_vector_2(lowb) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; st_boolean_vector_select <= transport 3 ; -- s_st_boolean_vector(lowb) <= -- c_st_boolean_vector_1(lowb) after 5 ns ; -- when 4 => correct := correct and s_st_boolean_vector(lowb) = c_st_boolean_vector_1(lowb) and (s_st_boolean_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_boolean_vector_select <= transport 4 ; -- s_st_boolean_vector(lowb) <= -- c_st_boolean_vector_1(lowb) after 100 ns ; -- when 5 => correct := correct and s_st_boolean_vector(lowb) = c_st_boolean_vector_1(lowb) and (s_st_boolean_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_boolean_vector_select <= transport 5 ; -- s_st_boolean_vector(lowb) <= -- c_st_boolean_vector_2(lowb) after 10 ns , -- c_st_boolean_vector_1(lowb) after 20 ns , -- c_st_boolean_vector_2(lowb) after 30 ns , -- c_st_boolean_vector_1(lowb) after 40 ns ; -- when 6 => correct := correct and s_st_boolean_vector(lowb) = c_st_boolean_vector_2(lowb) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_boolean_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_boolean_vector(lowb) <= -- c_st_boolean_vector_1(lowb) after 40 ns ; -- when 7 => correct := correct and s_st_boolean_vector(lowb) = c_st_boolean_vector_1(lowb) and (s_st_boolean_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_boolean_vector(lowb) = c_st_boolean_vector_1(lowb) and (s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_boolean_vector_savt <= transport Std.Standard.Now ; chk_st_boolean_vector <= transport s_st_boolean_vector_cnt after (1 us - Std.Standard.Now) ; s_st_boolean_vector_cnt <= transport s_st_boolean_vector_cnt + 1 ; wait until (not s_st_boolean_vector(lowb)'Quiet) and (s_st_boolean_vector_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_boolean_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_boolean_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_boolean_vector(lowb) <= c_st_boolean_vector_2(lowb) after 10 ns, c_st_boolean_vector_1(lowb) after 20 ns when st_boolean_vector_select = 1 else -- c_st_boolean_vector_2(lowb) after 10 ns , c_st_boolean_vector_1(lowb) after 20 ns , c_st_boolean_vector_2(lowb) after 30 ns , c_st_boolean_vector_1(lowb) after 40 ns when st_boolean_vector_select = 2 else -- c_st_boolean_vector_1(lowb) after 5 ns when st_boolean_vector_select = 3 else -- c_st_boolean_vector_1(lowb) after 100 ns when st_boolean_vector_select = 4 else -- c_st_boolean_vector_2(lowb) after 10 ns , c_st_boolean_vector_1(lowb) after 20 ns , c_st_boolean_vector_2(lowb) after 30 ns , c_st_boolean_vector_1(lowb) after 40 ns when st_boolean_vector_select = 5 else -- -- Last transaction above is marked c_st_boolean_vector_1(lowb) after 40 ns ; -- CHG2 : process variable correct : boolean ; begin case s_st_severity_level_vector_cnt is when 0 => null ; -- s_st_severity_level_vector(lowb) <= -- c_st_severity_level_vector_2(lowb) after 10 ns, -- c_st_severity_level_vector_1(lowb) after 20 ns ; -- when 1 => correct := s_st_severity_level_vector(lowb) = c_st_severity_level_vector_2(lowb) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_severity_level_vector(lowb) = c_st_severity_level_vector_1(lowb) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P2" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_severity_level_vector_select <= transport 2 ; -- s_st_severity_level_vector(lowb) <= -- c_st_severity_level_vector_2(lowb) after 10 ns , -- c_st_severity_level_vector_1(lowb) after 20 ns , -- c_st_severity_level_vector_2(lowb) after 30 ns , -- c_st_severity_level_vector_1(lowb) after 40 ns ; -- when 3 => correct := s_st_severity_level_vector(lowb) = c_st_severity_level_vector_2(lowb) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; st_severity_level_vector_select <= transport 3 ; -- s_st_severity_level_vector(lowb) <= -- c_st_severity_level_vector_1(lowb) after 5 ns ; -- when 4 => correct := correct and s_st_severity_level_vector(lowb) = c_st_severity_level_vector_1(lowb) and (s_st_severity_level_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_severity_level_vector_select <= transport 4 ; -- s_st_severity_level_vector(lowb) <= -- c_st_severity_level_vector_1(lowb) after 100 ns ; -- when 5 => correct := correct and s_st_severity_level_vector(lowb) = c_st_severity_level_vector_1(lowb) and (s_st_severity_level_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_severity_level_vector_select <= transport 5 ; -- s_st_severity_level_vector(lowb) <= -- c_st_severity_level_vector_2(lowb) after 10 ns , -- c_st_severity_level_vector_1(lowb) after 20 ns , -- c_st_severity_level_vector_2(lowb) after 30 ns , -- c_st_severity_level_vector_1(lowb) after 40 ns ; -- when 6 => correct := correct and s_st_severity_level_vector(lowb) = c_st_severity_level_vector_2(lowb) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_severity_level_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_severity_level_vector(lowb) <= -- c_st_severity_level_vector_1(lowb) after 40 ns ; -- when 7 => correct := correct and s_st_severity_level_vector(lowb) = c_st_severity_level_vector_1(lowb) and (s_st_severity_level_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_severity_level_vector(lowb) = c_st_severity_level_vector_1(lowb) and (s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_severity_level_vector_savt <= transport Std.Standard.Now ; chk_st_severity_level_vector <= transport s_st_severity_level_vector_cnt after (1 us - Std.Standard.Now) ; s_st_severity_level_vector_cnt <= transport s_st_severity_level_vector_cnt + 1 ; wait until (not s_st_severity_level_vector(lowb)'Quiet) and (s_st_severity_level_vector_savt /= Std.Standard.Now) ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_st_severity_level_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions completed entirely", chk_st_severity_level_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- s_st_severity_level_vector(lowb) <= c_st_severity_level_vector_2(lowb) after 10 ns, c_st_severity_level_vector_1(lowb) after 20 ns when st_severity_level_vector_select = 1 else -- c_st_severity_level_vector_2(lowb) after 10 ns , c_st_severity_level_vector_1(lowb) after 20 ns , c_st_severity_level_vector_2(lowb) after 30 ns , c_st_severity_level_vector_1(lowb) after 40 ns when st_severity_level_vector_select = 2 else -- c_st_severity_level_vector_1(lowb) after 5 ns when st_severity_level_vector_select = 3 else -- c_st_severity_level_vector_1(lowb) after 100 ns when st_severity_level_vector_select = 4 else -- c_st_severity_level_vector_2(lowb) after 10 ns , c_st_severity_level_vector_1(lowb) after 20 ns , c_st_severity_level_vector_2(lowb) after 30 ns , c_st_severity_level_vector_1(lowb) after 40 ns when st_severity_level_vector_select = 5 else -- -- Last transaction above is marked c_st_severity_level_vector_1(lowb) after 40 ns ; -- CHG3 : process variable correct : boolean ; begin case s_st_string_cnt is when 0 => null ; -- s_st_string(highb) <= -- c_st_string_2(highb) after 10 ns, -- c_st_string_1(highb) after 20 ns ; -- when 1 => correct := s_st_string(highb) = c_st_string_2(highb) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_string(highb) = c_st_string_1(highb) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P3" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_string_select <= transport 2 ; -- s_st_string(highb) <= -- c_st_string_2(highb) after 10 ns , -- c_st_string_1(highb) after 20 ns , -- c_st_string_2(highb) after 30 ns , -- c_st_string_1(highb) after 40 ns ; -- when 3 => correct := s_st_string(highb) = c_st_string_2(highb) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; st_string_select <= transport 3 ; -- s_st_string(highb) <= -- c_st_string_1(highb) after 5 ns ; -- when 4 => correct := correct and s_st_string(highb) = c_st_string_1(highb) and (s_st_string_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_string_select <= transport 4 ; -- s_st_string(highb) <= -- c_st_string_1(highb) after 100 ns ; -- when 5 => correct := correct and s_st_string(highb) = c_st_string_1(highb) and (s_st_string_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_string_select <= transport 5 ; -- s_st_string(highb) <= -- c_st_string_2(highb) after 10 ns , -- c_st_string_1(highb) after 20 ns , -- c_st_string_2(highb) after 30 ns , -- c_st_string_1(highb) after 40 ns ; -- when 6 => correct := correct and s_st_string(highb) = c_st_string_2(highb) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_string_select <= transport 6 ; -- Last transaction above is marked -- s_st_string(highb) <= -- c_st_string_1(highb) after 40 ns ; -- when 7 => correct := correct and s_st_string(highb) = c_st_string_1(highb) and (s_st_string_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_string(highb) = c_st_string_1(highb) and (s_st_string_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_string_savt <= transport Std.Standard.Now ; chk_st_string <= transport s_st_string_cnt after (1 us - Std.Standard.Now) ; s_st_string_cnt <= transport s_st_string_cnt + 1 ; wait until (not s_st_string(highb)'Quiet) and (s_st_string_savt /= Std.Standard.Now) ; -- end process CHG3 ; -- PGEN_CHKP_3 : process ( chk_st_string ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions completed entirely", chk_st_string = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- s_st_string(highb) <= c_st_string_2(highb) after 10 ns, c_st_string_1(highb) after 20 ns when st_string_select = 1 else -- c_st_string_2(highb) after 10 ns , c_st_string_1(highb) after 20 ns , c_st_string_2(highb) after 30 ns , c_st_string_1(highb) after 40 ns when st_string_select = 2 else -- c_st_string_1(highb) after 5 ns when st_string_select = 3 else -- c_st_string_1(highb) after 100 ns when st_string_select = 4 else -- c_st_string_2(highb) after 10 ns , c_st_string_1(highb) after 20 ns , c_st_string_2(highb) after 30 ns , c_st_string_1(highb) after 40 ns when st_string_select = 5 else -- -- Last transaction above is marked c_st_string_1(highb) after 40 ns ; -- CHG4 : process variable correct : boolean ; begin case s_st_enum1_vector_cnt is when 0 => null ; -- s_st_enum1_vector(highb) <= -- c_st_enum1_vector_2(highb) after 10 ns, -- c_st_enum1_vector_1(highb) after 20 ns ; -- when 1 => correct := s_st_enum1_vector(highb) = c_st_enum1_vector_2(highb) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1_vector(highb) = c_st_enum1_vector_1(highb) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P4" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_enum1_vector_select <= transport 2 ; -- s_st_enum1_vector(highb) <= -- c_st_enum1_vector_2(highb) after 10 ns , -- c_st_enum1_vector_1(highb) after 20 ns , -- c_st_enum1_vector_2(highb) after 30 ns , -- c_st_enum1_vector_1(highb) after 40 ns ; -- when 3 => correct := s_st_enum1_vector(highb) = c_st_enum1_vector_2(highb) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; st_enum1_vector_select <= transport 3 ; -- s_st_enum1_vector(highb) <= -- c_st_enum1_vector_1(highb) after 5 ns ; -- when 4 => correct := correct and s_st_enum1_vector(highb) = c_st_enum1_vector_1(highb) and (s_st_enum1_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_enum1_vector_select <= transport 4 ; -- s_st_enum1_vector(highb) <= -- c_st_enum1_vector_1(highb) after 100 ns ; -- when 5 => correct := correct and s_st_enum1_vector(highb) = c_st_enum1_vector_1(highb) and (s_st_enum1_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_enum1_vector_select <= transport 5 ; -- s_st_enum1_vector(highb) <= -- c_st_enum1_vector_2(highb) after 10 ns , -- c_st_enum1_vector_1(highb) after 20 ns , -- c_st_enum1_vector_2(highb) after 30 ns , -- c_st_enum1_vector_1(highb) after 40 ns ; -- when 6 => correct := correct and s_st_enum1_vector(highb) = c_st_enum1_vector_2(highb) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_enum1_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_enum1_vector(highb) <= -- c_st_enum1_vector_1(highb) after 40 ns ; -- when 7 => correct := correct and s_st_enum1_vector(highb) = c_st_enum1_vector_1(highb) and (s_st_enum1_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_enum1_vector(highb) = c_st_enum1_vector_1(highb) and (s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_enum1_vector_savt <= transport Std.Standard.Now ; chk_st_enum1_vector <= transport s_st_enum1_vector_cnt after (1 us - Std.Standard.Now) ; s_st_enum1_vector_cnt <= transport s_st_enum1_vector_cnt + 1 ; wait until (not s_st_enum1_vector(highb)'Quiet) and (s_st_enum1_vector_savt /= Std.Standard.Now) ; -- end process CHG4 ; -- PGEN_CHKP_4 : process ( chk_st_enum1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Inertial transactions completed entirely", chk_st_enum1_vector = 8 ) ; end if ; end process PGEN_CHKP_4 ; -- -- s_st_enum1_vector(highb) <= c_st_enum1_vector_2(highb) after 10 ns, c_st_enum1_vector_1(highb) after 20 ns when st_enum1_vector_select = 1 else -- c_st_enum1_vector_2(highb) after 10 ns , c_st_enum1_vector_1(highb) after 20 ns , c_st_enum1_vector_2(highb) after 30 ns , c_st_enum1_vector_1(highb) after 40 ns when st_enum1_vector_select = 2 else -- c_st_enum1_vector_1(highb) after 5 ns when st_enum1_vector_select = 3 else -- c_st_enum1_vector_1(highb) after 100 ns when st_enum1_vector_select = 4 else -- c_st_enum1_vector_2(highb) after 10 ns , c_st_enum1_vector_1(highb) after 20 ns , c_st_enum1_vector_2(highb) after 30 ns , c_st_enum1_vector_1(highb) after 40 ns when st_enum1_vector_select = 5 else -- -- Last transaction above is marked c_st_enum1_vector_1(highb) after 40 ns ; -- CHG5 : process variable correct : boolean ; begin case s_st_integer_vector_cnt is when 0 => null ; -- s_st_integer_vector(lowb) <= -- c_st_integer_vector_2(lowb) after 10 ns, -- c_st_integer_vector_1(lowb) after 20 ns ; -- when 1 => correct := s_st_integer_vector(lowb) = c_st_integer_vector_2(lowb) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_integer_vector(lowb) = c_st_integer_vector_1(lowb) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P5" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_integer_vector_select <= transport 2 ; -- s_st_integer_vector(lowb) <= -- c_st_integer_vector_2(lowb) after 10 ns , -- c_st_integer_vector_1(lowb) after 20 ns , -- c_st_integer_vector_2(lowb) after 30 ns , -- c_st_integer_vector_1(lowb) after 40 ns ; -- when 3 => correct := s_st_integer_vector(lowb) = c_st_integer_vector_2(lowb) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; st_integer_vector_select <= transport 3 ; -- s_st_integer_vector(lowb) <= -- c_st_integer_vector_1(lowb) after 5 ns ; -- when 4 => correct := correct and s_st_integer_vector(lowb) = c_st_integer_vector_1(lowb) and (s_st_integer_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_integer_vector_select <= transport 4 ; -- s_st_integer_vector(lowb) <= -- c_st_integer_vector_1(lowb) after 100 ns ; -- when 5 => correct := correct and s_st_integer_vector(lowb) = c_st_integer_vector_1(lowb) and (s_st_integer_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_integer_vector_select <= transport 5 ; -- s_st_integer_vector(lowb) <= -- c_st_integer_vector_2(lowb) after 10 ns , -- c_st_integer_vector_1(lowb) after 20 ns , -- c_st_integer_vector_2(lowb) after 30 ns , -- c_st_integer_vector_1(lowb) after 40 ns ; -- when 6 => correct := correct and s_st_integer_vector(lowb) = c_st_integer_vector_2(lowb) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_integer_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_integer_vector(lowb) <= -- c_st_integer_vector_1(lowb) after 40 ns ; -- when 7 => correct := correct and s_st_integer_vector(lowb) = c_st_integer_vector_1(lowb) and (s_st_integer_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_integer_vector(lowb) = c_st_integer_vector_1(lowb) and (s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_integer_vector_savt <= transport Std.Standard.Now ; chk_st_integer_vector <= transport s_st_integer_vector_cnt after (1 us - Std.Standard.Now) ; s_st_integer_vector_cnt <= transport s_st_integer_vector_cnt + 1 ; wait until (not s_st_integer_vector(lowb)'Quiet) and (s_st_integer_vector_savt /= Std.Standard.Now) ; -- end process CHG5 ; -- PGEN_CHKP_5 : process ( chk_st_integer_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Inertial transactions completed entirely", chk_st_integer_vector = 8 ) ; end if ; end process PGEN_CHKP_5 ; -- -- s_st_integer_vector(lowb) <= c_st_integer_vector_2(lowb) after 10 ns, c_st_integer_vector_1(lowb) after 20 ns when st_integer_vector_select = 1 else -- c_st_integer_vector_2(lowb) after 10 ns , c_st_integer_vector_1(lowb) after 20 ns , c_st_integer_vector_2(lowb) after 30 ns , c_st_integer_vector_1(lowb) after 40 ns when st_integer_vector_select = 2 else -- c_st_integer_vector_1(lowb) after 5 ns when st_integer_vector_select = 3 else -- c_st_integer_vector_1(lowb) after 100 ns when st_integer_vector_select = 4 else -- c_st_integer_vector_2(lowb) after 10 ns , c_st_integer_vector_1(lowb) after 20 ns , c_st_integer_vector_2(lowb) after 30 ns , c_st_integer_vector_1(lowb) after 40 ns when st_integer_vector_select = 5 else -- -- Last transaction above is marked c_st_integer_vector_1(lowb) after 40 ns ; -- CHG6 : process variable correct : boolean ; begin case s_st_time_vector_cnt is when 0 => null ; -- s_st_time_vector(lowb) <= -- c_st_time_vector_2(lowb) after 10 ns, -- c_st_time_vector_1(lowb) after 20 ns ; -- when 1 => correct := s_st_time_vector(lowb) = c_st_time_vector_2(lowb) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_time_vector(lowb) = c_st_time_vector_1(lowb) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P6" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_time_vector_select <= transport 2 ; -- s_st_time_vector(lowb) <= -- c_st_time_vector_2(lowb) after 10 ns , -- c_st_time_vector_1(lowb) after 20 ns , -- c_st_time_vector_2(lowb) after 30 ns , -- c_st_time_vector_1(lowb) after 40 ns ; -- when 3 => correct := s_st_time_vector(lowb) = c_st_time_vector_2(lowb) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; st_time_vector_select <= transport 3 ; -- s_st_time_vector(lowb) <= -- c_st_time_vector_1(lowb) after 5 ns ; -- when 4 => correct := correct and s_st_time_vector(lowb) = c_st_time_vector_1(lowb) and (s_st_time_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_time_vector_select <= transport 4 ; -- s_st_time_vector(lowb) <= -- c_st_time_vector_1(lowb) after 100 ns ; -- when 5 => correct := correct and s_st_time_vector(lowb) = c_st_time_vector_1(lowb) and (s_st_time_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_time_vector_select <= transport 5 ; -- s_st_time_vector(lowb) <= -- c_st_time_vector_2(lowb) after 10 ns , -- c_st_time_vector_1(lowb) after 20 ns , -- c_st_time_vector_2(lowb) after 30 ns , -- c_st_time_vector_1(lowb) after 40 ns ; -- when 6 => correct := correct and s_st_time_vector(lowb) = c_st_time_vector_2(lowb) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_time_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_time_vector(lowb) <= -- c_st_time_vector_1(lowb) after 40 ns ; -- when 7 => correct := correct and s_st_time_vector(lowb) = c_st_time_vector_1(lowb) and (s_st_time_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_time_vector(lowb) = c_st_time_vector_1(lowb) and (s_st_time_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_time_vector_savt <= transport Std.Standard.Now ; chk_st_time_vector <= transport s_st_time_vector_cnt after (1 us - Std.Standard.Now) ; s_st_time_vector_cnt <= transport s_st_time_vector_cnt + 1 ; wait until (not s_st_time_vector(lowb)'Quiet) and (s_st_time_vector_savt /= Std.Standard.Now) ; -- end process CHG6 ; -- PGEN_CHKP_6 : process ( chk_st_time_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Inertial transactions completed entirely", chk_st_time_vector = 8 ) ; end if ; end process PGEN_CHKP_6 ; -- -- s_st_time_vector(lowb) <= c_st_time_vector_2(lowb) after 10 ns, c_st_time_vector_1(lowb) after 20 ns when st_time_vector_select = 1 else -- c_st_time_vector_2(lowb) after 10 ns , c_st_time_vector_1(lowb) after 20 ns , c_st_time_vector_2(lowb) after 30 ns , c_st_time_vector_1(lowb) after 40 ns when st_time_vector_select = 2 else -- c_st_time_vector_1(lowb) after 5 ns when st_time_vector_select = 3 else -- c_st_time_vector_1(lowb) after 100 ns when st_time_vector_select = 4 else -- c_st_time_vector_2(lowb) after 10 ns , c_st_time_vector_1(lowb) after 20 ns , c_st_time_vector_2(lowb) after 30 ns , c_st_time_vector_1(lowb) after 40 ns when st_time_vector_select = 5 else -- -- Last transaction above is marked c_st_time_vector_1(lowb) after 40 ns ; -- CHG7 : process variable correct : boolean ; begin case s_st_real_vector_cnt is when 0 => null ; -- s_st_real_vector(highb) <= -- c_st_real_vector_2(highb) after 10 ns, -- c_st_real_vector_1(highb) after 20 ns ; -- when 1 => correct := s_st_real_vector(highb) = c_st_real_vector_2(highb) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real_vector(highb) = c_st_real_vector_1(highb) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P7" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_real_vector_select <= transport 2 ; -- s_st_real_vector(highb) <= -- c_st_real_vector_2(highb) after 10 ns , -- c_st_real_vector_1(highb) after 20 ns , -- c_st_real_vector_2(highb) after 30 ns , -- c_st_real_vector_1(highb) after 40 ns ; -- when 3 => correct := s_st_real_vector(highb) = c_st_real_vector_2(highb) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; st_real_vector_select <= transport 3 ; -- s_st_real_vector(highb) <= -- c_st_real_vector_1(highb) after 5 ns ; -- when 4 => correct := correct and s_st_real_vector(highb) = c_st_real_vector_1(highb) and (s_st_real_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_real_vector_select <= transport 4 ; -- s_st_real_vector(highb) <= -- c_st_real_vector_1(highb) after 100 ns ; -- when 5 => correct := correct and s_st_real_vector(highb) = c_st_real_vector_1(highb) and (s_st_real_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_real_vector_select <= transport 5 ; -- s_st_real_vector(highb) <= -- c_st_real_vector_2(highb) after 10 ns , -- c_st_real_vector_1(highb) after 20 ns , -- c_st_real_vector_2(highb) after 30 ns , -- c_st_real_vector_1(highb) after 40 ns ; -- when 6 => correct := correct and s_st_real_vector(highb) = c_st_real_vector_2(highb) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_real_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_real_vector(highb) <= -- c_st_real_vector_1(highb) after 40 ns ; -- when 7 => correct := correct and s_st_real_vector(highb) = c_st_real_vector_1(highb) and (s_st_real_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_real_vector(highb) = c_st_real_vector_1(highb) and (s_st_real_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_real_vector_savt <= transport Std.Standard.Now ; chk_st_real_vector <= transport s_st_real_vector_cnt after (1 us - Std.Standard.Now) ; s_st_real_vector_cnt <= transport s_st_real_vector_cnt + 1 ; wait until (not s_st_real_vector(highb)'Quiet) and (s_st_real_vector_savt /= Std.Standard.Now) ; -- end process CHG7 ; -- PGEN_CHKP_7 : process ( chk_st_real_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Inertial transactions completed entirely", chk_st_real_vector = 8 ) ; end if ; end process PGEN_CHKP_7 ; -- -- s_st_real_vector(highb) <= c_st_real_vector_2(highb) after 10 ns, c_st_real_vector_1(highb) after 20 ns when st_real_vector_select = 1 else -- c_st_real_vector_2(highb) after 10 ns , c_st_real_vector_1(highb) after 20 ns , c_st_real_vector_2(highb) after 30 ns , c_st_real_vector_1(highb) after 40 ns when st_real_vector_select = 2 else -- c_st_real_vector_1(highb) after 5 ns when st_real_vector_select = 3 else -- c_st_real_vector_1(highb) after 100 ns when st_real_vector_select = 4 else -- c_st_real_vector_2(highb) after 10 ns , c_st_real_vector_1(highb) after 20 ns , c_st_real_vector_2(highb) after 30 ns , c_st_real_vector_1(highb) after 40 ns when st_real_vector_select = 5 else -- -- Last transaction above is marked c_st_real_vector_1(highb) after 40 ns ; -- CHG8 : process variable correct : boolean ; begin case s_st_rec1_vector_cnt is when 0 => null ; -- s_st_rec1_vector(highb) <= -- c_st_rec1_vector_2(highb) after 10 ns, -- c_st_rec1_vector_1(highb) after 20 ns ; -- when 1 => correct := s_st_rec1_vector(highb) = c_st_rec1_vector_2(highb) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1_vector(highb) = c_st_rec1_vector_1(highb) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P8" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec1_vector_select <= transport 2 ; -- s_st_rec1_vector(highb) <= -- c_st_rec1_vector_2(highb) after 10 ns , -- c_st_rec1_vector_1(highb) after 20 ns , -- c_st_rec1_vector_2(highb) after 30 ns , -- c_st_rec1_vector_1(highb) after 40 ns ; -- when 3 => correct := s_st_rec1_vector(highb) = c_st_rec1_vector_2(highb) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; st_rec1_vector_select <= transport 3 ; -- s_st_rec1_vector(highb) <= -- c_st_rec1_vector_1(highb) after 5 ns ; -- when 4 => correct := correct and s_st_rec1_vector(highb) = c_st_rec1_vector_1(highb) and (s_st_rec1_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec1_vector_select <= transport 4 ; -- s_st_rec1_vector(highb) <= -- c_st_rec1_vector_1(highb) after 100 ns ; -- when 5 => correct := correct and s_st_rec1_vector(highb) = c_st_rec1_vector_1(highb) and (s_st_rec1_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec1_vector_select <= transport 5 ; -- s_st_rec1_vector(highb) <= -- c_st_rec1_vector_2(highb) after 10 ns , -- c_st_rec1_vector_1(highb) after 20 ns , -- c_st_rec1_vector_2(highb) after 30 ns , -- c_st_rec1_vector_1(highb) after 40 ns ; -- when 6 => correct := correct and s_st_rec1_vector(highb) = c_st_rec1_vector_2(highb) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec1_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec1_vector(highb) <= -- c_st_rec1_vector_1(highb) after 40 ns ; -- when 7 => correct := correct and s_st_rec1_vector(highb) = c_st_rec1_vector_1(highb) and (s_st_rec1_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec1_vector(highb) = c_st_rec1_vector_1(highb) and (s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec1_vector_savt <= transport Std.Standard.Now ; chk_st_rec1_vector <= transport s_st_rec1_vector_cnt after (1 us - Std.Standard.Now) ; s_st_rec1_vector_cnt <= transport s_st_rec1_vector_cnt + 1 ; wait until (not s_st_rec1_vector(highb)'Quiet) and (s_st_rec1_vector_savt /= Std.Standard.Now) ; -- end process CHG8 ; -- PGEN_CHKP_8 : process ( chk_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Inertial transactions completed entirely", chk_st_rec1_vector = 8 ) ; end if ; end process PGEN_CHKP_8 ; -- -- s_st_rec1_vector(highb) <= c_st_rec1_vector_2(highb) after 10 ns, c_st_rec1_vector_1(highb) after 20 ns when st_rec1_vector_select = 1 else -- c_st_rec1_vector_2(highb) after 10 ns , c_st_rec1_vector_1(highb) after 20 ns , c_st_rec1_vector_2(highb) after 30 ns , c_st_rec1_vector_1(highb) after 40 ns when st_rec1_vector_select = 2 else -- c_st_rec1_vector_1(highb) after 5 ns when st_rec1_vector_select = 3 else -- c_st_rec1_vector_1(highb) after 100 ns when st_rec1_vector_select = 4 else -- c_st_rec1_vector_2(highb) after 10 ns , c_st_rec1_vector_1(highb) after 20 ns , c_st_rec1_vector_2(highb) after 30 ns , c_st_rec1_vector_1(highb) after 40 ns when st_rec1_vector_select = 5 else -- -- Last transaction above is marked c_st_rec1_vector_1(highb) after 40 ns ; -- CHG9 : process variable correct : boolean ; begin case s_st_arr2_vector_cnt is when 0 => null ; -- s_st_arr2_vector(lowb) <= -- c_st_arr2_vector_2(lowb) after 10 ns, -- c_st_arr2_vector_1(lowb) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb) = c_st_arr2_vector_2(lowb) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb) = c_st_arr2_vector_1(lowb) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P9" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_vector_select <= transport 2 ; -- s_st_arr2_vector(lowb) <= -- c_st_arr2_vector_2(lowb) after 10 ns , -- c_st_arr2_vector_1(lowb) after 20 ns , -- c_st_arr2_vector_2(lowb) after 30 ns , -- c_st_arr2_vector_1(lowb) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb) = c_st_arr2_vector_2(lowb) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; st_arr2_vector_select <= transport 3 ; -- s_st_arr2_vector(lowb) <= -- c_st_arr2_vector_1(lowb) after 5 ns ; -- when 4 => correct := correct and s_st_arr2_vector(lowb) = c_st_arr2_vector_1(lowb) and (s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 4 ; -- s_st_arr2_vector(lowb) <= -- c_st_arr2_vector_1(lowb) after 100 ns ; -- when 5 => correct := correct and s_st_arr2_vector(lowb) = c_st_arr2_vector_1(lowb) and (s_st_arr2_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 5 ; -- s_st_arr2_vector(lowb) <= -- c_st_arr2_vector_2(lowb) after 10 ns , -- c_st_arr2_vector_1(lowb) after 20 ns , -- c_st_arr2_vector_2(lowb) after 30 ns , -- c_st_arr2_vector_1(lowb) after 40 ns ; -- when 6 => correct := correct and s_st_arr2_vector(lowb) = c_st_arr2_vector_2(lowb) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr2_vector(lowb) <= -- c_st_arr2_vector_1(lowb) after 40 ns ; -- when 7 => correct := correct and s_st_arr2_vector(lowb) = c_st_arr2_vector_1(lowb) and (s_st_arr2_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2_vector(lowb) = c_st_arr2_vector_1(lowb) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr2_vector_savt <= transport Std.Standard.Now ; chk_st_arr2_vector <= transport s_st_arr2_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ; wait until (not s_st_arr2_vector(lowb)'Quiet) and (s_st_arr2_vector_savt /= Std.Standard.Now) ; -- end process CHG9 ; -- PGEN_CHKP_9 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Inertial transactions completed entirely", chk_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_9 ; -- -- s_st_arr2_vector(lowb) <= c_st_arr2_vector_2(lowb) after 10 ns, c_st_arr2_vector_1(lowb) after 20 ns when st_arr2_vector_select = 1 else -- c_st_arr2_vector_2(lowb) after 10 ns , c_st_arr2_vector_1(lowb) after 20 ns , c_st_arr2_vector_2(lowb) after 30 ns , c_st_arr2_vector_1(lowb) after 40 ns when st_arr2_vector_select = 2 else -- c_st_arr2_vector_1(lowb) after 5 ns when st_arr2_vector_select = 3 else -- c_st_arr2_vector_1(lowb) after 100 ns when st_arr2_vector_select = 4 else -- c_st_arr2_vector_2(lowb) after 10 ns , c_st_arr2_vector_1(lowb) after 20 ns , c_st_arr2_vector_2(lowb) after 30 ns , c_st_arr2_vector_1(lowb) after 40 ns when st_arr2_vector_select = 5 else -- -- Last transaction above is marked c_st_arr2_vector_1(lowb) after 40 ns ; -- CHG10 : process variable correct : boolean ; begin case s_st_arr2_cnt is when 0 => null ; -- s_st_arr2(highb,false) <= -- c_st_arr2_2(highb,false) after 10 ns, -- c_st_arr2_1(highb,false) after 20 ns ; -- when 1 => correct := s_st_arr2(highb,false) = c_st_arr2_2(highb,false) and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2(highb,false) = c_st_arr2_1(highb,false) and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386.P10" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_select <= transport 2 ; -- s_st_arr2(highb,false) <= -- c_st_arr2_2(highb,false) after 10 ns , -- c_st_arr2_1(highb,false) after 20 ns , -- c_st_arr2_2(highb,false) after 30 ns , -- c_st_arr2_1(highb,false) after 40 ns ; -- when 3 => correct := s_st_arr2(highb,false) = c_st_arr2_2(highb,false) and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; st_arr2_select <= transport 3 ; -- s_st_arr2(highb,false) <= -- c_st_arr2_1(highb,false) after 5 ns ; -- when 4 => correct := correct and s_st_arr2(highb,false) = c_st_arr2_1(highb,false) and (s_st_arr2_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_select <= transport 4 ; -- s_st_arr2(highb,false) <= -- c_st_arr2_1(highb,false) after 100 ns ; -- when 5 => correct := correct and s_st_arr2(highb,false) = c_st_arr2_1(highb,false) and (s_st_arr2_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr2_select <= transport 5 ; -- s_st_arr2(highb,false) <= -- c_st_arr2_2(highb,false) after 10 ns , -- c_st_arr2_1(highb,false) after 20 ns , -- c_st_arr2_2(highb,false) after 30 ns , -- c_st_arr2_1(highb,false) after 40 ns ; -- when 6 => correct := correct and s_st_arr2(highb,false) = c_st_arr2_2(highb,false) and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr2(highb,false) <= -- c_st_arr2_1(highb,false) after 40 ns ; -- when 7 => correct := correct and s_st_arr2(highb,false) = c_st_arr2_1(highb,false) and (s_st_arr2_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2(highb,false) = c_st_arr2_1(highb,false) and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00386" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr2_savt <= transport Std.Standard.Now ; chk_st_arr2 <= transport s_st_arr2_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_cnt <= transport s_st_arr2_cnt + 1 ; wait until (not s_st_arr2(highb,false)'Quiet) and (s_st_arr2_savt /= Std.Standard.Now) ; -- end process CHG10 ; -- PGEN_CHKP_10 : process ( chk_st_arr2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P10" , "Inertial transactions completed entirely", chk_st_arr2 = 8 ) ; end if ; end process PGEN_CHKP_10 ; -- -- s_st_arr2(highb,false) <= c_st_arr2_2(highb,false) after 10 ns, c_st_arr2_1(highb,false) after 20 ns when st_arr2_select = 1 else -- c_st_arr2_2(highb,false) after 10 ns , c_st_arr2_1(highb,false) after 20 ns , c_st_arr2_2(highb,false) after 30 ns , c_st_arr2_1(highb,false) after 40 ns when st_arr2_select = 2 else -- c_st_arr2_1(highb,false) after 5 ns when st_arr2_select = 3 else -- c_st_arr2_1(highb,false) after 100 ns when st_arr2_select = 4 else -- c_st_arr2_2(highb,false) after 10 ns , c_st_arr2_1(highb,false) after 20 ns , c_st_arr2_2(highb,false) after 30 ns , c_st_arr2_1(highb,false) after 40 ns when st_arr2_select = 5 else -- -- Last transaction above is marked c_st_arr2_1(highb,false) after 40 ns ; -- end ARCH00386 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00386_Test_Bench is end ENT00386_Test_Bench ; -- -- architecture ARCH00386_Test_Bench of ENT00386_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00386 ( ARCH00386 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00386_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/synchronizer_ff.vhd
9
8467
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aj/RlqEIbEyNUglQ6DYB4Sr6apKLqpgdpZtrp3TgP9mwfgLTWPQMERHmACeEzTZdBicrTS/WVuB+ wvTuI3HOTw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lYZLkDMDw02cMhn70Axx+o9DTMKxFvjAfrzihcEtP+GHMiN4XGqXD7VcpJ/GHXeI91qYzb7bTWq8 rj8X07gNdT8tNbUIkFCJ73fO05Dwtfe2hpc7LwTJucSkMq+9Tx4KOQkN1Jl7fo6TAZtd9+L0sT56 heYJaurI7LT4n58FBXU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kW8kUv4TiqlSXY2MIqDZeQDJmVqEJvwyia1+Uk8EnUvO9sdi4JXvvsqGSaKuqvObzZm2AyO0LbT4 lxExegEs9+AQWFOHq6Q6taVi3RjIiRQHfQCh6zZ6fUPqs7gT99DzaJmBqfX6BDx043feC9LbaJ6K VfKh6nCjLKzyS/0ZMQ6fS3cdKjQgAo4lZNcK90EmbKl8lUQ8D6vJs7trmHPT5+wNt8iRxiVOWEX2 wBRrA/3PQtYp7XZYDuLhQz1EBUbgNNPvOPp7XIZkwTJkReMgVPvsU69Bj8/kC0c0TpCO/dySzJLh Qcaw7gLUb7AANVyw5iiTYFxS99MxtXrZe5t1Fw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3QavAN5alebaK4zREOnVfYY9CECl+xqwnxtWZKv2u6VDEPh6kWhgGKXXnzHdUy7GwdY/g2jiM9M1 akGCrkF10z37Wu/FE6GpGp0eYNBTj1pEyxR/+ATAU1lpsO+JU4EK3vgvuBI1IJEuVErQljFgA0+d CGojfKGG9niKGLh/bak= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q154svWiCICgQpGqRNF/1AhAsHmIIuRH5sn4t7i/su67jtl7oA8spuDVkLY9Yr3sdl43EN/UHFqf TFDJ+Y9ECNTwKZq7oJuiNY599DOf1j5ePH85LX+mEgqIL3GH3BHmDQHkcrPK6ZqB3o6LYMtWGIoo vPOLqTOxHBOIbPdd6VId7HoWDKTyv5MdOn5tR3ZcVw0Wf4h357QAQfnveL7DeD4FuvqgFpO0rPcR em1OaCGPzHHtmc5eFPc2t6vCKBJk41zH4v4v/fWgIMAD/8mYB9/oOWEnr2WpJs2qZ43hvY94jCUN MJVFmXLDbOqOwdSJDBe4f/CPd7uWXRj8pWf0wQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4528) `protect data_block wDNsIZ7zu25vm+m+gfgmzYT9kyyjtZkVnRFreVd5UGYJcOTW+xgrHXmMARD/nQHj1iXj7jzlkuwe WlkcK0UCeik4VYDh+jNTdauQ9zMpaPfHj75i27K6CD5FAeniKOQWdG0dTdnci7m70Yiy+Umyql7+ kDZlgopXytadDU7YZ78jP/ql6ALC8QEoc+pEgU4FgBrEPulJ9LbUqFBQuMetUme6hGMQQUfQnfhu 7IM1zwB7ClZIjlQUTb7sqzZk7cqilBRPIOEjroqDYDMEzj4qC/Cmggy0ivcijeJyqA7mVWSHYvIj Owgp+UU7KMnLF59Yy5mAVNNo9O1aYzssfY0flkJnaNztPe5DhUrZSQ+dqCcZkU45so/nvRMpV1ub 9KEskB7rS7MzjTSL5fnm9Wg8CsO3xY9IRR8NsrxrurFCrEI3BUrZjworJ7mCB4Ijsdh8fSs0CWvq TFt22Ef3+UUw7JcnwZIVmWV/vA+wXl9IcHZjGCEkHLT8XkSTy4OpLCaSq+YQoqoD11LytF92oPoe T49aTI3YOuNqb2zIqVXxmoMpOuqLO4TseZ5Y4p0vtyRX4Noe6nA9EsluU1ucjiSguMS2k2wj31cr 75eWgCmooLeQ4yZh071F956IVeT8yAUksTjvdeEHJKCsJAa8IABY8FY2dXUQdpyaJzm1zQ+l4x6N uyg7mFRSpkQabzlrRpuZclKWc4jXo9UUwMDgersHvkAqb6Jvbkyx78SyvP3xdopoOZ1EvroJXkHO 4DYtAQ+EyD8NElaeXwnnfbiEiyCdnVCuIZO3NKUOGNiq2X7UYz8vCQmhceWuHm3PDRr0VYOeBqej VfE83Dvn3YvyZD3Xm1yVlT5X3X70/0nVoYlifoXEov8/lXkYWqhM74s5rSyVM1YNuPRpKG9vGkC4 CA0bdZqxUexamE6jNMcr93BlPWS9KMrHT5FYCtKffumtegX2ymqoEC928MTRou79E81Z8BLrickk Ue9c4ZQ8gqZEsC+eJqhjYcubuAD9/v/qUi8sdjGh6+GqQ70vNBT72/cFWZv6lS6KHJZYStyZquj6 QsWwCZpkvOhfus+JvNtfvNUf0FD1u7uw6lgCNj9E1cROguYh5mbFh9oL7oj+Hkuzq/TYWj2OuH1X NioJ5csJSAn6rQq98S4mE4CAUPVyTEMEhPKqp4u2F8xYjbxQgjJsfIpWegadWGoEJ9/bfY6sncN0 X3iYSlh3gaKWBK3zkGmD8/W5FYjIZm2CXcGdrJH1S6OUfBjkO+OOz4xpYrpMF623k+4ithmdYFiU zoRQU2LRralr4Y672cX38lnL9r/Xai5ILdX1VJV3WWQ4LiBavE+5JsVIJMaV0dpeHE1W3qoviYbc lzKb75Z/tyMeeyRUnnp6lm1Rw2u3qDxpM2PXN5G+5b+cLmU4Kr6AvYC7zQEODqIAdvuI2lzsgGiC yHvVAICyyttybfJUnGbqch+LNGH1RInAGopad207g9I9AMbbPfKcKT/cCDQjFFaZ54JJwXYIRJP5 YXMAtCFem9ke54vxlSm8yC++nKuqwm/QVLlC3L/c7diIEZ+ShznhCuRk13tcEsFf4LJj6DtdsDgK pJxmEqV9nG65HcxHl83Me/LuBo/x44uIx0v4FvnkDA6TLvrGvgZxk70Pi/xY0a1YDAPoJLT05dBt s/mLeeFcEVVco2e1ww7hZR5tM82IAVwiDN7ZCuWVFwdMWIcGDQekAMPT3tb7nlsly2FLctebbkqo hE6uF+3sfeTu6lin6qGlaFh03+CiGHU0qeGShVn96n5rY12afl/6pNizRYm3WMGky1vUaeM2C11T KZBNPVpHaPb0Bfngs1OCMyP8Cwawm5PBZLuhNMOrzgwGVfVpnmWEh2w6YUNlCzRSO423oB2Axw3K VbxnCtSWB9apR4nkV0A+EF6DznS8l0RK7GxtrkETmyxfVK+zxwYhvAzOBepqesZqB56GjZH7yrUF N4l6BLUlBMCt2zrn9w58c1sXuR7OMF3LcZqBsfC2Y27EqU14mferZ5UizQSO/qmGVwrvIiMh3shm pkdjyOjmd1PcjQD2t1S3ruOCYQ2jjDiy8Fi+QnhRfiLfUzoXZqSSbClhn6MxQHZiRyHOHO5E2EKU zHQaoBYvfjdQILRyoolE+DcEXPqthe+W9fCtNP7k7vhMFYXJccuVJWw57L1BqjQ4k3t4LKwqR8Oq Pwwux2UZf/nxN8ZvYi96lwZkk0GUSwfr82eKGMTpnifFoZGWislM4yinH9vYF0QIJuRda+pJQFib SPQWikNDON01bPOryYqCDiHz8F2HeqsQkWePqdA0fKlLbkEH970mglpcwUru/vXz+sZuf5241bGv Jeg6uChCdHVYGMyvFL5t+EIgzuLk2zba0xvEv6fptBK4ST0LqDdTW5VMEtCzQUAaGeZUvnOLkay4 2gWXgJg9NW3muQniY/JGLx00wUV2D8KrkvZho16vQrPT/oOEn7TWrItSM1OOqj1WfnLztHCRfZhi Yz0Y1O5SsEMZYMlcmyszppKwDX6n3iBEFjXbnjGPNz7Ahe57VYmeMr7GA4f7DCmCPcAGGjFFAcIJ SBFRsiSHgkuV8bXQlfpV2CHJqlPXEhUkMemgRRxOHzAKm4/pP84QIIRiexIm3j8jvwT4lLVaHWYb /PGIaUF89nJK5yKtJ6qBp5VttcYOxgb3z80I4+ozv4v31cCO8QfQwZgEAlnlWwV3UgSCw7qlXRgo WjO6RGrdyPMiYJqXKiw0hm/hqaZgXLmgdbW0U+NLvqzUVdTz7P/zTtUibWUDb8K5JZbozJRsKGM8 5+hvhmQRPS3kMDkQcZl+sFLlGZJX7tOGpxT9dDF54n44kr/2zJf20wFW/X566JFHfbKNxMzW7bFX kPvHgSk63XBIhshKof/60o8p5oSVWbZq1bq+kqIu+pJ7RcFqKEnkXk8kUGOQrJuKC2EA0w64bDh0 XWfIaTCdlHTXaedcnHNEsxKBgsoNhTIaBCSG8ZBe6vBcmvgaLx++ak5DjMQKjkciCTTBlnoOAkrL SQbcYqA/1e71lUpfOSd/E5OvhtxKmQiX2LsJaquUDgP0xRdDnqaD3YmmmeFRayNuwMuPRMOUS+k6 kGn3gstH/JJkoqm1wHDs3ADG9dwFdFmaeT8cSyMt327IY4XKJ1caJ1KRh/Q0/U6JMSEh7IV4Qoqz XftR5u2mE5KM46HIBlHbyVqEYC9A4NFTckiED4zyi3DcGtPG0WQHnVNv/ttqntYMb4XUzubG7rkM lnb2M65gel9Jjv/PiPS+Hp85fat19jyyBfswpTbrVjdrsPa6+kC0ihCSxlGxPnaTBD3uwIDDJT7A VRMl9ef/cZjGhPXLQ1SPTxooOzPEmh8vJNCZ5sG11g/+XI/zp2yrwnBuYSpDcLrBHrZlZb/LlsW1 Hz8ab1D1aHlQJIx9ZnJRJXw8qbQIAzl3rLWr1H1RARAAc8KU+zQbhGtR4IDkKkHdJm9a1h451pm5 9YPXn5rsSCHR1OTzWVKaVoxtRHp+HRyD/CGTEKtNqPvJJkWojzvOHo8k5iq4i/ZqTuG9ed67WoiS gpjQKyDeoYXa1g7q2gH5i/vp1b0qfdwEwvz+UcutKTM5mT9zJtxgguJP1gu+tFgUkn5df9SQwr8B v4COUqyshaCnIhIfoN3UvWZrOcrfG7jLUuhxvmqLyS+1YEC30MvkO+EYyAFDEssHFqSdKEuh99eW hsZNphWmYvSUqgPyg7GRuB/U3p5QmAKMXZWWEf2vZuZs+wETCGuJhKhq46wFUJvincjPhU75cEjS dA2Pjl3DonbtQooi/RLb9/UGG2EegzBXncL6HdZnbsYmTh37JOKGnJinZuRS694muARSqt+32m2F tqV00nnamMayesalSUWQQF/HAWPGncIMnYYdx+K4WldMwODQBvqNLsupuDh/iOONd5eyX6gr1UPE 52qqJYE0vxZ7gPUWQBAb1sytBH9pSQazuKj8/I8IW5FkbYyYMOwE3MC7J9++Z5gIs3wx9P4KyN66 4jcGlMaLia919A9uN0UQyxsswdMvrDKocKaOlplsnvlSAxqhp/5dFg7zJ5oW298YuaGcOUlHiqNy dS6oSqBZ9TdC0JVU97HG+aIUkd5B8/LJ0EWeJqJQyh2MHvVlmONdMW0BEjAoxYL/4glNCAzXE2GV klK01NCf0NvM3I8i+lAo/jD7LLURm/7WcuZOfHQguYeZW+vZhiIvIKuZIsRALo3gEK/LRDwij7bo U6pUEQAmmP3+IgrY8u1ZojTzm8qw7MaiZhh1qOFV1khYXh6T38wFqpuh9UxF4LV5n731LAARV7og Syhb3crMM0yiIiI5Vek597bsmAZkpx4uWfALSnw1ApKbdjv1VO0DgnLW0RaFKS3Jgg8ZMWKCbNkx 9fsFMbGQ3AVU+lCYrcMVvdD9slFpMa45pfoCAdGImc0G7IRvD65W2y2SpsAydmVUlXGWzlAYqevC kQUKWSzE+1C+0E8fWsUt/Pm5fpDaVI4yFbDthx28iV+m/Mj/asBNsmgSnGBYeqMPnGUdQT19C8b4 Q1OyDcuO2869C3phrygOOXnhWNRWaT2PlJ7mXWlmKj6Gou59QXJpLdSvDBJfgCGlmw2GYFlaZWNL gbjVxjWbUn13tIO5+Fxe+PgSRcF7m8U9OkmiW/zDjVrNpeT/OKgUJlA7Du/9xgOZpiUd7JeZ9psn 9mafCKOZPmFGYx3/9jbKbRfurzgW42B2RxvZH2pUGnDU7fOBAQ1jjbN3uqp64hxiVZMo+v6+ZIQr GwwhcjqA4HE/5bM6ICioeTIVO/+40CKxyJi25xqDtUKdIlSVnxgBfYoHQuAkMGyttu3DRZI6UaEr eRO77ht71Th7nJK1ntwpeX3/oAJfVa8+waN4yYZthxr9cxPDdqZjlFUL83wqerFWT86RRFOJ8IAX eFlM/Z/E4XgzsgddvqA7OCe6CovyuF4BanN5mKO0YU7+ubycSdUlfIpNZgHa7ncrNB5v8JUakd5D QyVEJzL8Li7WdHff+4A7qZ1u3aDWJTAW6Uz0MVCR6T5oTU2RBppjDXjUwB15vsmnsmtl2TWh659t cN6f7yk2v9BlL3zidubxcrQAddkE4aMhlzsZQd7YcCqcZ8Nh2yTGxUt5HMJ3ZqSZMFwcVw1S19SX whtV74aN8+JnFQ1F3vCjVQRCbYDXQqlDZXi8mm4dLKZ1FQKQu1LSdsnL5hsFzGwfpwgXIS5+9p+R 1qQy5vzB2gjKf0CnKsasY6/umXiS1Ksutn+peAwAgZ33vIithytUgiaJFH5+aO0Ujs+I7TwDPFoq SGVfgjyIzcXrDfjrqilgbkvQoAJh7Y8eK88S+Du4i9T9HdKqNFMCQuZ6IM3bkTWqfUmP0jIpud08 yaBvo5K1yN+KvoabccQA/LErBrIuL02Byp9C7wGC41sDrAxCEpWwTNIAMqvE87uVv8dpe8QSIsDD E+HE322jrQ/uDju1PB1RxEvnoxW7vQ6gVirX7RstA/rjv8XXGV5Jx/sDTih1ixK55qHRpBFd7AOi Zs1efkXsjhHHhyF2NBMsBQ3zVchgdjxRYfiaSrGJPPykoBdeRZ94O3PbpKruyASQQ7IYaGLN04Em rhszb4dxzXtl6auED2VyA5fukGOl3GY362u+SzCIDLYkIbI90nU8n8R7Ly1G7cpS+Dz/RDUjfKb4 MtrqCz03iupuAyV9C7WbQAtxlKlzKe3DmJegfJ57WZ8CtrGceqAsbbK7g3VuqgZE6Jm4o0xOuvwQ fBH1v7Tzn2WZxIu1yquSC04jamTxJSrgEFnfknAtGj1TEROyqUEw9yxg0F5cjhQKb0h6ksSHqCdc 1bw5zD5aX2NIDv/80sfCNHV0oq0IuA+SPOaJ2e66FN156dggxSYRvvRiGGxJqyK1rO/Y+QBOIU7s dq9rGLClcyXamZ7crHdtTML+pPn48duemYr0vOzAXkoVNLwt6eCrh3GxuK/zFcDD3ZAbYtvuv0b7 MhuBcUqkn8iSQVEEa/jO3uMXGkYc6hI1xw== `protect end_protected
gpl-3.0
grwlf/vsim
vhdl/STD/textio.vhdl
2
5335
-- Std.Textio package declaration. This file is part of GHDL. -- This file was written from the clause 14.3 of the VHDL LRM. -- Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold -- -- GHDL is free software; you can redistribute it and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation; either version 2, or (at your option) any later -- version. -- -- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- -- You should have received a copy of the GNU General Public License -- along with GCC; see the file COPYING. If not, write to the Free -- Software Foundation, 59 Temple Place - Suite 330, Boston, MA -- 02111-1307, USA. package textio is -- type definitions for text i/o -- a LINE is a pointer to a string value. type line is access string; -- A file of variable-length ASCII records. -- Note: in order to work correctly, the TEXT file type must be declared in -- the textio package of library std. Otherwise, a file of string has a -- non-ASCII format. type text is file of string; type side is (right, left); -- For justifying ouput data within fields. subtype width is natural; -- For specifying widths of output fields. -- standard text files file input: text is in "STD_INPUT"; --V87 file output: text is out "STD_OUTPUT"; --V87 file input : text open read_mode is "STD_INPUT"; --V93 file output : text open write_mode is "STD_OUTPUT"; --V93 -- input routines for standard types procedure readline (variable f: in text; l: inout line); --V87 procedure readline (file f: text; l: inout line); --V93 -- For READ procedures: -- In this implementation, any L is accepted (ie, there is no constraints -- on direction, or left bound). Therefore, even variable of type LINE -- not initialized by READLINE are accepted. Strictly speaking, this is -- not required by LRM, nor prevented. However, other implementations may -- fail at parsing such strings. -- -- Also, in case of error (GOOD is false), this implementation do not -- modify L (as specified by the LRM) nor VALUE. -- -- For READ procedures without a GOOD argument, an assertion fails in case -- of error. -- -- In case of overflow (ie, if the number is out of the bounds of the type), -- the procedure will fail with an execution error. -- FIXME: this should not occur for a bad string. procedure read (l: inout line; value: out bit; good: out boolean); procedure read (l: inout line; value: out bit); procedure read (l: inout line; value: out bit_vector; good: out boolean); procedure read (l: inout line; value: out bit_vector); procedure read (l: inout line; value: out boolean; good: out boolean); procedure read (l: inout line; value: out boolean); procedure read (l: inout line; value: out character; good: out boolean); procedure read (l: inout line; value: out character); procedure read (l: inout line; value: out integer; good: out boolean); procedure read (l: inout line; value: out integer); procedure read (l: inout line; value: out real; good: out boolean); procedure read (l: inout line; value: out real); procedure read (l: inout line; value: out string; good: out boolean); procedure read (l: inout line; value: out string); -- This implementation requires no space after the unit identifier, -- ie "7.5 nsv" is parsed as 7.5 ns. -- The unit identifier can be in lower case, upper case or mixed case. procedure read (l: inout line; value: out time; good: out boolean); procedure read (l: inout line; value: out time); -- output routines for standard types procedure writeline (variable f: out text; l: inout line); --V87 procedure writeline (file f: text; l: inout line); --V93 -- This implementation accept any value for all the types. procedure write (l: inout line; value: in bit; justified: in side := right; field: in width := 0); procedure write (l: inout line; value: in bit_vector; justified: in side := right; field: in width := 0); procedure write (l: inout line; value: in boolean; justified: in side := right; field: in width := 0); procedure write (l: inout line; value: in character; justified: in side := right; field: in width := 0); procedure write (l: inout line; value: in integer; justified: in side := right; field: in width := 0); procedure write (L: inout line; value: in real; justified: in side := right; field: in width := 0; digits: in natural := 0); procedure write (l: inout line; value: in string; justified: in side := right; field: in width := 0); -- UNIT must be a unit name declared in std.standard. Of course, no rules -- in the core VHDL language prevent you from using a value that is not a -- unit (eg: 10 ns or even 5 fs). -- An assertion error message is generated in this case, and question mark -- (?) is written at the place of the unit name. procedure write (l: inout line; value : in time; justified: in side := right; field: in width := 0; unit : in TIME := ns); end textio;
gpl-3.0
grwlf/vsim
vhdl_ct/pro000025.vhd
1
2249
-- Prosoft VHDL tests. -- -- Copyright (C) 2011 Prosoft. -- -- Author: Zefirov, Karavaev, Scherbinin. -- -- This is a set of simplest tests for isolated tests of VHDL features. -- -- Nothing more than standard package should be required. -- -- Categories: entity, architecture, process, type, subtype, case, enumerations, array, for-loop, function, Attributes-of-the-array-type-or-objects-of-the-array-type use work.std_logic_1164_for_tst.all; entity test_generate is generic(N:natural:=6); port ( in_bit : in bit; out_bit : out bit ); end entity test_generate; architecture test_generate_arch of test_generate is function resolve_bit_or(s : bit_vector) return bit is variable v : bit := '0'; variable i : integer; begin for i in s'range loop v := v or s(i); end loop; return v; end function resolve_bit_or; subtype resolved_bit is resolve_bit_or bit; signal test_resolved_bit : resolved_bit := '0'; type int_vector is array (integer range <>) of integer; function resolve_integer_sum(s : int_vector) return integer is variable v : integer := 0; variable i : integer; begin for i in s'range loop v := v + s(i); end loop; return v; end function resolve_integer_sum; subtype resolved_integer is resolve_integer_sum integer; signal test_resolved_integer : resolved_integer := 0; begin g1: for i in 1 to N generate test_resolved_bit <= '1'; end generate g1; out_bit <= in_bit xor test_resolved_bit; g2: for i in 1 to N generate test_resolved_integer <= 1; end generate g2; validate_g2: process (test_resolved_integer) is variable i : integer; begin i := test_resolved_integer; assert ((i > 0 and i = N) or (i = 0)) report "PRO000025: failure: wrong number of drivers generated." severity ERROR; end process validate_g2; end architecture test_generate_arch; entity ENT00025_Test_Bench is end ENT00025_Test_Bench; architecture ARCH00025_Test_Bench of ENT00025_Test_Bench is signal input, output : bit; begin input <= not input after 10 ns; test_entity: entity work.test_generate port map ( in_bit => input, out_bit => output ); end ARCH00025_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00496.vhd
1
10355
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00496 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.3.2.2 (6) -- 7.3.2.2 (11) -- -- DESIGN UNIT ORDERING: -- -- ENT00496(ARCH00496) -- ENT00496_Test_Bench(ARCH00496_Test_Bench) -- -- REVISION HISTORY: -- -- 10-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00496 is generic ( constant g_a11 : boolean := false ; constant g_a12 : boolean := true ; constant g_a21 : integer := 1 ; constant g_a22 : integer := 5 ; constant g_b11 : integer := 0 ; constant g_b12 : integer := 0 ; constant g_b21 : integer := -5 ; constant g_b22 : integer := -3 ; constant g_c1 : integer := 0 ; constant g_c2 : integer := 4 ; constant g_d1 : integer := 3 ; constant g_d2 : integer := 5 ; constant g_r1 : integer := 1 ) ; -- type rec_arr is array ( integer range <> ) of boolean ; type rec_1 is record f1 : integer range - g_r1 to g_r1 ; -- f2 : rec_arr (-g_r1 to g_r1) ; f3, f4 : integer ; end record ; -- constant c_rec_arr : rec_arr (-g_r1 to g_r1) := -- (true, false, false) ; -- constant c_rec_1_1 : rec_1 := (1, (true, false, false), 1, 0) ; -- constant c_rec_1_2 : rec_1 := (0, (true, false, false), 0, 1) ; constant c_rec_1_1 : rec_1 := (1, 1, 0) ; constant c_rec_1_2 : rec_1 := (0, 0, 1) ; -- type arr_1 is array ( boolean range <> , integer range <> ) of rec_1 ; type time_matrix is array ( integer range <> , integer range <> ) of time ; -- -- subtype arange1 is boolean range g_a11 to g_a12 ; subtype arange2 is integer range g_a21 to g_a22 ; subtype brange1 is integer range g_b11 to g_b12 ; subtype brange2 is integer range g_b21 to g_b22 ; subtype crange is integer range g_c1 to g_c2 ; subtype drange is integer range g_d1 to g_d2 ; -- subtype st_arr_1 is arr_1 ( arange1 , arange2 ) ; subtype st_time_matrix is time_matrix ( brange1 , brange2 ) ; subtype st_bit_vector is bit_vector ( crange ) ; subtype st_string is string ( drange ) ; -- -- end ENT00496 ; -- architecture ARCH00496 of ENT00496 is begin B1 : block signal s_arr_1 : st_arr_1 ; signal s_time_matrix : st_time_matrix ; signal s_bit_vector : st_bit_vector ; signal s_string : st_string ; signal s_rec_1 : rec_1 ; signal toggle : boolean := false ; procedure p1 ( constant d_a11 : boolean := false ; constant d_a12 : boolean := true ; constant d_a21 : integer := 1 ; constant d_a22 : integer := 5 ; constant d_b11 : integer := 0 ; constant d_b12 : integer := 0 ; constant d_b21 : integer := -5 ; constant d_b22 : integer := -3 ; constant d_c1 : integer := 0 ; constant d_c2 : integer := 4 ; constant d_d1 : integer := 3 ; constant d_d2 : integer := 5 ; constant d_r1 : integer := 1 ) is -- type rec_arr is array ( integer range <> ) of boolean ; type rec_1 is record f1 : integer range - d_r1 to d_r1 ; -- f2 : rec_arr (-d_r1 to d_r1) ; f3, f4 : integer ; end record ; -- constant c_rec_arr : rec_arr (-d_r1 to d_r1) := -- (true, false, false) ; -- constant c_rec_1_1 : rec_1 := (1, (true, false, false), 1, 0) ; -- constant c_rec_1_2 : rec_1 := (0, (true, false, false), 0, 1) ; constant c_rec_1_1 : rec_1 := (1, 1, 0) ; constant c_rec_1_2 : rec_1 := (0, 0, 1) ; -- type arr_1 is array ( boolean range <> , integer range <> ) of rec_1 ; type time_matrix is array ( integer range <> , integer range <> ) of time ; -- -- subtype arange1 is boolean range d_a11 to d_a12 ; subtype arange2 is integer range d_a21 to d_a22 ; subtype brange1 is integer range d_b11 to d_b12 ; subtype brange2 is integer range d_b21 to d_b22 ; subtype crange is integer range d_c1 to d_c2 ; subtype drange is integer range d_d1 to d_d2 ; -- subtype st_arr_1 is arr_1 ( arange1 , arange2 ) ; subtype st_time_matrix is time_matrix ( brange1 , brange2 ) ; subtype st_bit_vector is bit_vector ( crange ) ; subtype st_string is string ( drange ) ; -- variable v_arr_1 : st_arr_1 ; variable v_time_matrix : st_time_matrix ; variable v_bit_vector : st_bit_vector ; variable v_string : st_string ; variable v_rec_1 : rec_1 ; variable bool : boolean := true ; -- begin v_arr_1 := ( others => (others => c_rec_1_1) ) ; v_time_matrix := ( others => (others => 15ms) ) ; v_bit_vector := ( others => '0' ) ; v_string := ( others => 'a' ) ; v_rec_1 := -- ( f2 => (others => false), others => 0) ; ( others => 0) ; for i in 1 to 5 loop bool := bool and v_arr_1(false, i) = c_rec_1_1 ; end loop ; for i in 1 to 5 loop bool := bool and v_arr_1(true, i) = c_rec_1_1 ; end loop ; -- for i in integer'(-5) to -3 loop bool := bool and v_time_matrix(0, i) = 15 ms ; end loop ; -- bool := bool and v_bit_vector = B"00000" ; -- bool := bool and v_string = "aaa" ; -- bool := bool and v_rec_1.f1 = 0 and v_rec_1.f4 = 0 and v_rec_1.f3 = 0 ; -- bool := bool and v_rec_1.f2(1) = false -- and v_rec_1.f2(0) = false and -- v_rec_1.f2(-1) = false ; -- -- test_report ( "ARCH00496" , "Aggregates with others choice in signal assignment" & " (dynamic)" , bool ) ; end p1 ; -- begin process variable v_arr_1 : st_arr_1 ; variable v_time_matrix : st_time_matrix ; variable v_bit_vector : st_bit_vector ; variable v_string : st_string ; variable v_rec_1 : rec_1 ; variable bool : boolean := true ; -- begin s_arr_1 <= ( others => (others => c_rec_1_1) ) ; for i in 2 to 5 loop s_arr_1 (false, i) <= c_rec_1_2; end loop; s_time_matrix <= ( others => (others => 5 fs) ) ; s_time_matrix (0, -3) <= 10 ns; s_bit_vector <= ( others => '0' ) ; s_bit_vector (g_c1) <= '1'; s_bit_vector (g_c1+2) <= '1'; s_string <= "ab0" ; s_rec_1 <= -- ( f2 => (others => false), others => 0) ; ( others => 0) ; s_rec_1.f3 <= 1; toggle <= true ; v_arr_1 := ( others => (others => c_rec_1_1) ) ; v_time_matrix := ( others => (others => 15ms) ) ; v_bit_vector := ( others => '0' ) ; v_string := ( others => 'a' ) ; v_rec_1 := -- ( f2 => (others => false), others => 0) ; ( others => 0) ; for i in 1 to 5 loop bool := bool and v_arr_1(false, i) = c_rec_1_1 ; end loop ; for i in 1 to 5 loop bool := bool and v_arr_1(true, i) = c_rec_1_1 ; end loop ; -- for i in integer'(-5) to -3 loop bool := bool and v_time_matrix(0, i) = 15 ms ; end loop ; -- bool := bool and v_bit_vector = B"00000" ; -- bool := bool and v_string = "aaa" ; -- bool := bool and v_rec_1.f1 = 0 and v_rec_1.f4 = 0 and v_rec_1.f3 = 0 ; -- bool := bool and v_rec_1.f2(1) = false -- and v_rec_1.f2(0) = false and -- v_rec_1.f2(-1) = false ; -- -- test_report ( "ARCH00496" , "Aggregates with others choice in signal assignment" & " (globally static)" , bool ) ; wait ; end process ; process ( toggle ) variable bool : boolean := true ; begin if toggle then bool := bool and s_arr_1(false, 1) = c_rec_1_1 ; for i in 2 to 5 loop bool := bool and s_arr_1(false, i) = c_rec_1_2 ; end loop ; for i in 1 to 5 loop bool := bool and s_arr_1(true, i) = c_rec_1_1 ; end loop ; -- bool := bool and s_time_matrix(0, -3) = 10 ns ; for i in integer'(-5) to -4 loop bool := bool and s_time_matrix(0, i) = 5 fs ; end loop ; -- bool := bool and s_bit_vector = B"10100" ; -- bool := bool and s_string = "ab0" ; -- bool := bool and s_rec_1.f1 = 0 and s_rec_1.f4 = 0 and s_rec_1.f3 = 1 ; -- bool := bool and s_rec_1.f2(1) = true -- and s_rec_1.f2(0) = false and -- s_rec_1.f2(-1) = false ; -- -- test_report ( "ARCH00496" , "Aggregates with others choice in variable assignment" & " (globally static)" , bool ) ; end if ; p1 ( open, open, open, open, open, open, open, open, open, open, open, open, open ) ; end process ; end block B1 ; end ARCH00496 ; -- entity ENT00496_Test_Bench is end ENT00496_Test_Bench ; -- architecture ARCH00496_Test_Bench of ENT00496_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00496 ( ARCH00496 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00496_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00663.vhd
1
1775
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00663 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 14.1 (15) -- 14.1 (18) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00663) -- ENT00663_Test_Bench(ARCH00663_Test_Bench) -- -- REVISION HISTORY: -- -- 27-AUG-1987 - initial revision -- -- NOTES: -- -- NOT SELF-CHECKING : USES 3 ASSERTION STATEMENTS -- use WORK.STANDARD_TYPES.all ; architecture ARCH00663 of E00000 is signal z : boolean ; begin B1 : block begin z <= true after 1 ns ; assert B1'BEHAVIOR and ARCH00663'BEHAVIOR and (not B1'STRUCTURE) and (not ARCH00663'STRUCTURE) report "ARCH00663 BLOCK B1 : BEHAVIOR/STRUCTURE test failed" ; end block B1 ; B2 : block begin P : process begin assert B2'BEHAVIOR and ARCH00663'BEHAVIOR and (not B2'STRUCTURE) and (not ARCH00663'STRUCTURE) report "ARCH00663 BLOCK B2 : BEHAVIOR/STRUCTURE test failed" ; wait ; end process P ; end block B2 ; end ARCH00663 ; -- entity ENT00663_Test_Bench is end ENT00663_Test_Bench ; architecture ARCH00663_Test_Bench of ENT00663_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00663 ) ; begin assert (not L1'BEHAVIOR) and ARCH00663_Test_Bench'STRUCTURE report "ARCH00663_Test_Bench : BEHAVIOR/STRUCTURE test failed" ; CIS1 : UUT ; end block L1 ; end ARCH00663_Test_Bench ; --
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_handshaking_flags.vhd
9
12378
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p++H8UTIHRXpYSYI1rU7PqyhGL0KMoydSADa5Bbz2gJkaN9MvOuIjWN9HNC1KatakIjiGReJcmGA R/QBq44Fzw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bWWNc+euRogK0DvyjBti3b2VBADYJW8EYLYMFeNTbtjLiTQEfTgIrfj9ciYuigIqo+Hg8BZzo7y0 lydzyDHVw4PHjeqCEc369godTbcpBUVeM+SCs6FVAFli3RdB2nmKKGdkY9iVVOa69ZHABaWKt1fU wLETK9p8HRHOC7CHLOY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xsmLjAhIGSJnZAMyETMGArWuExk97xWNfdh1NfvgQ7dal0E5Fb9LFSozwzOfXYO1KZOPCUuVOn63 F8qwVp7OTPi/wkTflzKDNerc/Z0LC3D9WhnsdDLU/DcUb51nm3C6Q/KI0OU59L4XELkGLkMWPvbq gQG8YSDui7IAvNXdcauG+zmADOn9hQFgbhuLU0anWgDw/4kpukTEwLJRZV6a9ZLKiRJIoOZnTJhY NjB/D0u5EJhbjGPgYJeFlfWKWCpIPvzWSRdbz7NQQfSSfri7p/0tq05m+xQd/ZBK8lZ3JHZf2TTt ffvaa8z3MgP8f0+RwHeYsaq7/SRgA40+yRR4sg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dhevUYVySNOTTUVZHUok1dtOHu6N7DhiHWYK5TF36iRHX7qEhYBz7w9DDGEqDc9bNELYwIVc7TDF upH/omVHDJON4LCA1xVstY5Yv8g4jmc3HPjuSdVmeqOybMPdmqJne7zmQofOGcSCQx0xuMOfYXqZ sc33bQKjQm8cNs1HkyU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qZLljhSBxBDL2S+1dSFIjFmOjd4ABgKzwXc7fEZwbRjFDIADjDmnT5KK+dr01CCIuhFJVHnfjfMf jPam3r0XEILhmzRLZ8A2Y0Ryxz9GPhSJnPhhGZwtJyWoStGMjt68nNlbDDV2otdTgLyD1drEbCYY tnRpSpGMAr3fMBp6NJg1JlTQ8aDiU08ZAaszquq9HUMUDuRb0Zr3TRBMvb+yEttNOtBZh6A+HDoI DYBrgH0X2ddTx1sIY/HxTCyP8lF0HdcocINQU1ab5BbbuJ2q2ZmKEyn+iGk16S/+IwfJpqR2HHUS BxmQeqIEdyQcKYOQRntZsfsGoFZLcSfTN6flPQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7424) `protect data_block yVVrWd38RQI8nUIX5BrpsDN6GMPzZEJDUDjlCUxtvuQcDF+Ui+RGMaVw3pOL69WE6o7CMuwbhrbq FgHnOzuZrMcxbPOBHi8m6tRexNruSFSvVLwCTGpg5GudIEQ/nUUdt5Td1bCB0AcjwxBn94hOUGZL pGT5YFkWZTTBfJeK/l3oBp632Zz4os8CnWdUwKXiw5tQZrbwX5yWOotggElvlRf1FJg+0n8cKakQ VRt/fq1JlTUxoZQwv4CeJkVQP1uvutJNJSxWalQ+ANeCJkgcMlkduMhOhPlWrcLLiKBA/PjkEnoe tMq1wVQB1+lBdTaXhaq6qR+HwFJxPMQDiovhPMurMwxPnObqAfMiA6eACsMOqZH26/36drbSTG9m I2APVNusOt4SqQHi6ARXPBeX/WE+l7GwJQ+0FtxtHt+almCYrFDAvA8irdBfetvmPThARuzTx5Yb rKjpmbeheA7zsYeP09GkvbRJBODnHX1tIUN6BewvcXNuVD6vu87X26UnAoAXZSjHU7GfpOxCg/7y UnRajbX5UcSB90ATbk1+0yZjRD2j3bDSvlvTnzRQZKy/tPaGvfMEz9T91eh6584xFud6AsfrhYcZ FPAelgepbGVCV3jS8HiCrIssNukpuZxrGmO3fAUbY3SJFZWQopSmwPdA1v+gbFb26f947IlRpIa1 tqPGwSn51qOw9SwAovajs9UcnKKYdi+JpVgE90iRuCw7nmTqJ26sHI3ju4EgRjPnUfUQZZ812QLL B4EKo2W56lInhBSj695lXpIxuclKhaUnk9FkwhD7Hrz4rN2QIFgModcnF+zRIlTdNADP9etBsRp6 rwm/fRDzi0UhXK9YA1MKpKs1Ad1YXKfX+FTAz4JbiwtRtq00rvJ6hADQFFU8JkIxlMdKBfJjGW4O F4HYM88mUOqB1c0kP26hxrzWUWQ/n7VefsFhTfU/VZ0erAMmq+WMixZk2i0klAnHz8hIIOAuoiZl 06ps2aeyKj8rqd3eQmNUPyuvLTcPpzmHhncoldV6ZbcmCRFJjM5sodvtdl/kRzrbwS1CoB98wqfn /JlHsorO9/hx7R5ctNs0/l0gtcLktpXAfjrnj+O9sp1OEFmPE+pkrlOmqy4Oy7Vpq7LQYtDTuhJd 8Uhxm9C1h6sdlOy9kPqctkqbvYAGp8zc2S4DazVxs2l/deKk7ELG6beXsaUQXK2D5dZxGfeWeZhU Lh6dAF6qva/UtNYqg6riM5fQRdu3LvwhVIfHKgQAq2pS0i+xclkDNSkE7MqeWVG1haDBBCZF+dY7 UjMSBRBTfOV51SAtsxgwC5x1IuYqK9mZDOIftWOtNcRCC6GBDa10myPh8dpOeXgizGnLb9kOm0UX BcPFAD1/7EqwHSWi6/BVDo0fzJ0E0MmsalslUS4vl5wj82plA6yLFwjiAo0NU1Lu4HeJmuQETqs0 Cu3kY1D8iC2xO24X0ustOYhE7Bbk3UxtfF5NrGLqIrqbAlbV9C7qc7ZYjOYsKZQVxzIrHjcrogck CPiOptGWLjFBOHeedcezlA44QjlJujJ547JArUBs+CunqglcvFxiQ+hLLKBXx6L3rT1ij5fALej0 bqJyG4Dahv/hwY8JbbeTeeaQssWxRjWWHk36neaTywuHvKq9kU/sfbXZU/gBM1KJ4weiF4Rb+Qki 2x+8Y4QiCymWDvCA5SMwaZCwPPn1KJX9yWJbsVm2IlDVwE55kWECTWguMEu4kr/w48ne75eQMjhG E6KFksQNWI9Yo4R/68quZ8fM1apco5Y6WLD1jXYOusvs5pMpGSHFHtIxX3vZl0ugaoGe4BU4kEc4 tef1j9nFt4bO276k9XO4soREOryM9GlLtNK2PRI7aMykUryrhj+K4iomq7++nFRoNXPNlg4+3KH4 a7BxsK561XrgS400Tx8lgTpl3FP22pYZOC3Nf7w2MnAxiHmV/4K4czsdNdZxs7Pk1qEZr9rVZVgk yrCfpBIKrMjSaztlCI2Op8ird0wKVyFDGzy0wWTX2FZNIXnFBrr5cyBC8Meu/ZDK52uNUGwvT3MO ev8wrlW8DfQfE/tWhWQHdyNFZ87GXEBC09flR0EWEaCo+mzfqdzyJ017D1fvGQA02/wRgNjjObcf nh3zniOpjXOo5BcRx2/MdI2NmXPsTa9bbJUCUoSP6wOo2lK722Ck1+my9roLRbbuRt3ZlK+Tt7QR dPYfjtUXVDxvvdEYOsfYPqs+SMOM/YA+X9OSHKpqFaAvM6Q62GYFt8+IAUgDo2intWgmuBbDlWO1 udg9ZUUcu9WTKiQEcrPvl9/qxNgMJ+b9VAd69+0caThEreQgcal4VSHvaPTxQNOD6UoSzjmHM2M7 vWwbmhmriQzTj77PCGIZrFOk3LMCS35UxjywxfBFdukxm4AcRub77QOogwJQp7aRdNT7tY6WzY8e pqHutwsaOjWh+BWVTC/MlKA3vH9twMFVNXptZ0giPqTEi23CrmwHUrom/5MlOWuhDhRWIzbCu+vd r5gCwqjR19ABn7HH0GQMImng8vSgVm7h3vSgLrGocV2nLJlnD6nBPuy1XREMSHdvcHE0lUFjRHmy 5BORgyIagKM7xZ3ksk82ELrkquKa7sLTtrDW6P4FTtLHeScnY6fDrfbB8hM3fL/OxQftJjcfv5de ic+sORBLHEAY3JOnquaw4sYV6g8IKvkZ+djCGpOtTmQ36UGXWxeA8xSPepFelx7FokdHixe2PIrH IkTw7Wz2oLmOOrl4wHqZ3PWq57zhsoJNTO+4jFF8TH5rxSQUCWuKJwgyV7R2y1tPRNDI0oJiQhaR ihwo6tZP5+Wmj/0wFcx0xxCazavjNlULY4s6pa20jPXCtdPmWcEeyFhp27oXUjcj1sTEMWkXwiAP Iu4la5MsNCBqu5ErYaEplQj3gIlvTN9bh3U9j4blVyUDuSSwjML5EBPImp5C60Bro8d+Uj7YBb6V ih3VNU7LYtLSpudJ+sL+2f0KTDZsBGjiNzIW04iuYGCrHJ0PW6ThYY2L363ynYtjjPNk8LYnQHXi swpH8Ikhf4r8fRazjoPcKYQ1scCrdH1Jbw816IeuyDCOhH4qBiZNaFvZu4G3V4kzzGSRDzdjiwju 0uuFACoxZp3Zy16fsEZH51zbw+LlhGw8Z4JycIBxDPqADGqJi0NjJuE++Lmu8Ptjn6bZBKqyEJnZ asRASexEPM/HvNEhCISOQ/KMH1kPpVJAhdJ1oixIiWzVeof6eitPZlhkYAta53cxbjlfAgjHYwzw RDkMCC2q/SfcbC1cG5YG8qBXcMhluducJH5LZ3F6/D80+a8kcozOWKixgef5X/9rI4DAksSmLQoT 0CuvixIdfY/wgV62LuDb63Okal6DGUoZ8XB6xSGx26Z7vrYFieVNyIeVj1dH4EpyICztbfZc/LCW CP9mD+DieAVu98kvUr/urt55nF+8AplNDzshiMRZH3xJwuO2ix7E73hY3HU+9q0o1dt7sKJNLTlu 8x5nVOnKgao3O6akKEx+7YOVSMf20V7BPXT8a52pNEVBhNHaJrP8GMpeoGm+/PqrsxODMclxjTPI 9QcpfWVzlBLG1k/PqqXtdgU5n5p5eGZzbdTR9y/xZxb6zU6+adY9XBmf3/37wbevez7wcADCVJME FRuBQE+1jUIIKueM/7rUhnry66qrUntZdGY6OALx2ZF7eTqOVS1Lnu8RQr4uL0xAY+nJRQT/wzFb 0X9dl+Oz28VtKAJEf0M6l85hUte0Z3AkQooGpjkECmfcMFlZhR9O1ybukjtLB3QlAGNE/K3taIq9 XlL0nseSWo2YROxIk5F937hych4rQzpjfQxp/Yb2tUm5S4xuvpMevt0RsKHyQn7TFE9OHVcs1uzM aJpJQjB6CXFYM8bqKGu942dX5BPVzXKydLX+ItZ/6cUEu6F/YMKU7Dhp5qAA+KnUdDvF1XKWZS6z NRUF11AD/uyOd5nqB6o/Yz4db2kWEMXYwes3EvmEs9nMs8Te9QwEphcx6rCjuQtF+MLWHvmzvHHd uQViN0KNcVEggLWJwrb/hibperf+iGykKrhU+2TmgI2vQ7vVJfMdewIvVBBaJfi+BMkxTDr5Df7m Ny0ew4h1v0cyAkfkBhsxYEvB77evWGP3NENfsLOw5es+LgeFJAa0EsYx2o0gh2/gV0wchVIgDfz3 V5WeVZs5bhezBakTlx/+rCoyFKO8R+YjKyn9iiEORjmshmTeIiTD/g4Kv/owPMkjTC251DQEBZG8 iXBQUkdQFYCtY/PNj1RDfHUMdOZgifSPQzkIiag14CaGpi5Q3X9gcxo8PbhjibHMybcYZUASIuZD YJv/szZOZ5K573kRhOh9M+jniCuEuCbl01DSW1gbPVF0UhJN71RFwJokA6c/6icGPFcrRCXp74YG lKUpGTat1XM71SitFBONeFuQeShgyRla/EqlBtqy8NfWHcHRfCm3YJjpMaK6tyj5Qmu+MiQfaocr OLC4muIHFfAyEVydxXuidWAD9ZOh21L+ft0o8y3W766CwHvbPjETSK/4CID/mLzs68C+QBSrYoc4 5ytjJmt4u6ZJLfeZK1ayZ/a1w0pfeXo3w3AYpAPC4+9ngkJDbhiH5G5wGnp57YmZ4/slXDLEZxDu 8cS7KF8i/Aiem2W501P3YkPUzvtolzpPEvECrq4j+JaQY/A4odBxuYe+oFVvFGu+lxqkmCLeMGJd 7svujJnBiLbvH9gEH0ZX76crUFXqPxfVBNieuDwhSRgqZvluLUm8OC7MTYNeutaPX0fmUfqI11Yh Dzgto1gvr2oh1op1nSZ181+KJSTtKSUKkno9FPGw11lmhYDJCnfGDyjL5KUXgklu1w/GMMPfFvQ7 8p0NbSeOKIjiwtWCB/AO7Gs7FkxU5n2yMrHHJC9Iy4sPeHGwmhr1WYZwkpb4F6/t+EYzxwIe5wfI St98gHUpCLD45dlfo+asHXakc7oQxm7DEnShkSbPPCjZEwX4//txz6dStCypheyfY38iKBBf3aHn RBjcuVaG5m/a/KDBak1YBWtCCosry8w55kD1V5pXOkAj8R5RtkHegwUVA6+GTlAgoWVNhGtQIqUh B1Oc2So9/V+SNfP0njNN/96PV/QFpNS3x2y8N7rfUHtWbI/kKV1O6D5L7+Qphmj56991Qb3HqK+I 4foWQrcTd5dQh2+a9E/01Uvp0CK8g7s7yslw/NZYSRJsaVTrrCuF/8B+C3p3LXzC+xISpv1FLZaw Rox90lWMHLXT44agUbJg94L/XKYVpE8KSaq7JYUZywvWoMYNTDkDPC4F1VNg5U3jHte5Z6PHY4DI xhozfWR5Fg2V1NPtlmsXvn8t5wVbcfFnCxVpOEnqKqhtmYy2NChu+oqPM/LxQ9Kl1ai13k7mpf86 1EbJygx8QM7s+qMcIBcaSUjGtNx4rG4El9CSp89u/0NJPF9AmnuaxMrwYu/hNrnYi2A0peE8smjD zhd2jEn9Qb0Y/rbLh2wHQNkdj/5WrRfI4sbtJfKilpzCJX6Nul4FVolqJiLPOzXleu/a+NgzA8x9 WI8OJnQJEfsu3S91+N4IKa1LJ4s9DhZNpSnLeRhzzcrkxxtCnXs8aT4L4qzI+SmqIWzz+IFCcNQA EyrP/Z1Lmxq23DOSSvn3L7Yq7zPjVW8hWfL2cf8dj5F2UGoBvoEupfQJCXfoycbN+9VbL/3V+dZM +GazFNliB7PUW8JK3HaSMoaBMOf0YWeCH+WLS/88CuBypuE+6CjIj90zeybjtPt6P5mqPWpNhWN7 Z1LWg2Bc6Oba7dEnFVY0JUdD5ydUXydsn4Se2lra8v6WsUVJMKb1rx7Mm8EVqAuyuq/jLTTNqtyS ZDnk+/6JxzeNKjvbUWeUgKaGkIL51IfECc/odtzWHxPQR01RhgzCcqoSFw8spVANHB7AsUh0OP/u F/55Mvr+xdRDxNsrhw1tL29nFfuEqwFv/t4E7Aw9we54goN9G6wlz58DDj5X3DLRy5oZr01BAqh4 pOYSmt3EUa0/GXO1Nt02sPwQ+Xkibyz2aM8W9mqxPZCxhjzty7VFbvXYyXXDG5iswRTduyTE9pVu tsN4e0MXz2XC593t5VUg6HKCEDi7yVniuVqxEUQUihr7AYPfK0iJHwcQIejVjKJlkzRtld0XupPh dxmeCOq1jz7dubbJD4HTDapF7B/+Avp36gp/11lQspJ91wFjt6b0h9ekNyULysuefAswxlbNfQZH +40TaDC2jjEZyguuKdu+OuidpsJGDByaVE1EKuSj6d1UM8Q291xuGplJ8Nf1CA4c3vusQyr11r9I lClmZkHpir7w05swG/90RoPiokN0jvu2aJJez9bpC2VJ5FJwjvzCYpoK3aua4vgngh8SUpcUeyrc qbhzU5v8mJS8dySARMg4jzZc0uhIqHsjim/SRNgY8MVTOUQn3dtJY28//nJAbgLbl54WeBD5qddz nAPwG7awc5xAwyRlXyMcKYTOOwmDt4T5hn4xnkDrGVy1+uXWGqQPk4MWOCGHCcWUTvCyZIRBYmH3 e3xyenUlgdfFnlNkITruxjxSjprpvUQ/YWCOHxy3Iw6WDaByS0vFxO43pg8SMYjOwsB977pEPWF1 yVTgbNJrkrlFHCzLqahd6jNVFlnZ0aJ/PC3WIGhBdEqK87/kSq0er1LT5aMgrqJU5uUAmK8DgeEO jbV/Y90VKnuvFfGsOEsCUtUID6AtQA5F73lkaK9ngtMReTxD2nNETCdW0r+40kHVhuo/UXHTmU6v ztAQYZPyoN3qoiAuVLV2bFvVUUhVVThE/rKfS0WsP9comWyhesWh3sCvNWUS13LGmQksw1vvL+u0 fri7Y1M0nnKFkR4CPWaLnI0rc+6p1xAjYfiTJc2h9Uq0xQB3MHwFiSImIKGOWuIrEWLR3en9jLy9 3sQOT3BsMsN90+W7rtsgGFjg/asynx8AUOrpNnOr7EfTob3eAuFOgd8TUx+O0rUljiApnmVQbwXS YJQ1XrZrg0GZ1Ble8uxGX8p5qoWJXgpPT2Ka38U+tBGnPiJ805Gx+V/yczHy/8H9L+xBCLds26pP bVf4IebnNi5KDYiqzvw/HKoR+2At4ugFZSOghybHzhusEUcS+MKN3vKQSOZoLhSO0b0V7n3rF+HV 9hUAYEhkC3QestnZFsURDFyv4GSTMb83sBccY/vfobcKrWyz/kCi2uE1hhQ6msXxRHWxNmQKvEPW ep+ZZVvGXgIJPoCeoa5D7LQQX3Iqx/Z6hY9xs3Nbhjx8nbwcSidLZW7Cpk5nd6HNByOzd25324NJ RvyNFilyugHlx/NzGQpLS4/2p1v5/PHG705kS4zHLRMmJikmkaTTSE61QyMpBj58hi75gclSf8b2 /WIN3kTZBfvfMBkqAvuBISxo8MDr9q+wrSCNe4h1mEVe4aGibMfrt49bSknsOv/FDS/pAXOTy744 PnCc/VI4zWbSHrjj2225CpeU/GYgBtd98POLoIC90Tqx4mXTIzRenub8oc+QfyNFQQhBVQJQN/I2 ZLDhw3/LXD7z/F6pwT+ATsquZ89hsRdehnEGj1Kr2V027H+2uBnEtMvvCJS9Fp6v7n0O2AIKdkDq kebFWmGVa4SiemJAWKhlUCCnhhWqFZhGyaCmanc08yDwK1cvJx7SXF7eF1zdhJ9dGoDUFtNfVWf0 bNl2SFHSExIFX5EY48vkAJF2CrFRLJHt7AR7xz6/vNtsXqAOB6ZSxala68tdgobLbMjCAS5E6uGF hjIbjYnCV2InzWdjW534JNc5UOoB+81aRN1w+/p61nWZzUvnJwSD0Tfo1aM1kEngcmB8RftTHKT1 OW3C5sD5PP27AjXkw1xp/C4+DZWN1K/mYQ5GDhnUgoj6paX4WSHAR1VRkJRS/EPSfjzHtamFqKFt tVDC3SNDMPoiqOuM9wNppV7NXjyAa9xUvhefL46IhJ+IAUIsnTPR0xrQOXwhFl04pLtmXcK6Mxwy sArrTrUDF0lHbwcKkLUMjP0JYV0ntZHzFO/dt+0t7a/EPUpE/wnYZMbHOBdQ1dx8sLetDHKSsSo7 lQwRSpZHQ1BVXHB5gBGFHRdH0e46LKrsoDCBmkEZBzI6siFZFXDYCy7KqMfGmb839LapInp6Dh6e 8+GwjJNKYmvQCp9gXeT/RMDrpMJS4kQ3kVRoCl5VAnrwsMnu46LiXT4pAnjWs9eNa6YrNeSMVHak PGzXJXoHtDo3e4LjytwvX/mUniaxyK8Xa31FIha7Dkjk//RcHtdyTBl+b2dwtmB6X2q+YTfVCHiM LqgR0O507sj05bpN7M+chNLV043VMxi5twDkROFAKsJpYnVPJH5vuyTcsgoNLq0rjveQJnulZezw Swdo/rpCkLOLTh6jNQlZ/1eCUs5kdjwxTVBBObTsbDtIahKb4fYKelr4GZWTEM3/w5Cx3SRKlecd 0vr4tvV0Lt5jOEGm3+011+MFF96VCyTZ+FvHLk0+Ss/30ewxnNmhEIABOrc+63VJaFvsgA4eDJ+/ Fhw2Od1azdJlm/oqNZX6e1E4Y9oL74dId+JbDBmeD8TmHQsvcneMEkZXaXjMz2j4VkTk93UUHoMW z00gBf/tomxlTyF5orl0mgWO7hnCoxpqjk1m9LYG0+DoAJyyORyfqsQh2YR+UiACppkQKOdEoPxx ahAfga1B6MwYWNuuyFJ1Ap8hJsWuKmd/lzN5a8L9qcpwsfcLSWXJkfAzrGjMa0IpyidUKLwI4Fxu qVuyZbdJzaEJnOcb3n/BuepMUdYEWBU+PA4aYynNYFMDdhX5q0gbUq3p0a5+nLW5BbkkSseccYnM qrMJ59o5TRcrHF2vkenlZP5jiM6/pnlosq4tN2+UQumYdpQzlCh5+gTTX0jkr1pxp6UAMxbK/oZV szmQjSOtFjmFLJauUfMKLRFBHshTwkET2CO0TvEXV07aHorR02hbKxn7UKgL1aDJtKQj1PjZej5I hpx/RTZLxKFMvkiYdRTGj4eragM0ojr4jA+bzJF78WvP+sNqigho/9bMyeqdN5N0P2PBSLkRtX3U ti5xx4apMd4nPU3v28fKVZHC9xl/a+uYEEmiIa8QSo245ntarnxt0AJPcUb8YkurcEd143Qy5N1a CHgYCb5aHBObir8Fb4Gkof53dwxZoCQZboddplG37dgPhL5sZHu28/YxeBiDk81cbytTul4aUSTI J94KETG5eSBE6SiwC34ZnYRR7xXAyG4aCoS0IK6PejgLJtL7oWF0XXheR2hleCAnC7xMl7ztPZmY 2MoBA4us+2TveDjWbsaQSScAsY9a3wqwDJWonSH8MNaAS80gb9H1zzm09BehT9iE4advP/U2F19x Fg2zDA1tBRrlHpChfE3pPvebRKn4a6XGJ6dah50jrssCJn13mrwsPMpq+JWAXf6Frwts+ePg1zjG G8ePwbaEht6Gu+tmnmzE2acOdn54UgYn/AHa8zkACoMgjDrIuPJOc5iooCZtSVSQPAbjqKIcy9AK iztE7YzM9yVcaP5T9pUmDGt6TKQFcMFNuLCem+pMHmjIgLc33L2IdpVfdmW+Y+19dXwP1/TNfisC jDUO87wRJixQs0y6fX4MGckI/ycktKfVoLpZaUZInI1RRUFNmpFe1hJZIcl/gQ8eyuy1OX1SCJFi hMLqknDA3W+IaPFrpYRfmPIXOnewiDen0hHtzR6aM/yorM8dHPKBRIaunCSaq78Q1QTNythOFeoO Iw+FIwJQYs+MrY6N4kTattIyqAZkz/Va3CKojpwpWT+dRA2CGyWTQX4P0GjBLGWeVIAxRjEx3imv vvriT1isB7vJFzFa7VWLb3P8UgMApesEivTZ63O0PPMe5THGjwGB7WeXKO7wlgGkob9g+M5jIfQU O6L40IQmZZLYgUpUA6k= `protect end_protected
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_handshaking_flags.vhd
9
12378
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p++H8UTIHRXpYSYI1rU7PqyhGL0KMoydSADa5Bbz2gJkaN9MvOuIjWN9HNC1KatakIjiGReJcmGA R/QBq44Fzw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bWWNc+euRogK0DvyjBti3b2VBADYJW8EYLYMFeNTbtjLiTQEfTgIrfj9ciYuigIqo+Hg8BZzo7y0 lydzyDHVw4PHjeqCEc369godTbcpBUVeM+SCs6FVAFli3RdB2nmKKGdkY9iVVOa69ZHABaWKt1fU wLETK9p8HRHOC7CHLOY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xsmLjAhIGSJnZAMyETMGArWuExk97xWNfdh1NfvgQ7dal0E5Fb9LFSozwzOfXYO1KZOPCUuVOn63 F8qwVp7OTPi/wkTflzKDNerc/Z0LC3D9WhnsdDLU/DcUb51nm3C6Q/KI0OU59L4XELkGLkMWPvbq gQG8YSDui7IAvNXdcauG+zmADOn9hQFgbhuLU0anWgDw/4kpukTEwLJRZV6a9ZLKiRJIoOZnTJhY NjB/D0u5EJhbjGPgYJeFlfWKWCpIPvzWSRdbz7NQQfSSfri7p/0tq05m+xQd/ZBK8lZ3JHZf2TTt ffvaa8z3MgP8f0+RwHeYsaq7/SRgA40+yRR4sg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dhevUYVySNOTTUVZHUok1dtOHu6N7DhiHWYK5TF36iRHX7qEhYBz7w9DDGEqDc9bNELYwIVc7TDF upH/omVHDJON4LCA1xVstY5Yv8g4jmc3HPjuSdVmeqOybMPdmqJne7zmQofOGcSCQx0xuMOfYXqZ sc33bQKjQm8cNs1HkyU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qZLljhSBxBDL2S+1dSFIjFmOjd4ABgKzwXc7fEZwbRjFDIADjDmnT5KK+dr01CCIuhFJVHnfjfMf jPam3r0XEILhmzRLZ8A2Y0Ryxz9GPhSJnPhhGZwtJyWoStGMjt68nNlbDDV2otdTgLyD1drEbCYY tnRpSpGMAr3fMBp6NJg1JlTQ8aDiU08ZAaszquq9HUMUDuRb0Zr3TRBMvb+yEttNOtBZh6A+HDoI DYBrgH0X2ddTx1sIY/HxTCyP8lF0HdcocINQU1ab5BbbuJ2q2ZmKEyn+iGk16S/+IwfJpqR2HHUS BxmQeqIEdyQcKYOQRntZsfsGoFZLcSfTN6flPQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7424) `protect data_block yVVrWd38RQI8nUIX5BrpsDN6GMPzZEJDUDjlCUxtvuQcDF+Ui+RGMaVw3pOL69WE6o7CMuwbhrbq FgHnOzuZrMcxbPOBHi8m6tRexNruSFSvVLwCTGpg5GudIEQ/nUUdt5Td1bCB0AcjwxBn94hOUGZL pGT5YFkWZTTBfJeK/l3oBp632Zz4os8CnWdUwKXiw5tQZrbwX5yWOotggElvlRf1FJg+0n8cKakQ VRt/fq1JlTUxoZQwv4CeJkVQP1uvutJNJSxWalQ+ANeCJkgcMlkduMhOhPlWrcLLiKBA/PjkEnoe tMq1wVQB1+lBdTaXhaq6qR+HwFJxPMQDiovhPMurMwxPnObqAfMiA6eACsMOqZH26/36drbSTG9m I2APVNusOt4SqQHi6ARXPBeX/WE+l7GwJQ+0FtxtHt+almCYrFDAvA8irdBfetvmPThARuzTx5Yb rKjpmbeheA7zsYeP09GkvbRJBODnHX1tIUN6BewvcXNuVD6vu87X26UnAoAXZSjHU7GfpOxCg/7y UnRajbX5UcSB90ATbk1+0yZjRD2j3bDSvlvTnzRQZKy/tPaGvfMEz9T91eh6584xFud6AsfrhYcZ FPAelgepbGVCV3jS8HiCrIssNukpuZxrGmO3fAUbY3SJFZWQopSmwPdA1v+gbFb26f947IlRpIa1 tqPGwSn51qOw9SwAovajs9UcnKKYdi+JpVgE90iRuCw7nmTqJ26sHI3ju4EgRjPnUfUQZZ812QLL B4EKo2W56lInhBSj695lXpIxuclKhaUnk9FkwhD7Hrz4rN2QIFgModcnF+zRIlTdNADP9etBsRp6 rwm/fRDzi0UhXK9YA1MKpKs1Ad1YXKfX+FTAz4JbiwtRtq00rvJ6hADQFFU8JkIxlMdKBfJjGW4O F4HYM88mUOqB1c0kP26hxrzWUWQ/n7VefsFhTfU/VZ0erAMmq+WMixZk2i0klAnHz8hIIOAuoiZl 06ps2aeyKj8rqd3eQmNUPyuvLTcPpzmHhncoldV6ZbcmCRFJjM5sodvtdl/kRzrbwS1CoB98wqfn /JlHsorO9/hx7R5ctNs0/l0gtcLktpXAfjrnj+O9sp1OEFmPE+pkrlOmqy4Oy7Vpq7LQYtDTuhJd 8Uhxm9C1h6sdlOy9kPqctkqbvYAGp8zc2S4DazVxs2l/deKk7ELG6beXsaUQXK2D5dZxGfeWeZhU Lh6dAF6qva/UtNYqg6riM5fQRdu3LvwhVIfHKgQAq2pS0i+xclkDNSkE7MqeWVG1haDBBCZF+dY7 UjMSBRBTfOV51SAtsxgwC5x1IuYqK9mZDOIftWOtNcRCC6GBDa10myPh8dpOeXgizGnLb9kOm0UX BcPFAD1/7EqwHSWi6/BVDo0fzJ0E0MmsalslUS4vl5wj82plA6yLFwjiAo0NU1Lu4HeJmuQETqs0 Cu3kY1D8iC2xO24X0ustOYhE7Bbk3UxtfF5NrGLqIrqbAlbV9C7qc7ZYjOYsKZQVxzIrHjcrogck CPiOptGWLjFBOHeedcezlA44QjlJujJ547JArUBs+CunqglcvFxiQ+hLLKBXx6L3rT1ij5fALej0 bqJyG4Dahv/hwY8JbbeTeeaQssWxRjWWHk36neaTywuHvKq9kU/sfbXZU/gBM1KJ4weiF4Rb+Qki 2x+8Y4QiCymWDvCA5SMwaZCwPPn1KJX9yWJbsVm2IlDVwE55kWECTWguMEu4kr/w48ne75eQMjhG E6KFksQNWI9Yo4R/68quZ8fM1apco5Y6WLD1jXYOusvs5pMpGSHFHtIxX3vZl0ugaoGe4BU4kEc4 tef1j9nFt4bO276k9XO4soREOryM9GlLtNK2PRI7aMykUryrhj+K4iomq7++nFRoNXPNlg4+3KH4 a7BxsK561XrgS400Tx8lgTpl3FP22pYZOC3Nf7w2MnAxiHmV/4K4czsdNdZxs7Pk1qEZr9rVZVgk yrCfpBIKrMjSaztlCI2Op8ird0wKVyFDGzy0wWTX2FZNIXnFBrr5cyBC8Meu/ZDK52uNUGwvT3MO ev8wrlW8DfQfE/tWhWQHdyNFZ87GXEBC09flR0EWEaCo+mzfqdzyJ017D1fvGQA02/wRgNjjObcf nh3zniOpjXOo5BcRx2/MdI2NmXPsTa9bbJUCUoSP6wOo2lK722Ck1+my9roLRbbuRt3ZlK+Tt7QR dPYfjtUXVDxvvdEYOsfYPqs+SMOM/YA+X9OSHKpqFaAvM6Q62GYFt8+IAUgDo2intWgmuBbDlWO1 udg9ZUUcu9WTKiQEcrPvl9/qxNgMJ+b9VAd69+0caThEreQgcal4VSHvaPTxQNOD6UoSzjmHM2M7 vWwbmhmriQzTj77PCGIZrFOk3LMCS35UxjywxfBFdukxm4AcRub77QOogwJQp7aRdNT7tY6WzY8e pqHutwsaOjWh+BWVTC/MlKA3vH9twMFVNXptZ0giPqTEi23CrmwHUrom/5MlOWuhDhRWIzbCu+vd r5gCwqjR19ABn7HH0GQMImng8vSgVm7h3vSgLrGocV2nLJlnD6nBPuy1XREMSHdvcHE0lUFjRHmy 5BORgyIagKM7xZ3ksk82ELrkquKa7sLTtrDW6P4FTtLHeScnY6fDrfbB8hM3fL/OxQftJjcfv5de ic+sORBLHEAY3JOnquaw4sYV6g8IKvkZ+djCGpOtTmQ36UGXWxeA8xSPepFelx7FokdHixe2PIrH IkTw7Wz2oLmOOrl4wHqZ3PWq57zhsoJNTO+4jFF8TH5rxSQUCWuKJwgyV7R2y1tPRNDI0oJiQhaR ihwo6tZP5+Wmj/0wFcx0xxCazavjNlULY4s6pa20jPXCtdPmWcEeyFhp27oXUjcj1sTEMWkXwiAP Iu4la5MsNCBqu5ErYaEplQj3gIlvTN9bh3U9j4blVyUDuSSwjML5EBPImp5C60Bro8d+Uj7YBb6V ih3VNU7LYtLSpudJ+sL+2f0KTDZsBGjiNzIW04iuYGCrHJ0PW6ThYY2L363ynYtjjPNk8LYnQHXi swpH8Ikhf4r8fRazjoPcKYQ1scCrdH1Jbw816IeuyDCOhH4qBiZNaFvZu4G3V4kzzGSRDzdjiwju 0uuFACoxZp3Zy16fsEZH51zbw+LlhGw8Z4JycIBxDPqADGqJi0NjJuE++Lmu8Ptjn6bZBKqyEJnZ asRASexEPM/HvNEhCISOQ/KMH1kPpVJAhdJ1oixIiWzVeof6eitPZlhkYAta53cxbjlfAgjHYwzw RDkMCC2q/SfcbC1cG5YG8qBXcMhluducJH5LZ3F6/D80+a8kcozOWKixgef5X/9rI4DAksSmLQoT 0CuvixIdfY/wgV62LuDb63Okal6DGUoZ8XB6xSGx26Z7vrYFieVNyIeVj1dH4EpyICztbfZc/LCW CP9mD+DieAVu98kvUr/urt55nF+8AplNDzshiMRZH3xJwuO2ix7E73hY3HU+9q0o1dt7sKJNLTlu 8x5nVOnKgao3O6akKEx+7YOVSMf20V7BPXT8a52pNEVBhNHaJrP8GMpeoGm+/PqrsxODMclxjTPI 9QcpfWVzlBLG1k/PqqXtdgU5n5p5eGZzbdTR9y/xZxb6zU6+adY9XBmf3/37wbevez7wcADCVJME FRuBQE+1jUIIKueM/7rUhnry66qrUntZdGY6OALx2ZF7eTqOVS1Lnu8RQr4uL0xAY+nJRQT/wzFb 0X9dl+Oz28VtKAJEf0M6l85hUte0Z3AkQooGpjkECmfcMFlZhR9O1ybukjtLB3QlAGNE/K3taIq9 XlL0nseSWo2YROxIk5F937hych4rQzpjfQxp/Yb2tUm5S4xuvpMevt0RsKHyQn7TFE9OHVcs1uzM aJpJQjB6CXFYM8bqKGu942dX5BPVzXKydLX+ItZ/6cUEu6F/YMKU7Dhp5qAA+KnUdDvF1XKWZS6z NRUF11AD/uyOd5nqB6o/Yz4db2kWEMXYwes3EvmEs9nMs8Te9QwEphcx6rCjuQtF+MLWHvmzvHHd uQViN0KNcVEggLWJwrb/hibperf+iGykKrhU+2TmgI2vQ7vVJfMdewIvVBBaJfi+BMkxTDr5Df7m Ny0ew4h1v0cyAkfkBhsxYEvB77evWGP3NENfsLOw5es+LgeFJAa0EsYx2o0gh2/gV0wchVIgDfz3 V5WeVZs5bhezBakTlx/+rCoyFKO8R+YjKyn9iiEORjmshmTeIiTD/g4Kv/owPMkjTC251DQEBZG8 iXBQUkdQFYCtY/PNj1RDfHUMdOZgifSPQzkIiag14CaGpi5Q3X9gcxo8PbhjibHMybcYZUASIuZD YJv/szZOZ5K573kRhOh9M+jniCuEuCbl01DSW1gbPVF0UhJN71RFwJokA6c/6icGPFcrRCXp74YG lKUpGTat1XM71SitFBONeFuQeShgyRla/EqlBtqy8NfWHcHRfCm3YJjpMaK6tyj5Qmu+MiQfaocr OLC4muIHFfAyEVydxXuidWAD9ZOh21L+ft0o8y3W766CwHvbPjETSK/4CID/mLzs68C+QBSrYoc4 5ytjJmt4u6ZJLfeZK1ayZ/a1w0pfeXo3w3AYpAPC4+9ngkJDbhiH5G5wGnp57YmZ4/slXDLEZxDu 8cS7KF8i/Aiem2W501P3YkPUzvtolzpPEvECrq4j+JaQY/A4odBxuYe+oFVvFGu+lxqkmCLeMGJd 7svujJnBiLbvH9gEH0ZX76crUFXqPxfVBNieuDwhSRgqZvluLUm8OC7MTYNeutaPX0fmUfqI11Yh Dzgto1gvr2oh1op1nSZ181+KJSTtKSUKkno9FPGw11lmhYDJCnfGDyjL5KUXgklu1w/GMMPfFvQ7 8p0NbSeOKIjiwtWCB/AO7Gs7FkxU5n2yMrHHJC9Iy4sPeHGwmhr1WYZwkpb4F6/t+EYzxwIe5wfI St98gHUpCLD45dlfo+asHXakc7oQxm7DEnShkSbPPCjZEwX4//txz6dStCypheyfY38iKBBf3aHn RBjcuVaG5m/a/KDBak1YBWtCCosry8w55kD1V5pXOkAj8R5RtkHegwUVA6+GTlAgoWVNhGtQIqUh B1Oc2So9/V+SNfP0njNN/96PV/QFpNS3x2y8N7rfUHtWbI/kKV1O6D5L7+Qphmj56991Qb3HqK+I 4foWQrcTd5dQh2+a9E/01Uvp0CK8g7s7yslw/NZYSRJsaVTrrCuF/8B+C3p3LXzC+xISpv1FLZaw Rox90lWMHLXT44agUbJg94L/XKYVpE8KSaq7JYUZywvWoMYNTDkDPC4F1VNg5U3jHte5Z6PHY4DI xhozfWR5Fg2V1NPtlmsXvn8t5wVbcfFnCxVpOEnqKqhtmYy2NChu+oqPM/LxQ9Kl1ai13k7mpf86 1EbJygx8QM7s+qMcIBcaSUjGtNx4rG4El9CSp89u/0NJPF9AmnuaxMrwYu/hNrnYi2A0peE8smjD zhd2jEn9Qb0Y/rbLh2wHQNkdj/5WrRfI4sbtJfKilpzCJX6Nul4FVolqJiLPOzXleu/a+NgzA8x9 WI8OJnQJEfsu3S91+N4IKa1LJ4s9DhZNpSnLeRhzzcrkxxtCnXs8aT4L4qzI+SmqIWzz+IFCcNQA EyrP/Z1Lmxq23DOSSvn3L7Yq7zPjVW8hWfL2cf8dj5F2UGoBvoEupfQJCXfoycbN+9VbL/3V+dZM +GazFNliB7PUW8JK3HaSMoaBMOf0YWeCH+WLS/88CuBypuE+6CjIj90zeybjtPt6P5mqPWpNhWN7 Z1LWg2Bc6Oba7dEnFVY0JUdD5ydUXydsn4Se2lra8v6WsUVJMKb1rx7Mm8EVqAuyuq/jLTTNqtyS ZDnk+/6JxzeNKjvbUWeUgKaGkIL51IfECc/odtzWHxPQR01RhgzCcqoSFw8spVANHB7AsUh0OP/u F/55Mvr+xdRDxNsrhw1tL29nFfuEqwFv/t4E7Aw9we54goN9G6wlz58DDj5X3DLRy5oZr01BAqh4 pOYSmt3EUa0/GXO1Nt02sPwQ+Xkibyz2aM8W9mqxPZCxhjzty7VFbvXYyXXDG5iswRTduyTE9pVu tsN4e0MXz2XC593t5VUg6HKCEDi7yVniuVqxEUQUihr7AYPfK0iJHwcQIejVjKJlkzRtld0XupPh dxmeCOq1jz7dubbJD4HTDapF7B/+Avp36gp/11lQspJ91wFjt6b0h9ekNyULysuefAswxlbNfQZH +40TaDC2jjEZyguuKdu+OuidpsJGDByaVE1EKuSj6d1UM8Q291xuGplJ8Nf1CA4c3vusQyr11r9I lClmZkHpir7w05swG/90RoPiokN0jvu2aJJez9bpC2VJ5FJwjvzCYpoK3aua4vgngh8SUpcUeyrc qbhzU5v8mJS8dySARMg4jzZc0uhIqHsjim/SRNgY8MVTOUQn3dtJY28//nJAbgLbl54WeBD5qddz nAPwG7awc5xAwyRlXyMcKYTOOwmDt4T5hn4xnkDrGVy1+uXWGqQPk4MWOCGHCcWUTvCyZIRBYmH3 e3xyenUlgdfFnlNkITruxjxSjprpvUQ/YWCOHxy3Iw6WDaByS0vFxO43pg8SMYjOwsB977pEPWF1 yVTgbNJrkrlFHCzLqahd6jNVFlnZ0aJ/PC3WIGhBdEqK87/kSq0er1LT5aMgrqJU5uUAmK8DgeEO jbV/Y90VKnuvFfGsOEsCUtUID6AtQA5F73lkaK9ngtMReTxD2nNETCdW0r+40kHVhuo/UXHTmU6v ztAQYZPyoN3qoiAuVLV2bFvVUUhVVThE/rKfS0WsP9comWyhesWh3sCvNWUS13LGmQksw1vvL+u0 fri7Y1M0nnKFkR4CPWaLnI0rc+6p1xAjYfiTJc2h9Uq0xQB3MHwFiSImIKGOWuIrEWLR3en9jLy9 3sQOT3BsMsN90+W7rtsgGFjg/asynx8AUOrpNnOr7EfTob3eAuFOgd8TUx+O0rUljiApnmVQbwXS YJQ1XrZrg0GZ1Ble8uxGX8p5qoWJXgpPT2Ka38U+tBGnPiJ805Gx+V/yczHy/8H9L+xBCLds26pP bVf4IebnNi5KDYiqzvw/HKoR+2At4ugFZSOghybHzhusEUcS+MKN3vKQSOZoLhSO0b0V7n3rF+HV 9hUAYEhkC3QestnZFsURDFyv4GSTMb83sBccY/vfobcKrWyz/kCi2uE1hhQ6msXxRHWxNmQKvEPW ep+ZZVvGXgIJPoCeoa5D7LQQX3Iqx/Z6hY9xs3Nbhjx8nbwcSidLZW7Cpk5nd6HNByOzd25324NJ RvyNFilyugHlx/NzGQpLS4/2p1v5/PHG705kS4zHLRMmJikmkaTTSE61QyMpBj58hi75gclSf8b2 /WIN3kTZBfvfMBkqAvuBISxo8MDr9q+wrSCNe4h1mEVe4aGibMfrt49bSknsOv/FDS/pAXOTy744 PnCc/VI4zWbSHrjj2225CpeU/GYgBtd98POLoIC90Tqx4mXTIzRenub8oc+QfyNFQQhBVQJQN/I2 ZLDhw3/LXD7z/F6pwT+ATsquZ89hsRdehnEGj1Kr2V027H+2uBnEtMvvCJS9Fp6v7n0O2AIKdkDq kebFWmGVa4SiemJAWKhlUCCnhhWqFZhGyaCmanc08yDwK1cvJx7SXF7eF1zdhJ9dGoDUFtNfVWf0 bNl2SFHSExIFX5EY48vkAJF2CrFRLJHt7AR7xz6/vNtsXqAOB6ZSxala68tdgobLbMjCAS5E6uGF hjIbjYnCV2InzWdjW534JNc5UOoB+81aRN1w+/p61nWZzUvnJwSD0Tfo1aM1kEngcmB8RftTHKT1 OW3C5sD5PP27AjXkw1xp/C4+DZWN1K/mYQ5GDhnUgoj6paX4WSHAR1VRkJRS/EPSfjzHtamFqKFt tVDC3SNDMPoiqOuM9wNppV7NXjyAa9xUvhefL46IhJ+IAUIsnTPR0xrQOXwhFl04pLtmXcK6Mxwy sArrTrUDF0lHbwcKkLUMjP0JYV0ntZHzFO/dt+0t7a/EPUpE/wnYZMbHOBdQ1dx8sLetDHKSsSo7 lQwRSpZHQ1BVXHB5gBGFHRdH0e46LKrsoDCBmkEZBzI6siFZFXDYCy7KqMfGmb839LapInp6Dh6e 8+GwjJNKYmvQCp9gXeT/RMDrpMJS4kQ3kVRoCl5VAnrwsMnu46LiXT4pAnjWs9eNa6YrNeSMVHak PGzXJXoHtDo3e4LjytwvX/mUniaxyK8Xa31FIha7Dkjk//RcHtdyTBl+b2dwtmB6X2q+YTfVCHiM LqgR0O507sj05bpN7M+chNLV043VMxi5twDkROFAKsJpYnVPJH5vuyTcsgoNLq0rjveQJnulZezw Swdo/rpCkLOLTh6jNQlZ/1eCUs5kdjwxTVBBObTsbDtIahKb4fYKelr4GZWTEM3/w5Cx3SRKlecd 0vr4tvV0Lt5jOEGm3+011+MFF96VCyTZ+FvHLk0+Ss/30ewxnNmhEIABOrc+63VJaFvsgA4eDJ+/ Fhw2Od1azdJlm/oqNZX6e1E4Y9oL74dId+JbDBmeD8TmHQsvcneMEkZXaXjMz2j4VkTk93UUHoMW z00gBf/tomxlTyF5orl0mgWO7hnCoxpqjk1m9LYG0+DoAJyyORyfqsQh2YR+UiACppkQKOdEoPxx ahAfga1B6MwYWNuuyFJ1Ap8hJsWuKmd/lzN5a8L9qcpwsfcLSWXJkfAzrGjMa0IpyidUKLwI4Fxu qVuyZbdJzaEJnOcb3n/BuepMUdYEWBU+PA4aYynNYFMDdhX5q0gbUq3p0a5+nLW5BbkkSseccYnM qrMJ59o5TRcrHF2vkenlZP5jiM6/pnlosq4tN2+UQumYdpQzlCh5+gTTX0jkr1pxp6UAMxbK/oZV szmQjSOtFjmFLJauUfMKLRFBHshTwkET2CO0TvEXV07aHorR02hbKxn7UKgL1aDJtKQj1PjZej5I hpx/RTZLxKFMvkiYdRTGj4eragM0ojr4jA+bzJF78WvP+sNqigho/9bMyeqdN5N0P2PBSLkRtX3U ti5xx4apMd4nPU3v28fKVZHC9xl/a+uYEEmiIa8QSo245ntarnxt0AJPcUb8YkurcEd143Qy5N1a CHgYCb5aHBObir8Fb4Gkof53dwxZoCQZboddplG37dgPhL5sZHu28/YxeBiDk81cbytTul4aUSTI J94KETG5eSBE6SiwC34ZnYRR7xXAyG4aCoS0IK6PejgLJtL7oWF0XXheR2hleCAnC7xMl7ztPZmY 2MoBA4us+2TveDjWbsaQSScAsY9a3wqwDJWonSH8MNaAS80gb9H1zzm09BehT9iE4advP/U2F19x Fg2zDA1tBRrlHpChfE3pPvebRKn4a6XGJ6dah50jrssCJn13mrwsPMpq+JWAXf6Frwts+ePg1zjG G8ePwbaEht6Gu+tmnmzE2acOdn54UgYn/AHa8zkACoMgjDrIuPJOc5iooCZtSVSQPAbjqKIcy9AK iztE7YzM9yVcaP5T9pUmDGt6TKQFcMFNuLCem+pMHmjIgLc33L2IdpVfdmW+Y+19dXwP1/TNfisC jDUO87wRJixQs0y6fX4MGckI/ycktKfVoLpZaUZInI1RRUFNmpFe1hJZIcl/gQ8eyuy1OX1SCJFi hMLqknDA3W+IaPFrpYRfmPIXOnewiDen0hHtzR6aM/yorM8dHPKBRIaunCSaq78Q1QTNythOFeoO Iw+FIwJQYs+MrY6N4kTattIyqAZkz/Va3CKojpwpWT+dRA2CGyWTQX4P0GjBLGWeVIAxRjEx3imv vvriT1isB7vJFzFa7VWLb3P8UgMApesEivTZ63O0PPMe5THGjwGB7WeXKO7wlgGkob9g+M5jIfQU O6L40IQmZZLYgUpUA6k= `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00326.vhd
1
2849
-- NEED RESULT: *** An assertion with Report ARCH00326: An assertion with complex string expressions passed and severity of Note should follow -- NEED RESULT: ARCH00326: An assertion with complex string expressions passed -- NEED RESULT: *** An assertion with Report ARCH00326: An assertion with complex string expressions passed and severity of Note should follow -- NEED RESULT: ARCH00326: An assertion with complex string expressions passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00326 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.4 (6) -- -- DESIGN UNIT ORDERING: -- -- ENT00326(ARCH00326) -- ENT00326_Test_Bench(ARCH00326_Test_Bench) -- -- REVISION HISTORY: -- -- 26-JUN-1987 - initial revision -- -- NOTES: -- -- Check that assertion messages match comment messages in output -- use WORK.STANDARD_TYPES.all ; entity ENT00326 is generic ( Lowb : Integer := 1 ; Highb : Integer := 12 ) ; port ( msg1 : in string ; msg2 : in string ) ; constant c_msg : string ( 1 to 12 ) := "passedfailed" ; end ENT00326 ; architecture ARCH00326 of ENT00326 is subtype sm_string is string ( Lowb to Highb ) ; constant cc_msg : sm_string := "failedpassed" ; signal Dummy : Boolean := false; begin p1 : process ( Dummy ) begin print ( "*** An assertion with Report " & msg1 & msg2 & c_msg(1 to 6) & " and severity of Note should follow" ) ; end process p1 ; assert Dummy report msg1 & msg2 & c_msg(1 to 6) severity NOTE ; p2 : process ( Dummy ) begin print ( "*** An assertion with Report " & msg1 & msg2 & cc_msg(7 to Highb) & " and severity of Note should follow" ) ; end process p2 ; assert Dummy report msg1 & msg2 & cc_msg(7 to HighB) severity NOTE ; end ARCH00326 ; entity ENT00326_Test_Bench is end ENT00326_Test_Bench ; architecture ARCH00326_Test_Bench of ENT00326_Test_Bench is begin L1: block subtype p1st is string (1 to 11) ; signal p1 : p1st := "ARCH00326: " ; subtype p2st is string (1 to 45) ; signal p2 : p2st := "An assertion with complex string expressions " ; component UUT generic ( Lowb : Integer ; Highb : Integer ) ; port ( msg1 : in string ; msg2 : in string ) ; end component ; for CIS1 : UUT use entity WORK.ENT00326 ( ARCH00326 ) ; begin CIS1 : UUT generic map ( 1, 12 ) port map ( p1, p2 ) ; end block L1 ; end ARCH00326_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00033.vhd
1
24181
-- NEED RESULT: ARCH00033.P1: Target of a variable assignment may be a aggregate of indexed names passed -- NEED RESULT: ARCH00033.P2: Target of a variable assignment may be a aggregate of indexed names passed -- NEED RESULT: ARCH00033.P3: Target of a variable assignment may be a aggregate of indexed names passed -- NEED RESULT: ARCH00033.P4: Target of a variable assignment may be a aggregate of indexed names passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00033 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.4 (1) -- 8.4 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00033) -- ENT00033_Test_Bench(ARCH00033_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUN-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00033 of E00000 is signal Dummy : Boolean := false ; -- begin P1 : process ( Dummy ) type arr_boolean is array (integer range -1 downto - 3 ) of boolean ; type arr_bit is array (integer range -1 downto - 3 ) of bit ; type arr_severity_level is array (integer range -1 downto - 3 ) of severity_level ; type arr_character is array (integer range -1 downto - 3 ) of character ; type arr_st_enum1 is array (integer range -1 downto - 3 ) of st_enum1 ; type arr_integer is array (integer range -1 downto - 3 ) of integer ; type arr_st_int1 is array (integer range -1 downto - 3 ) of st_int1 ; type arr_time is array (integer range -1 downto - 3 ) of time ; type arr_st_phys1 is array (integer range -1 downto - 3 ) of st_phys1 ; type arr_real is array (integer range -1 downto - 3 ) of real ; type arr_st_real1 is array (integer range -1 downto - 3 ) of st_real1 ; type arr_st_rec1 is array (integer range -1 downto - 3 ) of st_rec1 ; type arr_st_rec2 is array (integer range -1 downto - 3 ) of st_rec2 ; type arr_st_rec3 is array (integer range -1 downto - 3 ) of st_rec3 ; type arr_st_arr1 is array (integer range -1 downto - 3 ) of st_arr1 ; type arr_st_arr2 is array (integer range -1 downto - 3 ) of st_arr2 ; type arr_st_arr3 is array (integer range -1 downto - 3 ) of st_arr3 ; -- variable v_st_rec3_1 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_1 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_1 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_1 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_2 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_2 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_2 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_2 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_3 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_3 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_3 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_3 : st_arr3 := c_st_arr3_1 ; -- variable correct : boolean := true ; begin ( v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ; -- ( v_st_arr1_1(st_arr1'Left) , v_st_arr1_2(st_arr1'Left) , v_st_arr1_3(st_arr1'Left) ) := arr_st_int1 ' ( (others => c_st_arr1_2(st_arr1'Right))) ; -- ( v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ; -- ( v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) ) := arr_st_rec3 ' ( (others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ; -- correct := correct and v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_1(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- correct := correct and v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_2(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- correct := correct and v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_3(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- test_report ( "ARCH00033.P1" , "Target of a variable assignment may be a " & "aggregate of indexed names" , correct) ; end process P1 ; -- P2 : process ( Dummy ) variable correct : boolean := true ; -- procedure Proc1 is type arr_boolean is array (integer range -1 downto - 3 ) of boolean ; type arr_bit is array (integer range -1 downto - 3 ) of bit ; type arr_severity_level is array (integer range -1 downto - 3 ) of severity_level ; type arr_character is array (integer range -1 downto - 3 ) of character ; type arr_st_enum1 is array (integer range -1 downto - 3 ) of st_enum1 ; type arr_integer is array (integer range -1 downto - 3 ) of integer ; type arr_st_int1 is array (integer range -1 downto - 3 ) of st_int1 ; type arr_time is array (integer range -1 downto - 3 ) of time ; type arr_st_phys1 is array (integer range -1 downto - 3 ) of st_phys1 ; type arr_real is array (integer range -1 downto - 3 ) of real ; type arr_st_real1 is array (integer range -1 downto - 3 ) of st_real1 ; type arr_st_rec1 is array (integer range -1 downto - 3 ) of st_rec1 ; type arr_st_rec2 is array (integer range -1 downto - 3 ) of st_rec2 ; type arr_st_rec3 is array (integer range -1 downto - 3 ) of st_rec3 ; type arr_st_arr1 is array (integer range -1 downto - 3 ) of st_arr1 ; type arr_st_arr2 is array (integer range -1 downto - 3 ) of st_arr2 ; type arr_st_arr3 is array (integer range -1 downto - 3 ) of st_arr3 ; -- variable v_st_rec3_1 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_1 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_1 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_1 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_2 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_2 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_2 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_2 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_3 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_3 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_3 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_3 : st_arr3 := c_st_arr3_1 ; -- begin ( v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ; -- ( v_st_arr1_1(st_arr1'Left) , v_st_arr1_2(st_arr1'Left) , v_st_arr1_3(st_arr1'Left) ) := arr_st_int1 ' ( (others => c_st_arr1_2(st_arr1'Right))) ; -- ( v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ; -- ( v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) ) := arr_st_rec3 ' ( (others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ; -- correct := correct and v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_1(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- correct := correct and v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_2(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- correct := correct and v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_3(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- end Proc1 ; begin Proc1 ; test_report ( "ARCH00033.P2" , "Target of a variable assignment may be a " & "aggregate of indexed names" , correct) ; end process P2 ; -- P3 : process ( Dummy ) type arr_boolean is array (integer range -1 downto - 3 ) of boolean ; type arr_bit is array (integer range -1 downto - 3 ) of bit ; type arr_severity_level is array (integer range -1 downto - 3 ) of severity_level ; type arr_character is array (integer range -1 downto - 3 ) of character ; type arr_st_enum1 is array (integer range -1 downto - 3 ) of st_enum1 ; type arr_integer is array (integer range -1 downto - 3 ) of integer ; type arr_st_int1 is array (integer range -1 downto - 3 ) of st_int1 ; type arr_time is array (integer range -1 downto - 3 ) of time ; type arr_st_phys1 is array (integer range -1 downto - 3 ) of st_phys1 ; type arr_real is array (integer range -1 downto - 3 ) of real ; type arr_st_real1 is array (integer range -1 downto - 3 ) of st_real1 ; type arr_st_rec1 is array (integer range -1 downto - 3 ) of st_rec1 ; type arr_st_rec2 is array (integer range -1 downto - 3 ) of st_rec2 ; type arr_st_rec3 is array (integer range -1 downto - 3 ) of st_rec3 ; type arr_st_arr1 is array (integer range -1 downto - 3 ) of st_arr1 ; type arr_st_arr2 is array (integer range -1 downto - 3 ) of st_arr2 ; type arr_st_arr3 is array (integer range -1 downto - 3 ) of st_arr3 ; -- variable v_st_rec3_1 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_1 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_1 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_1 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_2 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_2 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_2 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_2 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_3 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_3 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_3 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_3 : st_arr3 := c_st_arr3_1 ; -- variable correct : boolean := true ; -- procedure Proc1 is begin ( v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ; -- ( v_st_arr1_1(st_arr1'Left) , v_st_arr1_2(st_arr1'Left) , v_st_arr1_3(st_arr1'Left) ) := arr_st_int1 ' ( (others => c_st_arr1_2(st_arr1'Right))) ; -- ( v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ; -- ( v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) ) := arr_st_rec3 ' ( (others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ; -- end Proc1 ; begin Proc1 ; correct := correct and v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_1(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- correct := correct and v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_2(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- correct := correct and v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_3(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- test_report ( "ARCH00033.P3" , "Target of a variable assignment may be a " & "aggregate of indexed names" , correct) ; end process P3 ; -- P4 : process ( Dummy ) type arr_boolean is array (integer range -1 downto - 3 ) of boolean ; type arr_bit is array (integer range -1 downto - 3 ) of bit ; type arr_severity_level is array (integer range -1 downto - 3 ) of severity_level ; type arr_character is array (integer range -1 downto - 3 ) of character ; type arr_st_enum1 is array (integer range -1 downto - 3 ) of st_enum1 ; type arr_integer is array (integer range -1 downto - 3 ) of integer ; type arr_st_int1 is array (integer range -1 downto - 3 ) of st_int1 ; type arr_time is array (integer range -1 downto - 3 ) of time ; type arr_st_phys1 is array (integer range -1 downto - 3 ) of st_phys1 ; type arr_real is array (integer range -1 downto - 3 ) of real ; type arr_st_real1 is array (integer range -1 downto - 3 ) of st_real1 ; type arr_st_rec1 is array (integer range -1 downto - 3 ) of st_rec1 ; type arr_st_rec2 is array (integer range -1 downto - 3 ) of st_rec2 ; type arr_st_rec3 is array (integer range -1 downto - 3 ) of st_rec3 ; type arr_st_arr1 is array (integer range -1 downto - 3 ) of st_arr1 ; type arr_st_arr2 is array (integer range -1 downto - 3 ) of st_arr2 ; type arr_st_arr3 is array (integer range -1 downto - 3 ) of st_arr3 ; -- variable v_st_rec3_1 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_1 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_1 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_1 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_2 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_2 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_2 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_2 : st_arr3 := c_st_arr3_1 ; -- variable v_st_rec3_3 : st_rec3 := c_st_rec3_1 ; variable v_st_arr1_3 : st_arr1 := c_st_arr1_1 ; variable v_st_arr2_3 : st_arr2 := c_st_arr2_1 ; variable v_st_arr3_3 : st_arr3 := c_st_arr3_1 ; -- variable correct : boolean := true ; -- procedure Proc1 ( v_st_rec3_2 : inout st_rec3 ; v_st_arr1_2 : inout st_arr1 ; v_st_arr2_2 : inout st_arr2 ; v_st_arr3_2 : inout st_arr3 ) is begin ( v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) , v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ; -- ( v_st_arr1_1(st_arr1'Left) , v_st_arr1_2(st_arr1'Left) , v_st_arr1_3(st_arr1'Left) ) := arr_st_int1 ' ( (others => c_st_arr1_2(st_arr1'Right))) ; -- ( v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) , v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) ) := arr_st_arr1 ' ( (others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ; -- ( v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) , v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) ) := arr_st_rec3 ' ( (others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ; -- end Proc1 ; begin Proc1 ( v_st_rec3_1 , v_st_arr1_1 , v_st_arr2_1 , v_st_arr3_1 ) ; correct := correct and v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_1(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- Proc1 ( v_st_rec3_2 , v_st_arr1_2 , v_st_arr2_2 , v_st_arr3_2 ) ; correct := correct and v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_2(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- Proc1 ( v_st_rec3_3 , v_st_arr1_3 , v_st_arr2_3 , v_st_arr3_3 ) ; correct := correct and v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr1_3(st_arr1'Left) = c_st_int1_2 ; correct := correct and v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2 ; correct := correct and v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2 ; -- test_report ( "ARCH00033.P4" , "Target of a variable assignment may be a " & "aggregate of indexed names" , correct) ; end process P4 ; -- end ARCH00033 ; -- entity ENT00033_Test_Bench is end ENT00033_Test_Bench ; -- architecture ARCH00033_Test_Bench of ENT00033_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00033 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00033_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00657.vhd
1
4754
-- NEED RESULT: ARCH00657: Multiple interface declarations in correct order passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00657 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 4.3.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00657(ARCH00657) -- ENT00657_Test_Bench(ARCH00657_Test_Bench) -- -- REVISION HISTORY: -- -- 27-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; entity ENT00657 is generic ( cinteger1, cinteger2, cinteger3 : integer ; cbool1, cbool2, cbool3 : boolean ; cstring1, cstring2, cstring3 : string ) ; port ( sinteger1, sinteger2, sinteger3 : integer ; sbool1, sbool2, sbool3 : boolean ; sstring1, sstring2, sstring3 : string ) ; end ENT00657 ; -- architecture ARCH00657 of ENT00657 is procedure p1 ( constant cinteger1, cinteger2, cinteger3 : integer ; constant cbool1, cbool2, cbool3 : boolean ; constant cstring1, cstring2, cstring3 : string ; variable vinteger1, vinteger2, vinteger3 : inout integer ; variable vbool1, vbool2, vbool3 : inout boolean ; variable vstring1, vstring2, vstring3 : inout string ; signal sinteger1, sinteger2, sinteger3 : integer ; signal sbool1, sbool2, sbool3 : boolean ; signal sstring1, sstring2, sstring3 : string ; variable correct : out boolean ) is begin correct := cinteger1 = 1 and cinteger2 = 2 and cinteger3 = 3 and cbool1 = true and cbool2 = false and cbool3 = true and cstring1 = "aa" and cstring2 = "bb" and cstring3 = "cc" and vinteger1 = 1 and vinteger2 = 2 and vinteger3 = 3 and vbool1 = true and vbool2 = false and vbool3 = true and vstring1 = "aa" and vstring2 = "bb" and vstring3 = "cc" and sinteger1 = 1 and sinteger2 = 2 and sinteger3 = 3 and sbool1 = true and sbool2 = false and sbool3 = true and sstring1 = "aa" and sstring2 = "bb" and sstring3 = "cc" ; end p1 ; begin process subtype str is string ( 1 to 2 ) ; variable correct : boolean ; variable vinteger1 : integer := cinteger1 ; variable vinteger2 : integer := cinteger2 ; variable vinteger3 : integer := cinteger3 ; variable vbool1 : boolean := cbool1 ; variable vbool2 : boolean := cbool2 ; variable vbool3 : boolean := cbool3 ; variable vstring1 : str := cstring1 ; variable vstring2 : str := cstring2 ; variable vstring3 : str := cstring3 ; begin p1 ( cinteger1, cinteger2, cinteger3, cbool1, cbool2, cbool3, cstring1, cstring2, cstring3, vinteger1, vinteger2, vinteger3, vbool1, vbool2, vbool3, vstring1, vstring2, vstring3, sinteger1, sinteger2, sinteger3, sbool1, sbool2, sbool3, sstring1, sstring2, sstring3, correct ) ; test_report ( "ARCH00657" , "Multiple interface declarations in correct order" , correct ) ; wait ; end process ; end ARCH00657 ; -- entity ENT00657_Test_Bench is end ENT00657_Test_Bench ; architecture ARCH00657_Test_Bench of ENT00657_Test_Bench is subtype str is string ( 1 to 2 ) ; signal sinteger1 : integer := 1 ; signal sinteger2 : integer := 2 ; signal sinteger3 : integer := 3 ; signal sbool1 : boolean := true ; signal sbool2 : boolean := false ; signal sbool3 : boolean := true ; signal sstring1 : str := "aa" ; signal sstring2 : str := "bb" ; signal sstring3 : str := "cc" ; begin L1: block component UUT generic ( cinteger1, cinteger2, cinteger3 : integer ; cbool1, cbool2, cbool3 : boolean ; cstring1, cstring2, cstring3 : string ) ; port ( sinteger1, sinteger2, sinteger3 : integer ; sbool1, sbool2, sbool3 : boolean ; sstring1, sstring2, sstring3 : string ) ; end component ; for CIS1 : UUT use entity WORK.ENT00657 ( ARCH00657 ) ; begin CIS1 : UUT generic map ( 1, 2, 3, true, false, true, "aa", "bb", "cc" ) port map ( sinteger1, sinteger2, sinteger3, sbool1, sbool2, sbool3, sstring1, sstring2, sstring3 ) ; end block L1 ; end ARCH00657_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00346.vhd
1
3150
-- NEED RESULT: ARCH00346: Indexed names passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00346 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 6.4 (1) -- 6.4 (2) -- 6.4 (3) -- 6.4 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00346(ARCH00346) -- ENT00346_Test_Bench(ARCH00346_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; entity ENT00346 is generic ( g : integer := 2 ) ; begin end ENT00346 ; architecture ARCH00346 of ENT00346 is begin P : process function f ( x : integer ) return st_arr1 is variable a : st_arr1 := c_st_arr1_2 ; begin return a ; end f ; function "+" ( a,b : st_arr1 ) return st_arr1 is variable c : st_arr1 ; begin for i in st_arr1'range loop c(i) := a(i) + b(i) ; end loop ; return c ; end "+" ; variable i1,i2,i3,i4,i5,i6,i7,i8,i9 : t_int1 := 3; variable j : integer := 3 ; variable b : boolean ; variable a : st_arr1 := c_st_arr1_2 ; variable r : st_rec3 := c_st_rec3_2 ; constant c_integer_2 : integer := 10; begin i1 := a(1) ; -- this tests 6.4 (2) i2 := a(g-1) ; -- this tests 6.4 (3) i3 := a(j+1) ; -- this tests 6.4 (4) -- these test 6.4 (1) i4 := a (1) ; -- prefix is a simple name i5 := r.f3 (lowb,false) (1); -- prefix of (lowb,false) is a selected name -- prefix of (1) is an indexed name i6 := a (2 to 4) (j) ; -- prefix of (j) is a slice name i7 := f(j) (1) ; -- prefix is a function call i8 := "+" (a,a) (1) ; -- prefix of (a,a) is an operator symbol i9 := t_int1(a'left(1)) ; -- prefix is an attribute name test_report ( "ARCH00346" , "Indexed names" , (i1 = t_int1( c_integer_2 - 1)) and (i2 = t_int1( c_integer_2 - 1)) and (i3 = t_int1( c_integer_2 - 1)) and (i4 = t_int1( c_integer_2 - 1)) and (i5 = t_int1( c_integer_2 - 1)) and (i6 = t_int1( c_integer_2 - 1)) and (i7 = t_int1( c_integer_2 - 1)) and (i8 = 2*t_int1( c_integer_2 - 1)) and (i9 = t_int1(lowb)) ) ; wait ; end process P ; end ARCH00346 ; entity ENT00346_Test_Bench is end ENT00346_Test_Bench ; architecture ARCH00346_Test_Bench of ENT00346_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00346 ( ARCH00346 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00346_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/VHDL_StratixIV_OrphanedGland/sha256/rtl/sha256_pc.vhd
4
9814
-- -- Copyright (c) 2011 OrphanedGland ([email protected]) -- Send donations to : 1PioyqqFWXbKryxysGqoq5XAu9MTRANCEP -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- SHA256 core using H+K+W precalculation technique -- Inspired by fpgaminer's sha256_transform.v library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity sha256_pc is generic ( default_h : boolean := true ); port ( clk : in std_logic; reset : in std_logic; msg_in : in std_logic_vector(511 downto 0); h_in : in std_logic_vector(255 downto 0) := (others => '0'); digest : out std_logic_vector(255 downto 0) ); end entity sha256_pc; architecture sha256_pc_rtl of sha256_pc is alias slv is std_logic_vector; subtype msg is unsigned(511 downto 0); subtype word is unsigned(31 downto 0); function e0(x: unsigned(31 downto 0)) return unsigned is begin return (x(1 downto 0) & x(31 downto 2)) xor (x(12 downto 0) & x(31 downto 13)) xor (x(21 downto 0) & x(31 downto 22)); end e0; function e1(x: unsigned(31 downto 0)) return unsigned is begin return (x(5 downto 0) & x(31 downto 6)) xor (x(10 downto 0) & x(31 downto 11)) xor (x(24 downto 0) & x(31 downto 25)); end e1; function s0(x: unsigned(31 downto 0)) return unsigned is variable y : unsigned(31 downto 0); begin y(31 downto 29) := x(6 downto 4) xor x(17 downto 15); y(28 downto 0) := (x(3 downto 0) & x(31 downto 7)) xor (x(14 downto 0) & x(31 downto 18)) xor x(31 downto 3); return y; end s0; function s1(x: unsigned(31 downto 0)) return unsigned is variable y : unsigned(31 downto 0); begin y(31 downto 22) := x(16 downto 7) xor x(18 downto 9); y(21 downto 0) := (x(6 downto 0) & x(31 downto 17)) xor (x(8 downto 0) & x(31 downto 19)) xor x(31 downto 10); return y; end s1; function ch(x: unsigned(31 downto 0); y: unsigned(31 downto 0); z: unsigned(31 downto 0)) return unsigned is begin return (x and y) xor (not(x) and z); end ch; function maj(x: unsigned(31 downto 0); y: unsigned(31 downto 0); z: unsigned(31 downto 0)) return unsigned is begin return (x and y) xor (x and z) xor (y and z); end maj; type msg_array is array(0 to 63) of msg; type word_array is array(0 to 63) of word; type hash_array is array(0 to 7) of word; constant k : word_array := ( X"428a2f98", X"71374491", X"b5c0fbcf", X"e9b5dba5", X"3956c25b", X"59f111f1", X"923f82a4", X"ab1c5ed5", X"d807aa98", X"12835b01", X"243185be", X"550c7dc3", X"72be5d74", X"80deb1fe", X"9bdc06a7", X"c19bf174", X"e49b69c1", X"efbe4786", X"0fc19dc6", X"240ca1cc", X"2de92c6f", X"4a7484aa", X"5cb0a9dc", X"76f988da", X"983e5152", X"a831c66d", X"b00327c8", X"bf597fc7", X"c6e00bf3", X"d5a79147", X"06ca6351", X"14292967", X"27b70a85", X"2e1b2138", X"4d2c6dfc", X"53380d13", X"650a7354", X"766a0abb", X"81c2c92e", X"92722c85", X"a2bfe8a1", X"a81a664b", X"c24b8b70", X"c76c51a3", X"d192e819", X"d6990624", X"f40e3585", X"106aa070", X"19a4c116", X"1e376c08", X"2748774c", X"34b0bcb5", X"391c0cb3", X"4ed8aa4a", X"5b9cca4f", X"682e6ff3", X"748f82ee", X"78a5636f", X"84c87814", X"8cc70208", X"90befffa", X"a4506ceb", X"bef9a3f7", X"c67178f2" ); constant h_default : hash_array := ( X"6a09e667", X"bb67ae85", X"3c6ef372", X"a54ff53a", X"510e527f", X"9b05688c", X"1f83d9ab", X"5be0cd19" ); signal w : msg_array; signal new_w : word_array; signal t1 : word_array; signal t2 : word_array; signal a : word_array; signal b : word_array; signal c : word_array; signal d : word_array; signal e : word_array; signal f : word_array; signal g : word_array; signal h : word_array; signal hkw_precalc : word_array; signal hash : hash_array; signal h_init : hash_array; signal q_w : msg_array; signal q_a : word_array; signal q_b : word_array; signal q_c : word_array; signal q_d : word_array; signal q_e : word_array; signal q_f : word_array; signal q_g : word_array; signal q_h : word_array; signal q_hkw_precalc : word_array; signal q_hash : hash_array; signal q_msg : msg; begin output_mapping: for i in 0 to 7 generate --digest((i+1)*32-1 downto i*32) <= slv(q_hash(7-i)); digest((i+1)*32-1 downto i*32) <= slv(q_hash(i)); end generate output_mapping; default_h_gen: if default_h = true generate h_init <= h_default; end generate default_h_gen; h_gen: if default_h = false generate h_array_gen: for i in 0 to 7 generate h_init(i) <= unsigned(h_in((i+1)*32-1 downto i*32)); end generate h_array_gen; end generate h_gen; hkw_precalc(0) <= h_init(7) + k(0) + unsigned(msg_in(31 downto 0)); hash_pipeline: for i in 0 to 63 generate first_stage: if i = 0 generate t1_no_precalc_gen: if default_h = true generate -- no point precalculating when constants are used, so save a clock cycle t1(i) <= h_init(7) + e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6)) + k(i) + w(i)(31 downto 0); w(i) <= unsigned(msg_in); end generate t1_no_precalc_gen; t1_precalc_gen: if default_h = false generate t1(i) <= e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6)) + q_hkw_precalc(i); w(i) <= q_msg; end generate t1_precalc_gen; t2(i) <= e0(h_init(0)) + maj(h_init(0), h_init(1), h_init(2)); a(i) <= t1(i) + t2(i); b(i) <= h_init(0); c(i) <= h_init(1); d(i) <= h_init(2); e(i) <= h_init(3) + t1(i); f(i) <= h_init(4); g(i) <= h_init(5); h(i) <= h_init(6); hkw_precalc(i+1) <= h_init(6) + k(i+1) + w(i)(63 downto 32); end generate first_stage; other_stages: if i /= 0 generate t1(i) <= e1(q_e(i-1)) + ch(q_e(i-1), q_f(i-1), q_g(i-1)) + q_hkw_precalc(i); t2(i) <= e0(q_a(i-1)) + maj(q_a(i-1), q_b(i-1), q_c(i-1)); new_w(i) <= s1(q_w(i-1)(479 downto 448)) + q_w(i-1)(319 downto 288) + s0(q_w(i-1)(63 downto 32)) + q_w(i-1)(31 downto 0); w(i) <= new_w(i) & q_w(i-1)(511 downto 32); a(i) <= t1(i) + t2(i); b(i) <= q_a(i-1); c(i) <= q_b(i-1); d(i) <= q_c(i-1); e(i) <= q_d(i-1) + t1(i); f(i) <= q_e(i-1); g(i) <= q_f(i-1); h(i) <= q_g(i-1); precalc: if i /= 63 generate hkw_precalc(i+1) <= q_g(i-1) + k(i+1) + w(i)(63 downto 32); end generate precalc; end generate other_stages; end generate hash_pipeline; hash(0) <= q_a(63) + h_init(0); hash(1) <= q_b(63) + h_init(1); hash(2) <= q_c(63) + h_init(2); hash(3) <= q_d(63) + h_init(3); hash(4) <= q_e(63) + h_init(4); hash(5) <= q_f(63) + h_init(5); hash(6) <= q_g(63) + h_init(6); hash(7) <= q_h(63) + h_init(7); registers : process(clk, reset) is begin if reset = '1' then null; elsif rising_edge(clk) then q_msg <= unsigned(msg_in); q_w <= w; q_a <= a; q_b <= b; q_c <= c; q_d <= d; q_e <= e; q_f <= f; q_g <= g; q_h <= h; q_hkw_precalc <= hkw_precalc; q_hash <= hash; end if; end process registers; end architecture sha256_pc_rtl;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00662.vhd
1
5414
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00662 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 4.3.3 (19) -- -- DESIGN UNIT ORDERING: -- -- ENT00662(ARCH00662) -- ENT00662_Test_Bench(ARCH00662_Test_Bench) -- -- REVISION HISTORY: -- -- 26-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all; entity ENT00662 is port ( Pt1 : buffer Bit_Vector ; Pt2 : buffer Integer ) ; end ENT00662 ; -- architecture ARCH00662 of ENT00662 is function To_Real ( P : Integer ) return Real is begin if P = -1 then return -1.0 ; else return -2.0 ; end if ; end To_Real ; function To_Integer ( P : Real ) return Integer is begin if P = -1.0 then return -1 ; else return -2 ; end if ; end To_Integer ; begin P2 : process -- Check formal port on entity (no signal attributes) subtype ST_Up is integer range Pt1'RANGE ; subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ; begin test_report ( "ARCH00662" , "The predefined attributes of an interface "& "object (port) of mode 'buffer' for an entity may be read" , (Pt1'LEFT = 10) and (Pt1'RIGHT(1) = 20) and (Pt1'HIGH(1) = 20) and (Pt1'LOW = 10) and (Pt1'LENGTH = 11) and (ST_Up'LEFT = 10) and (ST_Up'RIGHT = 20) and (ST_Down'LEFT = 20) and (ST_Down'RIGHT = 10) ) ; wait ; end process P2 ; P3 : process ( Pt2 ) -- Check formal port on entity (signal attributes) variable First_Time : boolean := True ; begin if First_Time then First_Time := false ; else test_report ( "ARCH00662" , "The predefined signal attributes of an interface "& "object (port) of mode 'buffer' for an entity may "& "be read" , (Pt2'DELAYED(10 ns) = -2) and (Not Pt2'STABLE(10 ns)) and (Not Pt2'QUIET(10 ns)) and (Pt2'EVENT) and (Pt2'ACTIVE) and (STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and (STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and (Pt2'LAST_VALUE = -2) ) ; end if ; end process P3 ; L1 : -- Check block ports/generics block port ( Pt1 : buffer Bit_Vector ; Pt2 : buffer Real ) ; port map ( Pt1 => Pt1, To_Integer(Pt2) => To_Real(Pt2) ) ; begin BP2 : process -- Check formal port on block (no signal attributes) subtype ST_Up is integer range Pt1'RANGE ; subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ; begin test_report ( "ARCH00662" , "The predefined attributes of an interface "& "object (port) of mode 'buffer' for a block may be read" , (Pt1'LEFT = 10) and (Pt1'RIGHT(1) = 20) and (Pt1'HIGH(1) = 20) and (Pt1'LOW = 10) and (Pt1'LENGTH = 11) and (ST_Up'LEFT = 10) and (ST_Up'RIGHT = 20) and (ST_Down'LEFT = 20) and (ST_Down'RIGHT = 10) ) ; wait ; end process BP2 ; BP3 : process ( Pt2 ) -- Check formal port on a block (signal attributes) variable First_Time : boolean := True ; begin if First_Time then First_Time := false ; Pt2 <= transport -1.0 after 10 ns ; else test_report ( "ARCH00662" , "The predefined signal attributes of an interface "& "object (port) of mode 'buffer' for a block may be read" , (Pt2'DELAYED(10 ns) = real'left) and (Not Pt2'STABLE(10 ns)) and (Not Pt2'QUIET(10 ns)) and (Pt2'EVENT) and (Pt2'ACTIVE) and (STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and (STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and (Pt2'LAST_VALUE = real'left) ) ; end if ; end process BP3 ; end block L1 ; end ARCH00662 ; -- entity ENT00662_Test_Bench is end ENT00662_Test_Bench ; architecture ARCH00662_Test_Bench of ENT00662_Test_Bench is begin L1: block component UUT end component ; subtype ST is Bit_Vector ( 10 to 20 ) ; constant C : ST := B"10101010101" ; signal S1 : ST := C ; signal S2 : Integer := -2 ; for CIS1 : UUT use entity WORK.ENT00662 ( ARCH00662 ) port map ( S1, S2 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00662_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00495.vhd
1
7759
-- NEED RESULT: ARCH00495: Aggregates with others choice in signal assignment (locally static) passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00495 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.3.2.2 (6) -- 7.3.2.2 (11) -- -- DESIGN UNIT ORDERING: -- -- ENT00495(ARCH00495) -- ENT00495_Test_Bench(ARCH00495_Test_Bench) -- -- REVISION HISTORY: -- -- 10-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00495 is generic ( constant g_a11 : boolean := false ; constant g_a12 : boolean := true ; constant g_a21 : integer := 1 ; constant g_a22 : integer := 5 ; constant g_b11 : integer := 0 ; constant g_b12 : integer := 0 ; constant g_b21 : integer := -5 ; constant g_b22 : integer := -3 ; constant g_c1 : integer := 0 ; constant g_c2 : integer := 4 ; constant g_d1 : integer := 3 ; constant g_d2 : integer := 5 ; constant g_r1 : integer := 1 ) ; constant r1 : integer := 1 ; constant a11 : boolean := false ; constant a12 : boolean := true ; constant a21 : integer := 1 ; constant a22 : integer := 5 ; constant b11 : integer := 0 ; constant b12 : integer := 0 ; constant b21 : integer := -5 ; constant b22 : integer := -3 ; constant c1 : integer := 0 ; constant c2 : integer := 4 ; constant d1 : integer := 3 ; constant d2 : integer := 5 ; -- type rec_arr is array ( integer range <> ) of boolean ; type rec_1 is record f1 : integer range - r1 to r1 ; -- f2 : rec_arr (-r1 to r1) ; f3, f4 : integer ; end record ; -- constant c_rec_arr : rec_arr (-r1 to r1) := -- (true, false, false) ; -- constant c_rec_1_1 : rec_1 := (1, (true, false, false), 1, 0) ; -- constant c_rec_1_2 : rec_1 := (0, (true, false, false), 0, 1) ; constant c_rec_1_1 : rec_1 := (1, 1, 0) ; constant c_rec_1_2 : rec_1 := (0, 0, 1) ; -- type arr_1 is array ( boolean range <> , integer range <> ) of rec_1 ; type time_matrix is array ( integer range <> , integer range <> ) of time ; -- -- subtype arange1 is boolean range a11 to a12 ; subtype arange2 is integer range a21 to a22 ; subtype brange1 is integer range b11 to b12 ; subtype brange2 is integer range b21 to b22 ; subtype crange is integer range c1 to c2 ; subtype drange is integer range d1 to d2 ; -- subtype st_arr_1 is arr_1 ( arange1 , arange2 ) ; subtype st_time_matrix is time_matrix ( brange1 , brange2 ) ; subtype st_bit_vector is bit_vector ( crange ) ; subtype st_string is string ( drange ) ; -- -- end ENT00495 ; -- architecture ARCH00495 of ENT00495 is begin B1 : block signal s_arr_1 : st_arr_1 ; signal s_time_matrix : st_time_matrix ; signal s_bit_vector : st_bit_vector ; signal s_string : st_string ; signal s_rec_1 : rec_1 ; signal toggle : boolean := false ; -- begin process variable v_arr_1 : st_arr_1 ; variable v_time_matrix : st_time_matrix ; variable v_bit_vector : st_bit_vector ; variable v_string : st_string ; variable v_rec_1 : rec_1 ; variable bool : boolean := true ; -- begin s_arr_1 <= ( ( c_rec_1_1, others => c_rec_1_2 ), others => (others => c_rec_1_1) ) ; s_time_matrix <= ( st_time_matrix'right(1) => ( st_time_matrix'right(2) => 10 ns, others => 5 fs), others => (brange2'left => 10 ps, others => 15ms) ) ; s_bit_vector <= ( 0 => '1', 2 => '1', others => '0' ) ; s_string <= ( 3 => 'a', 4 => 'b', others => '0' ) ; s_rec_1 <= -- ( f2 => (r1 => true, others => false), f3 => 1, others => 0) ; ( f3 => 1, others => 0) ; v_arr_1 := ( ( c_rec_1_1, others => c_rec_1_2 ), others => (others => c_rec_1_1) ) ; v_time_matrix := ( st_time_matrix'right(1) => ( st_time_matrix'right(2) => 10 ns, others => 5 fs), others => (brange2'left => 10 ps, others => 15ms) ) ; v_bit_vector := ( 0 => '1', 2 => '1', others => '0' ) ; v_string := ( 3 => 'a', 4 => 'b', others => '0' ) ; v_rec_1 := -- ( f2 => (r1 => true, others => false), f3 => 1, others => 0) ; ( f3 => 1, others => 0) ; bool := bool and v_arr_1(false, 1) = c_rec_1_1 ; for i in 2 to 5 loop bool := bool and v_arr_1(false, i) = c_rec_1_2 ; end loop ; for i in 1 to 5 loop bool := bool and v_arr_1(true, i) = c_rec_1_1 ; end loop ; -- bool := bool and v_time_matrix(0, -3) = 10 ns ; for i in integer'(-5) to -4 loop bool := bool and v_time_matrix(0, i) = 5 fs ; end loop ; -- bool := bool and v_bit_vector = B"10100" ; -- bool := bool and v_string = "ab0" ; -- bool := bool and v_rec_1.f1 = 0 and v_rec_1.f4 = 0 and v_rec_1.f3 = 1 ; -- bool := bool and v_rec_1.f2(1) = true -- and v_rec_1.f2(0) = false and -- v_rec_1.f2(-1) = false ; -- -- test_report ( "ARCH00495" , "Aggregates with others choice in signal assignment" & " (locally static)" , bool ) ; wait ; end process ; process ( toggle ) variable bool : boolean := true ; begin if toggle then bool := bool and s_arr_1(false, 1) = c_rec_1_1 ; for i in 2 to 5 loop bool := bool and s_arr_1(false, i) = c_rec_1_2 ; end loop ; for i in 1 to 5 loop bool := bool and s_arr_1(true, i) = c_rec_1_1 ; end loop ; -- bool := bool and s_time_matrix(0, -3) = 10 ns ; for i in integer'(-5) to -4 loop bool := bool and s_time_matrix(0, i) = 5 fs ; end loop ; -- bool := bool and s_bit_vector = B"10100" ; -- bool := bool and s_string = "ab0" ; -- bool := bool and s_rec_1.f1 = 0 and s_rec_1.f4 = 0 and s_rec_1.f3 = 1 ; -- bool := bool and s_rec_1.f2(1) = true -- and s_rec_1.f2(0) = false and -- s_rec_1.f2(-1) = false ; -- -- test_report ( "ARCH00495" , "Aggregates with others choice in variable assignment" & " (locally static)" , bool ) ; end if ; end process ; end block B1 ; end ARCH00495 ; -- entity ENT00495_Test_Bench is end ENT00495_Test_Bench ; -- architecture ARCH00495_Test_Bench of ENT00495_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00495 ( ARCH00495 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00495_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00603.vhd
1
608
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00603 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 11.1 (1) -- -- DESIGN UNIT ORDERING: -- -- N/A -- -- REVISION HISTORY: -- -- 24-AUG-1987 - initial revision -- -- NOTES: -- -- will be used in conjunction with test ct00607 -- -- package PKG00603 is procedure Proc ; end PKG00603 ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00007.vhd
1
4186
-- NEED RESULT: ENT00007: Unassociated scalar generics with static subtypes take on default expression passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00007 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 1.1.1.1 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00007(ARCH00007) -- ENT00007_Test_Bench(ARCH00007_Test_Bench) -- -- REVISION HISTORY: -- -- 25-JUN-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00007 is generic ( i_boolean_1, i_boolean_2 : boolean := c_boolean_1 ; i_bit_1, i_bit_2 : bit := c_bit_1 ; i_severity_level_1, i_severity_level_2 : severity_level := c_severity_level_1 ; i_character_1, i_character_2 : character := c_character_1 ; i_t_enum1_1, i_t_enum1_2 : t_enum1 := c_t_enum1_1 ; i_st_enum1_1, i_st_enum1_2 : st_enum1 := c_st_enum1_1 ; i_integer_1, i_integer_2 : integer := c_integer_1 ; i_t_int1_1, i_t_int1_2 : t_int1 := c_t_int1_1 ; i_st_int1_1, i_st_int1_2 : st_int1 := c_st_int1_1 ; i_time_1, i_time_2 : time := c_time_1 ; i_t_phys1_1, i_t_phys1_2 : t_phys1 := c_t_phys1_1 ; i_st_phys1_1, i_st_phys1_2 : st_phys1 := c_st_phys1_1 ; i_real_1, i_real_2 : real := c_real_1 ; i_t_real1_1, i_t_real1_2 : t_real1 := c_t_real1_1 ; i_st_real1_1, i_st_real1_2 : st_real1 := c_st_real1_1 ) ; begin end ENT00007 ; -- architecture ARCH00007 of ENT00007 is begin process variable correct : boolean := true ; begin correct := correct and i_boolean_1 = c_boolean_1 and i_boolean_2 = c_boolean_1 ; correct := correct and i_bit_1 = c_bit_1 and i_bit_2 = c_bit_1 ; correct := correct and i_severity_level_1 = c_severity_level_1 and i_severity_level_2 = c_severity_level_1 ; correct := correct and i_character_1 = c_character_1 and i_character_2 = c_character_1 ; correct := correct and i_t_enum1_1 = c_t_enum1_1 and i_t_enum1_2 = c_t_enum1_1 ; correct := correct and i_st_enum1_1 = c_st_enum1_1 and i_st_enum1_2 = c_st_enum1_1 ; correct := correct and i_integer_1 = c_integer_1 and i_integer_2 = c_integer_1 ; correct := correct and i_t_int1_1 = c_t_int1_1 and i_t_int1_2 = c_t_int1_1 ; correct := correct and i_st_int1_1 = c_st_int1_1 and i_st_int1_2 = c_st_int1_1 ; correct := correct and i_time_1 = c_time_1 and i_time_2 = c_time_1 ; correct := correct and i_t_phys1_1 = c_t_phys1_1 and i_t_phys1_2 = c_t_phys1_1 ; correct := correct and i_st_phys1_1 = c_st_phys1_1 and i_st_phys1_2 = c_st_phys1_1 ; correct := correct and i_real_1 = c_real_1 and i_real_2 = c_real_1 ; correct := correct and i_t_real1_1 = c_t_real1_1 and i_t_real1_2 = c_t_real1_1 ; correct := correct and i_st_real1_1 = c_st_real1_1 and i_st_real1_2 = c_st_real1_1 ; test_report ( "ENT00007" , "Unassociated scalar generics with static subtypes" & " take on default expression" , correct) ; wait ; end process ; end ARCH00007 ; -- entity ENT00007_Test_Bench is end ENT00007_Test_Bench ; -- architecture ARCH00007_Test_Bench of ENT00007_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00007 ( ARCH00007 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00007_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00501.vhd
1
5857
-- NEED RESULT: ARCH00501: Aggregates in attribute specifications (locally static) passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00501 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.3.2.2 (9) -- 7.3.2.2 (11) -- -- DESIGN UNIT ORDERING: -- -- ENT00501(ARCH00501) -- ENT00501_Test_Bench(ARCH00501_Test_Bench) -- -- REVISION HISTORY: -- -- 10-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00501 is generic ( constant g_a11 : boolean := false ; constant g_a12 : boolean := true ; constant g_a21 : integer := 1 ; constant g_a22 : integer := 5 ; constant g_b11 : integer := 0 ; constant g_b12 : integer := 0 ; constant g_b21 : integer := -5 ; constant g_b22 : integer := -3 ; constant g_c1 : integer := 0 ; constant g_c2 : integer := 4 ; constant g_d1 : integer := 3 ; constant g_d2 : integer := 5 ; constant g_r1 : integer := 1 ) ; constant r1 : integer := 1 ; constant a11 : boolean := false ; constant a12 : boolean := true ; constant a21 : integer := 1 ; constant a22 : integer := 5 ; constant b11 : integer := 0 ; constant b12 : integer := 0 ; constant b21 : integer := -5 ; constant b22 : integer := -3 ; constant c1 : integer := 0 ; constant c2 : integer := 4 ; constant d1 : integer := 3 ; constant d2 : integer := 5 ; -- type rec_arr is array ( integer range <> ) of boolean ; type rec_1 is record f1 : integer range - r1 to r1 ; -- f2 : rec_arr (-r1 to r1) ; f3, f4 : integer ; end record ; -- constant c_rec_arr : rec_arr (-r1 to r1) := -- (true, false, false) ; -- constant c_rec_1_1 : rec_1 := (1, (true, false, false), 1, 0) ; -- constant c_rec_1_2 : rec_1 := (0, (true, false, false), 0, 1) ; constant c_rec_1_1 : rec_1 := (1, 1, 0) ; constant c_rec_1_2 : rec_1 := (0, 0, 1) ; -- type arr_1 is array ( boolean range <> , integer range <> ) of rec_1 ; type time_matrix is array ( integer range <> , integer range <> ) of time ; -- -- subtype arange1 is boolean range a11 to a12 ; subtype arange2 is integer range a21 to a22 ; subtype brange1 is integer range b11 to b12 ; subtype brange2 is integer range b21 to b22 ; subtype crange is integer range c1 to c2 ; subtype drange is integer range d1 to d2 ; -- subtype st_arr_1 is arr_1 ( arange1 , arange2 ) ; subtype st_time_matrix is time_matrix ( brange1 , brange2 ) ; subtype st_bit_vector is bit_vector ( crange ) ; subtype st_string is string ( drange ) ; -- -- end ENT00501 ; -- architecture ARCH00501 of ENT00501 is begin B1 : block -- begin process type dummy is ( d1 , d2 ) ; attribute a_arr_1 : st_arr_1 ; attribute a_time_matrix : st_time_matrix ; attribute a_bit_vector : st_bit_vector ; attribute a_rec_1 : rec_1 ; attribute a_string : st_string ; attribute a_arr_1 of all : type is ( ( c_rec_1_1, others => c_rec_1_2 ), others => (others => c_rec_1_1) ) ; attribute a_time_matrix of all : type is ( st_time_matrix'right(1) => ( st_time_matrix'right(2) => 10 ns, others => 5 fs), others => (brange2'left => 10 ps, others => 15ms) ) ; attribute a_bit_vector of all : type is ( 0 => '1', 2 => '1', others => '0' ) ; attribute a_string of all : type is ( 3 => 'a', 4 => 'b', others => '0' ) ; attribute a_rec_1 of all : type is -- ( f2 => (r1 => true, others => false), f3 => 1, others => 0) ; ( f3 => 1, others => 0) ; variable bool : boolean := true ; -- begin bool := bool and dummy'a_arr_1(false, 1) = c_rec_1_1 ; for i in 2 to 5 loop bool := bool and dummy'a_arr_1(false, i) = c_rec_1_2 ; end loop ; for i in 1 to 5 loop bool := bool and dummy'a_arr_1(true, i) = c_rec_1_1 ; end loop ; -- bool := bool and dummy'a_time_matrix(0, -3) = 10 ns ; for i in integer'(-5) to -4 loop bool := bool and dummy'a_time_matrix(0, i) = 5 fs ; end loop ; -- bool := bool and dummy'a_bit_vector = B"10100" ; -- bool := bool and dummy'a_string = "ab0" ; -- bool := bool and dummy'a_rec_1.f1 = 0 and dummy'a_rec_1.f4 = 0 and dummy'a_rec_1.f3 = 1 ; -- bool := bool and dummy'a_rec_1.f2(1) = true -- and dummy'a_rec_1.f2(0) = false and -- dummy'a_rec_1.f2(-1) = false ; -- -- test_report ( "ARCH00501" , "Aggregates in attribute specifications" & " (locally static)" , bool ) ; wait ; end process ; end block B1 ; end ARCH00501 ; -- entity ENT00501_Test_Bench is end ENT00501_Test_Bench ; -- architecture ARCH00501_Test_Bench of ENT00501_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00501 ( ARCH00501 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00501_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00067.vhd
1
3275
-- NEED RESULT: ARCH00067.P1_1: Exit with a label and no condition only effects labeled loop passed -- NEED RESULT: ARCH00067.P1_1: Exit with a label and no condition only effects labeled loop passed -- NEED RESULT: ARCH00067.P1_1: Exit statement does not effect outer loop passed -- NEED RESULT: ARCH00067.P1_2: Exit with a label and condition only effects labeled loop passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00067 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.10 (2) -- 8.10 (3) -- 8.10 (4) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00067) -- ENT00067_Test_Bench(ARCH00067_Test_Bench) -- -- REVISION HISTORY: -- -- 06-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00067 of E00000 is signal Dummy : Boolean := false ; begin P1_1 : process ( Dummy ) variable correct : boolean ; variable counter : integer := 0 ; begin L1 : for i in boolean loop -- correct := true ; L2 : for j in 1 to 3 loop correct := (j = 1) and correct ; exit L2 ; correct := false ; end loop L2 ; -- test_report ( "ARCH00067.P1_1" , "Exit with a label and no condition only effects " & "labeled loop", correct ) ; -- counter := counter + 1 ; -- end loop L1 ; correct := counter = (boolean'Pos (boolean'High) - boolean'Pos (boolean'Low) + 1) ; test_report ( "ARCH00067.P1_1" , "Exit statement does not effect outer " & "loop", correct ) ; -- end process P1_1 ; -- P1_2 : process ( Dummy ) variable correct : boolean := true ; variable done : boolean := false ; variable counter : integer := 0 ; variable v_boolean : boolean := c_boolean_1 ; -- begin L1 : while v_boolean /= c_boolean_2 loop -- correct := (not done) and correct ; done := true ; v_boolean := c_boolean_2 ; for j in 1 to 3 loop correct := (j = 1) and correct ; exit L1 when j = j ; end loop ; -- counter := counter + 1 ; -- end loop L1 ; -- correct := (counter = 0) and correct ; test_report ( "ARCH00067.P1_2" , "Exit with a label and condition only effects " & "labeled loop", correct ) ; -- end process P1_2 ; -- -- end ARCH00067 ; -- entity ENT00067_Test_Bench is end ENT00067_Test_Bench ; -- architecture ARCH00067_Test_Bench of ENT00067_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00067 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00067_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00181.vhd
1
47936
-- NEED RESULT: ARCH00181.P1: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00181.P2: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00181.P3: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00181.P4: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00181.P5: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00181.P6: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Old transactions were removed on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Old transactions were removed on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Old transactions were removed on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Old transactions were removed on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Old transactions were removed on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Old transactions were removed on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: ARCH00181: Inertial semantics check on a signal asg with slice name prefixed by an selected name on LHS failed -- NEED RESULT: P6: Inertial transactions entirely completed failed -- NEED RESULT: P5: Inertial transactions entirely completed failed -- NEED RESULT: P4: Inertial transactions entirely completed failed -- NEED RESULT: P3: Inertial transactions entirely completed failed -- NEED RESULT: P2: Inertial transactions entirely completed failed -- NEED RESULT: P1: Inertial transactions entirely completed failed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00181 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- PKG00181 -- PKG00181/BODY -- ENT00181(ARCH00181) -- ENT00181_Test_Bench(ARCH00181_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; package PKG00181 is type r_st_arr1_vector is record f1 : integer ; f2 : st_arr1_vector ; end record ; function c_r_st_arr1_vector_1 return r_st_arr1_vector ; -- (c_integer_1, c_st_arr1_vector_1) ; function c_r_st_arr1_vector_2 return r_st_arr1_vector ; -- (c_integer_2, c_st_arr1_vector_2) ; -- type r_st_arr2_vector is record f1 : integer ; f2 : st_arr2_vector ; end record ; function c_r_st_arr2_vector_1 return r_st_arr2_vector ; -- (c_integer_1, c_st_arr2_vector_1) ; function c_r_st_arr2_vector_2 return r_st_arr2_vector ; -- (c_integer_2, c_st_arr2_vector_2) ; -- type r_st_arr3_vector is record f1 : integer ; f2 : st_arr3_vector ; end record ; function c_r_st_arr3_vector_1 return r_st_arr3_vector ; -- (c_integer_1, c_st_arr3_vector_1) ; function c_r_st_arr3_vector_2 return r_st_arr3_vector ; -- (c_integer_2, c_st_arr3_vector_2) ; -- type r_st_rec1_vector is record f1 : integer ; f2 : st_rec1_vector ; end record ; function c_r_st_rec1_vector_1 return r_st_rec1_vector ; -- (c_integer_1, c_st_rec1_vector_1) ; function c_r_st_rec1_vector_2 return r_st_rec1_vector ; -- (c_integer_2, c_st_rec1_vector_2) ; -- type r_st_rec2_vector is record f1 : integer ; f2 : st_rec2_vector ; end record ; function c_r_st_rec2_vector_1 return r_st_rec2_vector ; -- (c_integer_1, c_st_rec2_vector_1) ; function c_r_st_rec2_vector_2 return r_st_rec2_vector ; -- (c_integer_2, c_st_rec2_vector_2) ; -- type r_st_rec3_vector is record f1 : integer ; f2 : st_rec3_vector ; end record ; function c_r_st_rec3_vector_1 return r_st_rec3_vector ; -- (c_integer_1, c_st_rec3_vector_1) ; function c_r_st_rec3_vector_2 return r_st_rec3_vector ; -- (c_integer_2, c_st_rec3_vector_2) ; -- -- end PKG00181 ; -- package body PKG00181 is function c_r_st_arr1_vector_1 return r_st_arr1_vector is begin return (c_integer_1, c_st_arr1_vector_1) ; end c_r_st_arr1_vector_1 ; -- function c_r_st_arr1_vector_2 return r_st_arr1_vector is begin return (c_integer_2, c_st_arr1_vector_2) ; end c_r_st_arr1_vector_2 ; -- -- function c_r_st_arr2_vector_1 return r_st_arr2_vector is begin return (c_integer_1, c_st_arr2_vector_1) ; end c_r_st_arr2_vector_1 ; -- function c_r_st_arr2_vector_2 return r_st_arr2_vector is begin return (c_integer_2, c_st_arr2_vector_2) ; end c_r_st_arr2_vector_2 ; -- -- function c_r_st_arr3_vector_1 return r_st_arr3_vector is begin return (c_integer_1, c_st_arr3_vector_1) ; end c_r_st_arr3_vector_1 ; -- function c_r_st_arr3_vector_2 return r_st_arr3_vector is begin return (c_integer_2, c_st_arr3_vector_2) ; end c_r_st_arr3_vector_2 ; -- -- function c_r_st_rec1_vector_1 return r_st_rec1_vector is begin return (c_integer_1, c_st_rec1_vector_1) ; end c_r_st_rec1_vector_1 ; -- function c_r_st_rec1_vector_2 return r_st_rec1_vector is begin return (c_integer_2, c_st_rec1_vector_2) ; end c_r_st_rec1_vector_2 ; -- -- function c_r_st_rec2_vector_1 return r_st_rec2_vector is begin return (c_integer_1, c_st_rec2_vector_1) ; end c_r_st_rec2_vector_1 ; -- function c_r_st_rec2_vector_2 return r_st_rec2_vector is begin return (c_integer_2, c_st_rec2_vector_2) ; end c_r_st_rec2_vector_2 ; -- -- function c_r_st_rec3_vector_1 return r_st_rec3_vector is begin return (c_integer_1, c_st_rec3_vector_1) ; end c_r_st_rec3_vector_1 ; -- function c_r_st_rec3_vector_2 return r_st_rec3_vector is begin return (c_integer_2, c_st_rec3_vector_2) ; end c_r_st_rec3_vector_2 ; -- -- -- end PKG00181 ; -- use WORK.STANDARD_TYPES.all ; use WORK.PKG00181.all ; entity ENT00181 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_r_st_arr1_vector : chk_sig_type := -1 ; signal chk_r_st_arr2_vector : chk_sig_type := -1 ; signal chk_r_st_arr3_vector : chk_sig_type := -1 ; signal chk_r_st_rec1_vector : chk_sig_type := -1 ; signal chk_r_st_rec2_vector : chk_sig_type := -1 ; signal chk_r_st_rec3_vector : chk_sig_type := -1 ; -- procedure Proc1 ( signal s_r_st_arr1_vector : inout r_st_arr1_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_r_st_arr1_vector : out chk_sig_type ) is begin case counter is when 0 => s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181.P1" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_arr1_vector.f2 (lowb+1 to highb-1) = c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- procedure Proc2 ( signal s_r_st_arr2_vector : inout r_st_arr2_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_r_st_arr2_vector : out chk_sig_type ) is begin case counter is when 0 => s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181.P2" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_arr2_vector.f2 (lowb+1 to highb-1) = c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_arr2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc2 ; -- procedure Proc3 ( signal s_r_st_arr3_vector : inout r_st_arr3_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_r_st_arr3_vector : out chk_sig_type ) is begin case counter is when 0 => s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181.P3" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_arr3_vector.f2 (lowb+1 to highb-1) = c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_arr3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc3 ; -- procedure Proc4 ( signal s_r_st_rec1_vector : inout r_st_rec1_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_r_st_rec1_vector : out chk_sig_type ) is begin case counter is when 0 => s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181.P4" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_rec1_vector.f2 (lowb+1 to highb-1) = c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc4 ; -- procedure Proc5 ( signal s_r_st_rec2_vector : inout r_st_rec2_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_r_st_rec2_vector : out chk_sig_type ) is begin case counter is when 0 => s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181.P5" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_rec2_vector.f2 (lowb+1 to highb-1) = c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc5 ; -- procedure Proc6 ( signal s_r_st_rec3_vector : inout r_st_rec3_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_r_st_rec3_vector : out chk_sig_type ) is begin case counter is when 0 => s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 10 ns, c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181.P6" , "Multi inertial transactions occurred on signal " & "asg with slice name prefixed by a selected name on LHS", correct ) ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= transport c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 100 ns ; -- when 5 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Old transactions were removed on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 10 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 20 ns , c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) after 30 ns , c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 6 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "One inertial transaction occurred on signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- Last transaction above is marked s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) after 40 ns ; -- when 7 => correct := s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_r_st_rec3_vector.f2 (lowb+1 to highb-1) = c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", correct ) ; -- when others => test_report ( "ARCH00181" , "Inertial semantics check on a signal " & "asg with slice name prefixed by an selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_r_st_rec3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc6 ; -- -- end ENT00181 ; -- architecture ARCH00181 of ENT00181 is signal s_r_st_arr1_vector : r_st_arr1_vector := c_r_st_arr1_vector_1 ; signal s_r_st_arr2_vector : r_st_arr2_vector := c_r_st_arr2_vector_1 ; signal s_r_st_arr3_vector : r_st_arr3_vector := c_r_st_arr3_vector_1 ; signal s_r_st_rec1_vector : r_st_rec1_vector := c_r_st_rec1_vector_1 ; signal s_r_st_rec2_vector : r_st_rec2_vector := c_r_st_rec2_vector_1 ; signal s_r_st_rec3_vector : r_st_rec3_vector := c_r_st_rec3_vector_1 ; -- begin P1 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_r_st_arr1_vector, counter, correct, savtime, chk_r_st_arr1_vector ) ; wait until (not s_r_st_arr1_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_r_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_r_st_arr1_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- P2 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc2 ( s_r_st_arr2_vector, counter, correct, savtime, chk_r_st_arr2_vector ) ; wait until (not s_r_st_arr2_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_r_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_r_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- P3 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc3 ( s_r_st_arr3_vector, counter, correct, savtime, chk_r_st_arr3_vector ) ; wait until (not s_r_st_arr3_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_r_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_r_st_arr3_vector = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- P4 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc4 ( s_r_st_rec1_vector, counter, correct, savtime, chk_r_st_rec1_vector ) ; wait until (not s_r_st_rec1_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P4 ; -- PGEN_CHKP_4 : process ( chk_r_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Inertial transactions entirely completed", chk_r_st_rec1_vector = 8 ) ; end if ; end process PGEN_CHKP_4 ; -- -- P5 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc5 ( s_r_st_rec2_vector, counter, correct, savtime, chk_r_st_rec2_vector ) ; wait until (not s_r_st_rec2_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P5 ; -- PGEN_CHKP_5 : process ( chk_r_st_rec2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Inertial transactions entirely completed", chk_r_st_rec2_vector = 8 ) ; end if ; end process PGEN_CHKP_5 ; -- -- P6 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc6 ( s_r_st_rec3_vector, counter, correct, savtime, chk_r_st_rec3_vector ) ; wait until (not s_r_st_rec3_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P6 ; -- PGEN_CHKP_6 : process ( chk_r_st_rec3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Inertial transactions entirely completed", chk_r_st_rec3_vector = 8 ) ; end if ; end process PGEN_CHKP_6 ; -- -- -- end ARCH00181 ; -- entity ENT00181_Test_Bench is end ENT00181_Test_Bench ; -- architecture ARCH00181_Test_Bench of ENT00181_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00181 ( ARCH00181 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00181_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00659.vhd
1
10098
-- NEED RESULT: ARCH00659: The predefined attributes of an interface object (port) of mode 'inout' for an entity may be read passed -- NEED RESULT: ARCH00659: The predefined attributes of an interface object (port) of mode 'inout' for a block may be read failed -- NEED RESULT: ARCH00659: The predefined signal attributes of an interface object (port) of mode 'inout' for an entity may be read failed -- NEED RESULT: ARCH00659.Proc2: The predefined attributes of an interface object (variable parameter) of mode 'inout' for a subp may be read failed -- NEED RESULT: ARCH00659: The predefined attributes of an interface object (signal parameter) of mode 'inout' for a subp may be read failed -- NEED RESULT: ARCH00659: The predefined signal attributes of an interface object (signal parameter) of mode 'inout' for a subp may be read passed -- NEED RESULT: ARCH00659: The predefined signal attributes of an interface object (port) of mode 'inout' for a block may be read passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00659 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 4.3.3 (17) -- -- DESIGN UNIT ORDERING: -- -- PKG00659 -- PKG00659/BODY -- ENT00659(ARCH00659) -- ENT00659_Test_Bench(ARCH00659_Test_Bench) -- -- REVISION HISTORY: -- -- 26-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- package PKG00659 is subtype ST is Bit_Vector ( 10 to 20 ) ; type Bit_Vector_Array is array (Integer range <>) of ST; function bf_BV (P : Bit_Vector_Array) return ST; subtype rBV is bf_BV ST ; type Int_Arr is array ( Integer range <> ) of Integer ; function bf_I ( P : Int_Arr ) return Integer ; subtype rI is bf_I Integer ; end PKG00659 ; package body PKG00659 is function bf_BV (P : Bit_Vector_Array) return ST is begin return P(P'Left) ; end bf_BV ; function bf_I ( P : Int_Arr ) return Integer is begin return P(P'Left) ; end bf_I ; end PKG00659 ; use WORK.STANDARD_TYPES.all, WORK.PKG00659.all ; entity ENT00659 is port ( Pt1 : inout rBV ; Pt2 : inout rI ) ; end ENT00659 ; -- architecture ARCH00659 of ENT00659 is function To_Real ( P : Integer ) return Real is begin if P = -1 then return -1.0 ; else return -2.0 ; end if ; end To_Real ; function To_Integer ( P : Real ) return Integer is begin if P = -1.0 then return -1 ; else return -2 ; end if ; end To_Integer ; procedure Proc2 ( variable G : inout Bit_Vector ) is subtype ST_Up is integer range G'RANGE ; subtype ST_Down is integer range G'REVERSE_RANGE(1) ; begin test_report ( "ARCH00659.Proc2" , "The predefined attributes of an interface "& "object (variable parameter) of mode 'inout' for a "& "subp may be read" , (G'LEFT = 10) and (G'RIGHT(1) = 20) and (G'HIGH(1) = 20) and (G'LOW = 10) and (G'LENGTH = 11) and (ST_Up'LEFT = 10) and (ST_Up'RIGHT = 20) and (ST_Down'LEFT = 20) and (ST_Down'RIGHT = 10) ) ; end Proc2 ; Procedure Proc3 ( signal Pt1 : inout Bit_Vector; variable correct : out boolean ) is subtype ST_Up is integer range Pt1'RANGE ; subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ; begin correct := (Pt1'LEFT = 10) and (Pt1'RIGHT(1) = 20) and (Pt1'HIGH(1) = 20) and (Pt1'LOW = 10) and (Pt1'LENGTH = 11) and (ST_Up'LEFT = 10) and (ST_Up'RIGHT = 20) and (ST_Down'LEFT = 20) and (ST_Down'RIGHT = 10) ; end Proc3 ; procedure Proc4 ( signal Pt2 : inout Integer; variable correct : out boolean ) is begin correct := (Pt2'EVENT) and (Pt2'ACTIVE) and (STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and (STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and (Pt2'LAST_VALUE = -2) ; end Proc4 ; begin P2 : process -- Check formal port on entity (no signal attributes) subtype ST_Up is integer range Pt1'RANGE ; subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ; begin test_report ( "ARCH00659" , "The predefined attributes of an interface "& "object (port) of mode 'inout' for an entity may be read" , (Pt1'LEFT = 10) and (Pt1'RIGHT(1) = 20) and (Pt1'HIGH(1) = 20) and (Pt1'LOW = 10) and (Pt1'LENGTH = 11) and (ST_Up'LEFT = 10) and (ST_Up'RIGHT = 20) and (ST_Down'LEFT = 20) and (ST_Down'RIGHT = 10) ) ; wait ; end process P2 ; P3 : process ( Pt2 ) -- Check formal port on entity (signal attributes) variable First_Time : boolean := True ; begin if First_Time then First_Time := false ; else test_report ( "ARCH00659" , "The predefined signal attributes of an interface "& "object (port) of mode 'inout' for an entity may "& "be read" , (Pt2'DELAYED(10 ns) = -2) and (Not Pt2'STABLE(10 ns)) and (Not Pt2'QUIET(10 ns)) and (Pt2'EVENT) and (Pt2'ACTIVE) and (STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and (STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and (Pt2'LAST_VALUE = -2) ) ; end if ; end process P3 ; P4 : process ( Pt2 ) -- Check formal parameters in a subprogram variable V : Bit_Vector (10 to 20) ; variable First_Time : boolean := True ; variable correct : boolean ; begin if First_Time then First_Time := false ; else Proc2 (G => V) ; Proc3 (Pt1, correct) ; test_report ( "ARCH00659" , "The predefined attributes of an interface "& "object (signal parameter) of mode 'inout' for a subp "& "may be read" , correct ) ; Proc4 (Pt2, correct) ; test_report ( "ARCH00659" , "The predefined signal attributes of an interface "& "object (signal parameter) of mode 'inout' for a subp "& "may be read" , correct ) ; end if ; end process P4 ; L1 : -- Check block ports/generics block port ( Pt1 : inout Bit_Vector ; Pt2 : inout Real ) ; port map ( Pt1 => Pt1, To_Integer(Pt2) => To_Real(Pt2) ) ; begin BP2 : process -- Check formal port on block (no signal attributes) subtype ST_Up is integer range Pt1'RANGE ; subtype ST_Down is integer range Pt1'REVERSE_RANGE(1) ; begin test_report ( "ARCH00659" , "The predefined attributes of an interface "& "object (port) of mode 'inout' for a block may be read" , (Pt1'LEFT = 10) and (Pt1'RIGHT(1) = 20) and (Pt1'HIGH(1) = 20) and (Pt1'LOW = 10) and (Pt1'LENGTH = 11) and (ST_Up'LEFT = 10) and (ST_Up'RIGHT = 20) and (ST_Down'LEFT = 20) and (ST_Down'RIGHT = 10) ) ; wait ; end process BP2 ; BP3 : process ( Pt2 ) -- Check formal port on a block (signal attributes) variable First_Time : boolean := True ; begin if First_Time then First_Time := false ; else test_report ( "ARCH00659" , "The predefined signal attributes of an interface "& "object (port) of mode 'inout' for a block may be read" , (Pt2'DELAYED(10 ns) = -2.0) and (Not Pt2'STABLE(10 ns)) and (Not Pt2'QUIET(10 ns)) and (Pt2'EVENT) and (Pt2'ACTIVE) and (STD.STANDARD.NOW - Pt2'LAST_EVENT = 10 ns) and (STD.STANDARD.NOW - Pt2'LAST_ACTIVE = 10 ns) and (Pt2'LAST_VALUE = -2.0) ) ; end if ; end process BP3 ; end block L1 ; end ARCH00659 ; -- use WORK.PKG00659.all; entity ENT00659_Test_Bench is end ENT00659_Test_Bench ; architecture ARCH00659_Test_Bench of ENT00659_Test_Bench is begin L1: block component UUT end component ; constant C : ST := B"10101010101" ; signal S1 : rBV := C; signal S2 : rI := -2; for CIS1 : UUT use entity WORK.ENT00659 ( ARCH00659 ) port map ( S1, S2 ) ; begin SigA : S2 <= transport -1 after 10 ns ; CIS1 : UUT ; end block L1 ; end ARCH00659_Test_Bench ; --
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/VHDL_Xilinx_Port/sha256_e0.vhd
4
1129
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 01:52:46 06/02/2011 -- Design Name: -- Module Name: sha256_e0 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity sha256_e0 is Port ( d : in STD_LOGIC_VECTOR (31 downto 0); q : out STD_LOGIC_VECTOR (31 downto 0)); end sha256_e0; architecture Behavioral of sha256_e0 is begin q <= (d(1 downto 0) & d(31 downto 2)) xor (d(12 downto 0) & d(31 downto 13)) xor (d(21 downto 0) & d(31 downto 22)); end Behavioral;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00110.vhd
1
12585
-- NEED RESULT: ARCH00110.P1: Multi transport transactions occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110.P2: Multi transport transactions occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110.P3: Multi transport transactions occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110: One transport transaction occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110: Old transactions were removed on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110: One transport transaction occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110: Old transactions were removed on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110: One transport transaction occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00110: Old transactions were removed on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00110 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00110(ARCH00110) -- ENT00110_Test_Bench(ARCH00110_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00110 is port ( s_st_rec1_vector : inout st_rec1_vector ; s_st_rec2_vector : inout st_rec2_vector ; s_st_rec3_vector : inout st_rec3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1_vector : chk_sig_type := -1 ; signal chk_st_rec2_vector : chk_sig_type := -1 ; signal chk_st_rec3_vector : chk_sig_type := -1 ; -- end ENT00110 ; -- architecture ARCH00110 of ENT00110 is begin PGEN_CHKP_1 : process ( chk_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_rec1_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_rec1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec1_vector(lowb).f2 <= transport c_st_rec1_vector_2(highb).f2 after 10 ns, c_st_rec1_vector_1(highb).f2 after 20 ns ; -- when 1 => correct := s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_1(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00110.P1" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; s_st_rec1_vector(lowb).f2 <= transport c_st_rec1_vector_2(highb).f2 after 10 ns , c_st_rec1_vector_1(highb).f2 after 20 ns , c_st_rec1_vector_2(highb).f2 after 30 ns , c_st_rec1_vector_1(highb).f2 after 40 ns ; -- when 3 => correct := s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1_vector(lowb).f2 <= transport c_st_rec1_vector_1(highb).f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_1(highb).f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00110" , "One transport transaction occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00110" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00110" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_rec2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_rec2_vector = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_rec2_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec2_vector(lowb).f2 <= transport c_st_rec2_vector_2(highb).f2 after 10 ns, c_st_rec2_vector_1(highb).f2 after 20 ns ; -- when 1 => correct := s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_1(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00110.P2" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; s_st_rec2_vector(lowb).f2 <= transport c_st_rec2_vector_2(highb).f2 after 10 ns , c_st_rec2_vector_1(highb).f2 after 20 ns , c_st_rec2_vector_2(highb).f2 after 30 ns , c_st_rec2_vector_1(highb).f2 after 40 ns ; -- when 3 => correct := s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2_vector(lowb).f2 <= transport c_st_rec2_vector_1(highb).f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_1(highb).f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00110" , "One transport transaction occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00110" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00110" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_rec3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_rec3_vector = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_rec3_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; begin case counter is when 0 => s_st_rec3_vector(lowb).f2 <= transport c_st_rec3_vector_2(highb).f2 after 10 ns, c_st_rec3_vector_1(highb).f2 after 20 ns ; -- when 1 => correct := s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_1(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00110.P3" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; s_st_rec3_vector(lowb).f2 <= transport c_st_rec3_vector_2(highb).f2 after 10 ns , c_st_rec3_vector_1(highb).f2 after 20 ns , c_st_rec3_vector_2(highb).f2 after 30 ns , c_st_rec3_vector_1(highb).f2 after 40 ns ; -- when 3 => correct := s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3_vector(lowb).f2 <= transport c_st_rec3_vector_1(highb).f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_1(highb).f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00110" , "One transport transaction occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00110" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00110" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P3 ; -- -- end ARCH00110 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00110_Test_Bench is signal s_st_rec1_vector : st_rec1_vector := c_st_rec1_vector_1 ; signal s_st_rec2_vector : st_rec2_vector := c_st_rec2_vector_1 ; signal s_st_rec3_vector : st_rec3_vector := c_st_rec3_vector_1 ; -- end ENT00110_Test_Bench ; -- architecture ARCH00110_Test_Bench of ENT00110_Test_Bench is begin L1: block component UUT port ( s_st_rec1_vector : inout st_rec1_vector ; s_st_rec2_vector : inout st_rec2_vector ; s_st_rec3_vector : inout st_rec3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00110 ( ARCH00110 ) ; begin CIS1 : UUT port map ( s_st_rec1_vector , s_st_rec2_vector , s_st_rec3_vector ) ; end block L1 ; end ARCH00110_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00315.vhd
1
3863
-- NEED RESULT: ARCH00315: Process with Sens List and End Label passed -- NEED RESULT: ARCH00315: Process has no process declarative items in itsdeclarative part passed -- NEED RESULT: ARCH00315: Process with Sens List and No End Label passed -- NEED RESULT: ARCH00315: Process has no process declarative items in itsdeclarative part passed -- NEED RESULT: ARCH00315: Process with No Sens List and End Label passed -- NEED RESULT: ARCH00315: Process has no process declarative items in itsdeclarative part passed -- NEED RESULT: ARCH00315: Process with No Sens List and No End Label passed -- NEED RESULT: ARCH00315: Process has no process declarative items in itsdeclarative part passed -- NEED RESULT: ARCH00315: Test completed successfully passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00315 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.2 (1) -- 9.2 (2) -- 9.2 (4) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00315) -- ENT00315_Test_Bench(ARCH00315_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00315 of E00000 is signal s1, s2 : boolean := True ; signal chk1, chk2, chk3, chk4, Complete : boolean := False ; begin P1 : -- Sensitivity List and End Label process ( s1 ) begin test_report ( "ARCH00315" , "Process with Sens List and End Label" , True ) ; test_report ( "ARCH00315" , "Process has no process declarative items in its" & "declarative part" , True ) ; chk1 <= transport True ; end process P1 ; P2 : -- Sensitivity List and No End Label process ( s2 ) begin test_report ( "ARCH00315" , "Process with Sens List and No End Label" , True ) ; test_report ( "ARCH00315" , "Process has no process declarative items in its" & "declarative part" , True ) ; chk2 <= transport True ; end process ; P3 : -- No Sensitivity List and End Label process begin test_report ( "ARCH00315" , "Process with No Sens List and End Label" , True ) ; test_report ( "ARCH00315" , "Process has no process declarative items in its" & "declarative part" , True ) ; chk3 <= transport True ; wait ; end process P3 ; P4 : -- No Sensitivity List and No End Label process begin test_report ( "ARCH00315" , "Process with No Sens List and No End Label" , True ) ; test_report ( "ARCH00315" , "Process has no process declarative items in its" & "declarative part" , True ) ; chk4 <= transport True ; wait ; end process ; complete <= transport True after 100 ns ; Completion_Test : process ( complete ) variable First_Time : boolean := True ; begin if First_Time then First_Time := False ; else test_report ( "ARCH00315" , "Test completed successfully" , (chk1 and chk2 and chk3 and chk4) ) ; end if ; end process Completion_Test ; end ARCH00315 ; entity ENT00315_Test_Bench is end ENT00315_Test_Bench ; architecture ARCH00315_Test_Bench of ENT00315_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00315 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00315_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00105.vhd
1
5784
-- NEED RESULT: ARCH00105.P1: Multi transport transactions occurred on signal asg with slice name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00105: One transport transaction occurred on signal asg with slice name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00105: Old transactions were removed on signal asg with slice name prefixed by an indexed name on LHS passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00105 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00105(ARCH00105) -- ENT00105_Test_Bench(ARCH00105_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00105 is port ( s_st_arr1_vector : inout st_arr1_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; -- end ENT00105 ; -- architecture ARCH00105 of ENT00105 is begin PGEN_CHKP_1 : process ( chk_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_arr1_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_arr1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 10 ns, c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 20 ns ; -- when 1 => correct := s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_2(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_1(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00105.P1" , "Multi transport transactions occurred on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 10 ns , c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 20 ns , c_st_arr1_vector_2(highb) (lowb+1 to highb-1) after 30 ns , c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 40 ns ; -- when 3 => correct := s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_2(highb) (lowb+1 to highb-1) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector(lowb) (lowb+1 to highb-1) <= transport c_st_arr1_vector_1(highb) (lowb+1 to highb-1) after 5 ns ; -- when 4 => correct := correct and s_st_arr1_vector(lowb) (lowb+1 to highb-1) = c_st_arr1_vector_1(highb) (lowb+1 to highb-1) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00105" , "One transport transaction occurred on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00105" , "Old transactions were removed on signal " & "asg with slice name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00105" , "Old transactions were removed on signal " & "asg with slice name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P1 ; -- -- end ARCH00105 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00105_Test_Bench is signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; -- end ENT00105_Test_Bench ; -- architecture ARCH00105_Test_Bench of ENT00105_Test_Bench is begin L1: block component UUT port ( s_st_arr1_vector : inout st_arr1_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00105 ( ARCH00105 ) ; begin CIS1 : UUT port map ( s_st_arr1_vector ) ; end block L1 ; end ARCH00105_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00078.vhd
1
13459
-- NEED RESULT: ARCH00078.P1: Multi transport transactions occurred on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078.P2: Multi transport transactions occurred on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078.P3: Multi transport transactions occurred on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078: One transport transaction occurred on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078: Old transactions were removed on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078: One transport transaction occurred on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078: Old transactions were removed on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078: One transport transaction occurred on signal asg with indexed name on LHS passed -- NEED RESULT: ARCH00078: Old transactions were removed on signal asg with indexed name on LHS passed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00078 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00078) -- ENT00078_Test_Bench(ARCH00078_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00078 of E00000 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1 : chk_sig_type := -1 ; signal chk_st_arr2 : chk_sig_type := -1 ; signal chk_st_arr3 : chk_sig_type := -1 ; -- signal s_st_arr1 : st_arr1 := c_st_arr1_1 ; signal s_st_arr2 : st_arr2 := c_st_arr2_1 ; signal s_st_arr3 : st_arr3 := c_st_arr3_1 ; -- begin PGEN_CHKP_1 : process ( chk_st_arr1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_arr1 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_arr1 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr1 (st_arr1'Left) <= transport c_st_arr1_2 (st_arr1'Right) after 10 ns, c_st_arr1_1 (st_arr1'Right) after 20 ns ; -- when 1 => correct := s_st_arr1 (st_arr1'Left) = c_st_arr1_2 (st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1 (st_arr1'Left) = c_st_arr1_1 (st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00078.P1" , "Multi transport transactions occurred on signal " & "asg with indexed name on LHS", correct ) ; s_st_arr1 (st_arr1'Left) <= transport c_st_arr1_2 (st_arr1'Right) after 10 ns, c_st_arr1_1 (st_arr1'Right) after 20 ns, c_st_arr1_2 (st_arr1'Right) after 30 ns, c_st_arr1_1 (st_arr1'Right) after 40 ns ; -- when 3 => correct := s_st_arr1 (st_arr1'Left) = c_st_arr1_2 (st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1 (st_arr1'Left) <= transport c_st_arr1_1 (st_arr1'Right) after 5 ns; -- when 4 => correct := correct and s_st_arr1 (st_arr1'Left) = c_st_arr1_1 (st_arr1'Right) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00078" , "One transport transaction occurred on signal " & "asg with indexed name on LHS", correct ) ; test_report ( "ARCH00078" , "Old transactions were removed on signal " & "asg with indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00078" , "Old transactions were removed on signal " & "asg with indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_arr2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_arr2 = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_arr2 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_2 ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_1 ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_2 ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_1 ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00078.P2" , "Multi transport transactions occurred on signal " & "asg with indexed name on LHS", correct ) ; s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_2 ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_1 ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns, c_st_arr2_2 ( st_arr2'Right(1),st_arr2'Right(2)) after 30 ns, c_st_arr2_1 ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_2 ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_1 ( st_arr2'Right(1),st_arr2'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr2 ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_1 ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00078" , "One transport transaction occurred on signal " & "asg with indexed name on LHS", correct ) ; test_report ( "ARCH00078" , "Old transactions were removed on signal " & "asg with indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00078" , "Old transactions were removed on signal " & "asg with indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_arr3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_arr3 = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_arr3 ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_2 ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_1 ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_2 ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_1 ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00078.P3" , "Multi transport transactions occurred on signal " & "asg with indexed name on LHS", correct ) ; s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_2 ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_1 ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns, c_st_arr3_2 ( st_arr3'Right(1),st_arr3'Right(2)) after 30 ns, c_st_arr3_1 ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_2 ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_1 ( st_arr3'Right(1),st_arr3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr3 ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_1 ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00078" , "One transport transaction occurred on signal " & "asg with indexed name on LHS", correct ) ; test_report ( "ARCH00078" , "Old transactions were removed on signal " & "asg with indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00078" , "Old transactions were removed on signal " & "asg with indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P3 ; -- -- end ARCH00078 ; -- entity ENT00078_Test_Bench is end ENT00078_Test_Bench ; -- architecture ARCH00078_Test_Bench of ENT00078_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00078 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00078_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00440.vhd
1
4709
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00440 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.2.4 (1) -- 7.2.4 (4) -- 7.2.4 (11) -- 7.2.4 (12) -- 7.2.4 (13) -- -- DESIGN UNIT ORDERING: -- -- ENT00440(ARCH00440) -- ENT00440_Test_Bench(ARCH00440_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.ARITHMETIC.ALL ; entity ENT00440 is generic ( i_int_1 : integer := c_int_1 ; i_int_2 : integer := c_int_2 ; i_t_int_1 : t_int := c_t_int_1 ; i_t_int_2 : t_int := c_t_int_2 ; i_st_int_1 : st_int := c_st_int_1 ; i_st_int_2 : st_int := c_st_int_2 ) ; port ( locally_static_correct : out boolean ; globally_static_correct : out boolean ; dynamic_correct : out boolean ) ; end ENT00440 ; architecture ARCH00440 of ENT00440 is constant c2_int_1 : integer := (-10) rem i_int_1 + i_int_1 rem i_int_2 + i_int_1 rem (i_int_1 - 1) - i_int_2 rem (c_int_2 + 1) ; constant c2_t_int_1 : t_int := (1) rem i_t_int_1 + (i_t_int_1) rem i_t_int_2 + (-i_t_int_1) rem 2 - c_t_int_2 rem (i_t_int_2 - 1) ; constant c2_st_int_1 : st_int := (-0) rem i_st_int_2 + i_t_int_1 rem (i_st_int_1) + (i_st_int_1 rem i_t_int_2) - (c_st_int_2) rem i_t_int_2 ; begin process variable bool : boolean := true ; variable cons_correct, gen_correct, dyn_correct : boolean := true ; -- variable v_int_1, v2_int_1 : integer := i_int_1 ; variable v_int_2, v2_int_2 : integer := i_int_2 ; variable v_t_int_1, v2_t_int_1 : t_int := i_t_int_1 ; variable v_t_int_2, v2_t_int_2 : t_int := i_t_int_2 ; variable v_st_int_1, v2_st_int_1 : st_int := i_st_int_1 ; variable v_st_int_2, v2_st_int_2 : st_int := i_st_int_2 ; -- begin -- static expression case bool is when ( (-10) rem c_int_1 + c_int_1 rem c_int_2 + c_int_1 rem (c_int_1 - 1) - c_int_2 rem (c_int_2 + 1) = 5 and (1) rem c_t_int_1 + (c_t_int_1) rem c_t_int_2 + (-c_t_int_1) rem 2 - c_t_int_2 rem (c_t_int_2 - 1) = 6 and (-0) rem c_st_int_2 + c_t_int_1 rem (c_st_int_1) + (c_st_int_1 rem c_t_int_2) - (c_st_int_2) rem c_t_int_2 = 3 ) => null ; when others => cons_correct := false ; end case ; -- generic expression gen_correct := c2_int_1 = 5 and c2_t_int_1 = 6 and c2_st_int_1 = 3 ; -- dynamic expression v2_int_1 := (-10) rem v_int_1 + v_int_1 rem v_int_2 + v_int_1 rem (v_int_1 - 1) - v_int_2 rem (i_int_2 + 1) ; v2_t_int_1 := (1) rem v_t_int_1 + (v_t_int_1) rem v_t_int_2 + (-v_t_int_1) rem 2 - i_t_int_2 rem (v_t_int_2 - 1) ; v2_st_int_1 := (-0) rem v_st_int_2 + v_t_int_1 rem (v_st_int_1) + (v_st_int_1 rem v_t_int_2) - (c_st_int_2) rem v_t_int_2 ; dyn_correct := v2_int_1 = 5 and v2_t_int_1 = 6 and v2_st_int_1 = 3 ; locally_static_correct <= cons_correct ; globally_static_correct <= gen_correct ; dynamic_correct <= dyn_correct ; wait ; end process ; end ARCH00440 ; use WORK.STANDARD_TYPES.all ; entity ENT00440_Test_Bench is end ENT00440_Test_Bench ; architecture ARCH00440_Test_Bench of ENT00440_Test_Bench is begin L1: block signal locally_static_correct, globally_static_correct, dynamic_correct : boolean := false ; component UUT port ( locally_static_correct : out boolean := false ; globally_static_correct : out boolean := false ; dynamic_correct : out boolean := false ) ; end component ; for CIS1 : UUT use entity WORK.ENT00440 ( ARCH00440 ) ; begin CIS1 : UUT port map ( locally_static_correct, globally_static_correct, dynamic_correct ) ; process ( locally_static_correct, globally_static_correct, dynamic_correct ) begin if locally_static_correct and globally_static_correct and dynamic_correct then test_report ( "ARCH00440" , "rem predefined for integer types" , true ) ; end if ; end process ; end block L1 ; end ARCH00440_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00316.vhd
1
3690
-- NEED RESULT: ARCH00316: An if statement in a Process passed -- NEED RESULT: ARCH00316: A procedure/function call and a variable asg in a Process passed -- NEED RESULT: An assert in a Process Passed -- NEED RESULT: ARCH00316: A case statement in a Process passed -- NEED RESULT: ARCH00316: Loop and exit statement in a Process passed -- NEED RESULT: ARCH00316: Loop and next statement in a Process passed -- NEED RESULT: ARCH00316: Null, signal asg, and wait statements in a Process passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00316 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.2 (3) -- 9.2 (5) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00316) -- ENT00316_Test_Bench(ARCH00316_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00316 of E00000 is signal s1 : boolean := false ; begin P1 : process procedure A_Proc ( variable Entered : inout boolean ) ; constant C : integer := 2 ; function A_Func ( Dummy : integer := C ) return boolean is begin return Dummy = C ; end A_Func ; procedure A_Proc ( variable Entered : inout boolean ) is begin Entered := True ; end A_Proc ; type A_Type is ( e0, e1, e2, e3, e4, e5 ) ; subtype A_Subtype is A_Type range e2 to e4 ; alias An_Alias : Integer range 2 to 4 is C ; variable A_Var : A_Subtype := A_Type'Val (An_Alias) ; -- e2 attribute An_Attr : A_Subtype ; attribute An_Attr of A_Var : variable is e2 ; variable v1, v2 : boolean ; begin if A_Var = A_Var'An_Attr then test_report ( "ARCH00316" , "An if statement in a Process" , True ) ; else test_report ( "ARCH00316" , "An if statement in a Process" , False ) ; end if ; A_Proc ( v1 ) ; -- Returns True v2 := v1 ; test_report ( "ARCH00316" , "A procedure/function call and a variable asg in a Process" , A_Func and v1 and v2 ) ; assert False report "An assert in a Process Passed" severity Note ; case A_Subtype'Low is when e2 => test_report ( "ARCH00316" , "A case statement in a Process" , True ) ; when Others => test_report ( "ARCH00316" , "A case statement in a Process" , False ) ; end case ; loop exit ; v2 := false ; end loop ; test_report ( "ARCH00316" , "Loop and exit statement in a Process" , v2 ) ; for i in 1 to 10 loop next ; v1 := false; end loop ; test_report ( "ARCH00316" , "Loop and next statement in a Process" , v1 ) ; null ; s1 <= transport Not s1 ; -- Schedule True wait on s1 for 1 ns ; test_report ( "ARCH00316" , "Null, signal asg, and wait statements in a Process" , s1 ) ; wait ; end process P1 ; end ARCH00316 ; entity ENT00316_Test_Bench is end ENT00316_Test_Bench ; architecture ARCH00316_Test_Bench of ENT00316_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00316 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00316_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00115.vhd
1
6129
-- NEED RESULT: ARCH00115.P1: Multi transport transactions occurred on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00115: One transport transaction occurred on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: ARCH00115: Old transactions were removed on signal asg with indexed name prefixed by a selected name on LHS failed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00115 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00115(ARCH00115) -- ENT00115_Test_Bench(ARCH00115_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00115 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- procedure Proc1 ( signal s_st_rec3 : inout st_rec3 ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_rec3 : out chk_sig_type ) is begin case counter is when 0 => s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00115.P1" , "Multi transport transactions occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 10 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 20 ns, c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 30 ns, c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_2.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) <= transport c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_rec3.f3 ( s_st_rec3.f3'Left(1),s_st_rec3.f3'Left(2)) = c_st_rec3_1.f3 ( s_st_rec3.f3'Right(1),s_st_rec3.f3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00115" , "One transport transaction occurred on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; test_report ( "ARCH00115" , "Old transactions were removed on signal " & "asg with indexed name prefixed by a selected name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00115" , "Old transactions were removed on signal " & "asg with indexed name prefixed by a selected name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- -- end ENT00115 ; -- architecture ARCH00115 of ENT00115 is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- begin PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_rec3 ) variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_rec3, counter, correct, savtime, chk_st_rec3 ) ; end process P1 ; -- -- end ARCH00115 ; -- entity ENT00115_Test_Bench is end ENT00115_Test_Bench ; -- architecture ARCH00115_Test_Bench of ENT00115_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00115 ( ARCH00115 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00115_Test_Bench ;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rdmux.vhd
18
69394
------------------------------------------------------------------------------- -- axi_datamover_rdmux.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_rdmux.vhd -- -- Description: -- This file implements the DataMover Master Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the width of the AXI Stream Data Channel ); port ( -- AXI MMap Data Channel Input ----------------------------------------------- -- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- ------------------------------------------------------------------------------- -- AXI Master Stream --------------------------------------------------------- -- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- --Mux data output -- ------------------------------------------------------------------------------- -- Command Calculator Interface ----------------------------------------------- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ------------------------------------------------------------------------------- ); end entity axi_datamover_rdmux; architecture implementation of axi_datamover_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- 1024-bit channel case var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when others => -- 1 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when others => -- 3 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when others => -- 7 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when others => -- 15 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when others => -- 31 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); end case; end process DO_32XN_NUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel input mux case -- -- ------------------------------------------------------------ GEN_64XN : if (NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_64XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when others => -- 63 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); end case; end process DO_64XN_NUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel input mux case -- -- ------------------------------------------------------------ GEN_128XN : if (NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_128XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when 63 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); when 64 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*65)-1 downto CHANNEL_DWIDTH*64) ; when 65 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*66)-1 downto CHANNEL_DWIDTH*65) ; when 66 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*67)-1 downto CHANNEL_DWIDTH*66) ; when 67 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*68)-1 downto CHANNEL_DWIDTH*67) ; when 68 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*69)-1 downto CHANNEL_DWIDTH*68) ; when 69 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*70)-1 downto CHANNEL_DWIDTH*69) ; when 70 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*71)-1 downto CHANNEL_DWIDTH*70) ; when 71 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*72)-1 downto CHANNEL_DWIDTH*71) ; when 72 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*73)-1 downto CHANNEL_DWIDTH*72) ; when 73 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*74)-1 downto CHANNEL_DWIDTH*73) ; when 74 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*75)-1 downto CHANNEL_DWIDTH*74) ; when 75 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*76)-1 downto CHANNEL_DWIDTH*75) ; when 76 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*77)-1 downto CHANNEL_DWIDTH*76) ; when 77 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*78)-1 downto CHANNEL_DWIDTH*77) ; when 78 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*79)-1 downto CHANNEL_DWIDTH*78) ; when 79 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*80)-1 downto CHANNEL_DWIDTH*79) ; when 80 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*81)-1 downto CHANNEL_DWIDTH*80) ; when 81 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*82)-1 downto CHANNEL_DWIDTH*81) ; when 82 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*83)-1 downto CHANNEL_DWIDTH*82) ; when 83 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*84)-1 downto CHANNEL_DWIDTH*83) ; when 84 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*85)-1 downto CHANNEL_DWIDTH*84) ; when 85 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*86)-1 downto CHANNEL_DWIDTH*85) ; when 86 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*87)-1 downto CHANNEL_DWIDTH*86) ; when 87 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*88)-1 downto CHANNEL_DWIDTH*87) ; when 88 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*89)-1 downto CHANNEL_DWIDTH*88) ; when 89 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*90)-1 downto CHANNEL_DWIDTH*89) ; when 90 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*91)-1 downto CHANNEL_DWIDTH*90) ; when 91 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*92)-1 downto CHANNEL_DWIDTH*91) ; when 92 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*93)-1 downto CHANNEL_DWIDTH*92) ; when 93 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*94)-1 downto CHANNEL_DWIDTH*93) ; when 94 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*95)-1 downto CHANNEL_DWIDTH*94) ; when 95 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*96)-1 downto CHANNEL_DWIDTH*95) ; when 96 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*97 )-1 downto CHANNEL_DWIDTH*96 ) ; when 97 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*98 )-1 downto CHANNEL_DWIDTH*97 ) ; when 98 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*99 )-1 downto CHANNEL_DWIDTH*98 ) ; when 99 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*100)-1 downto CHANNEL_DWIDTH*99 ) ; when 100 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*101)-1 downto CHANNEL_DWIDTH*100) ; when 101 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*102)-1 downto CHANNEL_DWIDTH*101) ; when 102 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*103)-1 downto CHANNEL_DWIDTH*102) ; when 103 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*104)-1 downto CHANNEL_DWIDTH*103) ; when 104 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*105)-1 downto CHANNEL_DWIDTH*104) ; when 105 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*106)-1 downto CHANNEL_DWIDTH*105) ; when 106 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*107)-1 downto CHANNEL_DWIDTH*106) ; when 107 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*108)-1 downto CHANNEL_DWIDTH*107) ; when 108 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*109)-1 downto CHANNEL_DWIDTH*108) ; when 109 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*110)-1 downto CHANNEL_DWIDTH*109) ; when 110 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*111)-1 downto CHANNEL_DWIDTH*110) ; when 111 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*112)-1 downto CHANNEL_DWIDTH*111) ; when 112 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*113)-1 downto CHANNEL_DWIDTH*112) ; when 113 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*114)-1 downto CHANNEL_DWIDTH*113) ; when 114 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*115)-1 downto CHANNEL_DWIDTH*114) ; when 115 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*116)-1 downto CHANNEL_DWIDTH*115) ; when 116 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*117)-1 downto CHANNEL_DWIDTH*116) ; when 117 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*118)-1 downto CHANNEL_DWIDTH*117) ; when 118 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*119)-1 downto CHANNEL_DWIDTH*118) ; when 119 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*120)-1 downto CHANNEL_DWIDTH*119) ; when 120 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*121)-1 downto CHANNEL_DWIDTH*120) ; when 121 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*122)-1 downto CHANNEL_DWIDTH*121) ; when 122 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*123)-1 downto CHANNEL_DWIDTH*122) ; when 123 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*124)-1 downto CHANNEL_DWIDTH*123) ; when 124 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*125)-1 downto CHANNEL_DWIDTH*124) ; when 125 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*126)-1 downto CHANNEL_DWIDTH*125) ; when 126 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*127)-1 downto CHANNEL_DWIDTH*126) ; when others => -- 127 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*128)-1 downto CHANNEL_DWIDTH*127) ; end case; end process DO_128XN_NUX; end generate GEN_128XN; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_dre_mux4_1_x_n.vhd
18
5491
------------------------------------------------------------------------------- -- axi_datamover_dre_mux4_1_x_n.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_dre_mux4_1_x_n.vhd -- -- Description: -- -- This VHDL file provides a 4 to 1 by N bits wide mux for the AXI Data Realignment -- Engine (DRE). -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_arith.all; ------------------------------------------------------------------------------- -- Start 4 to 1 xN Mux ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- Entity axi_datamover_dre_mux4_1_x_n is generic ( C_WIDTH : Integer := 8 -- Sets the bit width of the 4x Mux slice ); port ( Sel : In std_logic_vector(1 downto 0); -- Mux select control I0 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 0 input I1 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 1 input I2 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 2 input I3 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 3 input Y : Out std_logic_vector(C_WIDTH-1 downto 0) -- Mux output value ); end entity axi_datamover_dre_mux4_1_x_n; -- Architecture implementation of axi_datamover_dre_mux4_1_x_n is begin ------------------------------------------------------------- -- Combinational Process -- -- Label: SELECT4_1 -- -- Process Description: -- This process implements an 4 to 1 mux. -- ------------------------------------------------------------- SELECT4_1 : process (Sel, I0, I1, I2, I3) begin case Sel is when "00" => Y <= I0; when "01" => Y <= I1; when "10" => Y <= I2; when "11" => Y <= I3; when others => Y <= I0; end case; end process SELECT4_1; end implementation; -- axi_datamover_dre_mux4_1_x_n ------------------------------------------------------------------------------- -- End 4 to 1 xN Mux -------------------------------------------------------------------------------
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/lib_fifo_v1_0/hdl/src/vhdl/sync_fifo_fg.vhd
7
70413
-- sync_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: sync_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new -- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- sync_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/16/2008$ -- -- History: -- DET 1/16/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3 -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 4/9/2009 EDK 11.2 -- ~~~~~~ -- - Replaced FIFO Generator version 5.1 with 5.2. -- ^^^^^^ -- -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0_5 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- KARTHEEK 03/02/2016 -- - Update to use fifo_generator_v13_1_1 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v13_1_1; use fifo_generator_v13_1_1.all; ------------------------------------------------------------------------------- entity sync_fifo_fg is generic ( C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DCOUNT_WIDTH : integer := 4 ; C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo C_HAS_DCOUNT : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_ERR : integer := 0 ; C_HAS_ALMOST_FULL : integer := 0 ; C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM C_PORTS_DIFFER : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; C_READ_DATA_WIDTH : integer := 16; C_READ_DEPTH : integer := 16; C_RD_ERR_LOW : integer := 0 ; C_WR_ACK_LOW : integer := 0 ; C_WR_ERR_LOW : integer := 0 ; C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through C_WRITE_DATA_WIDTH : integer := 16; C_WRITE_DEPTH : integer := 16; C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8 ); port ( Clk : in std_logic; Sinit : in std_logic; Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0); Wr_en : in std_logic; Rd_en : in std_logic; Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0); Almost_full : out std_logic; Full : out std_logic; Empty : out std_logic; Rd_ack : out std_logic; Wr_ack : out std_logic; Rd_err : out std_logic; Wr_err : out std_logic; Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0) ); end entity sync_fifo_fg; architecture implementation of sync_fifo_fg is -- Function delarations function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMaxDepth -- -- Function Description: -- Returns the largest value of either Write depth or Read depth -- requested by input parameters. -- ------------------------------------------------------------------- function GetMaxDepth (rd_depth : integer; wr_depth : integer) return integer is Variable max_value : integer := 0; begin If (rd_depth < wr_depth) Then max_value := wr_depth; else max_value := rd_depth; End if; return(max_value); end function GetMaxDepth; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- -- changing this to C_FAMILY Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- lib_fifo supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; -- Calculate associated FIFO characteristics Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH); Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1; Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 0; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4; -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals signal sig_full : std_logic; signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0); signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal ALMOST_EMPTY : std_logic; signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising clock edge to issue assertion -- Wait until Clk = '1'; -- wait until Clk = '0'; -- Wait until Clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait;-- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Almost_full <= '0' ; -- : out std_logic; -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Rd_ack <= '0' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IfGen implements the fifo using fifo_generator_v9_3 -- when the designated FPGA Family is Spartan-6, Virtex-6 or -- later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin --UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu" or FAMILY_TO_USE = "virtexuplus" or FAMILY_TO_USE = "kintexuplus" or FAMILY_TO_USE = "zynquplus") generate UltraScale_device: if (FAMILY_TO_USE /= "virtex7" and FAMILY_TO_USE /= "kintex7" and FAMILY_TO_USE /= "artix7" and FAMILY_TO_USE /= "zynq") generate begin Full <= sig_full or WR_RST_BUSY; end generate UltraScale_device; --Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu" and FAMILY_TO_USE /= "virtexuplus" and FAMILY_TO_USE /= "kintexuplus" and FAMILY_TO_USE/= "zynquplus") generate Series7_device: if (FAMILY_TO_USE = "virtex7" or FAMILY_TO_USE = "kintex7" or FAMILY_TO_USE = "artix7" or FAMILY_TO_USE = "zynq") generate begin Full <= sig_full; end generate Series7_device; -- Create legacy data count by concatonating the Full flag to the -- MS Bit position of the FIFO data count -- This is per the Fifo Generator Migration Guide sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt; Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto FGEN_CNT_WIDTH-C_DCOUNT_WIDTH); ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- BRAM implementations of a legacy Sync FIFO -- ------------------------------------------------------------------------------- I_SYNC_FIFO_BRAM : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1 generic map( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ??? C_DEFAULT_VALUE => "BlankString", -- what to do here ??? C_DIN_WIDTH => C_WRITE_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_READ_DATA_WIDTH, C_ENABLE_RLOCS => 0, -- not supported C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => C_HAS_DCOUNT, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_RD_RST => 0, -- not used for sync FIFO C_HAS_RST => 0, -- not used for sync FIFO C_HAS_SRST => 1, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_WR_RST => 0, -- not used for sync FIFO C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_RD_DEPTH => MAX_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => C_RD_ACK_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_DEPTH => MAX_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map( backup => '0', backup_marker => '0', clk => Clk, rst => '0', srst => Sinit, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => sig_full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => ALMOST_EMPTY, valid => Rd_ack, underflow => Rd_err, data_count => sig_prim_fg_datacnt, rd_data_count => RD_DATA_COUNT, wr_data_count => WR_DATA_COUNT, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate FAMILY_SUPPORTED; end implementation;
gpl-3.0