repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
tnsrb93/G1_RealTimeDCTSteganography | src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl | 3 | 1553 | -- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 10:38:54 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl
-- Design : scfifo_32in_32out_1kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity scfifo_32in_32out_1kb is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end scfifo_32in_32out_1kb;
architecture stub of scfifo_32in_32out_1kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[31:0],wr_en,rd_en,dout[31:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
| gpl-3.0 |
tnsrb93/G1_RealTimeDCTSteganography | src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/xbip_pipe_v3_0_0/hdl/xbip_pipe_v3_0.vhd | 1 | 8323 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
g8r/7sde1iyR0STftzcYOcdH/3R+q3JXUCZQpPRz/VObMWWqrxZsHW7lLAXgWiq4LPjiaWHF+vPi
AECUpOzjEg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WO7DgZGyzsVW5LpO/Eo3jPkPwTvvisAARwFpj2ThVqKHqWqYz+cfigwxmDVkJRua0WFfWGJfALzZ
wH9inJ1f2CNVtaotQX0lZ5c362qhx1ui46ZI+45doxR7KHnJYjtJt0bjBJRxWiG1ibF5Ibq1Vypq
pWOz4nlaE+qETERLz8k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l/QRLOPuCKHCQg9QTQMR7jaNBVIni483AUdnDJbuUz9G/TnesoE+ckhte/F0j4T0BnQXltD2Tnpx
iVDzBTduCY+rrKSf4BDtqZQWJixR7872ZqBGdzwwbc3lZRFia4ykuBaMAKWhpB3egOY8nll78wm0
IlvLFfiXsSWw6JaF5MsY2IumW7cs9XxYvVrO4NCsL96xF17E8iSUPKLB2HRiNN0435RV6oaVGuFP
6dDpS/axWCBwmIlrR1/AJYmARBBTb/HJMKmuWtKGLARg5e4GekIKL5niXM5CaBOaK1N2RkA9p8cv
1ZaBmtz4Yz5BlqinZppN0hM7m21yUJeY3vk0LA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SIPc+Pr9P1+9JsBFlLDSyhr56wAGsokSTHVRjBnYtNQRv2Cm5GaMw9a4/GZLBPH4gUodqp7zeOyV
CWSlDOlDpo/32Shb9Z69I9aAKcLsfexMWcoMotgY/7e+Q0QLV7cYrd/z/ObLMAAUU5jChSdYnzlS
+7VMeKlMLT2qVS51Zgk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Pasliv+gc6ueSrwDi8CYMLQuGH7X/hw+ACS+RP+c7r6sIaXZM3oFjtvI/1vDkQwJt1DRpzLcGPpf
nX1SRapBAYpWFD/ImY3wBJ8C2f4pksIHaMrjA1wpWFNCX9VFKYl/zBBBB3CLfQ/oAH+HyUHSfuky
Q11Q+PE56TbXHxVkPRT3n1MMU6Dz1GmFhKhauQh4dtuk68rUVbIj1iVkOAV/24pJz11QsRqZTsaY
omz9cQKbLN2TrFSoAkUJgbRAynTACbr8zvFgBQybG7Ha8oZ9TmwUMCoCzJ51TocJML5Wa3hez2Gv
PJVH7QQFGyJyKD2iA/1Cm51lM15588DZ6VeZ5w==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fitjjbF+Uadig+MOn0ROwIXMOGmAsKF+ai2aPPzK1LuoHEybEUjV3Ow+S4tCN3XQ0vXQwlJ9qrkh
XjAxKmcndINrHSnUQUnxaTr0eUO3vd2WqvZ7Ju0XJDR4+PjdZ4oM1DsnXl/hmdtnOjsCyplOs60m
9W9MbYlqrIN0NheOVo+Zaea/RQAZCCYgUcu9j2btQONsOmorBJXqpSvBA2MTjrhGQONrMBGpIptc
e9X97HPIpJ+DVROxngOntMcwYa41rY0znA1gjAtxPvzggRWl9qqUkQqmAlth9BjTr5K+UBTT1aTi
YdQaO4qgUwRsHEtK35jViPEl7DIlbfQ25Evt2A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032)
`protect data_block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`protect end_protected
| gpl-3.0 |
trsk/etip | Projekt1/Implementierung/Test_Versions/implementierung1c.vhd | 1 | 3297 | ----
-- This file is part of etip-ss11-g07.
--
-- Copyright (C) 2011 Lukas Märdian <[email protected]>
-- Copyright (C) 2011 M. S.
-- Copyright (C) 2011 Orest Tarasiuk <[email protected]>
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
----
LIBRARY ieee;
USE ieee.numeric_std.all;
USE ieee.std_logic_1164.all;
ENTITY BINBCD IS
PORT(
clk : IN std_logic;
bin_input : IN std_logic_vector (16 DOWNTO 0);
einer, zehner, hunderter, tausender, zehntausender : OUT std_logic_vector (3 DOWNTO 0);
overflow : OUT std_logic
);
END BINBCD;
ARCHITECTURE DoubleDabbleV3 OF BINBCD IS
SIGNAL int_input : integer := 0;
BEGIN
int_input <= to_integer(unsigned(bin_input));
IF (int_input <= 99999) THEN
overflow := '0';
ELSE
overflow := '1';
einer := "0000";
zehner := "0000";
hunderter := "0000";
tausender := "0000";
zehntausender := "0000";
END IF;
PROCESS(clk)
VARIABLE vector: std_logic_vector(36 DOWNTO 0) := "00000000000000000000" & bin_input;
VARIABLE int_bcd_seg : integer := 0;
BEGIN
IF (rising_edge(clk)) AND (overflow = '0') THEN
FOR i IN 0 TO 17 LOOP
-- Prüfen, ob größergleich 5; falls ja, dann 3 addieren für:
-- Zehntausender
int_bcd_seg <= to_integer(unsigned(vector(3 DOWNTO 0)));
IF (int_bcd_seg >= 5) THEN
vector(3 DOWNTO 0) <= std_logic_vector(to_unsigned(int_bcd_seg + 3));
END IF;
-- Tausender
int_bcd_seg <= to_integer(unsigned(vector(7 DOWNTO 4)));
IF (int_bcd_seg >= 5) THEN
vector(7 DOWNTO 4) <= std_logic_vector(to_unsigned(int_bcd_seg + 3));
END IF;
-- Hunderter
int_bcd_seg <= to_integer(unsigned(vector(11 DOWNTO 8)));
IF (int_bcd_seg >= 5) THEN
vector(11 DOWNTO 8) <= std_logic_vector(to_unsigned(int_bcd_seg + 3));
END IF;
-- Zehner
int_bcd_seg <= to_integer(unsigned(vector(15 DOWNTO 12)));
IF (int_bcd_seg >= 5) THEN
vector(15 DOWNTO 12) <= std_logic_vector(to_unsigned(int_bcd_seg + 3));
END IF;
-- Einer
int_bcd_seg <= to_integer(unsigned(vector(19 DOWNTO 16)));
IF (int_bcd_seg >= 5) THEN
vector(19 DOWNTO 16) <= std_logic_vector(to_unsigned(int_bcd_seg + 3));
END IF;
-- Shiften:
vector := vector(35 DOWNTO 0) & '0';
END LOOP;
-- Ergebnisse in die jeweiligen Stellen schreiben
zehntausender <= vector(3 DOWNTO 0);
tausender <= vector(7 DOWNTO 4);
hunderter <= vector(11 DOWNTO 8);
zehner <= vector(15 DOWNTO 12);
einer <= vector(19 DOWNTO 16);
END IF;
END PROCESS;
END DoubleDabbleV3;
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/bin_cntr.vhd | 9 | 8423 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WNTX0ikh+ICPMuHjm2z/VgTJZxKROx+TuAoStiGICkf6ayzluc16qokGYDiUXRrxQTUdq5slzHSR
pzpnu83x6g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Hix+cxcUGGG9of+Dm5Y8B76E5U7dWfRt51KzeSpamtxIisG3z18GBmcOYaQIK9CMvXnHSa4S3gSz
ITqlNfUorUTmSj9ySg87CaWzY3UxxzU8GFrzXE/W/DIF++gqIdsvVYwEpPiRf5+KPg5agN2LPDoT
Xx4Z8bkAuykqu6hnyME=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
zpfcPArH36ylCcTCrVtkTsGAWq7pzTaeOP39THAxf5MhcOibxJr/T4V+kIQDpwmUdcx669yMCs0y
BQddY/xyQhzkvVglKX/K1arFOvTh3zXEKQ9T+sDDWrtJYMPeJUwZ+5OHvpa9II5fM5pspTA9IFV2
eNxfYXDS+C9rWoAI6wIO7Gmj7ec/L2TwcTCB7p6zIwpjG+RrJeNtBxpcuuifsqQ2BZn4ULNJmrJx
YespMf32ETNVSwDWmPUoTNBkyGNf5hUODBru24fM/bYcmx5NQCd5CJBnUPFPB04VINa57ajZClRl
/HKScFGuy4DPBF1aAmzIHzTSszHNiO32VHtBqg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
316qaQECTPAFsHJelpb/lJkNt9g5CMm9rHzkyknjMNzhuKc8VY87db0BbyYyddc540j7KhhwoKdo
BTlJ5Wjc3HNlKvQhfcMfbeW7FXvwz51wTSxWS5jT/MjBktuq3G1OkYivBOrzAtHRVtpAgphvXFe3
UAjUP+f+1eucaxQQbnM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Sg0HaAIwhhEshEOmSO0OQqShN4TiFz7pPluKGTD1v9Bsr6nswYbeNILN7erLijBrwulBwPG3Lnpz
awMDa+LvWt6nSLIv3ezB1Nu/INg1BgttqwlUxdZvGuQiZ1fDudIEPJ+GHkMXFC1oMFyyhQwDlNwJ
2YD6Q9x3QA/fbwgSQjhjM7HNLI0/ImhjTLJ62sQUY7REhMJZ0HpKACdXGhMrqFJy54AlfAg/5hli
fMgIPknJhSYYMiED8Nkv6++ZNIcvs/Nyvj/SxqbfnTqne4H5SqmFryWXJ8+WZwmc1vGZpfzEbUwJ
PgWlASWdLeLfF/2tVX9xeqjYg2Cuwkqe2BhuOA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4496)
`protect data_block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`protect end_protected
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/LBDR_packet_drop_with_checkers/LBDR_packet_drop_routing_part_pseudo_checkers.vhd | 3 | 15472 | library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop_routing_part_pseudo_checkers is
generic (
cur_addr_rst: integer := 8;
Rxy_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port (
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
faulty: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Rxy: in std_logic_vector(7 downto 0);
packet_drop: in std_logic;
N1_out, E1_out, W1_out, S1_out: in std_logic;
Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic;
grants: in std_logic;
packet_drop_order: in std_logic;
packet_drop_in: in std_logic;
-- Checker outputs
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_tail_empty_Requests_FF_Requests_in,
err_tail_not_empty_not_grants_Requests_FF_Requests_in,
err_grants_onehot,
err_grants_mismatch,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_Req_L_in,
err_dst_addr_cur_addr_not_Req_L_in,
err_header_not_empty_faulty_drop_packet_in, -- added according to new design
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design
err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design
--err_header_not_empty_Req_L_in, -- added according to new design
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in,
err_header_empty_packet_drop_in_packet_drop_equal,
err_tail_not_empty_packet_drop_not_packet_drop_in,
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal,
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal,
err_packet_drop_order : out std_logic
);
end LBDR_packet_drop_routing_part_pseudo_checkers;
architecture behavior of LBDR_packet_drop_routing_part_pseudo_checkers is
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal Requests_FF: std_logic_vector(4 downto 0);
signal Requests_in: std_logic_vector(4 downto 0);
signal grant_signals: std_logic_vector(4 downto 0);
begin
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF;
Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in;
grant_signals <= grant_N & grant_E & grant_W & grant_S & grant_L;
-- Implementing checkers in form of concurrent assignments (combinational assertions)
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then
err_header_empty_Requests_FF_Requests_in <= '1';
else
err_header_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '1' and Requests_in /= "00000") then
err_tail_Requests_in_all_zero <= '1';
else
err_tail_Requests_in_all_zero <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '1' and Requests_FF /= Requests_in) then
err_tail_empty_Requests_FF_Requests_in <= '1';
else
err_tail_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '0' and Requests_FF /= Requests_in) then
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '1';
else
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( (grant_signals = "00001" or grant_signals = "00010" or grant_signals = "00100" or
grant_signals = "01000" or grant_signals = "10000") and grants = '0') then
err_grants_onehot <= '1';
else
err_grants_onehot <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( grant_signals = "00000" and grants = '1') then
err_grants_mismatch <= '1';
else
err_grants_mismatch <= '0';
end if;
end process;
-- Checked !
process (flit_type, Requests_FF, Requests_FF, Requests_in)
begin
if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then
err_header_tail_Requests_FF_Requests_in <= '1';
else
err_header_tail_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then
err_dst_addr_cur_addr_N1 <= '1';
else
err_dst_addr_cur_addr_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then
err_dst_addr_cur_addr_not_N1 <= '1';
else
err_dst_addr_cur_addr_not_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then
err_dst_addr_cur_addr_E1 <= '1';
else
err_dst_addr_cur_addr_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then
err_dst_addr_cur_addr_not_E1 <= '1';
else
err_dst_addr_cur_addr_not_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then
err_dst_addr_cur_addr_W1 <= '1';
else
err_dst_addr_cur_addr_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then
err_dst_addr_cur_addr_not_W1 <= '1';
else
err_dst_addr_cur_addr_not_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then
err_dst_addr_cur_addr_S1 <= '1';
else
err_dst_addr_cur_addr_S1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then
err_dst_addr_cur_addr_not_S1 <= '1';
else
err_dst_addr_cur_addr_not_S1 <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr = cur_addr and Req_L_in = '0') then
err_dst_addr_cur_addr_Req_L_in <= '1';
else
err_dst_addr_cur_addr_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr /= cur_addr and Req_L_in = '1') then
err_dst_addr_cur_addr_not_Req_L_in <= '1';
else
err_dst_addr_cur_addr_not_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in = '0') then
err_header_not_empty_faulty_drop_packet_in <= '1';
else
err_header_not_empty_faulty_drop_packet_in <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in, packet_drop)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '0' and not (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in /= packet_drop) then
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '1';
else
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, Requests_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and Requests_in /= "00000") then
err_header_not_empty_faulty_Req_in_all_zero <= '1';
else
err_header_not_empty_faulty_Req_in_all_zero <= '0';
end if;
end process;
-- Added (according to new design)!
--process (flit_type, empty, Req_L_in, N1_out, E1_out, W1_out, S1_out)
--begin
-- if ( flit_type = "001" and empty = '0' and Req_L_in /= (not N1_out and not E1_out and not W1_out and not S1_out) ) then
-- err_header_not_empty_Req_L_in <= '1';
-- else
-- err_header_not_empty_Req_L_in <= '0';
-- end if;
--end process;
-- Updated !
process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_N_in /= ( ((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0) ) ) then
err_header_not_empty_Req_N_in <= '1';
else
err_header_not_empty_Req_N_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_E_in /= ( ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1) ) ) then
err_header_not_empty_Req_E_in <= '1';
else
err_header_not_empty_Req_E_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_W_in /= ( ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2) ) ) then
err_header_not_empty_Req_W_in <= '1';
else
err_header_not_empty_Req_W_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_S_in /= (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) ) then
err_header_not_empty_Req_S_in <= '1';
else
err_header_not_empty_Req_S_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if (flit_type = "001" and empty = '1' and packet_drop_in /= packet_drop ) then
err_header_empty_packet_drop_in_packet_drop_equal <= '1';
else
err_header_empty_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '1' and packet_drop_in /= '0' ) then
err_tail_not_empty_packet_drop_not_packet_drop_in <= '1';
else
err_tail_not_empty_packet_drop_not_packet_drop_in <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '0' and packet_drop_in /= packet_drop ) then
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '1';
else
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if ( ((flit_type /= "001" and flit_type /= "100") or empty = '1') and packet_drop_in /= packet_drop ) then
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '1';
else
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (packet_drop_order, packet_drop)
begin
if (packet_drop_order /= packet_drop) then
err_packet_drop_order <= '1';
else
err_packet_drop_order <= '0';
end if;
end process;
-- Added !
end behavior; | gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/Checkers/Control_Part_Checkers/Allocator_checkers/Arbiter_out_one_hot_checkers/RTL/Arbiter_out_one_hot_pseudo.vhd | 3 | 4663 | --Copyright (C) 2016 Siavoosh Payandeh Azad and Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
entity Arbiter_out_one_hot_pseudo is
port ( credit: in std_logic_vector(1 downto 0);
req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules
state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM
grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
state_in: out std_logic_vector (5 downto 0) -- 6 states for Arbiter's FSM
);
end Arbiter_out_one_hot_pseudo;
architecture behavior of Arbiter_out_one_hot_pseudo is
CONSTANT IDLE: std_logic_vector (5 downto 0) := "000001";
CONSTANT Local: std_logic_vector (5 downto 0) := "000010";
CONSTANT North: std_logic_vector (5 downto 0) := "000100";
CONSTANT East: std_logic_vector (5 downto 0) := "001000";
CONSTANT West: std_logic_vector (5 downto 0) := "010000";
CONSTANT South: std_logic_vector (5 downto 0) := "100000";
begin
--process (clk, reset)begin
-- if reset = '0' then
-- state <= IDLE;
-- elsif clk'event and clk ='1'then
-- state <= state_in;
-- end if;
--end process;
-- anything below here is pure combinational
process(state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, credit)
begin
grant_Y_N <= '0';
grant_Y_E <= '0';
grant_Y_W <= '0';
grant_Y_S <= '0';
grant_Y_L <= '0';
-- Arbiter_out's prioritization is N,E,W,S and L (N: highest priority)
case state is
when IDLE =>
if req_X_N ='1' then
state_in <= North;
elsif req_X_E = '1' then
state_in <= East;
elsif req_X_W = '1' then
state_in <= West;
elsif req_X_S = '1' then
state_in <= South;
elsif req_X_L = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when North =>
if credit /= "00" and req_X_N = '1' then
grant_Y_N <= '1';
end if;
if req_X_N ='1' then
state_in <= North;
elsif req_X_E = '1' then
state_in <= East;
elsif req_X_W = '1' then
state_in <= West;
elsif req_X_S = '1' then
state_in <= South;
elsif req_X_L = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when East =>
if credit /= "00" and req_X_E = '1' then
grant_Y_E <= '1';
end if;
if req_X_E = '1' then
state_in <= East;
elsif req_X_W = '1' then
state_in <= West;
elsif req_X_S = '1' then
state_in <= South;
elsif req_X_L = '1' then
state_in <= Local;
elsif req_X_N ='1' then
state_in <= North;
else
state_in <= IDLE;
end if;
when West =>
if credit /= "00" and req_X_W = '1' then
grant_Y_W <= '1';
end if;
if req_X_W = '1' then
state_in <= West;
elsif req_X_S = '1' then
state_in <= South;
elsif req_X_L = '1' then
state_in <= Local;
elsif req_X_N ='1' then
state_in <= North;
elsif req_X_E = '1' then
state_in <= East;
else
state_in <= IDLE;
end if;
when South =>
if credit /= "00" and req_X_S = '1' then
grant_Y_S <= '1';
end if;
if req_X_S = '1' then
state_in <= South;
elsif req_X_L = '1' then
state_in <= Local;
elsif req_X_N ='1' then
state_in <= North;
elsif req_X_E = '1' then
state_in <= East;
elsif req_X_W = '1' then
state_in <= West;
else
state_in <= IDLE;
end if;
when others => -- Includes Local state and invalid state(s)
if credit /= "00" and req_X_L = '1' then
grant_Y_L <= '1';
end if;
if req_X_L = '1' then
state_in <= Local;
elsif req_X_N ='1' then
state_in <= North;
elsif req_X_E = '1' then
state_in <= East;
elsif req_X_W = '1' then
state_in <= West;
elsif req_X_S = '1' then
state_in <= South;
else
state_in <= IDLE;
end if;
end case;
end process;
end;
| gpl-3.0 |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@a@h@b_@f060/_primary.vhd | 3 | 9695 | library verilog;
use verilog.vl_types.all;
entity MSS_AHB_F060 is
generic(
ACT_CONFIG : integer := 0;
ACT_FCLK : integer := 0;
ACT_DIE : string := "";
ACT_PKG : string := "";
VECTFILE : string := "test.vec"
);
port(
MSSHADDR : out vl_logic_vector(19 downto 0);
MSSHWDATA : out vl_logic_vector(31 downto 0);
MSSHTRANS : out vl_logic_vector(1 downto 0);
MSSHSIZE : out vl_logic_vector(1 downto 0);
MSSHLOCK : out vl_logic;
MSSHWRITE : out vl_logic;
MSSHRDATA : in vl_logic_vector(31 downto 0);
MSSHREADY : in vl_logic;
MSSHRESP : in vl_logic;
FABHADDR : in vl_logic_vector(31 downto 0);
FABHWDATA : in vl_logic_vector(31 downto 0);
FABHTRANS : in vl_logic_vector(1 downto 0);
FABHSIZE : in vl_logic_vector(1 downto 0);
FABHMASTLOCK : in vl_logic;
FABHWRITE : in vl_logic;
FABHSEL : in vl_logic;
FABHREADY : in vl_logic;
FABHRDATA : out vl_logic_vector(31 downto 0);
FABHREADYOUT : out vl_logic;
FABHRESP : out vl_logic;
SYNCCLKFDBK : in vl_logic;
CALIBOUT : out vl_logic;
CALIBIN : in vl_logic;
FABINT : in vl_logic;
MSSINT : out vl_logic_vector(7 downto 0);
WDINT : out vl_logic;
F2MRESETn : in vl_logic;
DMAREADY : in vl_logic_vector(1 downto 0);
RXEV : in vl_logic;
VRON : in vl_logic;
M2FRESETn : out vl_logic;
DEEPSLEEP : out vl_logic;
SLEEP : out vl_logic;
TXEV : out vl_logic;
UART0CTSn : in vl_logic;
UART0DSRn : in vl_logic;
UART0RIn : in vl_logic;
UART0DCDn : in vl_logic;
UART0RTSn : out vl_logic;
UART0DTRn : out vl_logic;
UART1CTSn : in vl_logic;
UART1DSRn : in vl_logic;
UART1RIn : in vl_logic;
UART1DCDn : in vl_logic;
UART1RTSn : out vl_logic;
UART1DTRn : out vl_logic;
I2C0SMBUSNI : in vl_logic;
I2C0SMBALERTNI : in vl_logic;
I2C0BCLK : in vl_logic;
I2C0SMBUSNO : out vl_logic;
I2C0SMBALERTNO : out vl_logic;
I2C1SMBUSNI : in vl_logic;
I2C1SMBALERTNI : in vl_logic;
I2C1BCLK : in vl_logic;
I2C1SMBUSNO : out vl_logic;
I2C1SMBALERTNO : out vl_logic;
MACM2FTXD : out vl_logic_vector(1 downto 0);
MACF2MRXD : in vl_logic_vector(1 downto 0);
MACM2FTXEN : out vl_logic;
MACF2MCRSDV : in vl_logic;
MACF2MRXER : in vl_logic;
MACF2MMDI : in vl_logic;
MACM2FMDO : out vl_logic;
MACM2FMDEN : out vl_logic;
MACM2FMDC : out vl_logic;
FABSDD0D : in vl_logic;
FABSDD1D : in vl_logic;
FABSDD2D : in vl_logic;
FABSDD0CLK : in vl_logic;
FABSDD1CLK : in vl_logic;
FABSDD2CLK : in vl_logic;
FABACETRIG : in vl_logic;
ACEFLAGS : out vl_logic_vector(31 downto 0);
CMP0 : out vl_logic;
CMP1 : out vl_logic;
CMP2 : out vl_logic;
CMP3 : out vl_logic;
CMP4 : out vl_logic;
CMP5 : out vl_logic;
CMP6 : out vl_logic;
CMP7 : out vl_logic;
CMP8 : out vl_logic;
CMP9 : out vl_logic;
CMP10 : out vl_logic;
CMP11 : out vl_logic;
LVTTL0EN : in vl_logic;
LVTTL1EN : in vl_logic;
LVTTL2EN : in vl_logic;
LVTTL3EN : in vl_logic;
LVTTL4EN : in vl_logic;
LVTTL5EN : in vl_logic;
LVTTL6EN : in vl_logic;
LVTTL7EN : in vl_logic;
LVTTL8EN : in vl_logic;
LVTTL9EN : in vl_logic;
LVTTL10EN : in vl_logic;
LVTTL11EN : in vl_logic;
LVTTL0 : out vl_logic;
LVTTL1 : out vl_logic;
LVTTL2 : out vl_logic;
LVTTL3 : out vl_logic;
LVTTL4 : out vl_logic;
LVTTL5 : out vl_logic;
LVTTL6 : out vl_logic;
LVTTL7 : out vl_logic;
LVTTL8 : out vl_logic;
LVTTL9 : out vl_logic;
LVTTL10 : out vl_logic;
LVTTL11 : out vl_logic;
PUFABn : out vl_logic;
VCC15GOOD : out vl_logic;
VCC33GOOD : out vl_logic;
FCLK : in vl_logic;
MACCLKCCC : in vl_logic;
RCOSC : in vl_logic;
MACCLK : in vl_logic;
PLLLOCK : in vl_logic;
MSSRESETn : in vl_logic;
GPI : in vl_logic_vector(31 downto 0);
GPO : out vl_logic_vector(31 downto 0);
GPOE : out vl_logic_vector(31 downto 0);
SPI0DO : out vl_logic;
SPI0DOE : out vl_logic;
SPI0DI : in vl_logic;
SPI0CLKI : in vl_logic;
SPI0CLKO : out vl_logic;
SPI0MODE : out vl_logic;
SPI0SSI : in vl_logic;
SPI0SSO : out vl_logic_vector(7 downto 0);
UART0TXD : out vl_logic;
UART0RXD : in vl_logic;
I2C0SDAI : in vl_logic;
I2C0SDAO : out vl_logic;
I2C0SCLI : in vl_logic;
I2C0SCLO : out vl_logic;
SPI1DO : out vl_logic;
SPI1DOE : out vl_logic;
SPI1DI : in vl_logic;
SPI1CLKI : in vl_logic;
SPI1CLKO : out vl_logic;
SPI1MODE : out vl_logic;
SPI1SSI : in vl_logic;
SPI1SSO : out vl_logic_vector(7 downto 0);
UART1TXD : out vl_logic;
UART1RXD : in vl_logic;
I2C1SDAI : in vl_logic;
I2C1SDAO : out vl_logic;
I2C1SCLI : in vl_logic;
I2C1SCLO : out vl_logic;
MACTXD : out vl_logic_vector(1 downto 0);
MACRXD : in vl_logic_vector(1 downto 0);
MACTXEN : out vl_logic;
MACCRSDV : in vl_logic;
MACRXER : in vl_logic;
MACMDI : in vl_logic;
MACMDO : out vl_logic;
MACMDEN : out vl_logic;
MACMDC : out vl_logic;
EMCCLK : out vl_logic;
EMCCLKRTN : in vl_logic;
EMCRDB : in vl_logic_vector(15 downto 0);
EMCAB : out vl_logic_vector(25 downto 0);
EMCWDB : out vl_logic_vector(15 downto 0);
EMCRWn : out vl_logic;
EMCCS0n : out vl_logic;
EMCCS1n : out vl_logic;
EMCOEN0n : out vl_logic;
EMCOEN1n : out vl_logic;
EMCBYTEN : out vl_logic_vector(1 downto 0);
EMCDBOE : out vl_logic;
ADC0 : in vl_logic;
ADC1 : in vl_logic;
ADC2 : in vl_logic;
ADC3 : in vl_logic;
ADC4 : in vl_logic;
ADC5 : in vl_logic;
ADC6 : in vl_logic;
ADC7 : in vl_logic;
ADC8 : in vl_logic;
ADC9 : in vl_logic;
ADC10 : in vl_logic;
ADC11 : in vl_logic;
ADC12 : in vl_logic;
ADC13 : in vl_logic;
ADC14 : in vl_logic;
ADC15 : in vl_logic;
ADC16 : in vl_logic;
ADC17 : in vl_logic;
ADC18 : in vl_logic;
ADC19 : in vl_logic;
ADC20 : in vl_logic;
ADC21 : in vl_logic;
ADC22 : in vl_logic;
ADC23 : in vl_logic;
ADC24 : in vl_logic;
ADC25 : in vl_logic;
SDD0 : out vl_logic;
ABPS0 : in vl_logic;
ABPS1 : in vl_logic;
TM0 : in vl_logic;
CM0 : in vl_logic;
GNDTM0 : in vl_logic;
VAREF0 : in vl_logic;
VAREFOUT : out vl_logic;
GNDVAREF : in vl_logic;
PUn : in vl_logic
);
end MSS_AHB_F060;
| gpl-3.0 |
bremathx/AoC_VHDL | Mand.vhd | 1 | 216 | --- Entity MAnd
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY Mand IS
PORT (
A, B: IN STD_LOGIC;
R: OUT STD_LOGIC
);
END Mand;
ARCHITECTURE pure_logic OF Mand IS
BEGIN
R <= (A AND B);
END pure_logic;
| gpl-3.0 |
meaepeppe/FIR_ISA | VHDL/Reg_n.vhd | 1 | 521 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY Reg_n IS
GENERIC(Nb: INTEGER :=9);
PORT(
CLK, RST_n, EN: IN STD_LOGIC;
DIN: IN STD_LOGIC_VECTOR(Nb-1 DOWNTO 0);
DOUT: OUT STD_LOGIC_VECTOR(Nb-1 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE beh_reg OF Reg_n IS
BEGIN
PROCESS(CLK, RST_n)
BEGIN
IF RST_n = '0' THEN
DOUT <= (OTHERS => '0');
ELSIF CLK'EVENT AND CLK = '1' THEN
IF EN = '1' THEN
DOUT <= DIN;
END IF;
END IF;
END PROCESS;
END beh_reg;
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/Checkers/Modules_with_checkers_integrated/All_checkers/New_SHMU_on_Node/LBDR_packet_drop_checkers/Rxy_Reconf_pseudo_checkers.vhd | 9 | 5107 | --Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity Rxy_Reconf_pseudo_checkers is
port ( ReConf_FF_out: in std_logic;
Rxy: in std_logic_vector(7 downto 0);
Rxy_tmp: in std_logic_vector(7 downto 0);
Reconfig_command : in std_logic;
flit_type: in std_logic_vector(2 downto 0);
grants: in std_logic;
empty: in std_logic;
Rxy_reconf_PE: in std_logic_vector(7 downto 0);
Rxy_in: in std_logic_vector(7 downto 0);
Rxy_tmp_in: in std_logic_vector(7 downto 0);
ReConf_FF_in: in std_logic;
err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp,
err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in,
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal,
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in,
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal,
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal,
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal : out std_logic
);
end Rxy_Reconf_pseudo_checkers;
architecture behavior of Rxy_Reconf_pseudo_checkers is
begin
process(ReConf_FF_out, flit_type, empty, grants, Rxy_in, Rxy_tmp)
begin
if (ReConf_FF_out = '1' and flit_type = "100" and empty = '0' and grants = '1' and Rxy_in /= Rxy_tmp) then
err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp <= '1';
else
err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp <= '0';
end if;
end process;
-- Checked (changed)!
process(ReConf_FF_out, flit_type, empty, grants, ReConf_FF_in)
begin
if (ReConf_FF_out = '1' and flit_type = "100" and empty = '0' and grants = '1' and ReConf_FF_in /= '0') then
err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in <= '1';
else
err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in <= '0';
end if;
end process;
-- Checked (not changed)!
process(ReConf_FF_out, flit_type, empty, grants, Rxy_in, Rxy)
begin
if ( (ReConf_FF_out = '0' or flit_type /= "100" or empty = '1' or grants = '0') and Rxy_in /= Rxy) then
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal <= '1';
else
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal <= '0';
end if;
end process;
-- Checked (not changed)!
process(ReConf_FF_out, flit_type, empty, grants, Reconfig_command, ReConf_FF_in)
begin
if ( (ReConf_FF_out = '0' or flit_type /= "100" or empty = '1' or grants = '0') and Reconfig_command = '1' and ReConf_FF_in /= '1') then
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in <= '1';
else
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in <= '0';
end if;
end process;
-- Checked (changed)!
process(ReConf_FF_out, flit_type, empty, grants, Reconfig_command, Rxy_tmp_in, Rxy_reconf_PE)
begin
if ( (ReConf_FF_out = '0' or flit_type /= "100" or empty = '1' or grants = '0') and Reconfig_command = '1' and Rxy_tmp_in /= Rxy_reconf_PE) then
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal <= '1';
else
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal <= '0';
end if;
end process;
-- Checked (Added)!
process(ReConf_FF_out, flit_type, empty, grants, Reconfig_command, Rxy_tmp_in, Rxy_tmp)
begin
if ( (((ReConf_FF_out = '0' or flit_type /= "100" or empty = '1' or grants = '0') and Reconfig_command = '0') or
(ReConf_FF_out = '1' and flit_type = "100" and empty = '0' and grants = '1')) and Rxy_tmp_in /= Rxy_tmp) then
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal <= '1';
else
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal <= '0';
end if;
end process;
-- Checked (Added)!
process(ReConf_FF_out, flit_type, empty, grants, Reconfig_command, ReConf_FF_in, ReConf_FF_out)
begin
if ( (ReConf_FF_out = '0' or flit_type /= "100" or empty = '1' or grants = '0') and Reconfig_command = '0' and ReConf_FF_in /= ReConf_FF_out) then
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal <= '1';
else
err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal <= '0';
end if;
end process;
-- Checked (updated)!
end; | gpl-3.0 |
meaepeppe/FIR_ISA | VHDL/data_maker_new.vhd | 1 | 2631 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
entity data_maker is
port (
CLK : in std_logic;
RST_n : in std_logic;
VOUT : out std_logic;
DOUT : out std_logic_vector(8 downto 0);
coeffs : out std_logic_vector(80 downto 0);
END_SIM : out std_logic);
end data_maker;
architecture beh of data_maker is
constant tco : time := 1 ns;
signal sEndSim : std_logic;
signal END_SIM_i : std_logic_vector(0 to 10);
signal H0 : std_logic_vector(8 downto 0);
signal H1 : std_logic_vector(8 downto 0);
signal H2 : std_logic_vector(8 downto 0);
signal H3 : std_logic_vector(8 downto 0);
signal H4 : std_logic_vector(8 downto 0);
signal H5 : std_logic_vector(8 downto 0);
signal H6 : std_logic_vector(8 downto 0);
signal H7 : std_logic_vector(8 downto 0);
signal H8 : std_logic_vector(8 downto 0);
begin -- beh
H0 <= conv_std_logic_vector(-2,9);
H1 <= conv_std_logic_vector(-4,9);
H2 <= conv_std_logic_vector(13,9);
H3 <= conv_std_logic_vector(68,9);
H4 <= conv_std_logic_vector(103,9);
H5 <= conv_std_logic_vector(68,9);
H6 <= conv_std_logic_vector(13,9);
H7 <= conv_std_logic_vector(-4,9);
H8 <= conv_std_logic_vector(-2,9);
coeffs <= H8 & H7 & H6 & H5 & H4 & H3 & H2 & H1 & H0;
process (CLK, RST_n)
file fp_in : text open READ_MODE is "./samples.txt";
variable line_in : line;
variable x : integer;
begin -- process
if RST_n = '0' then -- asynchronous reset (active low)
DOUT <= (others => '0') after tco;
VOUT <= '0' after tco;
sEndSim <= '0' after tco;
elsif CLK'event and CLK = '1' then -- rising clock edge
if not endfile(fp_in) then
readline(fp_in, line_in);
read(line_in, x);
DOUT <= conv_std_logic_vector(x, 9) after tco;
VOUT <= '1' after tco;
sEndSim <= '0' after tco;
else
VOUT <= '0' after tco;
sEndSim <= '1' after tco;
end if;
end if;
end process;
process (CLK, RST_n)
begin -- process
if RST_n = '0' then -- asynchronous reset (active low)
END_SIM_i <= (others => '0') after tco;
elsif CLK'event and CLK = '1' then -- rising clock edge
END_SIM_i(0) <= sEndSim after tco;
END_SIM_i(1 to 10) <= END_SIM_i(0 to 9) after tco;
end if;
end process;
END_SIM <= END_SIM_i(10);
end beh;
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/delay.vhd | 9 | 9914 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Tt8GTIUrr29kpFEQckAMTPnft9O3thUQvCvh6KXwr3f9pluYhQ6D8GzTKs2JXJIQidHXrK5Q5WZc
6enYuWwA9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Nu1k7Y5aIp7cx7iV7/gXEYTNRjTFd5DCb15jd79ddgcJoAxrmyGkAn7KgzLvFg3OI9rJs8muLb1T
wtHa0UarqYLCmFemUuUyhmsoaFStz5Pb1etO3j6AU2z1jLThe373A5lKdi20xiEc63mH09a2+rPN
xFLD0FLQKj2GYRprQqc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o7yX048tFJxP4nFGSh3uDZXTLobT/ozwVyWdhR6AHHiGxQzcXPq4Z5utBfJLs4hQCU0Sv7wBaxgL
MEbluCLAkkF3MP0bWQfY3jTD73X2Z1FwNgqTVHktJ2/NHQ4Z7M45B/9+HRPj8nr+YZbSUpOcnPrt
XMUFMJ7YHW8EkPbBTfSG4uANIKMSY/neYAkX7NpoxDSMc1p1Wew5FhEZGVm/FckwLItHjkPTSCYg
WktG6UtSdAaPKsrxWBRV1PdqBxib9fZlK/iEu2LXnVLimwRtziIA9jsx9mJ/1/Jz7oXNiPIuIHHo
rmfPZawpIpW7iar43YXdTp3YGpqgBjhyYtztSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
apny0FENyamH6VDTAXJD8wLNN/DOUciHBZATNYBrwra8dx1Emw4Nju0bONh5wd+Kh1LMYXA/a1Uu
eMZms67xSqOvCBOHsNrEw4MZvfQG5IQK7RgsQsC4AGGGMoJYMckSPU3O3b9MWo5BUOgEO0MoZR54
Q+fvta8pQ7FpOvaSRWU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JayRUTw7TA5SoGyUHwiRgcRBDqZJpL3N9yEmakNdoxTmuw6JOPY4fG5Wj7zFtk/iZbDcjawwC+nv
XkN6hfKD3xbCUiBTGJkUarL3fnX7jtnLLHDRmnmKhNCu7yIT4o5dpbNpxyUhMfInJnyLVQ8KV4rE
oI2mfpCojoIhLcgntFtboxlIASnXvvmGZ+3MafPlhGpOboGeQRtrDniTxffDji2QwriKFN6tc+sG
01xemKRr2zayujdYRaVCB7fiqY6x+vi3jy6sgIZEyQx7yJz3DXzhugBhawkAQQDZpHF9/MXsZfpb
pYajRdkowfeNe9jRzsByDwS0qaM9du6BvSa6xA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Chip_Designs/IMMORTAL_Chip_2017/plasma_RTL/xilinx_image.vhd | 3 | 181451 | ---------------------------------------------------------------------
-- TITLE: Random Access Memory for Xilinx
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 11/06/05
-- FILENAME: ram_xilinx.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements Plasma internal RAM as RAMB for Spartan 3x
--
-- Compile the MIPS C and assembly code into "test.axf".
-- Run convert.exe to change "test.axf" to "code.txt" which
-- will contain the hex values of the opcodes.
-- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd",
-- to create the "ram_image.vhd" file that will have the opcodes
-- correctly placed inside the INIT_00 => strings.
-- Then include ram_image.vhd in the simulation/synthesis.
--
-- Warning: Addresses 0x1000 - 0x1FFF are reserved for the cache
-- if the DDR cache is enabled.
---------------------------------------------------------------------
-- UPDATED: 09/07/10 Olivier Rinaudo ([email protected])
-- new behaviour: 8KB expandable to 64KB of internal RAM
--
-- MEMORY MAP
-- 0000..1FFF : 8KB 8KB block0 (upper 4KB used as DDR cache)
-- 2000..3FFF : 8KB 16KB block1
-- 4000..5FFF : 8KB 24KB block2
-- 6000..7FFF : 8KB 32KB block3
-- 8000..9FFF : 8KB 40KB block4
-- A000..BFFF : 8KB 48KB block5
-- C000..DFFF : 8KB 56KB block6
-- E000..FFFF : 8KB 64KB block7
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.mlite_pack.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity ram is
generic(memory_type : string := "DEFAULT";
--Number of 8KB blocks of internal RAM, up to 64KB (1 to 8)
block_count : integer := 1);
port(clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0));
end; --entity ram
architecture logic of ram is
--type
type mem32_vector IS ARRAY (NATURAL RANGE<>) OF std_logic_vector(31 downto 0);
--Which 8KB block
alias block_sel: std_logic_vector(2 downto 0) is address(15 downto 13);
--Address within a 8KB block (without lower two bits)
alias block_addr : std_logic_vector(10 downto 0) is address(12 downto 2);
--Block enable with 1 bit per memory block
signal block_enable: std_logic_vector(7 downto 0);
--Block Data Out
signal block_do: mem32_vector(7 downto 0);
--Remember which block was selected
signal block_sel_buf: std_logic_vector(2 downto 0);
begin
block_enable<= "00000001" when (enable='1') and (block_sel="000") else
"00000010" when (enable='1') and (block_sel="001") else
"00000100" when (enable='1') and (block_sel="010") else
"00001000" when (enable='1') and (block_sel="011") else
"00010000" when (enable='1') and (block_sel="100") else
"00100000" when (enable='1') and (block_sel="101") else
"01000000" when (enable='1') and (block_sel="110") else
"10000000" when (enable='1') and (block_sel="111") else
"00000000";
proc_blocksel: process (clk, block_sel) is
begin
if rising_edge(clk) then
block_sel_buf <= block_sel;
end if;
end process;
proc_do: process (block_do, block_sel_buf) is
begin
data_read <= block_do(conv_integer(block_sel_buf));
end process;
-- BLOCKS generation
block0: if (block_count > 0) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"afafafafafafafafafafafafafafafaf2308000c241400ac273c243c243c273c",
INIT_01 => X"8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f230c008c8c3caf00af00af2340afaf",
INIT_02 => X"acacacac0003373cac038cac8cac8cac8c243c40034040033423038f038f8f8f",
INIT_03 => X"000300ac0300000034038c8c8c8c8c8c8c8c8c8c8c8c3403acacacacacacacac",
INIT_04 => X"8c343c00af03af270003278f0300ac008f34af0000000014008f8fafaf03af27",
INIT_05 => X"008f000c2400142480008f0010af03afaf270003278f0300ac008f3c00103000",
INIT_06 => X"008f8f0010af24af03afaf270003278f8f030000140080008f000c000080af24",
INIT_07 => X"03000004008faf24008f000c0024008f0010000c0024008f00102c008faf3000",
INIT_08 => X"0c000080af24008f0010af27000c8f008f002727afafaf03afaf270003278f8f",
INIT_09 => X"3c03af270003278f8f030000140080008fa0248faf24008f0014248024008f00",
INIT_0A => X"0000000003278f8f030000008c3c0010000c0003afaf270003278f0330008c34",
INIT_0B => X"243c000c343c243c24000c343c243c24000c243c000c243c000c243c03afaf27",
INIT_0C => X"24243c243c243caf243caf24000c24243c243c243caf243caf24000c243c000c",
INIT_0D => X"000c343c243c243c243caf243caf24000c343c243c243c243caf243caf24000c",
INIT_0E => X"243c000c000c243c000c243c000c000c243c000c243c000c000c243c000c243c",
INIT_0F => X"3c000c243c0010000c243c0014008c3c000c243c000c243c000c000c243c000c",
INIT_10 => X"af240010af24afaf03afaf270003278f8f0300000c24000c0024008c3c000c24",
INIT_11 => X"0c8f24000010008f001400008f8faf24008f0010af001400000014008f8f0010",
INIT_12 => X"24008faf240010008f8c002400008f3c000c0024008c002400008f3c000c2400",
INIT_13 => X"0c243c0010ac3c24008c3c000c243c0014248f001428008faf24008f000c24af",
INIT_14 => X"0087000c24000c8faf00008f870010a7afafafaf03afaf270003278f8f030000",
INIT_15 => X"0087a730240097af240010008f8c00008f000087000c24000c00008c00008f00",
INIT_16 => X"000c243c0010ac3c24008c3c000c243c0014248f000c8f2400000c243c001428",
INIT_17 => X"87000c24000c8faf0000008f870010a7afafafafaf03afaf270003278f8f0300",
INIT_18 => X"87a730240097af240010008f8c00008f000087000c24000c00008c00008f0000",
INIT_19 => X"008c00008f000087000c24000c8faf0000008f2400870010a7000c2400142800",
INIT_1A => X"000c240014280087a730240097af240010008f8c00008f000087000c24000c00",
INIT_1B => X"000c00008c00008f0000343c87000c24000c8faf0000000014008f870010a724",
INIT_1C => X"24000c240014280087a730240097af240010008f8c00008f0000343c87000c24",
INIT_1D => X"0c24000c00008c00008f0000343c87000c24000c8faf00000014008f870010a7",
INIT_1E => X"2400000c243c0014280087a730240097af240010008f8c00008f0000343c8700",
INIT_1F => X"af270003278f8f0300000c243c0010ac3c24008c3c000c243c0014248f000c8f",
INIT_20 => X"0c24000c00008c002400008f3c000c24000c8faf00008f8f0010afafaf2403af",
INIT_21 => X"008f8f0010af000c24001428008faf24008faf240010008f8c002400008f3c00",
INIT_22 => X"10008f8c002400008f3c000c24000c00008c002400008f3c000c24000c8faf00",
INIT_23 => X"0010ac3c24008c3c000c243c0014248f000c243c001428008faf24008faf2400",
INIT_24 => X"8f0000008fa000278f0000008f0010afaf03afaf270003278f8f0300000c243c",
INIT_25 => X"00af008000278f0010af001428008faf24008fac008f002700008fa400270000",
INIT_26 => X"10008f8c002400008f3c000c24000c0024008c002400008f3c000c24000c8f24",
INIT_27 => X"24000c0024008c002400008f3c000c24000c8f2400af0084002700008faf2400",
INIT_28 => X"3c000c24000c8f2400af008c002700008faf240010008f8c002400008f3c000c",
INIT_29 => X"8faf24008faf240010008f8c002400008f3c000c24000c0024008c002400008f",
INIT_2A => X"8f8f0300000c243c0010ac3c24008c3c000c243c0014248f000c243c00142800",
INIT_2B => X"000c24000c00008c3c000c24000c8faf00008f8fafaf24af2403afaf27000327",
INIT_2C => X"8c243c000c24000c00008c243c000c24000c8faf00008f8faf240010008f8c3c",
INIT_2D => X"008f8c243c000c24000c00008c243c000c24000c8faf00008f8faf240010008f",
INIT_2E => X"240010008f8c243c000c24000c00008c243c000c24000c8faf00008faf240010",
INIT_2F => X"008faf240010008f8c243c000c24000c00008c243c000c24000c8faf24008faf",
INIT_30 => X"0014248f000c243caf240010008f8c243c000c00008c243c000c24000c8faf24",
INIT_31 => X"28008c3caf03af27000003278f8f0300000c243c0010ac3c24008c3c000c243c",
INIT_32 => X"a324af03af270003278f0324001000ac3c24008c3cac008f0024003c8c3c0010",
INIT_33 => X"14003c8c340010240010248c3c00100083a4248fa3001000102400100094008f",
INIT_34 => X"af270003278f0324a4008fa30010ac3cac243cac3cac008c3c240018008c3c00",
INIT_35 => X"0010240010248c3c00100083a4248fa3001000102400100094008fa324afaf03",
INIT_36 => X"3cac0024003c8c248c3c001028008c3c0004008f0010008faf008c34af008c34",
INIT_37 => X"af008fafaf03af270003278f0324a4008fa30010ac243cac3cac3cac3c24008c",
INIT_38 => X"10afafafafaf03afaf270003278f038f00140080a00080af24008faf24008f00",
INIT_39 => X"8f8faf240010af240010248f0004008fa3001428008faf24008fa02400278f00",
INIT_3A => X"008f001028008faf0000000014008f8faf00000014008f8f0010af24af000000",
INIT_3B => X"1000008c008f00008f240014008fa000278f0000302430008f00100000302430",
INIT_3C => X"8f0010008c008fa02400278faf24008f0014248f0000100004008faf24008f00",
INIT_3D => X"2700248c008f0010ac008f00008f24000c8f0000008f2700100000008f248c00",
INIT_3E => X"af03af270003278f0300ac343c343cafaf03af270003278f8f0300000c8f0000",
INIT_3F => X"008fafaf03af270003278f038f0014008faf00008f24008faf302c008f0010af"
)
port map (
DO => block_do(0)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"b8afaeadacabaaa9a8a7a6a5a4a3a2a1bd000000a560a4a0bd1d8404a5059c1c",
INIT_01 => X"b9b8afaeadacabaaa9a8a7a6a5a4a3a2a1a50086c6c406bb00bb00ba5a1abfb9",
INIT_02 => X"9392919000405a1a06e0a606a606a606a6a50584e0029b401bbd60bb60bbbabf",
INIT_03 => X"00e000c4e0000085a2e09f9d9c9e979695949392919002e09f9d9c9e97969594",
INIT_04 => X"42420200c4a0bebd00e0bdbec0004300c302c2000007624000c2c3c5c4a0bebd",
INIT_05 => X"00c20000040062024300c20000c4a0bebfbd00e0bdbec0004300c30200404200",
INIT_06 => X"00c2c30000c202c4a0bebfbd00e0bdbebfc0000040004200c20000400042c343",
INIT_07 => X"c000004100c2c24200c20000404200c200000000404200c200404200c2c24243",
INIT_08 => X"00400042c34300c20000c2c20000c440c660c2c3c6c5c4a0bebfbd00e0bdbebf",
INIT_09 => X"02a0bebd00e0bdbebfc0000040004200c24303c2c24200c2006202434200c200",
INIT_0A => X"00000000e0bdbebfc002020042020040000000a0bebfbd00e0bdbec042004242",
INIT_0B => X"44020000440245020600004402450206000044020000440200004402a0bebfbd",
INIT_0C => X"04450246024702a24202a202000004450246024702a24202a202000044020000",
INIT_0D => X"00004402450246024702a24202a20200004402450246024702a24202a2020000",
INIT_0E => X"4402000000004402000044020000000044020000440200000000440200004402",
INIT_0F => X"0200004402000000004402004000420200004402000044020000000044020000",
INIT_10 => X"c2020000c202c0c0a0bebfbd00e0bdbebfc00000000400004005004202000044",
INIT_11 => X"00c40500004000c200406200c2c3c24200c20000c000400007624000c2c30000",
INIT_12 => X"4200c2c202006200c24362420300c30200004005004262420300c30200000400",
INIT_13 => X"004402000043024300420200004402006202c300404200c2c24200c2000004c2",
INIT_14 => X"00c20000040000c4c24300c3c20000c0c0c6c5c4a0bebfbd00e0bdbebfc00000",
INIT_15 => X"00c2c2424200c2c202006200c2436200c30200c200000400004000426200c302",
INIT_16 => X"00004402000043024300420200004402006202c30000c4050000004402004042",
INIT_17 => X"c20000040000c4c2006200c2c30000c0c0c7c6c5c4a0bebfbd00e0bdbebfc000",
INIT_18 => X"c2c2424200c2c202006200c2436200c30200c200000400004000426200c30200",
INIT_19 => X"00426200c30200c20000040000c4c2006200c24300c20000c000000400404200",
INIT_1A => X"00000400404200c2c2424200c2c202006200c2436200c30200c2000004000040",
INIT_1B => X"00004000426200c302624202c30000040000c4c2000007624000c3c20000c202",
INIT_1C => X"0200000400404200c2c2424200c2c202006200c2436200c302624202c3000004",
INIT_1D => X"000400004000426200c302624202c30000040000c4c20007624000c3c20000c2",
INIT_1E => X"05000000440200404200c2c2424200c2c202006200c2436200c302624202c300",
INIT_1F => X"bfbd00e0bdbebfc00000004402000043024300420200004402006202c30000c4",
INIT_20 => X"0004000040004262420300c3020000040000c4c26200c2c30000c0c0c202a0be",
INIT_21 => X"00c2c30000c000000400404200c2c24200c2c202006200c24362420300c30200",
INIT_22 => X"6200c24362420300c302000004000040004262420300c3020000040000c4c262",
INIT_23 => X"000043024300420200004402006202c30000440200404200c2c24200c2c20200",
INIT_24 => X"c2030200c24382c4c2030200c20000c0c0a0bebfbd00e0bdbebfc00000004402",
INIT_25 => X"00c2004262c3c20000c000404200c2c24200c24300c362c30200c24382c40200",
INIT_26 => X"6200c24362420300c30200000400004005004262420300c3020000040000c405",
INIT_27 => X"0400004005004262420300c3020000040000c40500c2004262c30200c2c20200",
INIT_28 => X"020000040000c40500c2004262c30200c2c202006200c24362420300c3020000",
INIT_29 => X"c2c24200c2c202006200c24362420300c30200000400004005004262420300c3",
INIT_2A => X"bebfc00000004402000043024300420200004402006202c30000440200404200",
INIT_2B => X"0000040000400042020000040000c4c26200c2c3c0c202c202a0bebfbd00e0bd",
INIT_2C => X"434202000004000040004242020000040000c4c26200c2c3c202006200c24302",
INIT_2D => X"00c2434202000004000040004242020000040000c4c26200c2c3c202006200c2",
INIT_2E => X"02006200c2434202000004000040004242020000040000c4c20200c2c2020062",
INIT_2F => X"00c2c202006200c2434202000004000040004242020000040000c4c24200c2c2",
INIT_30 => X"006202c300004402c202006200c2434202000040004242020000040000c4c242",
INIT_31 => X"42004202c4a0bebd0000e0bdbebfc00000004402000043024300420200004402",
INIT_32 => X"c202c4a0bebd00e0bdbec0020000004302430042024300c36242030243020040",
INIT_33 => X"40620243020000020062024302004000c24303c2c000000043030040004200c2",
INIT_34 => X"bebd00e0bdbec0024000c2c00000400243030240024300630302004000420200",
INIT_35 => X"0000020062024302004000c24303c2c000000043030040004200c2c202c0c4a0",
INIT_36 => X"02438242040243024402004042004202004000c2004000c2c2004202c2004202",
INIT_37 => X"c200c2c5c4a0bebd00e0bdbec0024000c2c00000430302400240024302430042",
INIT_38 => X"00c0c7c6c5c4a0bebfbd00e0bdbec0c200400042430063c46400c3c34300c200",
INIT_39 => X"c2c3c2020000c202006202c3004100c2c000404200c2c24200c2430362c3c200",
INIT_3A => X"00c200404200c2c2000007624000c3c2c20007624000c3c20000c202c2006200",
INIT_3B => X"4062004200c26200c203004000c26283c4c3020242424200c200000202424242",
INIT_3C => X"c20040004200c2430362c3c2c24200c2006202c3000000004100c2c24200c200",
INIT_3D => X"c362034200c200004300c26200c2030000c4406200c2c30040628200c2044300",
INIT_3E => X"c4a0bebd00e0bdbec0004363034202c5c4a0bebd00e0bdbebfc0000000c44062",
INIT_3F => X"00c2c5c4a0bebd00e0bdbec0c2004000c2c26200c34200c2c2424200c20000c0"
)
port map (
DO => block_do(0)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"00000000000000000000000000000000ff00000800ff1800350035003300b200",
INIT_01 => X"000000000000000000000000000000000000072000002000d800d800ff700000",
INIT_02 => X"0000000000000010000000000000000000010060006060000000000000000000",
INIT_03 => X"0000000000201000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000200000f000ff00000000e800000000800010100000000000000000f000ff",
INIT_05 => X"0000000000000000000000000000f00000ff00000000e8000000002000ff0000",
INIT_06 => X"0000000000000000f00000ff0000000000e80000ff0000000000002000000000",
INIT_07 => X"e80000ff000000ff000000002000000000000000200000000000000000000010",
INIT_08 => X"0020000000000000000000000007002800380000000000f00000ff0000000000",
INIT_09 => X"20f000ff0000000000e80000ff0000000000000000ff000000000000ff000000",
INIT_0A => X"0000000000000000e8161600002000ff000100f00000ff00000000e800000000",
INIT_0B => X"2a00000256922700000002561227000000002a0000002a0000002a00f00000ff",
INIT_0C => X"032800280028000028000000000200280028002800002800000000002a000000",
INIT_0D => X"0002230029002900290000290000000002430028002900290000290000000002",
INIT_0E => X"2a00000400002a0000002a00000500002a0000002a00000300002a0000002a00",
INIT_0F => X"0000002b00000000002b00000000330000002b0000002b00000200002a000000",
INIT_10 => X"0000000000000000f00000ff0000000000e8000000000001200030330000002b",
INIT_11 => X"010000300000000000ff10000000000000000000000000100000000000000000",
INIT_12 => X"0000000000000000000010241800000000012000300010241800000000000000",
INIT_13 => X"002b00000033000000330000002b000000000000ff0300000000000000000000",
INIT_14 => X"0000000000000000001000000000000000000000f00000ff0000000000e80000",
INIT_15 => X"000000ff00000000000000000000100000100000000000000020000010000010",
INIT_16 => X"00002b00000033000000330000002b0000000000000100003000002b0000ff00",
INIT_17 => X"000000000000000010000000000000000000000000f00000ff0000000000e800",
INIT_18 => X"0000ff0000000000000000000010000010000000000000002000001000001000",
INIT_19 => X"0000100000100000000000000000001000000001000000000000000000ff0000",
INIT_1A => X"00000000ff00000000ff00000000000000000000100000100000000000000020",
INIT_1B => X"00002000001000001010ff3f0000000000000000101000000000000000000000",
INIT_1C => X"0000000000ff00000000ff000000000000000000001000001010ff3f00000000",
INIT_1D => X"000000002000001000001010ff3f000000000000000010000000000000000000",
INIT_1E => X"003000002b0000ff00000000ff000000000000000000001000001010ff3f0000",
INIT_1F => X"00ff0000000000e80000002b00000033000000330000002b0000000000000100",
INIT_20 => X"000000002000001029180000000000000000000010000000000000000012f000",
INIT_21 => X"00000000000000000000ff000000000000000000000000000010291800000000",
INIT_22 => X"00000000102a180000000000000000200000102a180000000000000000000010",
INIT_23 => X"000033000000330000002b000000000000002c0000ff00000000000000000000",
INIT_24 => X"001c1c0000001000001e1e000000000000f00000ff0000000000e80000002b00",
INIT_25 => X"3000000010000000000000ff0000000000000000000010001000000010001000",
INIT_26 => X"00000000102c18000000000000000120003000102c1800000000000000010000",
INIT_27 => X"00000120003000102c1800000000000000010000300000001000100000000000",
INIT_28 => X"000000000001000030000000100010000000000000000000102c180000000000",
INIT_29 => X"000000000000000000000000102c18000000000000000120003000102c180000",
INIT_2A => X"0000e80000002b00000033000000330000002b000000000000002c0000ff0000",
INIT_2B => X"000000000020002c0000000000000000100000000000430012f00000ff000000",
INIT_2C => X"002c0000000000002000002c0000000000000000100000000000000000002c00",
INIT_2D => X"0000002c0000000000002000002c000000000000000010000000000000000000",
INIT_2E => X"0000000000002c0000000000002000002c000000000000000010000000000000",
INIT_2F => X"0000000000000000002c0000000000002000002c000000000000000000000000",
INIT_30 => X"0000000000002c00000000000000002c0000002000002c0000000000000000ff",
INIT_31 => X"0000330000f000ff000000000000e80000002b00000033000000330000002b00",
INIT_32 => X"000000f000ff00000000e8ff0000103300000033000000001035180033000000",
INIT_33 => X"0010400080000000000000320000000000000000000000000000000000000000",
INIT_34 => X"00ff00000000e8000000000000ff33003300003200000035007f000000330000",
INIT_35 => X"00000000000033000000000000000000000000000000000000000000000000f0",
INIT_36 => X"000010352000007f330000000000330000000000000000000000008000000080",
INIT_37 => X"0000000000f000ff00000000e8000000000000ff330000330032003300000033",
INIT_38 => X"000000000000f00000ff00000000e80000ff0000000000000000000000000000",
INIT_39 => X"000000ff0000000000000000000000000000ff00000000000000000010000000",
INIT_3A => X"0000000000000000101000000000000000100000000000000000000000100000",
INIT_3B => X"0010000000001800000000000000001800001616000000000000001616000000",
INIT_3C => X"00000000000000000010000000ff00000000ff0000000000ff000000ff000000",
INIT_3D => X"0010000000000000000000180000000006002810000000000010100000000000",
INIT_3E => X"00f000ff00000000e80000fc0000200000f000ff0000000000e8000006002810",
INIT_3F => X"00000000f000ff00000000e80000ff000000100000ff00000000000000000000"
)
port map (
DO => block_do(0)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"4c4844403c3834302c2824201c181410980e00ac04fd2a001800b0000000f001",
INIT_01 => X"504c4844403c3834302c2824201c18141000cc2410200060125c1058fc005450",
INIT_02 => X"0c08040000083c0048080c440840043c006000000800000801681360115c5854",
INIT_03 => X"00080c000810121900082c2824201c1814100c08040000082c2824201c181410",
INIT_04 => X"00200000082504f80008100c2500000000100012100d1b020014101410250cf0",
INIT_05 => X"001800980d00040a000018001318251014e80008080425000000080000fa0200",
INIT_06 => X"001020001e101c2025181ce00008181014250000e90000001800982500001801",
INIT_07 => X"250000e0001010fc0010009825570014000700982530001400090a0014140f06",
INIT_08 => X"9825000010010010001810140016a025a42514a8a8a4a025989c60000820181c",
INIT_09 => X"002504f80008a0989c250000e400000010000d1010ff001000080a00ff001000",
INIT_0A => X"000000000818101425030000000000fd003c00251014e8000808042501000020",
INIT_0B => X"8000008878348c0002008878340c000100ae680000ae540000ae3c0025181ce0",
INIT_0C => X"2184009c00b40010d800140200e7070c0024003c00106000140100ae680000ae",
INIT_0D => X"00e7450174008c00a40010c800140400e72105fc0014002c00105000140300e7",
INIT_0E => X"f800008b00ae680000aee000006300ae680000aec80000fe00ae680000aea800",
INIT_0F => X"0000ae5000001300ae4800000700100000ae380000ae2800001400ae680000ae",
INIT_10 => X"1403004b10031c18252024d8000820181c250000980a0005250a25100000ae5c",
INIT_11 => X"05100a250026001400eb2a00101414020014000b140004100d1a020014100011",
INIT_12 => X"0100181c0100030010002170800018000005250a250021708000180000983a00",
INIT_13 => X"ae9c00000510000100100000ae7400000d011c00b2e800101002001000982018",
INIT_14 => X"001000983a00d4181807002810002c1014302c28252024d80008282024250000",
INIT_15 => X"001010ff0100101401000300180021002c80001000982000d425000021002c80",
INIT_16 => X"00aee400000510000100100000aed800000d01140005300a2500aec40000d120",
INIT_17 => X"1000983a00d418181218002810002d101434302c28252024d800082820242500",
INIT_18 => X"1010ff0100101401000300180021002c80001000982000d425000021002c8000",
INIT_19 => X"000021003080001000983a00d4181812180028230010002f1000980a00d00600",
INIT_1A => X"00980a00ce06001010ff0100101401000300180021003080001000982000d425",
INIT_1B => X"00d42500002100348021ffff1000983a00d4181812100d1a0200281000341001",
INIT_1C => X"0100980a00c90a001010ff010010140100030018002100348021ffff10009820",
INIT_1D => X"982000d42500002100388021ffff1000983a00d41818100d1a02002810003310",
INIT_1E => X"0a2500aef00000ca0a001010ff010010140100030018002100388021ffff1000",
INIT_1F => X"24d80008282024250000aee400000510000100100000aed800000d011400053c",
INIT_20 => X"982000d425000021ec8000100000983a00d41c1c21001018002b101418342520",
INIT_21 => X"001018002b1000980a00d20a00101001001014010003001c0021ec8000100000",
INIT_22 => X"03001c0021148000100000982000d425000021148000100000983a00d41c1c23",
INIT_23 => X"000510000100100000aed800000d011400ae140000d20a001010010010140100",
INIT_24 => X"10030000100c21101003000010001f1014259094680008282024250000aee400",
INIT_25 => X"2518000c21101000871000de0a0010100100103c001021108000101c21104000",
INIT_26 => X"030018002158800010000098200005250a250021588000100000983a0005180a",
INIT_27 => X"200005250a250021588000100000983a0005180a2518001c2110400010140100",
INIT_28 => X"0000983a0005180a2518003c2110800010140100030018002158800010000098",
INIT_29 => X"10100100101401000300180021588000100000980a0005250a25002158800010",
INIT_2A => X"9094250000aee400000510000100100000aed800000d011400ae300000760a00",
INIT_2B => X"00982000d42500800000983a00d41c1c240018141018211434252024d8000898",
INIT_2C => X"04800000982000d4250004800000983a00d41c1c2500181410010003001c8000",
INIT_2D => X"001c08800000982000d4250008800000983a00d41c1c2600181410010003001c",
INIT_2E => X"010003001c0c800000982000d425000c800000983a00d41c1c27001410010003",
INIT_2F => X"001410010003001c10800000982000d4250010800000983a00d41c1c12001410",
INIT_30 => X"000d011000ae400010010003001c14800000d4250014800000983a00d41c1cee",
INIT_31 => X"0f002400082504f8000008282024250000aee400000510000100100000aed800",
INIT_32 => X"000110250cf00008080425ff0002252400010024000000082130800024000013",
INIT_33 => X"0b24000000001f01000401f0000006000000321000002a000732000600000010",
INIT_34 => X"14e80008100c25030000100000d82c00280100f00000003000fc000600240000",
INIT_35 => X"003401000401280000060000005d1800003f00075d0006000000180001041825",
INIT_36 => X"00002170800000fc200000100f00200000160008001a00040800000004000004",
INIT_37 => X"0000101410250cf00008181425030000180000c32c01002800f0002000010020",
INIT_38 => X"0a104c48444025383cc00008100c250000f20000000000140100141001001000",
INIT_39 => X"184018ff0003180100050a48000500402f00f30f001010010010102021101000",
INIT_3A => X"0010000a0a00101c12100d1b02001c4810100d1b02001c48003e140e1c121800",
INIT_3B => X"0b2a0000004c2300140f000c001c102110140300ff57ff001000080300ff30ff",
INIT_3C => X"4c000b0000004c102d21101414ff0014000aff1800000200c0001414ff001400",
INIT_3D => X"20230f00004c000c00004c2300140f00f844252100142000122a2300140f0000",
INIT_3E => X"10250cf000080804250000180360000c082504f8000840383c250000f8442521",
INIT_3F => X"00080c082504f80008100c250000f1001010240010ff001000ff010000000d00"
)
port map (
DO => block_do(0)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block0
block1: if (block_count > 1) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"00008f8faf000c8faf0000008f00008fafaf03afaf270003278f030000008f00",
INIT_01 => X"8f0000003c8fac008fac008fac008f30008fafafafafaf03af270003278f8f03",
INIT_02 => X"0010248f001428008faf24008faf00008f0030003000008f8c008f0010afac00",
INIT_03 => X"10240014008f8f001024001000008f8c008f001024001000008f8c008f001024",
INIT_04 => X"03af270003278f0300008faf03af270003278f0300001024001028008c008f00",
INIT_05 => X"3c000c243c000c343c343caf24afaf03afafaf27000003278f030000343c8faf",
INIT_06 => X"0004008c340010ac24ac343c24ae000c242424000c243cac008f343caf008c34",
INIT_07 => X"8fae000c242424000c24000c0024008f000c243c0014248faf000c8faf008c24",
INIT_08 => X"000000000003278f8f8f0300000c00142c008fac008f24af000c8f0010af2400",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000102040912000000",
INIT_0F => X"fffffffffffffffffffffffffffffffffffffffffffffefcf9f2e4c992000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000ffffff",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000606060606050000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000010101010101000000",
INIT_14 => X"3d3d3d3d3d3d676620740a0a747320650a000000000000000000000000000000",
INIT_15 => X"694c7363726d69546e616f6269546f6175206467730a00696920746c6c67730a",
INIT_16 => X"4e490a007420696c54004546455000454d500a6469540030617261736d657061",
INIT_17 => X"544c4c0a0a53200a4c2000454e490a0044414f4c41454e490a0044414f4c4145",
INIT_18 => X"0000000000000000000054204945540a54204d0a542043422f440a2054494920",
INIT_19 => X"00000000000000000000000000000000000000000000000000000000ff000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000102040912000000000000000000000000000000",
INIT_1F => X"fffffffffffffffffffffefcf9f2e4c992000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000ffffffffffffffffffffffffffffff",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000606060606050000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000010101010101000000000000000000000000000000",
INIT_24 => X"7566542055000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000072756570695300736e61756369670a0a727475526e2068616e",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"6200c2c3c20000c4c2430300c30200c2c5c4a0bebfbd00e0bdbec0620200c202",
INIT_01 => X"c240026202c34000c24000c24300c24300c2c0c7c6c5c4a0bebd00e0bdbebfc0",
INIT_02 => X"006202c300404200c2c24200c2c24300c2404202424300c24300c20000c04300",
INIT_03 => X"0002006200c2c300000200404300c24300c200000200404300c24300c2000002",
INIT_04 => X"a0bebd00e0bdbec00200c2c4a0bebd00e0bdbec000000002004042004200c200",
INIT_05 => X"0200004402000044024502c202c5c4a0b0bebfbd0000e0bdbec002624202c3c4",
INIT_06 => X"00400042020000400243630302020000040510000044024300c34202c2004242",
INIT_07 => X"c20200000405100000040000400500c200004402006202c3c20000c4c2004202",
INIT_08 => X"0000000000e0bdb0bebfc000000000404200c24300c302c20000c40000c24200",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"00000000000000000000000000000000010204091224489123468d1a34000000",
INIT_0F => X"fffffffffffffffffffffffffffffefcf9f2e4c99224489123468d1a34000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000ffffff",
INIT_11 => X"0000000000000000000000000000000000000003030303030300000000000000",
INIT_12 => X"02010000000000000000000000000000010101020515100b0500fb1a150f0a05",
INIT_13 => X"0000000000000000000000000000000000000000000001504f4e4d4c4b050403",
INIT_14 => X"0a3d3d3d3d3d0a747369540a656d707247000000000000000000000000000000",
INIT_15 => X"6e69736379656e65737470696e656e63622f6920740a006f762f69697420740a",
INIT_16 => X"554d0a0073746c206f00444144410053414c0a6f6e6500306e206c206220726c",
INIT_17 => X"4949540a0a45500a4546005347460a0021534e414c52554d0a0021494e414c52",
INIT_18 => X"0000000000000000000020544f52200a20544f0a2054545420450a00454f562f",
INIT_19 => X"00000000000000000000000000000000000000000000000000000000ff000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"00000000010204091224489123468d1a34000000000000000000000000000000",
INIT_1F => X"fffffefcf9f2e4c99224489123468d1a34000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000ffffffffffffffffffffffffffffff",
INIT_21 => X"0000000000000003030303030300000000000000000000000000000000000000",
INIT_22 => X"00000000010101020515100b0500fb1a150f0a05000000000000000000000000",
INIT_23 => X"0000000000000000000001504f4e4d4c4b050403020100000000000000000000",
INIT_24 => X"20203a5441000000000000000000000000000000000000000000000000000000",
INIT_25 => X"00000000000000206d74616e65007420746e6f6e690a006b2074542074696420",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"100000000000070000101f00001000000000f00000ff00000000e8101400001f",
INIT_01 => X"001814100f000000000000000000000000000000000000f000ff0000000000e8",
INIT_02 => X"0000000000ff0000000000000000100000180010001000000000000000000000",
INIT_03 => X"0000000000000000000000001000000000000000000000100000000000000000",
INIT_04 => X"f000ff00000000e817000000f000ff00000000e8100000000000000000000000",
INIT_05 => X"200000320000007801c20000000000f0000000ff0000000000e81010ff1f0000",
INIT_06 => X"00000000800000007f00ff0f7f00000700007f00003200000000002000000000",
INIT_07 => X"0000000700007f0000000001200030000000320000000000000008000000007f",
INIT_08 => X"00000000000000000000e810000100ff0300000000007f000008000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0101010101010101010101010101010000000000000000000000000000000000",
INIT_0B => X"0202020201010101010101010101010101010101010101010101010101010101",
INIT_0C => X"0202020202020202020202020202020202020202020202020202020202020202",
INIT_0D => X"0303030303030303030303030303030303030303030303030303030303030202",
INIT_0E => X"0000000000000000010204091224489123468d1a3468d1a2458a152b56030303",
INIT_0F => X"fffffffffffffefcf9f2e4c99224489123468d1a3468d1a2458a152b56000000",
INIT_10 => X"0000000000000000000000000000000000080808080707000000000000ffffff",
INIT_11 => X"000000000000000000000000000000000101039e9b9794918e0f0c0906030000",
INIT_12 => X"46230000000000000000000095a8c0e00d50c1a1439e5b17d4914e4f0cc98643",
INIT_13 => X"1212121212000000000000000000202429303a48619123c7a4815d3a17b08d69",
INIT_14 => X"003d3d3d3d3d0069686e650073616c6165121212121212121212121212121212",
INIT_15 => X"67730a65206d67730a69657467730a7474206e616954006e69206f63696d6954",
INIT_16 => X"4d4550003a65656674002149215300542041006e6773003020746c73656e696c",
INIT_17 => X"4f43494d0044410044410054205453000a53205443204d4550000a4c20544320",
INIT_18 => X"0000000000000000000000454e414f420045524d00454f5253524100534e4920",
INIT_19 => X"00000000000000000000000000000000000000000000000000001212ed515302",
INIT_1A => X"0101010000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0101010101010101010101010101010101010101010101010101010101010101",
INIT_1C => X"0202020202020202020202020202020202020202020202020101010101010101",
INIT_1D => X"0303030303030303030303030303030303030202020202020202020202020202",
INIT_1E => X"1224489123468d1a3468d1a2458a152b56030303030303030303030303030303",
INIT_1F => X"9224489123468d1a3468d1a2458a152b56000000000000000000000001020409",
INIT_20 => X"0000000000080808080707000000000000fffffffffffffffffffefcf9f2e4c9",
INIT_21 => X"000000000101039e9b9794918e0f0c0906030000000000000000000000000000",
INIT_22 => X"95a8c0e00d50c1a1439e5b17d4914e4f0cc98643000000000000000000000000",
INIT_23 => X"0000202429303a48619123c7a4815d3a17b08d69462300000000000000000000",
INIT_24 => X"6379204552121212121212121212121212121212121212121200000000000000",
INIT_25 => X"0000000000000000622063676e000a7469696d676e4200737770205568732072",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"250014101400dc101025400020400024242025181ce000080804252500000c00",
INIT_01 => X"20250224ff1000001c000018000014ff0010041c181410250cf0000820181c25",
INIT_02 => X"0004010400ea080000000100000421000425ff2b010700000000140013000000",
INIT_03 => X"0c04000400181c00140300042a002400001c001f0200042a0024000018002a01",
INIT_04 => X"2504f80008080425420008082504f80008100c25250002050004020000002000",
INIT_05 => X"0000ae6c000080407d000110013c38252c3034c80000080804254224feff0808",
INIT_06 => X"002e000000003300fc00fffffc0000f90103fc00aea800000014300014000050",
INIT_07 => X"100000f90103fc00980a0005250a251000aecc00001a011c1c009118180000fc",
INIT_08 => X"0b0705030008382c30342525006000cae90010000020fc20009d180009100100",
INIT_09 => X"9d97958b89837f716d6b67656159534f4947433d3b352f2b29251f1d1713110d",
INIT_0A => X"5b514b3d393733251b19150f0d0701fbf1efe9e5e3dfd3c7c5c1bfb5b3ada7a3",
INIT_0B => X"231d0b09fdf7f3ebe7dfd3cfcdc9c1bbb7b1afa5a399918d857f7b756f67615d",
INIT_0C => X"efe7e3ddd7cfc5bdb3aba5a195938d878381776b69655f5957514b413b39332d",
INIT_0D => X"d1cbc7b9b3ada9a1978f8b7773716d5f5b5955473d3b37352b291d130501f9f5",
INIT_0E => X"010204091224489123468d1a3468d1a2458a152b56ac59b367cf9e3c78e5dfd7",
INIT_0F => X"f9f2e4c99224489123468d1a3468d1a2458a152b56ac59b367cf9e3c78000000",
INIT_10 => X"070001020301010000000101010102030718110a03fcf5231c150e0700fffefc",
INIT_11 => X"0000010303010100010059647285a0c80b90212807e6c5a483a5846342210007",
INIT_12 => X"8a4500030103030001000100ae6472856dc80b90212807e6c5a483a584634221",
INIT_13 => X"38373635340005010300010001005d689c8b41d117a245c8833ef9b46f5914cf",
INIT_14 => X"003d3d3d3d3d006e696773007420616c6e2b2c2d2e2f30313233343d3c3b3a39",
INIT_15 => X"20740073616f2074006f722020740069727367646e65000a73646e6170756e65",
INIT_16 => X"422052002073646161000a4c00530020545300652074000a3168656d72756d20",
INIT_17 => X"4e4150550021530021490020544948000a4550495543422052000a4546495543",
INIT_18 => X"0908070605040302010000535354504900535945005352415520440054205344",
INIT_19 => X"6159534f4947433d3b352f2b29251f1d1713110d0b07050300002246cb153520",
INIT_1A => X"0d0701fbf1efe9e5e3dfd3c7c5c1bfb5b3ada7a39d97958b89837f716d6b6765",
INIT_1B => X"cdc9c1bbb7b1afa5a399918d857f7b756f67615d5b514b3d393733251b19150f",
INIT_1C => X"95938d878381776b69655f5957514b413b39332d231d0b09fdf7f3ebe7dfd3cf",
INIT_1D => X"73716d5f5b5955473d3b37352b291d130501f9f5efe7e3ddd7cfc5bdb3aba5a1",
INIT_1E => X"3468d1a2458a152b56ac59b367cf9e3c78e5dfd7d1cbc7b9b3ada9a1978f8b77",
INIT_1F => X"3468d1a2458a152b56ac59b367cf9e3c78000000010204091224489123468d1a",
INIT_20 => X"010102030718110a03fcf5231c150e0700fffefcf9f2e4c99224489123468d1a",
INIT_21 => X"7285a0c80b90212807e6c5a483a5846342210007070001020301010000000101",
INIT_22 => X"ae6472856dc80b90212807e6c5a483a584634221000001030301010001005964",
INIT_23 => X"01005d689c8b41d117a245c8833ef9b46f5914cf8a4500030103030001000100",
INIT_24 => X"616f4953542b2c2d2e2f30313233343d3c3b3a39383736353400050103000100",
INIT_25 => X"0000000100000000656e6b2064000a656f636d206e6500216f756f41652c7465",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block1
block2: if (block_count > 2) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block2
block3: if (block_count > 3) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block3
block4: if (block_count > 4) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block4
block5: if (block_count > 5) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block5
block6: if (block_count > 6) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block6
block7: if (block_count > 7) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block7
end; --architecture logic
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VHDL_Xilinx_Port/sha256_s1.vhd | 4 | 1178 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:54:56 06/02/2011
-- Design Name:
-- Module Name: sha256_s1 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sha256_s1 is
Port ( d : in STD_LOGIC_VECTOR (31 downto 0);
q : out STD_LOGIC_VECTOR (31 downto 0));
end sha256_s1;
architecture Behavioral of sha256_s1 is
begin
q(31 downto 22) <= d(16 downto 7) xor d(18 downto 9);
q(21 downto 0) <= (d(6 downto 0) & d(31 downto 17)) xor (d(8 downto 0) & d(31 downto 19)) xor d(31 downto 10);
end Behavioral;
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_bindec.vhd | 9 | 10044 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/counter_threshold.vhd | 9 | 3773 | --Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand
-- This design is based on the proposed method, discussed in the following publication:
-- "A Fault Prediction Module for a Fault Tolerant NoC Operation"
-- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C.
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity counter_threshold_classifier is
generic (
counter_depth: integer := 8;
healthy_counter_threshold: integer := 4;
faulty_counter_threshold: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet, Healthy_packet: in std_logic;
Healthy, Intermittent, Faulty: out std_logic
);
end counter_threshold_classifier;
architecture behavior of counter_threshold_classifier is
signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0);
signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0);
signal NET: std_logic; --no error threshold
signal DET: std_logic; --detected error threshold
signal reset_counters: std_logic;
TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state);
SIGNAL state, next_state : STATE_TYPE := Healthy_state;
begin
process(clk, reset)begin
if reset = '0' then
faulty_counter_out <= (others => '0');
healthy_counter_out <= (others => '0');
state <= Healthy_state;
elsif clk'event and clk = '1' then
faulty_counter_out <= faulty_counter_in;
healthy_counter_out <= healthy_counter_in;
state <= next_state;
end if;
end process;
process(faulty_packet, reset_counters, faulty_counter_out)begin
if reset_counters = '1' then
faulty_counter_in <= (others => '0');
elsif faulty_packet = '1' then
faulty_counter_in <= faulty_counter_out + 1;
else
faulty_counter_in <= faulty_counter_out;
end if;
end process;
process(Healthy_packet, reset_counters, healthy_counter_out)begin
if reset_counters = '1' then
healthy_counter_in <= (others => '0');
elsif Healthy_packet = '1' then
healthy_counter_in <= healthy_counter_out + 1;
else
healthy_counter_in <= healthy_counter_out;
end if;
end process;
process(healthy_counter_out, faulty_counter_out) begin
reset_counters <= '0';
DET <= '0';
NET <= '0';
if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then
NET <= '1';
reset_counters <= '1';
end if;
if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then
DET <= '1';
reset_counters <= '1';
end if;
end process;
process (NET, DET, state)begin
Healthy <= '0';
Intermittent <= '0';
Faulty <= '0';
case state is
when Healthy_state =>
if NET = '1' then
next_state <= Healthy_state;
elsif DET = '1' then
next_state <= Intermittent_state;
Intermittent <= '1';
else
next_state <= Healthy_state;
end if;
when Intermittent_state =>
if NET = '1' then
next_state <= Healthy_state;
Healthy <= '1';
elsif DET = '1' then
next_state <= Faulty_state;
Faulty <= '1';
else
next_state <= Intermittent_state;
end if;
when Faulty_state =>
next_state <= Faulty_state;
when others =>
next_state <= Healthy_state;
Healthy <= '1';
end case;
end process;
END;
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/xbar.vhd | 20 | 1004 | --Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
entity XBAR is
generic (
DATA_WIDTH: integer := 8
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end;
architecture behavior of XBAR is
begin
process(sel, North_in, East_in, West_in, South_in, Local_in) begin
case(sel) is
when "00001" =>
Data_out <= Local_in;
when "00010" =>
Data_out <= South_in;
when "00100" =>
Data_out <= West_in;
when "01000" =>
Data_out <= East_in;
when others =>
Data_out <= North_in;
end case;
end process;
end; | gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/allocator.vhd | 11 | 12730 | --Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity allocator is
port ( reset: in std_logic;
clk: in std_logic;
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
req_N_N, req_N_E, req_N_W, req_N_S, req_N_L: in std_logic;
req_E_N, req_E_E, req_E_W, req_E_S, req_E_L: in std_logic;
req_W_N, req_W_E, req_W_W, req_W_S, req_W_L: in std_logic;
req_S_N, req_S_E, req_S_W, req_S_S, req_S_L: in std_logic;
req_L_N, req_L_E, req_L_W, req_L_S, req_L_L: in std_logic;
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
valid_N, valid_E, valid_W, valid_S, valid_L : out std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: out std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: out std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: out std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: out std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: out std_logic
);
end allocator;
architecture behavior of allocator is
-- so the idea is that we should have counters that keep track of credit!
signal credit_counter_N_in, credit_counter_N_out: std_logic_vector(1 downto 0);
signal credit_counter_E_in, credit_counter_E_out: std_logic_vector(1 downto 0);
signal credit_counter_W_in, credit_counter_W_out: std_logic_vector(1 downto 0);
signal credit_counter_S_in, credit_counter_S_out: std_logic_vector(1 downto 0);
signal credit_counter_L_in, credit_counter_L_out: std_logic_vector(1 downto 0);
signal grant_N, grant_E, grant_W, grant_S, grant_L: std_logic;
signal X_N_N, X_N_E, X_N_W, X_N_S, X_N_L: std_logic;
signal X_E_N, X_E_E, X_E_W, X_E_S, X_E_L: std_logic;
signal X_W_N, X_W_E, X_W_W, X_W_S, X_W_L: std_logic;
signal X_S_N, X_S_E, X_S_W, X_S_S, X_S_L: std_logic;
signal X_L_N, X_L_E, X_L_W, X_L_S, X_L_L: std_logic;
signal grant_N_N_sig, grant_N_E_sig, grant_N_W_sig, grant_N_S_sig, grant_N_L_sig: std_logic;
signal grant_E_N_sig, grant_E_E_sig, grant_E_W_sig, grant_E_S_sig, grant_E_L_sig: std_logic;
signal grant_W_N_sig, grant_W_E_sig, grant_W_W_sig, grant_W_S_sig, grant_W_L_sig: std_logic;
signal grant_S_N_sig, grant_S_E_sig, grant_S_W_sig, grant_S_S_sig, grant_S_L_sig: std_logic;
signal grant_L_N_sig, grant_L_E_sig, grant_L_W_sig, grant_L_S_sig, grant_L_L_sig: std_logic;
component arbiter_in is
port ( reset: in std_logic;
clk: in std_logic;
Req_X_N, Req_X_E, Req_X_W, Req_X_S, Req_X_L:in std_logic; -- From LBDR modules
X_N, X_E, X_W, X_S, X_L:out std_logic -- Grants given to LBDR requests (encoded as one-hot)
);
end component;
component arbiter_out is
port ( reset: in std_logic;
clk: in std_logic;
X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y:in std_logic; -- From LBDR modules
credit: in std_logic_vector(1 downto 0);
grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L :out std_logic -- Grants given to LBDR requests (encoded as one-hot)
);
end component;
begin
-- sequential part
process(clk, reset)
begin
if reset = '0' then
-- we start with all full cradit
credit_counter_N_out <= (others=>'1');
credit_counter_E_out <= (others=>'1');
credit_counter_W_out <= (others=>'1');
credit_counter_S_out <= (others=>'1');
credit_counter_L_out <= (others=>'1');
elsif clk'event and clk = '1' then
credit_counter_N_out <= credit_counter_N_in;
credit_counter_E_out <= credit_counter_E_in;
credit_counter_W_out <= credit_counter_W_in;
credit_counter_S_out <= credit_counter_S_in;
credit_counter_L_out <= credit_counter_L_in;
end if;
end process;
-- The combionational part
grant_N_N <= grant_N_N_sig and not empty_N;
grant_N_E <= grant_N_E_sig and not empty_E;
grant_N_W <= grant_N_W_sig and not empty_W;
grant_N_S <= grant_N_S_sig and not empty_S;
grant_N_L <= grant_N_L_sig and not empty_L;
grant_E_N <= grant_E_N_sig and not empty_N;
grant_E_E <= grant_E_E_sig and not empty_E;
grant_E_W <= grant_E_W_sig and not empty_W;
grant_E_S <= grant_E_S_sig and not empty_S;
grant_E_L <= grant_E_L_sig and not empty_L;
grant_W_N <= grant_W_N_sig and not empty_N;
grant_W_E <= grant_W_E_sig and not empty_E;
grant_W_W <= grant_W_W_sig and not empty_W;
grant_W_S <= grant_W_S_sig and not empty_S;
grant_W_L <= grant_W_L_sig and not empty_L;
grant_S_N <= grant_S_N_sig and not empty_N;
grant_S_E <= grant_S_E_sig and not empty_E;
grant_S_W <= grant_S_W_sig and not empty_W;
grant_S_S <= grant_S_S_sig and not empty_S;
grant_S_L <= grant_S_L_sig and not empty_L;
grant_L_N <= grant_L_N_sig and not empty_N;
grant_L_E <= grant_L_E_sig and not empty_E;
grant_L_W <= grant_L_W_sig and not empty_W;
grant_L_S <= grant_L_S_sig and not empty_S;
grant_L_L <= grant_L_L_sig and not empty_L;
grant_N <= (grant_N_N_sig and not empty_N )or (grant_N_E_sig and not empty_E) or (grant_N_W_sig and not empty_W) or (grant_N_S_sig and not empty_S) or (grant_N_L_sig and not empty_L);
grant_E <= (grant_E_N_sig and not empty_N )or (grant_E_E_sig and not empty_E) or (grant_E_W_sig and not empty_W) or (grant_E_S_sig and not empty_S) or (grant_E_L_sig and not empty_L);
grant_W <= (grant_W_N_sig and not empty_N )or (grant_W_E_sig and not empty_E) or (grant_W_W_sig and not empty_W) or (grant_W_S_sig and not empty_S) or (grant_W_L_sig and not empty_L);
grant_S <= (grant_S_N_sig and not empty_N )or (grant_S_E_sig and not empty_E) or (grant_S_W_sig and not empty_W) or (grant_S_S_sig and not empty_S) or (grant_S_L_sig and not empty_L);
grant_L <= (grant_L_N_sig and not empty_N )or (grant_L_E_sig and not empty_E) or (grant_L_W_sig and not empty_W) or (grant_L_S_sig and not empty_S) or (grant_L_L_sig and not empty_L);
-- this process handels the credit counters!
process(credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L, grant_N, grant_E, grant_W, grant_S, grant_L,
credit_counter_N_out, credit_counter_E_out, credit_counter_W_out, credit_counter_S_out, credit_counter_L_out
)
begin
credit_counter_N_in <= credit_counter_N_out;
credit_counter_E_in <= credit_counter_E_out;
credit_counter_W_in <= credit_counter_W_out;
credit_counter_S_in <= credit_counter_S_out;
credit_counter_L_in <= credit_counter_L_out;
if credit_in_N = '1' and grant_N = '1' then
credit_counter_N_in <= credit_counter_N_out;
elsif credit_in_N = '1' and credit_counter_N_out < 3 then
credit_counter_N_in <= credit_counter_N_out + 1;
elsif grant_N = '1' and credit_counter_N_out > 0 then
credit_counter_N_in <= credit_counter_N_out - 1;
end if;
if credit_in_E = '1' and grant_E = '1' then
credit_counter_E_in <= credit_counter_E_out;
elsif credit_in_E = '1' and credit_counter_E_out < 3 then
credit_counter_E_in <= credit_counter_E_out + 1;
elsif grant_E = '1' and credit_counter_E_out > 0 then
credit_counter_E_in <= credit_counter_E_out - 1;
end if;
if credit_in_W = '1' and grant_W = '1' then
credit_counter_W_in <= credit_counter_W_out;
elsif credit_in_W = '1' and credit_counter_W_out < 3 then
credit_counter_W_in <= credit_counter_W_out + 1;
elsif grant_W = '1' and credit_counter_W_out > 0 then
credit_counter_W_in <= credit_counter_W_out - 1;
end if;
if credit_in_S = '1' and grant_S = '1' then
credit_counter_S_in <= credit_counter_S_out;
elsif credit_in_S = '1' and credit_counter_S_out < 3 then
credit_counter_S_in <= credit_counter_S_out + 1;
elsif grant_S = '1' and credit_counter_S_out > 0 then
credit_counter_S_in <= credit_counter_S_out - 1;
end if;
if credit_in_L = '1' and grant_L = '1' then
credit_counter_L_in <= credit_counter_L_out;
elsif credit_in_L = '1' and credit_counter_L_out < 3 then
credit_counter_L_in <= credit_counter_L_out + 1;
elsif grant_L = '1' and credit_counter_L_out > 0 then
credit_counter_L_in <= credit_counter_L_out - 1;
end if;
end process;
arb_N_X: arbiter_in PORT MAP (reset => reset, clk => clk,
Req_X_N=>req_N_N, Req_X_E=> req_N_E, Req_X_W=>req_N_W, Req_X_S=>req_N_S, Req_X_L=>req_N_L,
X_N=>X_N_N, X_E=>X_N_E, X_W=>X_N_W, X_S=>X_N_S, X_L=>X_N_L);
arb_E_X: arbiter_in PORT MAP (reset => reset, clk => clk,
Req_X_N=>req_E_N, Req_X_E=> req_E_E, Req_X_W=>req_E_W, Req_X_S=>req_E_S, Req_X_L=>req_E_L,
X_N=>X_E_N, X_E=>X_E_E, X_W=>X_E_W, X_S=>X_E_S, X_L=>X_E_L);
arb_W_X: arbiter_in PORT MAP (reset => reset, clk => clk,
Req_X_N=>req_W_N, Req_X_E=> req_W_E, Req_X_W=>req_W_W, Req_X_S=>req_W_S, Req_X_L=>req_W_L,
X_N=>X_W_N, X_E=>X_W_E, X_W=>X_W_W, X_S=>X_W_S, X_L=>X_W_L);
arb_S_X: arbiter_in PORT MAP (reset => reset, clk => clk,
Req_X_N=>req_S_N, Req_X_E=> req_S_E, Req_X_W=>req_S_W, Req_X_S=>req_S_S, Req_X_L=>req_S_L,
X_N=>X_S_N, X_E=>X_S_E, X_W=>X_S_W, X_S=>X_S_S, X_L=>X_S_L);
arb_L_X: arbiter_in PORT MAP (reset => reset, clk => clk,
Req_X_N=>req_L_N, Req_X_E=> req_L_E, Req_X_W=>req_L_W, Req_X_S=>req_L_S, Req_X_L=>req_L_L,
X_N=>X_L_N, X_E=>X_L_E, X_W=>X_L_W, X_S=>X_L_S, X_L=>X_L_L);
-- Y is N now
arb_X_N: arbiter_out port map (reset => reset, clk => clk,
X_N_Y => X_N_N, X_E_Y => X_E_N, X_W_Y => X_W_N, X_S_Y => X_S_N, X_L_Y => X_L_N,
credit => credit_counter_N_out,
grant_Y_N => grant_N_N_sig,
grant_Y_E => grant_N_E_sig,
grant_Y_W => grant_N_W_sig,
grant_Y_S => grant_N_S_sig,
grant_Y_L => grant_N_L_sig);
-- Y is E now
arb_X_E: arbiter_out port map (reset => reset, clk => clk,
X_N_Y => X_N_E, X_E_Y => X_E_E, X_W_Y => X_W_E, X_S_Y => X_S_E, X_L_Y => X_L_E,
credit => credit_counter_E_out,
grant_Y_N => grant_E_N_sig,
grant_Y_E => grant_E_E_sig,
grant_Y_W => grant_E_W_sig,
grant_Y_S => grant_E_S_sig,
grant_Y_L => grant_E_L_sig);
-- Y is W now
arb_X_W: arbiter_out port map (reset => reset, clk => clk,
X_N_Y => X_N_W, X_E_Y => X_E_W, X_W_Y => X_W_W, X_S_Y => X_S_W, X_L_Y => X_L_W,
credit => credit_counter_W_out,
grant_Y_N => grant_W_N_sig,
grant_Y_E => grant_W_E_sig,
grant_Y_W => grant_W_W_sig,
grant_Y_S => grant_W_S_sig,
grant_Y_L => grant_W_L_sig);
-- Y is S now
arb_X_S: arbiter_out port map (reset => reset, clk => clk,
X_N_Y => X_N_S, X_E_Y => X_E_S, X_W_Y => X_W_S, X_S_Y => X_S_S, X_L_Y => X_L_S,
credit => credit_counter_S_out,
grant_Y_N => grant_S_N_sig,
grant_Y_E => grant_S_E_sig,
grant_Y_W => grant_S_W_sig,
grant_Y_S => grant_S_S_sig,
grant_Y_L => grant_S_L_sig);
-- Y is L now
arb_X_L: arbiter_out port map (reset => reset, clk => clk,
X_N_Y => X_N_L, X_E_Y => X_E_L, X_W_Y => X_W_L, X_S_Y => X_S_L, X_L_Y => X_L_L,
credit => credit_counter_L_out,
grant_Y_N => grant_L_N_sig,
grant_Y_E => grant_L_E_sig,
grant_Y_W => grant_L_W_sig,
grant_Y_S => grant_L_S_sig,
grant_Y_L => grant_L_L_sig);
valid_N <= grant_N;
valid_E <= grant_E;
valid_W <= grant_W;
valid_S <= grant_S;
valid_L <= grant_L;
END;
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/LBDR_packet_drop.vhd | 3 | 6278 | --Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop is
generic (
cur_addr_rst: integer := 8;
Rxy_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
packet_drop_order: out std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic;
Rxy_reconf_PE: in std_logic_vector(7 downto 0);
Cx_reconf_PE: in std_logic_vector(3 downto 0);
Reconfig_command : in std_logic
);
end LBDR_packet_drop;
architecture behavior of LBDR_packet_drop is
signal Cx, Cx_in: std_logic_vector(3 downto 0);
signal Temp_Cx, Temp_Cx_in: std_logic_vector(3 downto 0);
signal reconfig_cx, reconfig_cx_in: std_logic;
signal ReConf_FF_in, ReConf_FF_out: std_logic;
signal Rxy, Rxy_in: std_logic_vector(7 downto 0);
signal Rxy_tmp, Rxy_tmp_in: std_logic_vector(7 downto 0);
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal N1, E1, W1, S1 :std_logic :='0';
signal Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: std_logic;
signal Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: std_logic;
signal grants: std_logic;
signal packet_drop, packet_drop_in: std_logic;
begin
grants <= grant_N or grant_E or grant_W or grant_S or grant_L;
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
N1 <= '1' when dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) else '0';
E1 <= '1' when cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) else '0';
W1 <= '1' when dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) else '0';
S1 <= '1' when cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) else '0';
process(clk, reset)
begin
if reset = '0' then
Rxy <= std_logic_vector(to_unsigned(Rxy_rst, Rxy'length));
Rxy_tmp <= (others => '0');
Req_N_FF <= '0';
Req_E_FF <= '0';
Req_W_FF <= '0';
Req_S_FF <= '0';
Req_L_FF <= '0';
Cx <= std_logic_vector(to_unsigned(Cx_rst, Cx'length));
Temp_Cx <= (others => '0');
ReConf_FF_out <= '0';
reconfig_cx <= '0';
packet_drop <= '0';
elsif clk'event and clk = '1' then
Rxy <= Rxy_in;
Rxy_tmp <= Rxy_tmp_in;
Req_N_FF <= Req_N_in;
Req_E_FF <= Req_E_in;
Req_W_FF <= Req_W_in;
Req_S_FF <= Req_S_in;
Req_L_FF <= Req_L_in;
ReConf_FF_out <= ReConf_FF_in;
Cx <= Cx_in;
reconfig_cx <= reconfig_cx_in;
Temp_Cx <= Temp_Cx_in;
packet_drop <= packet_drop_in;
end if;
end process;
-- The combionational part
process(Reconfig_command, Rxy_reconf_PE, Rxy_tmp, ReConf_FF_out, Rxy, flit_type, grants, empty)begin
if ReConf_FF_out= '1' and flit_type = "100" and empty = '0' and grants = '1' then
Rxy_in <= Rxy_tmp;
ReConf_FF_in <= '0';
else
Rxy_in <= Rxy;
if Reconfig_command = '1'then
Rxy_tmp_in <= Rxy_reconf_PE;
ReConf_FF_in <= '1';
else
Rxy_tmp_in <= Rxy_tmp;
ReConf_FF_in <= ReConf_FF_out;
end if;
end if;
end process;
process(Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S, Cx, Temp_Cx, flit_type, reconfig_cx, empty, grants, Cx_reconf_PE, Reconfig_command) begin
Temp_Cx_in <= Temp_Cx;
if reconfig_cx = '1' and flit_type = "100" and empty = '0' and grants = '1' then
Cx_in <= Temp_Cx;
reconfig_cx_in <= '0';
else
Cx_in <= Cx;
if (Faulty_C_N or Faulty_C_E or Faulty_C_W or Faulty_C_S) = '1' then
reconfig_cx_in <= '1';
Temp_Cx_in <= not(Faulty_C_S & Faulty_C_W & Faulty_C_E & Faulty_C_N) and Cx;
elsif Reconfig_command = '1' then
reconfig_cx_in <= '1';
Temp_Cx_in <= Cx_reconf_PE;
else
reconfig_cx_in <= reconfig_cx;
end if;
end if;
end process;
Req_N <= Req_N_FF;
Req_E <= Req_E_FF;
Req_W <= Req_W_FF;
Req_S <= Req_S_FF;
Req_L <= Req_L_FF;
process(N1, E1, W1, S1, Rxy, Cx, flit_type, empty, Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF, grants, packet_drop) begin
packet_drop_in <= packet_drop;
if flit_type = "001" and empty = '0' then
Req_N_in <= ((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0);
Req_E_in <= ((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1);
Req_W_in <= ((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2);
Req_S_in <= ((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3);
if dst_addr = cur_addr then
Req_L_in <= '1';
else
Req_L_in <= Req_L_FF; -- Added to remove latch possibility. Correct ??
end if;
if ((((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0)) or
(((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1)) or
(((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2)) or
(((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3))) ='0' and dst_addr /= cur_addr then
packet_drop_in <= '1';
end if;
elsif flit_type = "100" and empty = '0' and grants = '1' then
Req_N_in <= '0';
Req_E_in <= '0';
Req_W_in <= '0';
Req_S_in <= '0';
Req_L_in <= '0';
else
Req_N_in <= Req_N_FF;
Req_E_in <= Req_E_FF;
Req_W_in <= Req_W_FF;
Req_S_in <= Req_S_FF;
Req_L_in <= Req_L_FF;
end if;
if flit_type = "100" and empty = '0' then
if packet_drop = '1' then
packet_drop_in <= '0';
end if;
end if;
end process;
packet_drop_order <= packet_drop;
END; | gpl-3.0 |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@s@s@e/_primary.vhd | 3 | 4539 | library verilog;
use verilog.vl_types.all;
entity F2DSS_SSE is
port(
PRESETN : in vl_logic;
PCLK : in vl_logic;
HCLK : in vl_logic;
PSEL : in vl_logic;
PENABLE : in vl_logic;
PWRITE : in vl_logic;
PADDR : in vl_logic_vector(11 downto 0);
PWDATA : in vl_logic_vector(31 downto 0);
PRDATA : out vl_logic_vector(15 downto 0);
PREADY : out vl_logic;
PSLVERR : out vl_logic;
PPE_PSEL : in vl_logic;
PPE_PENABLE : in vl_logic;
PPE_PWRITE : in vl_logic;
PPE_PADDR : in vl_logic_vector(11 downto 0);
PPE_PWDATA : in vl_logic_vector(15 downto 0);
PPE_PRDATA : out vl_logic_vector(15 downto 0);
PPE_PREADY : out vl_logic;
PPE_PSLVERR : out vl_logic;
PPE_FIFO_FULL : in vl_logic;
PC0_FLAGS : out vl_logic_vector(3 downto 0);
PC1_FLAGS : out vl_logic_vector(3 downto 0);
PC2_FLAGS : out vl_logic_vector(3 downto 0);
ADC0_CALIBRATE_rise: out vl_logic;
ADC1_CALIBRATE_rise: out vl_logic;
ADC2_CALIBRATE_rise: out vl_logic;
ADC0_CALIBRATE_fall: out vl_logic;
ADC1_CALIBRATE_fall: out vl_logic;
ADC2_CALIBRATE_fall: out vl_logic;
ADC0_DATAVALID_rise: out vl_logic;
ADC1_DATAVALID_rise: out vl_logic;
ADC2_DATAVALID_rise: out vl_logic;
FPGA_TRIGGER : in vl_logic;
ADC0_BUSY : in vl_logic;
ADC1_BUSY : in vl_logic;
ADC2_BUSY : in vl_logic;
ADC0_CALIBRATE : in vl_logic;
ADC1_CALIBRATE : in vl_logic;
ADC2_CALIBRATE : in vl_logic;
ADC0_DATAVALID : in vl_logic;
ADC1_DATAVALID : in vl_logic;
ADC2_DATAVALID : in vl_logic;
ADC0_SAMPLE : in vl_logic;
ADC1_SAMPLE : in vl_logic;
ADC2_SAMPLE : in vl_logic;
ADC0_TVC : out vl_logic_vector(7 downto 0);
ADC1_TVC : out vl_logic_vector(7 downto 0);
ADC2_TVC : out vl_logic_vector(7 downto 0);
ADC0_STC : out vl_logic_vector(7 downto 0);
ADC1_STC : out vl_logic_vector(7 downto 0);
ADC2_STC : out vl_logic_vector(7 downto 0);
ADC0_MODE : out vl_logic_vector(3 downto 0);
ADC1_MODE : out vl_logic_vector(3 downto 0);
ADC2_MODE : out vl_logic_vector(3 downto 0);
ADC_VAREFSEL : out vl_logic;
ABPOWERON : out vl_logic;
ADC0_CHNUMBER : out vl_logic_vector(4 downto 0);
ADC1_CHNUMBER : out vl_logic_vector(4 downto 0);
ADC2_CHNUMBER : out vl_logic_vector(4 downto 0);
ADC0_ADCSTART : out vl_logic;
ADC1_ADCSTART : out vl_logic;
ADC2_ADCSTART : out vl_logic;
ADC0_PWRDWN : out vl_logic;
ADC1_PWRDWN : out vl_logic;
ADC2_PWRDWN : out vl_logic;
ADC0_ADCRESET : out vl_logic;
ADC1_ADCRESET : out vl_logic;
ADC2_ADCRESET : out vl_logic;
ACB_RDATA : in vl_logic_vector(7 downto 0);
ACB_ADDR : out vl_logic_vector(7 downto 0);
ACB_WRE : out vl_logic;
ACB_WDATA : out vl_logic_vector(7 downto 0);
ACB_RESETN : out vl_logic;
OBD_FPGA0_CLKOUT: in vl_logic;
OBD_FPGA1_CLKOUT: in vl_logic;
OBD_FPGA2_CLKOUT: in vl_logic;
OBD_FPGA0_DOUT : in vl_logic;
OBD_FPGA1_DOUT : in vl_logic;
OBD_FPGA2_DOUT : in vl_logic;
OBD_DOUT0 : out vl_logic;
OBD_DOUT1 : out vl_logic;
OBD_DOUT2 : out vl_logic;
OBD_CLKOUT0 : out vl_logic;
OBD_CLKOUT1 : out vl_logic;
OBD_CLKOUT2 : out vl_logic;
OBD_ENABLE0 : out vl_logic;
OBD_ENABLE1 : out vl_logic;
OBD_ENABLE2 : out vl_logic;
INREADY : out vl_logic;
SSE_ADC0_RESULTS: out vl_logic;
SSE_ADC1_RESULTS: out vl_logic;
SSE_ADC2_RESULTS: out vl_logic
);
end F2DSS_SSE;
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Processor_NI/TB_Package_32_bit_credit_based.vhd | 9 | 15781 | --Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_misc.all;
package TB_Package is
function Header_gen(Packet_length, source, destination, packet_id: integer ) return std_logic_vector ;
function Body_gen(Packet_length, Data: integer ) return std_logic_vector ;
function Tail_gen(Packet_length, Data: integer ) return std_logic_vector ;
procedure credit_counter_control(signal clk: in std_logic;
signal credit_in: in std_logic; signal valid_out: in std_logic;
signal credit_counter_out: out std_logic_vector(1 downto 0));
procedure gen_random_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector);
procedure gen_bit_reversed_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector);
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic;
signal credit_out: out std_logic; signal valid_in: in std_logic; signal port_in: in std_logic_vector);
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer);
end TB_Package;
package body TB_Package is
constant Header_type : std_logic_vector := "001";
constant Body_type : std_logic_vector := "010";
constant Tail_type : std_logic_vector := "100";
function Header_gen(Packet_length, source, destination, packet_id: integer)
return std_logic_vector is
variable Header_flit: std_logic_vector (31 downto 0);
begin
Header_flit := Header_type & std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)) & XOR_REDUCE(Header_type &
std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)));
return Header_flit;
end Header_gen;
function Body_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Body_flit: std_logic_vector (31 downto 0);
begin
Body_flit := Body_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Body_type & std_logic_vector(to_unsigned(Data, 28)));
return Body_flit;
end Body_gen;
function Tail_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Tail_flit: std_logic_vector (31 downto 0);
begin
Tail_flit := Tail_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Tail_type & std_logic_vector(to_unsigned(Data, 28)));
return Tail_flit;
end Tail_gen;
procedure credit_counter_control(signal clk: in std_logic;
signal credit_in: in std_logic; signal valid_out: in std_logic;
signal credit_counter_out: out std_logic_vector(1 downto 0)) is
variable credit_counter: std_logic_vector (1 downto 0);
begin
credit_counter := "11";
while true loop
credit_counter_out<= credit_counter;
wait until clk'event and clk ='1';
if valid_out = '1' and credit_in ='1' then
credit_counter := credit_counter;
elsif credit_in = '1' then
credit_counter := credit_counter + 1;
elsif valid_out = '1' and credit_counter > 0 then
credit_counter := credit_counter - 1;
else
credit_counter := credit_counter;
end if;
end loop;
end credit_counter_control;
procedure gen_random_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector) is
variable seed1 :positive ;
variable seed2 :positive ;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
variable rand : real ;
variable destination_id: integer;
variable id_counter, frame_starting_delay, Packet_length, frame_ending_delay : integer:= 0;
variable credit_counter: std_logic_vector (1 downto 0);
begin
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
valid_out <= '0';
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
wait until clk'event and clk ='1';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
while true loop
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
for k in 0 to frame_starting_delay-1 loop
wait until clk'event and clk ='0';
end loop;
valid_out <= '0';
while credit_counter_in = 0 loop
wait until clk'event and clk ='0';
end loop;
-- generating the packet
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
if (Packet_length < min_packet_size) then
Packet_length:=min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length:=max_packet_size;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
destination_id := integer(rand*real((network_size**2)-1));
while (destination_id = source) loop
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
end loop;
--------------------------------------
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id) & " with length: " & integer'image(Packet_length) & " id: " & integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
wait until clk'event and clk ='0';
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
valid_out <= '1';
wait until clk'event and clk ='0';
for I in 0 to Packet_length-3 loop
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
end loop;
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
valid_out <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait until clk'event and clk ='0';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_random_packet;
procedure gen_bit_reversed_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector) is
variable seed1 :positive ;
variable seed2 :positive ;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
variable rand : real ;
variable destination_id: integer;
variable id_counter, frame_starting_delay, Packet_length, frame_ending_delay : integer:= 0;
variable credit_counter: std_logic_vector (1 downto 0);
begin
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
valid_out <= '0';
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
wait until clk'event and clk ='1';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
while true loop
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
for k in 0 to frame_starting_delay-1 loop
wait until clk'event and clk ='0';
end loop;
valid_out <= '0';
while credit_counter_in = 0 loop
wait until clk'event and clk ='0';
end loop;
-- generating the packet
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
if (Packet_length < min_packet_size) then
Packet_length:=min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length:=max_packet_size;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
destination_id := integer(rand*real((network_size**2)-1));
while (destination_id = source) loop
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
end loop;
--------------------------------------
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id) & " with length: " & integer'image(Packet_length) & " id: " & integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
wait until clk'event and clk ='0';
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
valid_out <= '1';
wait until clk'event and clk ='0';
for I in 0 to Packet_length-3 loop
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
end loop;
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
valid_out <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait until clk'event and clk ='0';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_bit_reversed_packet;
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic;
signal credit_out: out std_logic; signal valid_in: in std_logic; signal port_in: in std_logic_vector) is
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable source_node, destination_node, P_length, packet_id, counter: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "received.txt";
begin
credit_out <= '1', '0' after 26 us;
counter := 0;
while true loop
wait until clk'event and clk ='1';
if valid_in = '1' then
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001") then
counter := 1;
P_length := to_integer(unsigned(port_in(28 downto 17)));
destination_node := to_integer(unsigned(port_in(16 downto 13)));
source_node := to_integer(unsigned(port_in(12 downto 9)));
packet_id := to_integer(unsigned(port_in(8 downto 1)));
end if;
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010") then
--report "flit type: " &integer'image(to_integer(unsigned(port_in(DATA_WIDTH-1 downto DATA_WIDTH-3)))) ;
--report "counter: " & integer'image(counter);
counter := counter+1;
end if;
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100") then
counter := counter+1;
report "Packet received at " & time'image(now) & " From " & integer'image(source_node) & " to " & integer'image(destination_node) & " with length: "& integer'image(P_length) & " counter: "& integer'image(counter);
assert (P_length=counter) report "wrong packet size" severity warning;
assert (Node_ID=destination_node) report "wrong packet destination " severity warning;
write(LINEVARIABLE, "Packet received at " & time'image(now) & " From: " & integer'image(source_node) & " to: " & integer'image(destination_node) & " length: "& integer'image(P_length) & " actual length: "& integer'image(counter) & " id: "& integer'image(packet_id));
writeline(VEC_FILE, LINEVARIABLE);
counter := 0;
end if;
end if;
end loop;
end get_packet;
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer) is
variable seed1 :positive := seed_1;
variable seed2 :positive := seed_2;
variable rand : real;
variable stuck: integer;
begin
sta_0 <= '0';
sta_1 <= '0';
while true loop
sta_0 <= '0';
sta_1 <= '0';
for I in 0 to delay loop
wait for 1 ns;
end loop;
uniform(seed1, seed2, rand);
address <= std_logic_vector(to_unsigned(integer(rand*31.0), 5));
uniform(seed1, seed2, rand);
stuck := integer(rand*11.0);
if stuck > 5 then
sta_0 <= '1';
sta_1 <= '0';
else
sta_0 <= '0';
sta_1 <= '1';
end if;
wait for 1 ns;
end loop;
end gen_fault;
end TB_Package;
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_pe_sshft.vhd | 9 | 17501 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SsDHRcAscqoTyJcBdOHh7H0W7WfyQrfTau5JR4LGpVxatGRWaaYzHE0KqFZgQq4cIK5aPq6L6U80
KCxkYhMwsg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QTlZm0y5knjABLiiIafkjqpJQZ9Sjcd3KsOc97hd2sVE34VdLnk7Ik21oUzykmXCw1DG+acb1j7F
XAtB8f7hSn29bWXapnLFgMtKF7bZPt4rvKJKlvYr5xOqEHPyzM3O3EWjXVEtO5FtvsCJP6vfhu1D
SWTJNfmv8ksB4JF9D9s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0SkxsemA0Njb5fjwcVdtBtjM8Xwva6CAHLYnXK6GLgr3dYfkKV04FjdVXJbgtMKtPUSTTmpJxnz
ZEBtRMGtOuW8yVeaO815LodsaCSllMMfXXTZokEi/b3uu7Jqi4OxrQN4rDUUaUbsuuZAYe0VzTMk
ws9qxGSoPYHC9KwXrJMXVa0qNIw5tNBuZ1IM/qiOUGis6js12yE6imDc41AJVLx5wuAn1FZ2/GZp
gjlerv3Ic0P1oGnXDvG207pZFjnNLWj7NvaNiJ3XT2Xxdecw9GpNVPzf3HP/K79fazRcwPN42g6g
cx2zdW/Q465FDHcgqXRijzdClcoDMGeP3li+LQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3/yO5J74HUpjtrzPOeXMbMz7HztrazGg9ZLHJO8YE9Xvys3uYZJC/iu7MD8Fau96hkpFT6oMNMzZ
wkWvqDKiCDgMSW4QDHKOEj2c0Z78+li29u4o0GiYFvrw8+DEgvBy1X1cLSzcXnIjDAaVs8zgwXZR
y8YKBQRSOShs6Trfgqk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TxrPDfiS2ZUU1ZwXrRiJTAZzrY6k/UfaNGt6SvOKVERrG1jN0Nrp8npnY12Hqw6xFC/foEr5S6pN
WnFzgZneog20B8lUZj6mISymkWnmdzgcb8+hDpcN2ODog1S+GH+hsD1dGnNn0DLmqZVT3nRKZv+v
3/ZEJQPi2umvyZBkv8qxwSODrm6Rvo5S8OMIwpaMOodhW1wptr+/q97YLAhTiI6ej2IIZ1bNWuef
Fd07lmeMbHzcSJPnh/M1UwwcCuilT4bsscnfbiKlC6bp1RZiKDi88ZqO8TUEF5KjKTcmpPnKq165
8cQJGgok+3driYgtfA23ZbCocNqVADh8GJNJsw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11216)
`protect data_block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`protect end_protected
| gpl-3.0 |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@s@s@e_@e@n@g@i@n@e/_primary.vhd | 3 | 3833 | library verilog;
use verilog.vl_types.all;
entity F2DSS_SSE_ENGINE is
generic(
RAM_DEBUG : integer := 0
);
port(
PRESETN : in vl_logic;
PCLK : in vl_logic;
TDM_CNT : in vl_logic_vector(2 downto 0);
SSE_TS_CTRL0 : out vl_logic;
SSE_RWB : in vl_logic;
SSE_ADDR : in vl_logic_vector(9 downto 0);
SSE_WDATA : in vl_logic_vector(15 downto 0);
SSE_RDATA_o : out vl_logic_vector(15 downto 0);
PPE_FIFO_FULL : in vl_logic;
PC0_FLAGS_o : out vl_logic_vector(3 downto 0);
PC1_FLAGS_o : out vl_logic_vector(3 downto 0);
PC2_FLAGS_o : out vl_logic_vector(3 downto 0);
ADC0_CALIBRATE_rise: out vl_logic;
ADC1_CALIBRATE_rise: out vl_logic;
ADC2_CALIBRATE_rise: out vl_logic;
ADC0_CALIBRATE_fall: out vl_logic;
ADC1_CALIBRATE_fall: out vl_logic;
ADC2_CALIBRATE_fall: out vl_logic;
ADC0_DATAVALID_rise: out vl_logic;
ADC1_DATAVALID_rise: out vl_logic;
ADC2_DATAVALID_rise: out vl_logic;
ADC0_BUSY : in vl_logic;
ADC1_BUSY : in vl_logic;
ADC2_BUSY : in vl_logic;
ADC0_CALIBRATE : in vl_logic;
ADC1_CALIBRATE : in vl_logic;
ADC2_CALIBRATE : in vl_logic;
ADC0_DATAVALID : in vl_logic;
ADC1_DATAVALID : in vl_logic;
ADC2_DATAVALID : in vl_logic;
ADC0_SAMPLE : in vl_logic;
ADC1_SAMPLE : in vl_logic;
ADC2_SAMPLE : in vl_logic;
ADC0_TVC_o : out vl_logic_vector(7 downto 0);
ADC1_TVC_o : out vl_logic_vector(7 downto 0);
ADC2_TVC_o : out vl_logic_vector(7 downto 0);
ADC0_STC_o : out vl_logic_vector(7 downto 0);
ADC1_STC_o : out vl_logic_vector(7 downto 0);
ADC2_STC_o : out vl_logic_vector(7 downto 0);
ADC0_MODE_o : out vl_logic_vector(3 downto 0);
ADC1_MODE_o : out vl_logic_vector(3 downto 0);
ADC2_MODE_o : out vl_logic_vector(3 downto 0);
ADC_VAREFSEL_o : out vl_logic;
ABPOWERON_o : out vl_logic;
ADC0_CHNUMBER_o : out vl_logic_vector(4 downto 0);
ADC1_CHNUMBER_o : out vl_logic_vector(4 downto 0);
ADC2_CHNUMBER_o : out vl_logic_vector(4 downto 0);
ADC0_ADCSTART_o : out vl_logic;
ADC1_ADCSTART_o : out vl_logic;
ADC2_ADCSTART_o : out vl_logic;
ADC0_PWRDWN_o : out vl_logic;
ADC1_PWRDWN_o : out vl_logic;
ADC2_PWRDWN_o : out vl_logic;
ADC0_ADCRESET_o : out vl_logic;
ADC1_ADCRESET_o : out vl_logic;
ADC2_ADCRESET_o : out vl_logic;
ACB_RDATA : in vl_logic_vector(7 downto 0);
ACB_ADDR : out vl_logic_vector(7 downto 0);
ACB_WRE : out vl_logic;
ACB_WDATA : out vl_logic_vector(7 downto 0);
ACB_RESETN : out vl_logic;
DAC0_DATA_o : out vl_logic_vector(23 downto 0);
DAC1_DATA_o : out vl_logic_vector(23 downto 0);
DAC2_DATA_o : out vl_logic_vector(23 downto 0);
DAC0_CTRL_o : out vl_logic_vector(7 downto 0);
DAC1_CTRL_o : out vl_logic_vector(7 downto 0);
DAC2_CTRL_o : out vl_logic_vector(7 downto 0);
PDMA_decode : in vl_logic;
INREADY_o : out vl_logic;
SSE_ADC0_RESULTS_o: out vl_logic;
SSE_ADC1_RESULTS_o: out vl_logic;
SSE_ADC2_RESULTS_o: out vl_logic
);
end F2DSS_SSE_ENGINE;
| gpl-3.0 |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@p@p@e_@r@d@m@u@x/_primary.vhd | 3 | 2940 | library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_PPE_RDMUX is
port(
RAM_RD_B_apbrd_pre: in vl_logic;
RAM_DO_B : in vl_logic_vector(31 downto 0);
ADC0_FIFO_CTRL : in vl_logic_vector(31 downto 0);
ADC0_FIFO_STATUS: in vl_logic_vector(31 downto 0);
ADC0_FIFO_DATA : in vl_logic_vector(31 downto 0);
ADC0_FIFO_DATA_PEEK: in vl_logic_vector(31 downto 0);
ADC0_FIFO_DATA0 : in vl_logic_vector(31 downto 0);
ADC0_FIFO_DATA1 : in vl_logic_vector(31 downto 0);
ADC0_FIFO_DATA2 : in vl_logic_vector(31 downto 0);
ADC0_FIFO_DATA3 : in vl_logic_vector(31 downto 0);
ADC1_FIFO_CTRL : in vl_logic_vector(31 downto 0);
ADC1_FIFO_STATUS: in vl_logic_vector(31 downto 0);
ADC1_FIFO_DATA : in vl_logic_vector(31 downto 0);
ADC1_FIFO_DATA_PEEK: in vl_logic_vector(31 downto 0);
ADC1_FIFO_DATA0 : in vl_logic_vector(31 downto 0);
ADC1_FIFO_DATA1 : in vl_logic_vector(31 downto 0);
ADC1_FIFO_DATA2 : in vl_logic_vector(31 downto 0);
ADC1_FIFO_DATA3 : in vl_logic_vector(31 downto 0);
ADC2_FIFO_CTRL : in vl_logic_vector(31 downto 0);
ADC2_FIFO_STATUS: in vl_logic_vector(31 downto 0);
ADC2_FIFO_DATA : in vl_logic_vector(31 downto 0);
ADC2_FIFO_DATA_PEEK: in vl_logic_vector(31 downto 0);
ADC2_FIFO_DATA0 : in vl_logic_vector(31 downto 0);
ADC2_FIFO_DATA1 : in vl_logic_vector(31 downto 0);
ADC2_FIFO_DATA2 : in vl_logic_vector(31 downto 0);
ADC2_FIFO_DATA3 : in vl_logic_vector(31 downto 0);
PPE_CTRL : in vl_logic_vector(31 downto 0);
PPE_PC_ETC : in vl_logic_vector(31 downto 0);
PPE_SCRATCH : in vl_logic_vector(31 downto 0);
PPE_SF : in vl_logic_vector(31 downto 0);
ALU_CTRL : in vl_logic_vector(31 downto 0);
ALU_STATUS : in vl_logic_vector(31 downto 0);
ALU_A : in vl_logic_vector(31 downto 0);
ALU_B : in vl_logic_vector(31 downto 0);
ALU_C : in vl_logic_vector(31 downto 0);
ALU_D : in vl_logic_vector(15 downto 0);
ALU_E : in vl_logic_vector(15 downto 0);
PPE_FPTR : in vl_logic_vector(31 downto 0);
PPE_FLAGS0 : in vl_logic_vector(31 downto 0);
PPE_FLAGS1 : in vl_logic_vector(31 downto 0);
PPE_FLAGS2 : in vl_logic_vector(31 downto 0);
PPE_FLAGS3 : in vl_logic_vector(31 downto 0);
PPE_SFFLAGS : in vl_logic_vector(31 downto 0);
PADDR : in vl_logic_vector(12 downto 0);
PRDATA_PPE : out vl_logic_vector(31 downto 0)
);
end F2DSS_ACE_PPE_RDMUX;
| gpl-3.0 |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/drive_differential_inputs/_primary.vhd | 3 | 338 | library verilog;
use verilog.vl_types.all;
entity drive_differential_inputs is
port(
volt_vect : in vl_logic_vector(63 downto 0);
delta_vect : in vl_logic_vector(63 downto 0);
av : out vl_logic;
ac : out vl_logic
);
end drive_differential_inputs;
| gpl-3.0 |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@addr@dec@m3/_primary.vhd | 3 | 324 | library verilog;
use verilog.vl_types.all;
entity AddrDecM3 is
port(
addr : in vl_logic_vector(31 downto 0);
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
COM_MASTERENABLE: in vl_logic;
addrDec : out vl_logic_vector(8 downto 0)
);
end AddrDecM3;
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth.vhd | 9 | 160200 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iGPsLtgTSI7pVMrKgneAoT8YveNtFrw/lJAnluLChzkCGz//BJJKatN6NzsyMG9Nv0I/0aGD7eLP
UTWrPIqKYg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gO8L9EDBtZCXByM8Hhy0TWVYSBPubEqLehyupsUZEQJRC+IVk1SYdw2SEX/wui/FN//K7qGgGLMB
KE9XV4F61jzlo4vrHP6CCQPb00WFGc3DlmwN1GzdPIdHEF2uJ/Nt8PDiabU6YCLiwEah8Ij46d3J
tPfCU5Lgxo1eSkTyG8o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wDCq8tOvpXJ01noWmu235TI4m0Wm381/kg/8RtROJ5GJtNd3HKH7+G5/KXfN+eFwVAakA4ujB8hT
3HeQO7OyP2vHnEbRTwVtuPTTYHGRX06M9djjlYHStmkQ2/L2XdK6YRaVbHS8bYEnRk6SDHrkNlOE
e2TYXzG5KpclxcysQATnloKFv9eI1JbyfFsTpRNVESueqfuZBtEdkwmqOKUmwQEboKnh9Z1llo+I
sd7ZTgPceh3cVIRjsjrBWf61YwoJQRQKr4es6HTrEshW+pm+YxyHdVOrUh5cWaO8KXNUpJXCCxtv
wPHQqoAUT3uMqn3MzQ2/NJrQet1xonEUjwt9Vw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
t2YH2LZ+ZhPFIEC2hM2ZSIBILNr8/dwboZaX2HBYpCANtYE1Zd0ToFQOIgVjkm6oqOywlGEnSol1
s3i0T1BqGKni2JoTXQVkOFidukask1QxO5gXZi0ODLMfIBx2ho4SEFFKmuhFEe/khBqB8PjyKEmN
VkVbLDJIW8e5aqMGnEo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nrE6nneqtrxQtPfQ9dvV/j50AUj1beeQszJZuQy8iqiEK8E2UTI+vv3F+dsA6cOQuNkas2dF1AIY
yxsvLkteaqpZFs93q57NeIDahAYvkbMsDFCuxjwmdnZWYj7NpDb/0Jq3X7eDhCxKVLiZMispYguz
vJWH1IaohxHE4bTpfPFo6dJIenplADJZl6B/sYPaMlYuOgL3HibMNh85OidZ0dVL37nAzFQKJ8ep
U0dS8Gwu5N2ZEA9z08gYVQPzMviQMA+2Qf0RFZaJe6voE55l+FPCjaMRJTlwD6iQNnQQfW3+VVZ8
N6yhlR9dHA1rYK8RS1VVMi5orKYEv7pXwfKvsQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 116848)
`protect data_block
Shy1H7WBblgNwZKEhZ8gi7mSSu4OB0a4ZKoRAxopAcJp8upg2GJ4uhFLw0NvnTfUyhconUPsNCuU
R9pzXkYP4SAqMc5wyxlf8n9LghYRf0KOEsQ4zT38MfL9ClCV/PknOpy4ZG4I9gEnaNpny/kLNSn7
STd1tDmpLATg4xq76pXkjHlIpxwXxdbG2CxEoHGXL10Ktjvdls20s0+QEyZUAzwZyxMMojXL5lSm
VOVy9oDV8575zLcX4nvzaM/sANVC4Eo/P6g4qpVhOxV71RmOlk5WspT2NtL7siBcmcCIXz9VMEAk
7f+gE8wEY+lEyBGqLvbX684rIWdSI5N8t89dkXY6q2jhEermxP7ipyBALleBuxn//h5g56Ks61mJ
S9bWyVhO/WzJzSyykpjzxSjsK4P7EMzFruOkuVdctPx+b0HE0tM6rZzHdZ2fGz2qyCXSygNbgQPt
2WvjA6dnZHYulikyi34Cf5jo6F9Y4bE9FGOmx67s0fLv4IuWi8oeVkzBBKRSpGml6GqF5Li6dh2N
t4UKIFR3auJv39B+FIYasiM5H5UEZsUaCT+2iIS8bzIDwGCNgXvNdUbMki1CRfzKB5/1gtUSVXpU
3ENuCQBht3BU8VK4+gYmoQ4HaBKOVlwXcpRtkfuIXUuSi53gP5JuNYsajIhThSjDWEXLMq3S9vUj
kWHhMUjiDzHZ60Jsu1ROXbAY5VR/dC2MvgN9331I5kTJHSpLzKm1punIv5QuRWZ9sY9YAwUkJtG6
tU0gU60HmdMeWvnRhZb1HNZiwHZuqE4ruwRw87PdNiJYottnyeQYog71ka9uv5+MVNrZ1glxMYP1
SvY4BFzftIkSoe1Ma5Ptx4V0We9DYOMPXdIPSX84WwE72OiVbZTdCLCXcKQpwoSh+LdWlhKpzCT2
JvmS7bs6Z/bV9dYJfzl1h1DZMWyGcCn3oA5UPQqUT+SyNAbXe8obU7cciIHKQ8VlcY6x3LuscQkf
QzBtwd3kSpWxAXwQL8UAaBiZ0gmJe34uY1z4zOKxMQaw3xoXcyC8/aAVjyY+FF0JolS81ilo8rxx
5MESr5ki72qNPY2lBC4bb9eYeuxbXBF9frFI1N6mZ4WnedFfD5LekSyzy/rAsTBlg+sOutLupDDC
qAkGL79K07fkHcmTRBt90difLRFQ++WXa4asm16q3jl/fq1zBMY46+g5soWF0h7UMqZd2fC9zksf
z5GIf89TJD6c973xv3I4hTvC6Wu4J9BnsFkH494p468li5o0fYTqt3r4GKhJLS2xswQsKxslFHBT
sQ0FU0Z4Dn+VB76+SrsQDHfrx5Po86Kp40aXOIfJIwhJsN9+wyr4kkaYuParKI8I03ch02D8ntWx
TtPLeixFOCcKQ6yH5WmDDNhw4IDlalSxSrQecpP+KtNsuWVLS2+qQ/HefXl5rgODAFo52tBdPJj7
PS329RhIjg71Unod2GwwJCsBg5emdTNYazBFhRBo7MYTxBGjGGBeEK4UdaDlOZPiBPRBi7UpveoZ
Pd3xmnwoONqYxf0u/D8RxrdRBY2wcnQLFZO1qdNDmMbhmrMc9Mdw5G8hXizrPdFjqWUTg25VXXHC
LG+6ietuKX9HN/vjurE9Z/fWEvIedZClB9CYRfSxmAd4Owx+/s1ciUXtvm1H8DWaxZlxTixIr2bd
mYPmtdDOTgx19Rwfh0oaHxFC1Yktx1ux+ZO0dAsE6CqaoGy0b2D4D0YN1IwB4/9F7dZdTmWMN8w4
gDuv7mD0H00BuKLkAjEgu7/MaU6ze5n4/6XM8AxSuD6F5XDHZZvBCLVvWywgb4rQqXyCcxtIWIQY
ZGz0B1ZKJJ5OV0cWsn8NIz0TYoSDNciGl/VfzZqcpsQIRFoRlDs6ZomdIpqbbi0Ei843U3I6tzLh
2LXlhs8aQTGCrlhTbFKJl+713IMhVa3H6Hde+gH8JeliusWSz/Kz3PtVqPZEYbHI1LMd9bJq3xGa
E+ldAFE0OWKDX3eVn6sQP5ViBeWKpCnpzixWMmJ/Dp5+b2YU6fibkjL5i6PuVflyfOkw/4ZiS3xQ
cPCrSHzM4r8J079sr/lnVbWLnfjAkKyeUbYbOB7mn9Uke7xNhuvY4cY7sECfNzIOAmTnjHA8eGBF
xwoeQJ5wlaAOPnTw7hvN1rX9IFHvWJAnyTamZEY/EJVt4IsTHetqpXqVU+bwRyRwjvoUsygdLycB
0EO/FcL69h202HYAVz6t8kLnrI0ze5gFTWuCGUXvFfRUGPx/j6TVXIMXem/aYh96QQccCRYYR61c
8EE11N+XYthKKWmR62jvYphDn87KXO6QjudprHbZ3AFOpHT7JLNGdMevDl/LSL0Z4fp0bhDLNhcH
hAeH6sLw/V7ObrDiHV5dpsjdR+VYY9F6bhClyVp/dYh+mqnb+m4/HZoN8c42c8l+wH3AJ5GemOkn
svAkDnNKSyC6LHfsVzOwaLHIrTpNHfgNvngfr/Dj8UZGHqHejH80CKvPBA4f690aRvXh5YdtwwV2
kfugdCzhf4OLoitTPf0cOWha3zohK3mDbL94dQ2+/x94vRu8AS9yIhj3VAxHtNX150RZMibIapE/
EG4SjIzsM1LKtLIEJbIQjIb4fTSLJglCu9E0jbBw2yT4CUwLwaCsq4rvrLsWsK4BbJt3Xik47xCj
FyKD3fgbwibnJf4m4qcc4ZXcbSGoq2tAmaaY6//wm2jKESWbYNx2/7x6cIE1j8gkI52mZNqwxnIn
u3E/lf1zp0OjNGPQPPDgAxOBkt+XBvEHzR9MP9EV0Rar16N/uCc1WPL/o2P0H6rGuwkhmaxhX+2u
9FlZPnfXpd0AzY2Fn8rzTlnnw+2Dit9xL0L/lsV6SoHBI9XhPWB0ZdzAZ1DQpbXXSObDzUUqyict
DRHJMxru7YvTsdLEY7KUY2NXGUVv/Mw8S8etOO/l3ctryDvXjLfKG4f9HTz2Vk/ni5lHVjEjoHrp
enfkkFybyVtjoIA8NO66aInsWiRzm1xhFEyqdWmTMgLxzq7NNiiM2APrNhzp+YMQuid61R757T3I
sye6OpLSifhtk2Krw94AU2a3UbL1uxM1AuvEViThAD8SX7by8k++IO/cl2DE0XarJ1tB773nA9zH
xhgn8Q2dmWbbmv+a8+Ka+BYH634F7Di6iasQgL1iWt6s2MDqIZlKmRXdjzQOSys6dfzjwB5/IqNV
BmWsq1S2/seNUBB1DC+88KSzVJAucP/iF2KYw5NhzAFoyvGwmluiwSO7RZowo34rzq8dvXJNloec
Gg08JOUw8KM9B4C8gaSyZJHwPu6STRSIUC+TYtkCfLQIq3KdKuNViJTCmZMI1403EJvNuB+K8atp
JophBVV2Z0/iVqhy7+vg1kFcTIyC6MHw7NFCFlMLtPUGQS/axbJJpADJXOoDSMYmVzs834A4GKgU
73fTYfje4Nk78mDdzIHl5L0lpfFl7uOelpjJlH+E0UeRV2Edl1Mgofg+i7krznwehRTmfmoiljIs
glvZXk9YGvJNTUFkUEgReTJR+hY6NMjMvFgcc7RkvUh0NMuU9/bgvDz4l8LhHmpWFz4Ju93vyZCc
7U6mxP4FllOwzsqyyPWQShum3h3WRYRNBrfXEe4PYnhlUbQx+GrC65NvA+JUh8oqEy8HHnWz64Yh
mLUDTJl6hmnsInLX0W0DkHg9BpGo9RmpDarrJZoQX3baqIiUikEwyYQZOrsKwTeMngceqCirEVmY
4s7qpRa+ax6jzYDIbgEyktj9VAXqxl6wki7ufz2PaOGSmwXd8M231VsFbT/93oimzidHo+XIymRv
Np2RYiJzcgXfwXkbn26UfkLahyesJhMlNmJpWm0643vk7ynlC6FLjyedOUSUCXSFWLsJJVIloBRx
kwQ5d4xy6KFMA7w4rIXDsgWoG/GTg0OEN4s/bLyz44LzMEwV/6NGEKqucaLl/FNvH3a8NIG+rXKO
vzbsLZUd6fCTH8mE3VWiLeEYzRUZdRVG5GIFsErOi6JeP24G+8G/IG7XaD/wPAxIzwX19IS66Ero
9ajOdBGK6TkhWn6ZErkcepe3iEfi1ZlBlN1jdKekyNVB1iPRz9C2PltEx+3JbfT5S4IArwLRuGS5
cLpmQhtcHvhXkwNr7x9/YhXdmpCvbd55arl8NV87j0xzXikh+6xXphMtj+teURpUtNH7hsfqV2Qk
2RgCNZg5TE/ajJRLk5Ze1Wpd/y61KLCn7tx5ZgowHV60eMQNXPIZ5g7PfvMu2EiBCyYWOR52lz3o
qqH1fGzRL/VtdwG8WNGXlOazgWO6RQmytP1BAzYbTsxu8djhSxGuRQ4jNJaDFXFi2hxK2CwIGreh
YMIlfGRqf6VvO7waPpXyBNaUP5S0BvKcXq38sThi7h7LrjSHhDoilrncW+PxLN5wxs71jIrMx2HB
T808OvBDqz9tT1b91Jy6refNpe/+51tk3tkw6QmNRaU73zrjkKbBBPXzke8Nt9sL8P91aTONnt+8
akr1B5FZMa9PfzFQl1FJuhIUNjYG0aLjIR/JVqvkgEhp4NLe5aM+QBhdb6RT4tWOy+PeFp4pFnXx
Twfqm/K4lMVbTCh+An0t5S4IZ5e4V71mFzFN4u+RYUMSW/hFIQRWM5BV4+TYP6LDb0t0+rhCNWYp
SmtAWwgucfJtwOqI9Hvsg3EsAPOjFjUV9BGMmr7U/JYe3qgpoqX0Hy2j9u0oM26L+T1tnwvLyMIZ
1G+v2P7kr2DGsV/Mtq9y+0w2uehHp/9cJzBDEQfxSVzKsTWzjwjGcC2mxFLk///PD/2gxZzxY4+/
gZ4vWj4JtjKPLPGtueuiGd47cZYSB61rFPNBeQUY3U7A67p1W12acOOITT5RVGoSaxqhtP6MOVQZ
4wXLFq6Vyk2nqdlezmjAOQ8l7i/cFX66bYSGRlNKXaaywZ0aVaWS1dcGLGSlJqsbf+/oFTYN09IY
7SiaMrxKU6wRjnk+zqn52MlNAXiUyhwQGFDeu3nhcK7cMoXs/svceNsxGFu4jeVwi6jSoBiNyG2F
XExR5I9Fqc2OSitmNyiocGA9J/Cr+jNOzcUlPZDiR4LDxt2g7X5P/1l/ryCx9fmcVFEY3XMe7pSr
Xjr28ArAoMFChcFQ+xGug7SK1/Am+SpNfta3xVz6oGywgYPeQ5wgkzjMeLf9vsJgxWCabLoD8PPm
jbCfxYae7w8rFebtRNWE8HrIxxhpz1VbY8nMOJkwrnkf543rkTDteUQ8htAuu64KwU45tVfYOV8b
+f9DzTGRrb3IQCHk5QuN37T//L+LaCXqGoEkFjX32LOkJ7ZPIUCW7gPSc8Us4YPPugk/NerjyA2Z
+1+R4+nN2sIy078aqrBJEfPZWvOSBzVUETdWsXUNphVjXalDg5D9Sgh7C16042yBRdIE+iYvNa1X
xoU5N3KGSkKwG4eilsvHFtQmEIOJgcZZztfPCrRX/5lxPbfyLiYiqyg2PaEjRO2pLHkcIVWs/iPN
cNbTTsXsYEetI8sCVP7ZsHpTqgNKLobpTmRGnHdh85QzbOMLcrsCHJw2WWYuLGcN/dCixm3Iaw/r
UvD3chsGiOZ9TBVCnwZmi/UAIVRDrVoWOtIIL/SkNfAKynHe/yYVzh9/v52uvmY+c1D8hHnY9OD3
5oLwyYqWVw4U9gQA0V+5WQo/zP/5FTbTgVHdvOnHfhYK1keayx9CUwwGRsScawITCPj7N/4lBTG2
MD+OPwzBXaJsTlmu6A1X0dZq0O6+DCqy0kzSWNc7CvPzhJFl8VC2dJ4eZoyuRPJaqvYQe9PoQAFK
tKqpjT0HMUqJJmOe32Y8DLuDVydW5n51hx0c8TvVVfQO0yNY1CLwJrYdf9DQnUY9kJKoxK7VoFeN
+Sb/R0Uxt+hWN0yHwnyPTnZ+J2yKCG9kcE26yk9AefJHotvat52MhpjhQ/xAx2HNM3M8SLNTG/+O
0ajDPSNSgfvvbE0bVXnLSolInNfCFZliYFfq+AaD7FTFNbSzvl0S0skRJ+W6CKJ+VaJ9wnL7pDPS
8uljdK6tuyKYfj9IxsOmHrpk8jCg8B8xjU/0PHYOgXOmbFqfaF8inHcOx2FSaLsQrgVGCelBhd54
ORZIfGzlbsvkTY6Cnynh7Qhz5h4LYxWmxkuRW44P6YoXSxFjOSkOBQHdRS2MTbNHN5hNEWKp/Q4f
jUg7v+yxtran8WE4IglNDNI+1yCqnAhrvZu18+TTBk7VKkUdN6IEp5IrW5kYykfqLw4mTxoT2r9s
CfCbw3KV+bEodLYbHRnLsm7VCA+JhM+VfbUSg5Xqg6vvbVQGeXfVMDE+gQegggPwaQP1ZD4Ai1cJ
zrhHqYyUaMMbTaUMqHEAImchfBUKZgW+ovn6Hliui81nKEPLuambQIKwMPwNRWgeD2AFHnAHWghP
AGrmKY8CTimsw+5abPNmLia+UOxIGzhqJF7SnH3SRzqIy0I+5/H0s55WdG7xfY+1hqUpPUFzitMw
YuI5Y1R41bkzsPQimSsS4oJ/r4BT2IJ+D+Pr6480E0GjAOQP8UOe7DYZ5pWLpvwBtgw0NEexCqXF
+3HCTMIqUaqn7hsHVOV9Uu3U0GsNp59A2CfOZne02olR/tOM/rD5CVAw4DbLc0bxw1aV0Pae1lef
PVmCA5uQfqYQwk3JHmsr85Acl/AUfhTO3TkCPqFbpbJ+pe6+QWO6lTHgG5otQql7OeMbaU0WI2Gu
XDihPk5hGHF8nNXO0GButBWiJPKipkXsa+9lmNC9wAnQjv+C36ZNCmA8yCx08VwX92oHQWoAOYN6
xA3SnwVAsHy0Qb/nZauO44MiNsBQkMZZwxXijMNK3hiNyUBLaV2pTNXs4gv52EqIn6V/JXjoabAX
AmYQIgZ1u2kY20/s/uNSq0QcHxfqsqwIBYUoTWJIXprkwrV9UoE+4+lv3vz34tydu485v9zl5Wjy
WXVXcZL0hd2H89u56W676Z+VDA+sJcVN+wb5Py9Rc03CD2H44ROdid9FcAgzwX40DzuBFdOehCxX
bWC1MmCnhLOnYpizq0p551WkA7qX/ui1v3s2D7cURC3fPBRor5LvVrN756jhmNIS/+3qkAVjRD1b
KBg22Z2NlnGxGpm8NfjkHFj0ebdi0JHsD5q0Lv77gegdnSDAbFDee+p4eBYZ+8iSqcLfLJc/7K3E
aRU4ezHj4AOKkneYxHLSmk0v1lPoPD9DxTvzXIoWNc9TOB+O5ZpB6S/zWHGAHX0g7uydEge/cp3W
I2q9u/thPAHu08yRxTpI3ZmCq/VJm5Y74EprPX3IwARcmzQmlEbwaly+NMoZnqLGAlBmX2S29q+T
ClhrqSKZUHqw+gPaMZmXNs2z0RHPihCAddAkmaHKvPkQi0ykog0cq7QqObY0JtrN7kgTatHmq84r
JZuNPevvXns/8vk7kRuGcacAUrIEx4vkONagXWSy4Erexm8raqcH6wNQOyR9SxQ73oKLrFMlWgng
8AEjO+miJrnXmeI9uVX+rS0Eup7HDhsOUHfGEHb8Nedvcr8bIreBCTywn1tuB/ZE8bJxyi5NWpIf
7Ir7rGAoRl/07eWEzB1l9K1073067mBPCB/quCib/5AD8pHaFNjIELURnAstHXx9o1dmociXAfK1
FS2tJdxmOIxK22Ksu7ZwU47C1fdjtQGUCh9So+gCeEDi2RL2mq9SQ9W4WiqpiTqUaq+LrX/igSo5
dBhxpKgjXULUEZzGIxtcVA8VtSy3LnWgDYCnunCTishzlHonMULJgz1bUHCbbWTt5BiJm96VB3xn
IJBVW4uN0nhXVq14jCyPrAnLcFMsrwNOL5sMu5mJ0Ehcm1RBy3Lw+JxTn9mfuUtbzEeIgQnVLMGV
sdW2s7YVaC9j6fX7ng7mygldS6IG8wdo/VUD/Q1lEplZI3a/RsWbG5Q81ULChJafJSQ25TViy3M9
7HBcyhNrkBcIaKn6V5MJp9SLjVMzBf1Kw6ZnYOxH5x72rgY4WCvbnidh1+4CLG9QGe3SH7yawhS0
s2KCucRXa4IwSB3JpRhC10HybMnREHTDKF4mIOxq4G/YuxLB3HrXMTZPFC3sLsTJuR7oK8rQEZuX
qNZ6+xv60IvnZPXYlyBmghN1SgRZwpbas0OMDEYHlCS8WxKyhld5itPbCcALdxiRnQLuZZH4BDVy
KA0M2kGA9NiCKicywmeOtF1Sr9nyULOJmdLahtpK8HaKOgg+f7mXDer7uKtdzWX62TJNpilpYMJ6
TSD2vGeQSVME/n6x9qa1QSGkLRKYLk8eU78s3Janam0E3ddfnOea54Vi6I8Snf2sn6bgRYAw4d9l
rM5FPYITBnN5ziKbBIIeeLoifPpIW7GmH7s/xSn3wkUTVpJpysmKyGfS+sHaDfDsQKRxUYiJhOd6
VtvD/Ala7TuIMOBB7VtCa+A3yOuXyZL/jYZfx0AqVb1OZtNTQsHRl5eLIDkH/CDfVjn4Xdsm/ylR
WDEOq6KP52wuoavUr3KjTCoLUqmuKQgV7DteJL59I6skF0A5oXID4vdSNtH8QdgnvfWxSogsXZDa
7UgcasAnL7RzZbnbBzR+23QDaWmyM4o0vL2IfijOK/sIvZa3lnFcFgK9YUH0ZtHTW/DofasZl3ZF
PIeDL2BJFEkrFvI18hbwVUHHck8oZHWdl39C3MZcZxdjIabsATAC1QRcxqTIbHxex13NiPHQbhdx
bk7j98n7ioxvVbX8TjMvO30IZne7FKOhYmu3mvGMLYZHmgWd7drHgKZzqcHFdqguLIqQua20uiA3
gbF8BDMV5xEcGBc+hx3ofDLSmuM1BglewiIrjwppipJV61Y8A3mhDOPApZUokveC7CpUs9k/8h/x
MlmzVfzB5Re39sOcfWsWp+giCaXQRMmQPtza2oQdXibe0bLPjoLOeYPEb0cMf1hynU42zjlTcdVH
baL8soRRmNmwWg1r0x/ULP+wh/XuzrEL3EqWVe3JK0LLxWEEirg8NGDoCqAWd5vQg5IKj75TnsNC
UzDg28VpqAE4WOorLgnOuNUe1rNrohZ73mFXg7DuFrm0H68EHq0+3tBcADn0cAJsNPiHiXeWlfpD
j7Qzpq46Pp7ZfSolO5z7Cz2GZpcB4i9NYB7/JEGha2ZG0MZ72TAe1i3/LKNvl13MVh5FsCmUfs3Z
VsdWVk5s33N5g9QpPBgGvgjw0gtFGLytlg+H3D4UrufghZsp5bq+6MWlJAMbyC3MBEhkkaPhdAyJ
hAB8tGsgMyet2nA0J8y1qQwFchPJKJOipgFqeiBdLn3tqqcCAqf3BNodhCuWpKjlSbhJL7wshoRM
2EjRmmt5SVmZ73zu1/NTFmQT8k4o/LHgcbETP8UdV2s7PswuxHYiLjCEONAIwoxGL43B1H6CDFS+
+/0U5FWALva08ROs2inPnSlnWIwklnrJws7bEqCY15Htd5KPW17SuB3dM1jN+GVSc8X7eHOL2NQD
HodLRh2kcx0h86OXjj3s5QmfnWO8Hi8J4n0/38EFafvrDKoRh9P2GWiTLS0dNZL5YXovzs498kut
T9qQPvEie3DiDHdx4xIbbmWmuTwTzWTZQ6DIAlDshPhIgO14gx/brfHipjdxb4f76H9wHqpR1Sp+
yayVS45ZmjHiFTiQMxFyZZOY1Zpk0O3NqpNnIXno8ibv1c2329IJLZ/1FcQ0GVuTEHqq0Ezmp7hw
SgYdBx6iOx3o+gRsb4l03m9mYSnh2vRJ24QhvYAyQzqGpv+mUTeJWnP+ii9GQNGRGxU6PRtCCnvY
9RxXw4eUaU4VsClemF1OiKGQCbaafgKlhmRpgYACcYREZdikMI/19PKneFo1/fFmRavnFw/kDlF4
KQDzpiXSxz/5hpmo2R/5TF7O100mIdR3lOd855T5TJ4xBBSiWBu7jVOy++2dokjlqsAhnh7tAwYC
HgpDnEdBaBX5OB7+4GTD2Ay+vodOyq78OFmKeoQuPzWYLR1OqZqxDfN10nSB0IRk99l7K4nvRZiw
3T/TU5q4o3j52mFp6KlHOxRnWwOKdiKFb9rTEmyYfT9RCoG/0OQowNNhpLq+0QLsguA+0FtUWrJ+
BCgqr7wjWW2eQlvSZ+uUqXoBGDbqW+5qwsMStzmD8WD8mUgPb0bo7R2F1PgYHpuZ7sHlZmNVAgF8
4M5vyuePpTwDyajt6oPfHGj+p+dcjVdxNNvB3LJiYyMMSYA8KP116k3loBPo+q6a6aH3NBqByZON
9KmBUk6pNTzk4lRkYVFpW6V+11Xvqwv/6rwPPu3Kws2/lVCDBaaTeZtr8vriLbedUPoJgs/ch42Y
70zFupZ4cvzLbm9JM9/4rgvXkuigVuV0VnVLINWo9GBt1gDwD7ingCE6wxjmHwBHkrmDaFEKMe2q
iFNbMeYUhnNCGMIX7bY65h+QlniH5PUudw6FtZU13Wljj/eYFoqOszr9HzYcz9GiHtlpDJxSGBSu
/qFAC8/QvVqoXhi48DUiq4Qzl+T52HC79vvkBSimec0NXzaKjJth/4ad1fcVVySGpd4K3YGVuGVW
Uo+o9R19vwlQQx6sZ9Q6wR6Wk5gSIu9yiCJS2m+Hfry7yz3kl1oorM4CCgC4ToUNgY1Qry/VVUsI
m4BnlU92tVv1LVrQfAcySlcOiXYokTEOm4nEa3NaEYGQMm6T4G5SMk+WrlrnN3hwH1Qa/bqt19z7
CVJQ/OdWFNb0SQnUU3AklIX8kHwsf1dQaJG2xvdsFRupqQwrXnOtM857SGmnKsRBeQg8GQUvu2mu
C8MiIkhUlvGye1Rd3kAtJzrKcTuaM+lDBNuTQyfv/mDDaxqiZCFKb4DeqtoFsMeL+Em420Wd148w
sRTEsqOBuPbKxdv2+EM+GSVkdDEAcY+YIz735xhIqAZZjVB/wLoj9OI9y81ZaL2m+qQoFO455S64
hhHYd9Ar5QX67J01sEkFWQ0YM30y6uU76bYU178eSZWmvQwZTcMcyHc1d+wXJvGmeDYHsrX4ujC7
lpoHYO41Z8i/eOA70uoJRQ1tWrTTsktJczIC0pEYlDnJkLO13MfS17Wk7+G3sq+I6JBi1CN511uI
mjKXTi0f1pLd8NH1ee6RyHMxHuwpwQ9Elp/Bngc7UZ2LN0VhtL09HkWtm8jihL8XXE/PxuQPhW7H
08Z+evo03SzfLhvV3dPGyqQZJkRQO9BjsDxtUVDkR3H5WwwJLE8aU9omYhmyMn2IVNtOtKiFWYlY
R75iz+ME+lQ/+7D7svXNNKvVw/rTUJFbBFHL+XK7tmjrz7zKEFrAhh55tPkErMIFEpTVkgkaElOO
nnQycP9laAQPzsrJXDN1aI34wPQYAw76HeT5ahorKtJBQxY5HIvuZ0grmtpgx2UF2usg4kzAe8dn
QiVqQRFLaex/svSF1bqHcfpcZtZK7WsyNyyeOD1XOqWGmk7PtoC6+zRRt/C+w5x/ybT3o7FKFkYr
+/a8NJy9j91+f+FjsLdYojNr+T0sLnBwmRG25Z54tOtbvdg6ayBV4iEb1LKH2+NzpRC/shukDVBm
f9sSUk2CoUhJ+M4k3WCDRo5NUbsUarJ7scrlzTeI/u+s1DyAUvNp4hUhUbqUWd1l2E9PQyQovWa3
KS0cGjJk91y7qHerF0ODsEEwbDZfBa1SJPuQP5c8//vq28wcBJiETGrXOrYpWR8P6Swc4/Hr2uz4
/fe65yCMxCU0zIwZZ2W/YwmU0aVwWqyd94ZiSY9R42jLP9I5ou5j7LX4V7vlXCGWrr3GfEi4XnJA
5xVVeW54ji63he3iU81mH2ggM1Rjn52kVbcWAX0oNa8TUPN5k9PkB0F/bd+iMM/hIsH4AVABTYz4
EjXaTjW7noa1W2kjJ8koePugZY0KHJwIHkr8AH3tOW46EvIiuoigaaJVLTaFayNwUtyh8stUtIzo
AkB+1/m6G18EgYWZWeOWgTk861hQGV6GZ7AqAufzVCBqb8oeZHJcLyuHFmWLn+shtigy8bDwENAx
rE+RlHKM3cJcWoDcJqrFB0mZq3Mmi73Ev0je/TwQSTxH/sZp5cx+0yRAIksNsyMharZpB9Z54iqV
GQfaLMpuY4nZtxDVLF648Igf0JjTIYIg8h+RBiKRw5EbbYVjxAQd/Uqg72MnMJafr471IKiVkg4u
Km7zykR05TX1BpWuu2RzTc+loAmh9LJ8Wd58uBPluXa/kdTGEZjliOOTob8l8QRtSyr1r4DlnaBB
6mT1gf3PnMwGfRE3LVMjf6Ba6oJskjvGe7BX/AX09ywtAv+nzVaeR4gOs9Gig4vzllQ41VpRqVtC
NXv5Jq17f/yZBVwIcrkGuXLNZdTl3KgX8kL9I0o6TS1Qoli+lHL6RIYMoEAnm9+Db03WzJ5O33QB
m9FH7RM6EA8NI81aQWoPTsVqrrua0nRGuxBqVi95AXWN/EVQi6IhvPhlcfOHJbphDOomgnBcmdic
IaR2ybg8/Z1qMQ9uZ085sgfZI2/zBXFiLB4V/KEIo2v5v4xyxp7HMwMheIDth5RlV8XtXId+1vVD
wMzxogqRbSFcQlDRlXp3aINfZm5UC6U5vrlTgTwsfuyM+5KtwG1PMn7M9UuHhj5eHfshE6eH7mOu
CUR5Ft60dGft9tEJHXyIwETx8NsAQvp2DfWnmItZ9jMMzbcMEge4eiCPnWbG9LnWaWIubDtILPrF
p5N5xUlYJujnt9DhJA0ucPcjzRP2uxF11i2gOpn34FINWbJm9L1dVf3YuKR6vXkHveY3kUr+syW3
6Fmr8aC/ZekuQm0pGKCAofphiLl2xh2XQ5sJkstioOXcT7IQvt/f/BPE3IydmuIqiaJ4SkRmgYNc
ITj5kvBTIwP01QCPI4Y391CBZW75qC21HNxL7OVZCSF6/VJUCOU7to6M243hb9RSJnK0S+Pu+D6E
keEeGb6hU1fBfKRCh/OVtfpCb/P4pUchAUxed0peyc1OoZv6yHLTp7oApteHeP+of9s98XiQxu/o
CkqDexgLPJU7Jyi/h8/Mj3X3VpRp/CNq0W2ZiBl1PVpZIMo893aP22Ql+QwP4hUrAtSril3wupHq
jez9HvBFwToxw9I7NdEFJcACMYs9m2YfIlVWmAMHXhUjNvyeVR8WqQUlqPktYqCiKwDZSvZQP6rl
rMpGzJjpHS2apsmBxvxbqfGb9b7Jh2lYIj6yB/k0bfmEOOwO/MXA8Gi72HjXS0oB3OwHlRYIbOvt
3b19K8aBBcc5BnvvmgNAOCyHD9SJDi4+eZNNJH+QqUWEHnpD5LdhT7S35DBUfkUAycgYaz37XXpY
2qwFw+VAwyfup3Mc4XErzdTm2zQzoml3OxTcqXgfEvBuF8Fy+TFnI/LmkX1PUavQsogBD0KWgrxi
msuehbdJfcD/UFhabApmRSmy0qlwLipyzAcaY33i73oRZtmRSB8sEfOJhU6Mirs+CxN1dm6gduXR
aLuS0/rQYOzAjfrOyCj/F1krF58bQlUbKqZ4uCe6K9TytmJMB4GO8brgtViJ9Fj5NnBhbZc/IXqB
E3rvvsNZjIzwNNdcIhRvb4Kt5QTCIk4kea55JMGZzo7ZgIaEhYumnOYsFlPRbxpc0zLSrxpS6wbg
1TNEeTVlsV+2r6mF1TTg4JSi/3DieidbeBTPyju/+TA4shRuDcXRWQkEg2dgdPhZhi3zm+/F+XFG
lFuRc8v6UJnmKGgZLdVcISxM1XOeCf/AQ/oB/ArR09UoHaywn0ktvsdDccafpcSh88GhcIBN3inT
qtFkiwIvqpd8RwyMgtAso4OPa2rqRlmz6gIf31VAcd4yJJADNl/sLOkaFMtL1zoG8BB4SqT5BC7K
NGMdJbcwTIXrRRVB0UYrg7xXMdh/q2VfHSluE6zMdYDFt7Ol9YhyuVwuGltr0XqvxVqGpeu9CDxE
xd8h0Gbi5u7Gh4O95F/+KzutLE3rf/qHC5aVNEyQLojbt9pZ8zWsME21YXCOWd375eSUaw88fiWt
rYXNeJm1xmrPblnvzsLJ6lhajERgMbGFqNYEX6Vo98CupEq29qIvxwYED9Q/X4Xb8FA5c+Fa5g7A
BUztjDN8ynE3JKx52HbvMLaaUs9ErOh+UHJ4uBsvgL4WjvC/X40fMGId0dmbUq30W8jVPAri8/yX
pVqbXNcya/GkLe/FElQYHbmVAgzes/PI7geP8NglqWpRvUbg6bNf1gYweDmL1bAjpDiYkovwMZLY
C+ICEBnXD+w+nnf2dvZGyGFQJrDa6ktwxMIbJbFBCa9KAZD0ax4xxDCBICnTyE4SD7gWzV096xgB
Ta4FWEOJPb90YUnrglCjO/oUCXDNJmL9Ggk6aMj83FTSvBseEpUO1XvHcdq6SUciX8JrTzLaPykR
PxFSa0wE483nmYsleW4euQkf0dY9WhQh+zg6Icw1h/9O12c/fBsXqSSPUe0MSNnUtIieNuUqeRJO
GG6JYWBkLedYSrovOceH7luIffssK/yxWYDBYVKIyTHZ1BYpHaxjPPQSDLbiAOQc+FXcN2myW9KV
5tIBCnZFNG/WYBm5F4eaRANxq5AURC5CXAR7cQ6+HnrF3MgA8d7yvwyxgtQ7TDFqKD/il4REI0X4
V0kKixtkSly+7s0RtAAd6T9S3A3SbaHWagReUg/z5IBsNu6U+CcSU8GCbI04vZxQJaM1B9Edph8U
t4jibprbbXgg7iokJroNsLV8x+J9TUTIprJwJXhFmh4pI1xaH5TPkp8pGNqRimzogvpUgz0CmDlw
x71SI0dZnM1MhYBTG6wBaYPzaBv2EiVgoBjxo/0evpdddwCuydpYAfQ9e7XHeFeLkU4xMe/bZbCc
qZZeS2s7EZ+7IfuP+/KgTCIDhLa/VooHnF3OHHVnLNo9+nmUNGUIz4oMcM3TNL4B+VAhSJGquW7n
8+w8WfglwqR3jfpu2I6CfKSD26ZZnKHh/jZ1fjwlMlkQzw4OTm8uHIkLovrKY2JLiGYmutrHQaLj
ES91kV2ne6D+tGdmQoagZgwg6bbykrr2EgDm9Bl5vuvcKVTGgueZPgkGHSl7sf2hXd4apWmPKpOA
sV99Ufwo6d0Iag1HpQVFc6PbcthgeMyjsXLilylr1iJsCfcKzaz4qfoPhCrjmDCp25zkTqxIy7d5
swsZufs91BYAAjQciHA0AFKZXla5E1zOaaiDJrDtlCR79Vgqhtj6mrYInoP+qAA0mhY0/Qo3hZ2r
BWyW7Zz8MZJSq0TgnyAc43BSFYwr3+J9Ua+3oqz1Tvz9JQzqtOXhFAp38IqiwJUpTmD9QHoumeGH
dweagup2SDRsEh+aV3Nymx1qqWpmqag/4sUwFgArAgSGpFjf0MLBdOw2uhBbvoZhHCoXm9Pbybvr
vD+6uh30U6AqsVNQ0XXlO5GnIKaE8PWoJg4/2Vrho3Y3HO8FebHAn56Vvn3IbiClxTWe8MelpxH8
nnpxjePWPeDqBfX2Fy/CXBy2mRtRrZzUFItSBhYlQ51KITa9frQRFglh0t6/BOVPJWQjXCWwr7Bs
WqmiLOXjIL19KTVmJlWaq4nZvA4cg7D81syk4O9qaltkTcSdeKo6+JacUXgyuNW1S+4NFS2Pz6FP
uWG3l0BJShIqccb0S2vFlrWPjU5g7EkXPEWl6C/0IDfPG2nWcDs2TK4upSc4g9uo+nAGPPL8sLJN
yV8tXu8i0AFUFxve0K3fsaN0AkdgvjmLTN5XseJL1o7kNJtQ8HT5imAKNFAmK3ADcsH3RdqRSlhN
soFK54L0IaIkYBqv7P8Qsce08OIwrx9DdzuOW0j4woIelasAl/8n7fNAPhXaSKj9AtyiW9MiL8n6
e2h/FJP3V3S3MGlIr8LI88ThPRWa6AZkW6a/D+FxG33QQEq0MJrp+6g1uhmRUvRC3jg3mpdQ8eMX
ORy7kPjRt/FuFSVjQVBfQwdcAEdiMEmEw9jYz6JLC1ioXhMcJRzduMsL8is5j7inVBjUouOWUK+Q
q6Ujjp1iRfxVKWkn3nf2tELFnKmayJf8Sj4mKTR+9B6NTkemiXn2V/AZDt4+1Q/Lt84/LumpxDfX
gUgP50D1vkEq6Gp5Eja8VW0A8q/LTOZjJv7Eb13tNdIAXvsY5zfu75pwbaUkjylpe7NelfGlQm9A
dOJ46sujtXmIcVp69h0VBAftWObPfzWaVyKY+3o4i+u/cg55byuK/4VMmyDLUkzLUdNo3+FaJEE+
54av/Q3NZACM4UxqoyJlcvaGRv0ZNoaRnbp+mv99CNkb0XAHEDVws/RJ9rXCzjAoAAOeFQaHdELx
xKKurKDKDXcZGltNbqvGdLv8KCNSLd8i8DgsWSfbg9WwkWJaepO17Y1VPQgMd30lzakmfSwJP+LT
EKS1T0ZGXyiiE/cJBEz95hGpNBPyo7TJ7hIRPmkvSKl4FfqBv/hjxUZgc44lNhDovEsUahaf109L
DWGl+pNx++ipf0UASYojGIZBnqqxankfEnedIisci/bjZ3WQsvEB+RzEAl54vIutdSoSLOJ1kHmu
PGVuzx2RQtNsnljMIt0VU6RS5fCuCCl0v+4Zg8N5uY3Qnf/tADxkJviaJXK7elxt/gvZyc2eujur
uUivATg/iqravYmF/IizpkLK8vuN7quMC9rjreAEzo4u5j6lk7EJOKfkC4+w9IcQfeG+oxo5WUOH
reTX0Outb9/sN7OwuNgOS3VkG+2MFR3c4gKeQr2wdd9cRVl0cq2x5MF2ZuxEbsTRDYIJgtz/aqvl
SWwm4KLe9R3cuGVq1F5xDyyNEsPipu+cd10VNwQUrM3hVB77DkhjnOgIqtgiA6VpPsCsM2/PYna7
obY8qnlr1HmUvOEQqX1imHgi6Tpn9Z7xRunACfbyFZiqDblgT0k8KycgUW/qUFss49IMRtpMMM1F
LRf4ERp2u3wn5VxX9TleZNs1+OGIv0agu1wpJ53pfjnxLpXuK78bY0FiCaN40KknIpecJz4WSgML
7dJ4xrlTUH4NU9WNSzyKBe1EMqp7CpXof3VXUtITRRzLuXP1jS5B7s9EgjkBhXWvyU8B7jvHozdT
VcbVhbGDkat0YknfzX4vPRGLUSSk8uDFDksnhtB77KiEFURmbuonScUOFXY10MLesb2ZLr+9tMZg
JS/m34lJQjWhO7TuyHsA3Nazd9PNQuCZc/wRAac8A0X97HPOk8ilkxvtFddeOm4ChBOGAHI+I0vw
1OZ8sFG+GP9Mbw0Tqx0YyjI9nNw0V+BEE9QL7FRreEimy+grbD3+uWjD4wdlLq3DElzC1f3W5d74
IOIV92qJovvs2baYr4GeMPw/M3LyPf+E53J5o7Ys/WRiQXNDSUsY4vEGS5lUixXwXEMgu9IyRLMn
94lFFWJ1cJ+58UOoMOYADzzEWluAbK2YXAl1G711SIUi4c4PXnp+D5oVNMkGOV81XFSD5VS5Xvdl
w7jpIltH+ZHWsCNQlB2XR6bpmXS+ZUO6Poak6Fjcz5U4b7Gl7fseYtBCzSdjZyx6D6DaOLHDshLp
Rr1V+KxMe6BrT/o1UaYT5K9dxwtZuCuODzrfKpXmeIFhw5ktMcntgna9F/bhaSif/htuoMY7apdD
rbmFONporYm9mHTasljhd2KGzt0LVGV4lnO3/7b8mXd7udX09IxSJeosOgvk70PsiQEyXszuv7ZC
s6mpJmMB2XJZFfi0WiM/CStlKtmY2XRnQqXHbijuOZp/ki1QOqowb2MTQIqSaUJaQxYrSAnWzwUP
0rmnB3DZAtzYm/anNTUNAOM9TkNQZb4qoFlieiVhaRRxY5Cst+QoM4IhuD6UP8jEvrzPfRWFQeus
n8eIHHBuax624mwaqP1/Detcgb9XUGHvvBXQ7p1Ym/mLN77IBBS2InchNqEBO92Hdw1FjuF2XUqC
O/Zq38AIzpoqmiLngIsfn7JwnCN867sWPPH7bigAATjteBc8MgFLg85VEAWtBiSqEssQ6TJPL2x3
QBmdUBXbqPQI5nbzimeFlNXi0Hk2RjnzwCXsaL9zcKnhITsCYFu02XKOhd9X5lQgo3SZXPZ3ouox
SVT4ov2Ur6cllULaxiwi2qGCfPkRyEpk6oqHIPeb9OrGFB1DnpkA9XnfOmXITExin8EFkWlqA+2B
QPvxVnrGgLeHxkVUzobc+8CNNzCIn4b/tN5FsM866aTsouDmxPKkpLLKxCBCHUEIxc9oQGUtIjfd
1bhqlwM6usCg0SzFCLIZtRn4bq5rVn7g74iufcvPWJUbBBs2yjdeazqJvxwO8rm6ZAhWP4+qyQs7
OYPDnanEv1cSF+vLX5sNpanWnbIuNGu+leKfYA8diRjU9xzcKFs9xUvbItSs/O6bedCQSn/OQf2u
cMEHLEQVolWmuao1b/9S1MJ0/dvIJePglBmqT45sVMgz57A68q0WssAzf6N5Tnm+kC927SBsgQi5
imXVJLZhICOn4BMDLSU4pKC92FmeFp6ToVcC8P1bZvFYMtQ/ilPUsUx32xarflC+YFBnk5P013Ui
SQYFog+NRgLMidMp8oqgjP6eNt+JKKY3HRuwqwg+/BZFNhVDPe7vG35fdSFXV/sfykQEHSirlKV9
h6apPjGH/cxUUTnEw117Pqu8CkMpawiDFpI+PXih2jeaL0DahrEu1sJ6Ulkg4NXEpIEwRWEELQkN
6BkhWKIpmAe26uHtQz+3G8mRgXZfulsl6g/o26trWOwHxe73EUJDym/UGrYhWTarSKfJKhIyRE1a
mX4dNiimP2TGk0GdYC8fQvzmYztPidBMRUvc62MIdGvEUWdXTGETjWCEDRlMYkeAGFIahQKeMfzf
8yt4aC97qKNiVNV/g3NpL0JPl3iLqeS3LL5Z23UvlyOzVZNB1n0dFXGaNALE2VT1G/0i/drFdVYD
iTY3wmZ2LgzQE9iaV02/Gl9ig4qlJgvYtIOdD5JqGTqm+MhVuVID2t2gUxByf2cLjfNq/nU2D9fC
GQyGqwy5daBTCDEhZ+XYk5+YjSnTFbXOaQwVnY2n/4Wk241KyxFm7q/V0i5iT3YBjm0hnsf0Mu1v
+lWJth0uyxxDz1OLZqc7tV8wEX3TEc+w/5mu9l5EsT6NGPIueZLoxeeYbLCxnjSNOPaOA2Cb2kNN
IC1bFwC4o/gAIdVYbIL2LSHiLCWwcTk6c7iX/PHBgpKxNdw5Sl/uV0K9V2nUQ3wz6r4dwiusYtfs
JG3IhRE4ehBtu2NRBL4QINdpti4gLM0XfH6lu0uVHpChRege1ZZ1u2K8xD7B72dwlzO3Lksv7Juq
rc2C5D79XArvLsB6FB0VXWdzk4s75ckYc5CzHpyJeKdnKeZF9BDDPk1BY8mw23pOC+Wma8coYRwq
gvuV6ucwH8FSXcjBSPbTcbr7gwgpxMNHCzhAvLctXs2/c9R0nI6z1z5LS75FCadX72Jz3KmwDI/h
o6fUKtwfZBbpRMW7kUtbLY/4B/tEotLtlYwaZwsGIv2gkeI7k1Pd5UljVAqxo24SPOP3h9C5xxjb
nY1xmn4bQwVEpCHYw+QEfAPZaEXgKdFAg4oy8rqAYOooiJLitqgWU0aFwjHmQ1pddx0QAjjf00Od
1p0hb3m5bSm8KNN0mhIOMwgzUpPsYwCKw4bHfU1XLP4SvRH/eeI9mJIHpH1zH/dsgw/e6rAvYaQC
aPTwWg3ggv4Y8+4upon4mGeMs/4/re9G8ywyw8XwGEJr3+UQShq9fNA20nQCM1rYgG9r/gnHJcJ8
Q07jcFTMPCWlkdEjPCkW1HA0Aba43E1vIFc7nDV5GLxwYh6RaQ5/03Wi2ERf/ekJ7Y4sIA0DqNvz
iaaDkGrdf8JfAdeutMIpglZASvSEa9njFIfm8CMTrm5ZF02xUVIvKPzB3+3TzYo4hERgGTH3eZsc
LYK7R+VTE42fL5hdb+6Tt6T8zWlV0uHhjftPw8dCqOF6aGUhlSf+FoL6XO1WLtT4Tb29V1ikP1Qp
NnxOE7VD2TzkOpZRPduzswcLAAygrT6P2HZps4J53jNjZUNQex2aOAlMbThbtcCwQ3l0ecBb5Hmw
8CjZaL19dP01FCdGJXsDH0ZL06DMlWGUn4UQSAiqtpAWwUA6z9UuVBaxgPzQdZrHysHZehbfzEPL
MbnKLZ3oDZCnvCI3Y6OIOIWMln33DRFXg8m/aYs89jfWJfD1fmIMZiC4qHcl6WGniMJcsshiQ4Lx
GApGXxEp0VQIEqglxUME/tnaIYLDVBZBfCUg47iccbYDKvtjb1lon6NVcrUle++d6Kad/E/w+nif
WgBZ0L+HfPNNdHt822YxQrwvPCorURH6eqDQDV3F22+JshciAUULU22WVaSKkq9t6zdXwx/FyETJ
qLMA4PHCHy+IKdRCV4GhVO0hE3JTa+xxn46DDYoxV+zaYzUiGlJObeZzXysTtZg8hRyKotQxRk+2
jY9F79kS9Tgbt3Fipbh1wSFcM+9cUYL+JZW8EVxH0bPqxL2xH0LqHr4upR7xJt71UiCC4S+K215J
09cewzB6WWv6oci576dr19j8RITsItuu/NB7AyKAMR2HZx2Eshh5OrT5DCHikDHNA2rlXwNaMJXT
Y7z8guZ5Iy1xGkqZtJUcMPCXOVEtxtN9Z4TNlLJKITJCTn3E/f4IoI92tcgToqQ6XcprpaIIf5yc
r19kUHnaTSb0lHbSfwX9HBTKEBxobKnnqPjLgTd7vbVbqAnaDfGGyTyEqFH7sQNc4nA+ZeoXK8iu
heoB0vNUXSNvKF+UP/QDyjlxrL0FBtp005HXpyxHkiEHpv/Mf7N8+35kPZOwCHOnXDA+pNxaxo4e
uXqE9fNypUMy587cjdh1TUbKbEO08IUfKc03rTQpxXfgHF54MOli8WxFdHgIHaLo3pp1oiwOJYws
iPMpjBXO1XSdVQlusSbck9BvAgrLikHqTpm4K54dkU+JcVoLxKlu11qn1PMmgbb6D3c4jmJUTt2S
iwFuEqquxcAR8E5jqgGzovaNw7BQSoQjMsdBnSO6Je6hT6Kq+kvrjCARjt+G9Hgznv40m4JE6LN5
KO2OhxoWmcaM34wKZoUh75LiQdrixxknaNb1LcB+gXbE0JSSEsgP5IBJ4ei8cHTDuF1d10icaCoK
7v+P1vFsXb+ro1xugMBBzZ+uQzN4lDZILOUK4H//iZZyRD824kWztikc1p22/aikUAmf2IdmmBnF
ecv1fkyA8hNFFAe+xMbRKMqAH66Ug/E8p6+G9A1Mr74VF+Hh7/6+f5X9ya+tbHToLuGXsFMBxI2p
4r4wDcQL/mkkB2fN7HDZPdKlEh+bz0YhC6Eg31FbHnL7KN/bdcTYNQ63ENREcB8NO+3kD1U9mxmY
C83UNkRgWTuG5sa2qBPQgwNhsez0SePSMtGMBXxwdv4053KTXBWEF5hin79RrQ5NMc75EkSmfT1h
FJuWA6Tj9WBt6sNW8ZXE/s+X44BpxsyPBMbfCU/nNsUSbHcqC5faF85ZTMN88JF7zegTuD8LiMA0
VO2hPt00h3dIVVQzSQuhgIriNJSORsgDmEG8wz4PmlPZEi7lglqctUJHOpNEi/LFcpI832zqdwvU
T6uW0EqZ9APcPR7pxtXEoo/rrcYMZ9eVKlOOHrWP+y+aV3ztXwZFEuU5AN/Vk6uI8il2XB9ex0/1
azeuPUw8w85BSU+NnPJCFTPuUVTmvb/ZR6vzWOc+oo9CqVU0fsAFLAs/k2bLhpOKphuUQEboVDqW
Xj+aYLjEWLpQtmqMAMIwk7NTtUecuvXSXPF/twscQoXn0LtIRLxwCeu6rEY51lAO6lNnkd0ryal+
KQLCwUN4nAtiuDJIlTMNe+TUjK8wDkJ47GXi4yFj13d9omUsWW+/dqUJCKwpOUT6NyrscDDc61ZB
3hpPTobsubJ6WccdajZsK2CnupAVZw2ppK2/zYV6QJEpE0GYEvuxthvK0HrpzdpEaPKVcK77ymZN
7p52H+VVEWGWI9DlKklrgeAdopWRrQfMCFN4WqcjFIe8S56Sfobmr8JxQF2ptxhtJF7bNw2fvILO
zwmDqEPke2uBFbY/w2UCDu3DSSJR8dekvrz5FFoFvecRGGyntLUgh4iQAYNR1/lT8z8B7/+d7qBy
5h7urlk7F5FnrYxj3SjSl98Kqbnv4R7y9n75cQTypsBHcWxQ2tyjA+M022vA7z/hF0KatsRW/nYn
LdkLOE/rDki155/o7wHa6EYbk6t68niKqsgcW10OhuwBtQeu8t/qltc6cJzQx0UEl3+n5ocNTJmj
V8VBpDvkmWT9kqD2I5nXmJ9YSFAH3v2sfY3INFHYn6bGfNfojK4DUjph3tmHARDqdcco9bFwtF07
jUo2tl+LfHblIyWaIyluz+dgHxpFzu3r4ZNizqxCi67uAsvXVSddANJk1lSRb9DY4gjlLRp1OIV3
QCbhO/XJ4Ge1teeJT5ktoR/Pr5fR4Ew0qbN/4qPDPmljn9k7uFMxyPWvCqJr3JMirCMGZMHCUpeg
Us3sigNWeBB462p6XgyXYHSkjbnDm53ztXISQB7mYaBQwQmWT+aGLn+uPbM+lVGtFYr5v3WR26Ry
DEBszTyH9+VYPXsfUjlwVYoZ0l3MT3JshanAB7swhomAGEaKywpjwaTMolgv+rjGqk6eExTuErTW
w8xzqXMn2/OQUN3X5Kmnt30C66smjfzM/Ypv7COUxZ/urlLmHz2fwWUz3gbilj63JGgchrriv6Wl
uio2qwzcS6+M239H30O8fB1iroVrgUItQiD7W5ggBu9rY1TvIaPfG8nd40XTAMVen+/C2fsDqzOo
Y7E5O75U3mgIbVkc9zczvdwGmE9j5bCSUUr6eZ/EDs0184DpD+VBXB94Me1wOsEGDVn7EdVWBEr5
35FsAB6gS5VNhr5xtCTwpTZ1ywKWBTYenHmFVDPNBtvOmRK9GFI3/9OVJjbb+yDDWtAqK4bFONXv
YCJdvKq8kP1X0YbY+5z2/hm64ekBZ8qHaSbCjDq60pXVzmeLpu/Fv2F2a34Zk0IeraRFEbhq0fWT
eUXCVCWVSg5s1y94Vt3/oWHIF7ycsoNo4+m72NoJzVoxkb69FFiICG6RR5C+0zJxRkVqAZ/O1R+q
xBn05tzQ5RSKtTPkdlQ+R3NWv4k0376Mi94Z/jyZmwNGpd9mwsnJO0xSF0AN6QVq574hfVQyaTOg
EthjEjhPPECYk1wiEFXEMZM5I67ptauyxFWBqeFZees/v1oR1iHy/9SC5GLzJGq+8B9Iy4/VlzKL
wgOe5CXiFmMb554HBosPpln/RNjcQpmXopg32JVekhegIqjZVpI5B1uziUT56weofnAtzVnfNnCh
zLK2BDjNreGQFKmUL0e5N48iamDA2hhFXCAlKFi6Iwhdy4ol1IcWB532x9exf6CB3CzFKc+Lo050
KsQTUngu2p2h9ZsIcSGo2DbVsYRd3PBJVwjYCOwJ876qol5xbiEnI6Ladw518h2YIDjbo7rAIW6q
2KVXqatpsSaM9RLHfIfoDkn7GMTqbr75icZah+DhQPYBgs/ql2p7YvZ9l1RuLVYrxRpmf4g+NqD4
ye8miGkznxUokhHFdkmpkCxIgq6Slx9JkjgHafb3vwp9hhBu3AZPvS6ewWl5GFRud884eSYoiZUF
mJcukqy5VibP+tvZRVX9Dmd1SpY5iMMt7xjCJRhX6yJM7HLZkowpwdVhvsWMdx80LoD9NsyXAEQD
2XnV+rp/woalZ2ICkkGC86bd83PsR+C4wH89KzW+p5tFPmh9swXtwqpfJSjTL5twzGh6aYhwo0yM
lWpKrUMJoQBNpWVY9LGI51IEfXbjPeqZJSXRR1jtlrbpqDcan50i0vNfkUXrX3TjR2nyssKrXVUW
3AJolqhua0sVZw7uDBDIOIFuadI8uaRRcIsuoYIrTwX3RNXZ0JzuzVZUSMti+O7Jv+Y2fSCnjxdy
RIE+WWFs5DSFn+ytVYOHrxuYxa3PK8t+r2UYMfNmph9F2f5S7d6os9WNwLs8+VYHFeJ28FoLzlzm
vEOPeH9nhWH89TA9Q7pFbP7rrE8Y11xnZv6x2Xi544uqMla6MST4Zg17GCsttkCyvnOQhFfsi4VR
+fO1Z5vkLrEnRbBtHIhvppYIFv3+lfpoe5OimWJFxC7779qM7FPh4ZC6nT0/4U9oyG0ggAtvigEw
y2pj4v6i8xan0QTFKUupHr9jGsMcOeJAs5Du7jqihlAWXrvcxgNieotWS9v+wcbDLPxqboHhUCPg
2g2YsV5+Q4NCy5E8MQcwRyTTmN/Cv30HylXPwdrGI9vYNTS6SWhOeCRz2dyJeKJXzhhDUAliF6Py
D1Va8GRHmh/xJGaX8q+16IMEyLFikQaX4GQJNUTt1BGOdpJb8lSDOLPnPQpoCUYHou8y2G3/UpLD
9IX064kSlfULEtuHbatMB2CnrKAiJrOgpWnBcofLgdgLlKOrPBA73A46aiSWcYsZK5x/B+WaZnOW
blR6vx/lQKMyvGyNilQbgpZVbiYvDR0CA2TH6jR97rGHHBd/DEpWmMqAO48VnsGkRS7EUe4TfUt7
uIbRumHhhjo4C26tq5gujlIWYzKeKFuVu5LBGwG6anqPHqSiRgZNouGIha8KVqCnFr070UHnv68C
rA/Nc+B02BJ3eWCDQVY81wmHCJQI44MMTGNbB4ktKV/EaQJm2ZnYG0Nj3HcAuBJzCxR1v2ZheTGb
QfdCOn/FdxsX9H0TI2PkvHYbvD3jbKoxhuYgIju4Aogql8LRZQjcF8+E7WI2qf/5kNUG2PKk2l6J
TXGS4Tz/QCxA7n2IN1Awmp6JJXzc85YcaFH4KZB5UhVDv/SZ39aldQetX0BzIazoN0uzQ0Or/S/u
/a0ErXmF9hwFOcktc3pNg1OdGcegW2DPhMCu51fHiEaiWyoV9EOl5DKA8f+pE5u51skOxBNIa1D5
bgaWYIyjqgiX7JcMtA/d0yGcncBdOqLTA+5NntJcpBhWmkcl+6kGXHW/CjJPiXuBfYhsjDR1PkB7
7/kyMTTG5TOiLbXOAxGp8nu3fNCjx1idQNlEsmdsp+9LLh/+otPckRk4sN/REIiTVPG1CrUrzcwE
Pot1n/ZaOq1ealYmyIOCiMMoMfZe5xZwfl2PloQN/tSzmCpl12T7TaWo7gYIe8bsyzU/VNXARQ+T
tKjA8rVvXIeqPhNm8KOi1hGapzfc+8ExzItHjs6PwovQyO3MyQtmZPz5D8Y03V35Rz126KCDuByL
GABGOsoqMTlVBY2W9ySGW7ekEuxIvlEMWzV4oZ0JOGN78TZUNyxI80Qlgoq2ivInd+qykJl8xla5
cr7Qi+YqVzwzWa034upQB4lH4ZqRTC03Gcbk6V9HdYsZNsVZotePEpLcyrPvRM/EzKSFSICqtiq1
/Xai45qhPYkJZ/woTTKQ5zPahu4Eci9XGB9DSGRN7VPiKP4PDIq+/VHXuCSe6AlYPubIoMp/OnBJ
H0mxopPwuP1wHIcaie9bqpkLrCcbzLkDxZeD0t5sy286Xhq9zm0ThxaOmodqHkSVX4HT87N6wB0+
2UI4ELl62+9Pw4XfHUdarmDvg4n3vD26wIZWkafvvoTAZRXh8zo2Dh9p6vXztoANSBiMoXQKjU5K
7Hk/RrIWkQeyIEnjP/d6PHBQnhdijmJDNsXDag0peoMEDX9d5FH/8h05KDScALdGQ4UzM6BqKmsr
B/iIyhR5g+++pqoW3b5OiSmkL3+pGuU9Ka3XF8e4XaMckn/Akqx5eLcZNKtpiCIQiwNdlle9xZ6w
qJRCHDh7PqQx8Eg5JfQigNMxq0teoPftO3LEkncobmczf3ZuLX2YpSH3It+ySZrvp/a9T99MDGKi
DM37Ld84hdq5q7/8sTFlpGO/LFaoB1kcx/xxxg/5D2Fypey8Yhd1aQXKROoKkLz2BSWfwSSR+NuR
/cpKRen790bIuIVFw0HYK9oQJzHTRU9eJU9+0/oz1PVkyIPVHrX3LZ5YSGwFb4AaC8Gz6vNsHMcT
Dw1QOmIQrcEBQVM41SxK1dCYLB1hFUjBoOvJTl88zM+LiTpcUQqXKCGB5DOM9ZNHo0oVT32d02SK
Ml4IcHkJL3NpaoNNtDUyO90xpuCxx3fePUsn09fxZHFKBGuGeXDzdRXDuITOuGLeoNNc8JdGs28l
iTWOKfjEkk9uZryyGm+iOIBj5rv7+EGbFENCX1IdtVyGVvc7uPpl5ZQjB4a1SBa50W/9ylpFEv+V
BQ5UmqWlD6LcaGU60Z0pggdW3xYkuJdMoroGJwqUXXoNrws5SoEUMrDCHcCD4BbmdJSzGzZEHndg
DEnGFUcPXG7aIvI9GR3oA641wNaRd95FoYxBDAvHhF65bBGmz6AnzR3XOpwQxv9OSKac4/PIdozz
yEWBgghenrvefiPD+nDgNDLGKpc8LzHt+IUWHp6qUXDAwKXPsA+xiKuhm0Wqijz+rm3A/n9Dn9bI
z3KsisrPc95m9TCRrQpwJ3/6+lUToQBjfvakWtRPmY9fkm39WhpisHlNRSqXjqOxmjyKpvtWCxsI
kAgrt4tEULGOg2zYkfq/fPZrtoyGDsLY4ZWYsvBvq/S0SYNnBpzZzSfUnjlpNfgbvusWLbn2dKgX
8MILoVTsMTtfxu53PcuNv813ohhBSHReFREOv3TPP9qV35v4WT7fSkfgJ25yLKdyIjJPtdm0j+s1
I9HHOqhXZmVz/PugY+XQGsx8f1mm02IBO/KYmiKtV6g6+jZwbauL5VYXFIuQipe0f6sW9uq3ljd4
cffhs6pevmOJmsx0w1mOnT5DhOAhxG8diRCTa/j44sq2gf6e1kzhIV5LrPopJd7K3F8QQ0J1KGVf
asSKRpavX1gsKRRsrKzjvf23+Z+2Hh9FNoQGUHNEmXHSZM+qu75II91//Bj6shHf5P4V1jTIWaZc
zl0IT9HK7JSLTmtCbGiqGce47k8B9V9Ye0PMOUqW/4ngBxLeBQxUOTD5pbSEquBPGH0jhmsv6+SE
ezrWCfLhkDp4CBzkEjllDojv59TULv47AuTxenihdwf4T0REKiKArAnpL/A2h9HFHr8o6hAT+CKY
ZgpSI1rePyRbe2WxjUtd3n+YhdoJvOOsWwAA5o7L3tMNFBHnDAEt9BSGhHnbAkcQNmxydXKgOH4a
cAqFbjRs0xgDPPvOosguCLI1uxwvmxb3QbwHPZUFs594XXSO26vwwCBjdsJYlwaM1MbiNkkdLBjI
6Bo3w23lh/bja51jY3rVg+gmO8SITKZAspW6Wdoge13pAk5EzVjsdeAimkiQw3GVoIYFmzuB7iTC
+C3tWNMD38+7F6xRopo4xKdwzu7CN9vbcGMzcagwJb+GGx7z/eR5BG1l6fep0TwPtFDXp3Gji/KJ
kK/cG+FGVfbCJNZMrjGFueCUb7PHKEXhcN/M3peeKwaYHoiQUiVoNcU/DZweTdYFNDaXLOQErHBL
g5R8ehGE1YnE22sdfPO+JUP0YlNUQmaXMOuVTovJuuw7SBHQOYZEMNscfdPhJNDayEIR3y9mRpSx
TLns5PgIDEtcCnhZd8XhkdIYHrfgsnUUPQRKdMUs7F1dZ3xi0EfDkKT5xGxnl1FoiBrHmgzusu+O
x+o8pAYN0yMiYBLOxs6byHeiEKjrApeSRfwoV1gNsYi1n+Iizfqmgiurz7buIRiqpiWyome5hHAr
3EJPcEU21PWolOZsmYue+W4sCfWu6b55oGqP+YDhCcgEDPJeiV6FYiDnq9Q9fPcJQmvD1fJd+1yS
1wekJ7U8Oz+kiZ/8Jfywma2nKU2LxcVT9Cenq5DKz90+OmKEmNUDoc7MjdtjR4QdxsFTbrD8VsuN
LOhSTyqz/O9w7uBP0r7iFw4WwSKkBS8JwGSHDrvyyvDnpUIJfqqcbFVidjPWsQfksn6n6T2TWHwJ
ZIXve9+4QjGOJ80H73VQj7Ly+dHH6sjR4ye1NoAQnjFRhXCadofxOuapZcSanJl4+spLOVZ8c6Yn
kR0cvajZEoMKap8l5wxgcvY2CtZL2krCjV3i7a+xG0I5fB/xQsPkfleL3AG1eoNAD83GnJKCdwYT
NaUfQO6GfvQXCkdo9KDObB7ZEvDILXDgxf3DpGhQ3e+5WC0plPDRaS0Nw89z77i5JvZkyeV71nQC
qPrh9kbhbSjLWrtADyPnDPa0s9BoyZgUgkEzpZ+MNs0ddaO/mzHQwJxYpceDeQsBnzyhTBdu8eRg
wiiEPEN2UM5wm9eSIfGF4MI9XHLW0XYlpcMbctKfllACYPOjx83+O8JtNaosGOSFglDu050GZqd9
T/+UFvz76gNglIwZfulAjcVpjTn59HFW6Z6grfpGPI+LGO/8P3ZnJJxjrmXFBOr1iBfiPjGMRo53
4SbZdNQnfdP7Y6e7ZgFpiPCTkyO2uJ4Map1ZKB76xlQCeov/WNSAArGy6tAZXUDk36KRd0WkFMEi
ZWsc2u6MWyWBKqSinXzrSW34wf7nqKt+0EboygWiDMLKvUF0uo5sEw8QAyJMjbcXAP20afaQI2eN
StT9e8/5zNMxuEevN/eKvwVkLRKozg6+JytN+mqYGcBYz9+mbi5CbZ8hS1zin1NXoFSD1mGGGORm
TvIXP8lhx8MLu4OdfGa8+tUC4ivBV0XdNmXRqnXzvtoOm8UWYEqK223lD4QYnAQ7dVxAiMoHJTCG
6PS/q8rbxdyyHE4WISlpiRzZlFefUkiYHkQ9potMgC4aD3hroAbDki5RlmR+ivfY8XKEoVCTl0p3
LMIBHfY6+H+Tfx2dnW+xAACpuqbgx4bIQII0fjis0vZXTR2Sd4Lzl4meuDjCoJ9KdbVopmj/00lb
XvF1MprkxaD7Re4c3A9QemK+q/EbG7ouDROAdiF2s50RtMsJWGDDVgIM2B1fz+x78sT2tRiW09Xs
0ABXX8lD5DdFNtkrXHYhbNvJ3fHcVDGeGLQj9n6/PryiOtSORtJkAkbL/g2h3hdPN+gKV21Ui+D6
dLHH65vhMOJDogRWmMumiCgznL+Ns8rEhptUu6saF1fYZI14UrvnwkqvMNIugY/ANhmr6vk5IGQs
jC6Wc0JjmBymR7JPwfV1z312PD9tFFfyLHR2fytDLqybrytRfapojQfzZRgsO+gNUJfqrQwXw10h
/P+UVIOyVlpKBZl4FEK5Tg9aLXE3h+dJCW1wJz4Xe2wk5TIs4SiNjy6msw3eIMfBrJN6bSSlNGPw
GQHsmrgOL9nHvl4+x+CXDrNA7UhAjZE+tvn6bKkCY8UqBrDD53Em2eCF+FbESeV6hwz4Org42QnV
P9pMDEWk1YDr2dYorJGrYHDy3RCiir1dtm/QwXwHfIRIWTONYtRn08vB59HspM4hFM1IweCp9hSe
FBrauWXCfgidaEIoo8X3/T9Kle6KZ0aUJC3gsVlhIqHs4PILCYZvX7QeJEL6GgekbfPnrKDSMQKI
SFnp6B9jXKYrxHKzihtHzZ0oOIBS1lVnr5AvRlW3e0AH8B+9ZjvCPCWDxlBduNzEdlzjMXynzO9f
ult4jXcjeRXLFPWSlCpIa6CV0L+83v8WzVyQJMAps/rEoCAITVvjZr1ntL0Px6Ydyu9G95GXHvxD
yT2HYL6cmLA+weaM4Hnrpr2ruZ/APBcXn08D8YXaaz3q4DO7b17VYAPBHjee8m+WFAsi7SDg62JS
b0zjfVdoGH5lb6fY/l6ERtyExXp4NAfySnrfLnHQ3L6xX8GVwrxrmBYDpRyRIQsOf46fR8KSTJ1j
DjuNzq2tkL15yDGZdD2BciYMedCvcoKN3F9uPIFxJTFJRAmCQz5V2PYBRq61NR6/FSskYUOzBG/7
7E04/9WppkFAYL1i5j3JzH9x5zokvrksb2tk3FYAqmTZcXI1FQvP50LhTJOi9KY+st0y+iO5WWif
xEnrozg0J/AmtGnK5G5tiWEAHfXJtMAG5KHP00yasZmTJcNl+BYor4dqaiestuyDudBJVh8GZyK5
5nrYA77dfw9Ixg7KCKLyDVAKMoPyOiKgBpvJO9UBOGUJ1OXX8EK3UlbJESVMqBdmr3NWFItQG7ev
w5mfgWztoqZn4M39E564sTuPHqX6GGQgviwX3K2dLV0iLMhstryiunog2S68ogLHc6drQSzebGEV
3BnE7TRpTUVSjuvhqAPhgByFmSzqSfAGCdouzpz5bTlxPL2AVTgysF5Liil7Y13OKHKSi1j0ntU/
p3Wua6n7KGoMZmPWohVwAsC4RbpB5uzpBdV9K8rXTg7hfWC7crokq1wm4NaOebrdmAuRekiKf4oN
nwmp5dEKKLUzsRz2aQkZBbYUAH678M9XNVU0rfCM/eAMl+tBeB+RTOJWTZIfh9af2/ZSJca80OuX
5JzbShfFDa93kK0hKL6+i8QoUGqipoGBF1kO/69DhRM+OjEpcrXBQWh0e7sG4TWUJS31UeNQUWe3
8GyKwtPPTSPCO0y+FIeG2mS4aMKeI0zdwL0ovPSTLm75TTkkV+Nh8hRJWS+NkiuGM2KrFqr8xVs5
8Jhm7wi4woh270HKPjPf17Rkqd5xaTBqW6Y897vZdzu11zBRCELEni0KuC0exRz2lfxfxKXshCJZ
lqDI0+J8XkxaL0G0IZVR0pMIzAG2Cz5Inl64ivsyfjDXF6m/M+y2L+EXG+/fcX9rbC9hX8KIn8NH
XzN5IPe4aBr2fVl4zFjuGg2ur1PgDZXrTfi2odCO3ZzvZ7m7Ru3FuqMqaNQk+IN2YRWk3lVOgeHL
QNktsv2BrwdxvXw8o+UEd2t/bmBewLMQiw6tqKjFrDftMj/aQUdtdpo1UpWFnXzsgmuFekE8iUUA
EAbzEJ8NtCbS1m3OOvox8fdnXPGuA1Ou7BjLwasopK9hDjpaEkR0RawhxlJSjOTmmUb6taoaR3MQ
ehH+LvND4PDCYl9tD6UjqzkZDCJpFBC3aFXwxA9aNd0U9K7Th7grZYA6iyp4i+DDSCaTkKTO0XHq
kTMNom8S3ZaANQ8mBuOjIH1775Z4rJU6kMmhw/gO4yxsRJjOvqigRaE/jzKfGcVct/XRHzjV3c6u
97ge6GuTOk/ykwytubHgtGwfZe7u1DoddiYOasK4nwlUJ46MxdnDbUYg7m9dMyXfHrF7pswoqlea
ThgRyWVFvg3LEfQEUdz2T5Gn4+7bkSPHt9o2qWdFTMEeVm7FPdc8U7Zx85OlCr3fEszljRmmFh9k
3ZOHjvKBluO4wR1VBxIBG66RbwM69ZQH9muwVLcxHJeEysbsqcz7Etz+2UtRXhDOw9AqFC8PBYD4
kxl0gqSaIZPdwkNmH1YTrZAygBLBt94eUVbp93+kPkjkobBJUM2Ek/v1DTl8rO1EvtBnluOda4cn
p9/iTtdjXXgCXw9JnHZJZ9M05EYrrFmjhqiqkA8Iu4GqnJyZON9CLcdrHXQwsr/I3HY80VDSIfQo
I4wZfhPFRbEwyyI2bMHK3oiDfwaoeGZc9Wapq/SKbiE+ujNg8tgjBfRADzfIV21aupWPvRXEHTYt
YDd0zxp7LujU33C0dABlD6Ic13viy0NiyZQgvOj2S7W6dJFqoEu0B7KLG1Gdu2o03g+YAr6nYSnW
q4hBH5xudjSSKjKmVx2SV/0G8X87BiIEr37Zf/LvBtVjG9I09Tterd+PfuYef2CKSY4p/oPNcSn2
4p17Dtt+SM3St+TnhfXScyrXCayTy48lrT+qsIoUO8mycqPfGBoAP/+ETzIINCwdz4ZeL2ySjHls
Da8XSA38BiOVrkNSvMCLuV2WjGBOEy9+JxptzGoUyyFK3TxCXViM4z566+0Adg4e78AGKj+3R5Ja
3AZv+19Jo8jfn3zIMnHin7J0z2K6I6GUYJsWCMYlzuiJjIXwS4pG0HxVwYta/GR94Ta1GWIBEED1
4wMdLkVW3ZolTgcLHh7+bynSYiQ5hXJCQci5KjSaDgZXKIRYAS6RsjR1XVP7Cp8P1JGzEBEpkoMo
onDckWW/b/8ob3JpxaFKV31dtNT4Dlx6wsZpikTGJgutEV90Z4KHny32cQRNIb6LgWxqeHGai3cG
ip+SnAncd4F6XcwxoU8JAoTBai6kCo0XNw8ZXRpQrc4fa1zfATrfYfjs4I3aNgcuz3Huj5lm+vTi
yaJAb6rlZILk/RiVvlcjZHpaeq5SZSvHJNdwxEdkwOGunvEV0PDM8LnLJALVU3Fezgb/Hbz9TOsy
PkbOofRDkRHf7pihIi9TolHhuvj3/7sqWEiMxAY0zoLRSMIIraFxr4DM5Ok3gA+z1iBovKLpedA2
gRayQ7C2FvPXKY71S6kM6li2CXI3gMm7rXHYjCJL6VdNZua3JCKYaRMCQZylwIKlQA1o+YxBXi4J
6IfA3A7KajoSRu84cedna/qBupdCHb6KHARfTWKLP9YFhIqTKvhbjxIJYMvX9AtEEr4lNGYFZtnX
9RZDiKeZha8qd/mSyzgRtpqYPj0+HROL4UmPwvhokqul1TEd6K7rWkoP+e1GYKwjGD41TGZnDLnQ
laxgS+S+6Vd64dlktLs93ATI1bQSvJo21NqzHV1H/jZWNoRT0LFIMdwBz2smxiEuq19eJl4ZUj80
jUTo7rbQeIrUzxH3fqciqrYkoZpY54LE6M11k/CdIQZcVSZS/uObfkLut0tkosnaFwP7PxiOPH6s
cvL7mjeu6zVyHtqz8CiGQJdHw5KbeGjUhnm+raCE+aNCWcsaAnTTHeiS0AreJN5Z1F1Fr/vSBPql
d5uMJPm4SKO1ngP7FJYEgNYFRgxHxyQifj4S/pGTUypx0acO5u0qdYq6RLOzcipKOwsfRKBwrXPY
qMmO9dNVS8D3O3qO2kfqNd0MR1D4FGsAMNLDpUaZi/Kog4sWpEpxDS3ier5+3/rFT1ledrCGd4Xz
avRNQlfEHa2fjFP6SY8XaUWlm0oVneue/RjN46B15y+r4HEdOdyZXcgThU1gD9oTpYdGm0+vR6LH
swCa2fThQbbm8f+LXo0vceXZFYDCMj0ix5n1v+6smlN2QuxOpwVV0drZGCtFESou+EszAsIeWU6y
4n4J5ym801UEoqXS0z3DEmHDamNXuwnajIQNTucrGkztK51f8HGr/0Fq5ssDltjRSPYJlsjOZKGm
Bl4hJHX8Q2ekNPWY0J+etQVfdPEkA8+v/4PK2QCSCUMC6DLklnWjjwbgEcCuVb6XTRO87fFLeT8w
YWF5CIrl/RToaPRXZ33fb1CYWf31dxHt+6YLqv24O/H6XLSx/uaRrLO05akRAyJZgFmgzRXIiED/
1GJ8y1uLwAfotyNNjbSsWBP1PUwJ7rqQrSeX6l9RNmclLJZAgRI9Q4eCK5pdQ5ajNgggfo2Bskjb
2GvvoGyXuH0I69CvOakfT1VmrmGpHdcXxP3GzbM77o1dErZ/ONWtLonqJyp0b4N47ZZmNRD8yvPd
5s8IIHCQ7gkfLxsN02oOrFeV12vJy0Vvt3Kpa9fc0dpE/pP7+x513uz7KB9bQptKcSjFA/+kwhWq
/+GlFWS1wZ3aL3aXOL/txtMXrL2OxxE2kiup28mvCIwULKzkfmLUQHB7nOGaq2j29V9UjkT7Hzwj
iztLcWdjkeTzTKqc+3S5iCMk/j6W3QNn3pbf2czfL7PQiddLCXCAtCnyqm7JZ2FbAinSATylUG2L
rQ3Es0V77IQXMIb4BbqkjMjdPalIudrTPN/miPdsRH2CrPrSFXEQsj8SlGjeQxkTQyrIw5U9P3YN
yoc3KIBOvElSwygHaLLzlvsmlphdH+Yc2QjvLsFbnHSmLVnYdJqpaOD1sGUjbGaBibmEQp1PkR/I
tXJAtpPRuSmYQIj3JikvJ3myMP3eSrnlwEYKudhJZY5k/HYUSz3Jgn9i1Gx8Pp/fZzp2Kli2/B6B
vmOB6sw3rYN103wvcpQEr4O1ktsCxjRCY+JcBIXn62xP4hpoQDjUA8rlQrXnyQnmQkMxWNeJlRyh
uDC9sm5Qn1apVKOaEx6viNdo6lCklhOIqbDhHMRUVhcIId1nljZV5ZDwzR/DasuZqSPbNRjDd+5j
ADqGh4Im8t6TeOTbZAtT3MN4QQms/BVhTqXdLel5ysotRnpvgqNABK4GDoA14G+u1clRnfFfuSOL
bkZ0qnBZqn5D1/Da6e3hRqJK9WKFC0ftV8j9wrYZ1KbqAkb0tKxWpMHFdLg9vAPInE0p7ev51G5s
oXDRIsdbIRYPXeQUsMqT2IdvgMltRZhtZ5NchiE411ohH/8ELpg7XHTy35MitFTvB+HkFEyWQBop
obWkr1V6hoEbRb5gVPMFpnN/9RrnYAHBIOg+3Jkh9+SXPX1qLNXPB47DQHz91uwEryAHfZ/bv8yk
x27SngJmYY+xvUVwya2lXjorsk88fABLeu4A/mbsI/sSyY12qj8KWiAmZkdb7xDEz68xnfg6IPlX
lqjsRKgiLzPk9Im1i62d2rXOeGOfpDgw7dqPUcVkYosOzLruHI9HVfEPY724ooAbsNGealg/l3Jp
NTA1042WxVTm9jSMFZsGSkBubBynVCAY833VVwy/nQ+MdqtXBxgcGAfy5QtsoernXhfXgwST/Fix
5GQ2LqwMIfNlZ/D8aHmS0k/zGRGdt1j4HkKetsFESPiiqT7dIEKpOcCVrISP6IHyuHFzEMGBNKmy
O5DvZg8VQF5Fnr95LqowKz3dO8BTJhij36MdnlnSHzO9o+hsLWw8zCODjoca6GrqRAmqh4OWzNvD
DSYWYe/v1JSqNh4ZuRazQiVcgioEIsHaFfFTon5S0PQ7tDGsttQtnUgOvdKjiqJ20LFDHOzQ9/jz
jIlXAJl4EA2iNpH4o9u1g0aGxXOnTKdmn3HuXfLmU7EZMD61DbjKClHyUm6KZ9dN5MHEK56fYQb7
bnL3Kc0tNVp0enpUKtlXB+ebJOO3PctSWed6gnz4fEhh61RPADlXmDKkL2p/QG5IMsKmKFGHu+iL
d+geaO4mAWNMpj645KfJlbHIBE6+4Ag5ejvmhN/bgihazUDzVRIJ6U0VaQ/lfn7ZJYxR1BiAWj85
0wUfv61xmODYddEf1u0s66FNFsxzY7VNCskN5rnggsO1KLcsPsiw+dw1EYbMlyl+XWRsxvv6zeoW
Q45APy/GRq+/lQzvMbzBUrVoCdNKDIS3k6DF1gvKa1JbCij+ElfSLOV9RlTCf1qrTYLFxhB4pK9+
gLywoTb9uEszkdcWtx91efH9awPXQqUwQ105C3QGNVPJSmKWbZJRKz0e0sGXaeXQ2Fv+DAp7Qv17
2j/QWlmPRZzndWIbyPbeahoa+lkhPhx2lwQ6KEGlYSM/vMCbPwJLZ7FF9v5pZkzbGZK7dThfnNPR
OpPKkHgyjqTNgRiP+KV6K1n/LTWK1ndteFtG0nt0CNgqN2Hrm2LxvfiJfjakufz8MuHqPMghom/Z
IbXXs85b0VFpqzXwmAtb3B02Ha+kuMFhr2oL76CWb8qiRPBoRjpeCgNQpJX/FVg7wa0DnPG1RPIU
8oixNPJX1rRfls934OO9kIZLAXCOEKIYmahK/Hb085aMvUg5DFX4oIZWWMXmvSUvQM/vdznV2zUH
ikT1F2bJ/7vAaHLx4ZpnMVzLL4Z8tatv/8XVr5h0/JBpW3ftD3VUJB8QICyJsV4Y9aY4VQpQeQ+j
asGhf4ApcRIEZIUMZxhEmyF8iYxdWl6Pn7MOajoDfjeqnli8FaYpjOdVwSMRIvU6C2fRDi6Z5nAi
vhtjlBC4XLShQRbPzbmc9DdRz++gRQAwyObLDoNFTV5Fi/o3p2LxUrT4GpGqxgcxkWk2vy84y2lB
ZsQuypnEMjo/kaYpmm6SySoidsbhdRF3tTmBXjPzBYxaSHFAWYlDu3DGBGb6Q5igkY1eQphnsuUY
nq8WPf8ncZjHxPgH3axpAY5v/77RI2dPdpq8QkCPZJpQpIHv3x1v069tMDib5IkVqKlpFB5l7lhh
M5KlERRDN3Qul/Fnl8dmU3V96Cn5j0gondsSVkJiDJggqIxlg9aQiG0gvTVLRhda6+TiHNuqw3W5
pILkuDQfzM2X8VrKAQszjCHEq2GFPHNFXyo57Fm0yAe2C1rVDXjvX5FmZMUfLeIqPxz2Qm5Vkr96
fgHxOXJYHLE0q1apqhD6WHjcvXLLPHx8h/3S2Qtvdk/oq6PmnqrQX6nZ87D2P6o2TD2km31Qz5qU
Zp4OzGgo2OqYm0qtbO5m05yyMy6kooFurfrVNPThGOr0pVRMKS/xQlBCd5UYRZhnXzwyTHkS++1z
SKNNMFrgAJZR4bt9fVkhwiykzJC2QHIVMkUZtKBJA8BWw7gWo/OYXOVlOnkTj5JVttCY2Xw/ZshB
9ZepfaQy8ERXixZyN3uCT5Qe4emFrtlSKzk/9POpYbPHXOlXF+diH+hLkuwJuFSvGD0x84hXX2xg
NMjWajO1b8dGUD/L0KWaneAn93IeVM6p/552CJaZrWGD/qjjDrFbuddXEmnmpBqlGiLTmMlar7fD
XJVvFcp4SDZM63Hhn58rp2IXGO7m4IFw3AjfGuZJjlAuEHDhTlSwZoLY9lcTfAkJ+bcr/wgWSnAs
z3ZyNP0l9nPnviRbqJKIMXJ5tEctXQHb2aDRNbyFgSEB8YRbuopJ0aLEjtK+5CjrKCSsr55lUMoj
s87SE4thxyScoWU8E/s+d7RTnmJZ+JIOdJeCbitB7Wu1T0OqNCiXX85a5T2vRecHsgyY9kV2YfSd
nnvyQkq2fW+yVr//u2bLFBeRABMeipysLxexxDmee4tZ5mc6RaQ6c4ZyAjv3pAaEcOlmpR+Z9GN7
HJ5JtJeKWK9igj1iHAB3EEQR7PYKl1WXZKyw9k9lBdLqZWEKiuOQudfU77sLNEISGKmaOotjxJqu
Bt9x9wQ03mTnEM8Btn86sr6SsehXSa/YvnTzME7O5bkSS4XAiTTFxT8c3tr8LX2LubPyuoASeP8D
WG9gYgFioK9SWnYh2bacYM+lP4OmClG2Vc33JOweBYBJ5qKdxB6drtib1j2g/EE55I1KKdR4Ygrx
9jwj6oOWNXuGughmjlLOS3CL9wTtVB4EMXsv31VAfNkRnsOR9LqkwrZivVhyJnCiRsIgejbf/Jok
tiQXwKU/TkClApRbG4PxsssvvUeH4NXZV4+VtiBM7qChIfdKwjnAAU67dzTonNX4U+wSJGFEcApp
AMzs3Mu+uoBT7/NOW/Nkqn/o0Dit+739eaIWOuM8RGXEfM1UGcBIiB4y0vhMsny1mkKhkmPO25a1
UF2/85J7ODaItmXvqqZLjK8Z65TTMVoLtOA95K8M9V9tr51ViTD6Z8g9tsMVo/PlYN0/+M4PVSR+
KUmicG/f0iCwBp/7Jl/VoGvQvVmesSFcfSfYUVNxEagBYbhdN86jMYvqSIQ13z3TQWSiuaNxKOza
JIiFq/FOTzPWzpsLz6EqXFP/NBP/ilU4ObVSn2io8HUhn/IwgrWRWHNp7eB+B5yUqsQhJVPW0E2E
R5V7FO7Q9YEP4LTqxTbjrqNzTGVUYfLm0fBv+y1kM9QluxMroKh8S5omztje48no0Q2EmMw9sFhK
5aKRhcjR2OmptiZpV3ZSrSS8aStDW9ffQYIxoIRRQGz//E66zIL0Dc+a6EXgt7hDV0v7/3WYLDoL
tI3ZRTzHAVcjFGk1gK/vCcxxjgXmy3oOtlo+gRC0Uf/rIX7XMLqItpqg21MWjo2eyAtqe3gXfCbA
fa6G7VpcenURuXSbwhgQnutydLRq2QvcfTWz+DbaeG/Sqy6nEfUIWOGRU8Hxve4j73ice1Ec7nIn
2wEKskAobFi8kPSrFwnXoM6ykBxK3Bn658zkgAA19rSA/s+fQCWMoTHgZ3v8koA+72msHgHgreIJ
EIq9hfo8Cu+8sVnV8j/coPpVBudNmVHPNBF6HdXCLE4JXA1hdbqDvql7ZBZaOhn0nk8U031LPfT0
41vtauBaPtdRc/GpUJDz21yikzRDVJH9kxkOHVk6MvfH/kl+PnhpwGn28TiWvwL1sDCsy0P07Efj
WxVd6v0NgQlPaJfqeNBlkZAAYLWinztNB1b+jxzCuKj8cFLoxQaBWibqTd2LiqENBmEcH3wxrNYk
GgDAu4VnwRrULg6bRUk44aJ3mTUKosXAgiDuygsRkmx3AiT5jlcWLDMjsMQ7PhX6CYUimdsV/DjX
D9XbCOROCGS9ZDOvv7lMUDSWz+AasARXDejgXj9aCggx4QKPHp6Ruk93eXrGE0+FGjcmquUz9imy
0xGvuf0jGw0JudyIcptcUZWoUDinuL2jQe2Y5/J1QxHpsa48WDww3fCZtOA7NvCW8jubs6EyQnk1
jDlQxuYYZRNglBX1+5VsmTheiBLdGgurVxjaHGz6EBlKGEIii83wOSPidguag2kdTAR/0S61V90W
WGG743eOtNJjY+vtumTcU7GJoC2dAm/dCJcjwg361uD64KFANIG+3ohKp8of7fltwkpL7pjvsgcg
ToUOYIPRES4Artnjf660z8aG6sV3SB7LIsY6bAnkgdx9jBRbYsK7kecJIjyw++T0OGQSlpWMG+oU
uYQk3IqyUkm/q+ilXcwpToH+hQUTq+gJ+tDb4a6B8qQ9SZjv6A03gZ5YzgppVmz9YpvzpAanQVE1
GGK6MPzN3cVkTVzTmOuGzLWv7+v51ypPdtsTzaJNQJF4/hy/Xrix1pwxv3rDFhCJrHxQLoNJ247/
S6eZU7M0vz59lReHyTGUap6OvUK/ydcoZXClEw8Ggi54iQOgRDHycQ+mD28olmsaV8Gfa9LzAPjW
EAydniF0tCLUJz+XrWkV5V5fbYlHfcP/UFdVIaGwSbTO3G7qiY+Yo6zdMa/8rsm+fhEBuqxYx4ov
EuwtSqS/hbgechFhXFieuzRbF7M8Me/+nhleaTHVX5MXnabo+JaZdlZVkXx8BedpiPmbH8tDSYen
CGXdLqsIW+ep+bJ11FDTY1bLT5NMSDbTHag+6TNwzHpQb3rbzfHX83/6lytjknZPS8bIgWiyRpad
UA2aqe04JxaNqB4RLMAm3KcxBq2zqpZUO2v/m5gPNeI/qcfBszn6vzKZ2yL6b/o08en4Qvm3q33B
5IIOCJPMIqzVyFXFh93shq9ztxGo8rzIR8oGQmKNBQiFZbkr6h5tdCpKmxKZy/CuyGzspYkMw2cK
/AtGUqGZO7aOlFE4GecFfQReG6UqkkLiL9lP5hP64UWpHlLMc+lKVX4xbqaGV0oY8OaK84ghv65h
N3OMCa7hqoFeeH69iCnPZQIpYU8JMKay20f9AZEEo80I28x4UmVmoa6tBQQkB3opF8R9SIn1IPJ3
rQ2uFGD3I4FE3TmxdvJPVoLNLlnqn1LCLn3m3dyM08F7KM3UmquIxLP7OLyJ2cH9DRfG43tDOV2N
JNRpCp7H2e1qpvlew4g/Z6OZACVQNbDtaS++Ahozb/Qlo/6t0pghCrDlwMqT/6u2zMbEZQyhcCms
MamYdopc2I/C5ojoPzdzNQCWOOtywK3k/ODKq7BezL+VUc8nRqXBXwqL6aN+gxu8K9c8zOoZZITA
ZO5k5drZ/48wN1ZQ2DK+phoqUQ5lZRcOdsd1532XxWbTWEH8vdDqRYPhoKV04RjEY2/azTwa2EcD
n3koiq7xyB1g+gGSeMtWastjq7zyw62TYbvjZn64whonQuMLDGArdFzcPDcfPEPgOFpXDj/OYDAc
EpTQi7d0VKch9XZCtbrmGe6b1BGRQpYhg/pgvyGseRfBXPQRz8BVF1gYXISHcD1/zyJ8m1OZiXED
Rev0kZPDe4idw1Lt/PKUiRMpHCKG9OLzMFCERraI+I3J+vhfdCLj5DOLvJWkAxuRw5uzTwn2xGP3
enCKSlAFhZDiacJNMeTosHXq1MdvzrZMpzblQ3/qqPrBNWkvXR0tbbMnRavk1ZJ9vb9JugfcgK1l
AksHA0Qpk6s3fwCvR9h8Cn29acHnGuT3kgeGYVOLz28XukKH0pOmUvdVVFwuhMiMgjTxtUSSfvWC
dTFig8lCzG0TKKk283fZnpzdx9e+0kg8MwSs2bYEGmYgqWU7ybif4Kngr5Qoa0b7MW8HF/xjlkeD
RYo0eq6msMxrkXPIgKVVbl+13FAz7j8FI9zpbKjqBVM0fUPluCm6iDV4fUiYhfdFVDsCufCxg1Fg
H2BvRKHvpxPIEI4GuLUND/jBM4w6SoCnx5WJUY8lU2mQaHkY1h+JgOCdj4ksxwHT8+WwpQFmrIqx
kzNp5XQojeJcQF5z1eT9iPBN/egty7FTxn9LKV/FshFoR+BYMesbTGZV/VE8DK5bw6MTDYiDTySZ
D2RTzebiye5DDSU9hdw62oFTJccF2ZjARQqZuHQR8DbFlcfFwM10FUIrjLSBNaWYhHn8cz8t9Fso
+Wl3Ronzylq203V+VXTu90fGNysLEOOc4yrC+xolTnLvYXB9iZIqrT26gXLdqASIg1Jg+2krJKGp
Fzf/j7rFz2YsZYoCnq1ZkEFgBJjaeqW2OQYT4IPuOqnr+WYvw2OxmoGdJ64R9q9APz9PHq4CKKz7
a9pDaLhDRv6fpRuX/BXNcP0HuUOh8Gw3NhVZ963r08Dxo5OLGbWBftWeoaeRF2Se3GlhQyZtCRSW
qa/k7vlcLn8Hydzpg4CWC9Pikm6znXzZz67EnsseGXOPQcE8qHlYayapjwWNtmJ2ddUgUl2gbqPq
aGPBTi7CJZNj9gCzlBDkEwaZUEdvMTZMO0LNRdv9t6O0ulcon7wae9I4LLKMgrowyVkPKaodiyRA
P1L65QRhLeE0Cy+BTk+EulLcFTismfgUfLdCc25Bh5bGnb8tyAzfTFiQEPhdGRQfyAJKI7qu41Jq
C7pxHgP/7peHO1Ljkx5kDehVdlKVFioYlpLm6oX1HR8lhKOpzf8yz2dzCKgNwF4MGBDrk8qrHYjg
NvViQaAzJB1y2VZG1axN7nsRT8zc+vicaZ/WbBa3ekFiWkAYb+saFl77tkjIf95lsP0xD2J47COt
JmKbDFeoDjmO91xQs0mYK78MIgRak94IJsJsIqPeQPLmSqORMBxx4fZjjoyQwEwWVJPmrtfwVAKc
WRr0VfZxeRPx+uGZSR9XS5RD4VjrpKrN9jEMt0NWFS5o5tzkDzX9KmOxzw6n8KfhnjOpTLJDcXwi
RpIGmdU8fng+4sqHS2qeRV3qGUqTDIqjk+IW/AJN2nxdEu2lwluPRXbMrVApu34BwxeicPmJBPxG
krmYeaCyrLRIQAnxARMQW7yIhdps3xxwKgLTwIBavQCL9p74oStMVYV1BD38yMITSrRoTs5bTLsj
rTKNlQBR90m0kIkqkhcQED6q3iStRX3Lsj1NwYAl6v060KXKm7EIvhx7LziTOexoAwepx0J/gB3R
avNSGU1FAMuDqX0adYR7UJdwtzCoLGzRAr52VUUcWG5n8CTIUmz1jevKd18vkVV04Zn5yTAwP4dQ
HqSKSNKI5ihKE96nnreUaHiSv/ZxmQo4liAccq7ETa+1+cT2L0QZRoooD1zL/rn4Og/UkDuMHAMp
2wzIn+GlKaITgc01iaPJdOj8H8IxJyIh/Z3PJWqzol3q+KYnIYj5Hruyiu8d032xSNv/QW/XqVAU
1gQoIcINn8U33WyAvxzRFPNhii8gt8rFvOodtz7UPHiaU/8Q4NYsmu/szYBLJ09YzfNi8QPiSq6A
+rjTHg8Ih84QYZFmB86Is4Vhwo2+up6+XcsskpZQCbsDKVUTLr/DHndEDNnDxQ/M0uW98ol8Omna
l4WSOQZhvxV516fM6nkya0J6PmYCfYEvqC8FlIk14E0bWeraKiFaZRADvD687qE+Xutj2J4J85To
61AxIXihgX/OjRYgRGoWul7f5u0F8V6CJaGzKZZIQV2895U5zkyrZgZYML5WihCzE/hD9PMZUxB6
gInF0QKqrRDZoQrtmE9HN/OKqcue4gCIruho39Dcw6oBa2oym1vImHbKk4EjbWFMTn9n7YiihkRZ
8sRY5pmMthjIyxdImiYjnJQBG+w737MdAf1dtAeEasqsZb+KFRdlbuU+GQNIX21meei0K8Efqfrn
TMwoMrDCiHQy2XJj11CJ+sNsvGa14sl8adn7aNQFTj5zv8NQC6N2LSO2vToaXRHMTVkwCL6zUg8y
dtVURduG+hD59SDgHo2tPgZIgwryh3fv82bAH/bFH3rNceujn9y+/Ay24oc+1lZpydLM+pvLKaUf
1tejxkDFFuDW39Gy3/iUL9lNIt90ut2NUus+iuVn+S5sntNIlb/gU0XsrLTvEbbuwPGc6FyRQ3IC
3OF9ANy5ZAGNM1pdB5WIt3caC8mb8z6IE2ZP205rNr543Qt+iCOO2RrlWaJXE0y57TE7Hd6mZfAW
FwqxcHdAtMahOwT6CElFy3HPDlVBpvQpHU7x80JRNK58warLGogr3p/p4rYZGdbciIBw91bsT4jf
DzAPSb54nijHkj7YPjn4yst7X17SWqXhnlss7XP/+z3d8xp6gE2jji+AB3y15LGDGkqnThccjOSE
oGe17eh2YvVoWVKzPws7mmJg6+voop7rhkHltb5sEozNvH2NQyi4puPLm6sKw1GORrelp/puvkHL
k2fmXmG4kELsi6k9/Q+rZJcaHLYT5Gvs1xCTJHco5uEER5nZmfGN6Hx83OfCiSDXVVGhEhgealZv
8tgXRu9f10hDXAVSGtMDo6QjXMS+PhRvx1WZcEymXcm2UUDK4366PfuJNQmytVPhXP5xUfKkUNdM
slr0j6xeYgev9M4rA8g/se/G2fCQAq6GkVCS1noXuoWKngKOyh3qzO+xRTL/9wtUqtyKBJ9nsMfk
QxRohz2/KvzDSoBAJW18v13cTLxf0FqOe/2kVOgLVqxogUDh+nWJeqRb3u3gonYLqLAiN79xk6Dg
dTVVPegRfgBM7r1Phx2wZkHqOCTNr8+RNBJTH3jf9e40FR5xpyKAMhh5xVDHhXFdvVq3xD0jXd6X
SPXbFSY2dzA8jHSbrcMV3AkyC1yq2Rb59U/XSlt2dIz10qIgsrxcRpT+QwV0M3m6lizrntWaLucx
KZpMNAYew47bM8fGujAk4TGobIYjWImMwzz11PsZzlvUVQXcQNx5J5ZDwjkeK2Cf94wPKDHg5l3U
Uk0T9mEETCkdFGubB/s6gYMEm3p3EpsPPCE5YSNOEODErDpvu+UGCzcV+lDmQOhSMiBm1IKuYXnv
Z1dee9NaKFMyCMk+98C5TLZuWld2HCGpc4aCm4Gcc71S6840ilZerEuJp+T1GK+vGnQZQFVKRNDi
2kWFCKB7+Igpoje0ae6fgOKpcVYwxNZU9hj2KVj102f0ToYFMGgcYHt+k7NmT64PbwgZgJ5BLOxD
UMJKRIwgOU9mjfoECqAw7FBq+16MyxZVqPJVRJqHPllhmwiJ+/Cj50knCVx9y8m10UpXnCvha58t
Z1Llo2kxgsErxmXXtssoShW6i8CA7l262Ip6eLQO+Rlgo+Kr0MEPzqLqypLXBnFj9p0JjO6D/+WD
5uEYHN068h62qJzMUeOWrPKpTLw2MhjpFDReRsdBNvTMwhRAdU1QA9DD9K4SGeFBsNn8+cpgi4rI
I+8fUHuFrNdleOGFg/jlHTV+CdMDSphbZuKebQwbiEyNMUN5rnY2hthYJJY9YP7NEg7hXbMCumz7
ECSCY5eeNKoKALT4PGonv6O2Sl7Nkx7Urd8J2Ncku8QqYm06iF2NyOP76HzLOuw618a9WM3Wvzhv
OVA+DcpbvBI5bOaTVLgMMeoZCykvNGTyG7qfPoFhPAnxwxQ6kU0jpsub2/+eY0B41Wgdg/ALBj5K
wG/8UTg5iOXDvpyIuXoXgNtkp7ODgU14ZKxoyrM+RRBTUBErlMKjGRcOS11YTLScm5ew3xmMkr1d
Qo3d84e2miu+a2YZUEbdHYeWEtbioP7HNO5UkxwcWISWNhMEwvDrwEbILzzhwqS5WbLEm/r6CWXo
XtzeY/P86QLGoSSYJBnuucSVUEPQZJLTKWzyyXJl+7a/q7p5tKa4A3w4ZpBjskBVyo5VbMn6l0sY
TGnJx2xCo/QDNqlSp51uFIP6q0O6R4e+7Vh3kSJZhVQrOrrqRILEKS7HDTWam0YL/OrOgNYWKUDr
4WVJA6Am4dZm49jtCctBFgnV0gTNoiZvBZJEg1D/jc9qFeMMYyHNywtk7UYXEBRMFylFFDlsemoc
nM1pTDCh/mHOYqSdWI+gj6HumsgBAQVUlZnfYldXFvJ7qrpBRGZOGBXaFzSf2GxQTJKK5gNrI3Sz
TF3ryfpLIILREgs6x9GUrxz0NQkgiY6ZzvE3uEjh9Ru4X1URBvQabyIiine8+IyfKvBd7v7m2GVl
YWdN6UkXWdcaxqzxQQAMBScq1OXXYhZL6OkojbOlq9rtyPRKMDYWKQguvIb+DOIh+lf/9t2HejA0
FaE9JdMsTOZmaMeS/gAXKgPVaWUC3HhhhJsFAQjNwV8Gc1g2pQYFLwIgA3Hb7VsEU78X9FFPMKPq
kSGdSvtmkI8ZPxTWTA1pmIKEJaAV9XR4RIYexI4lgOWNHN5YDKATH82wyGtIXYWGaXYZovkVUiUi
TRnakqn+C2nyrIIx3TXnaOjXpAGiqNG71Cewr9+7KNdruj6/UY+QFSK6Lf5Z5MPlUdST7kXWWWvm
Nz/gysayR7+fp95LfWGGPc4gVxIKI7Dc8+4VIbyMA9JLJnhKp4jlLEgPiB9TN3D06c2AeUvDyP/N
KjgP6dB5OknH1x1DQ9+vW9yWKqb7r49chNsSQXlJXfWDbmk6hv1QknEcDH+u7FVCqO0LKugCHSFF
FhHhKEUm034eh/xjzpR/DPG7F2hVip4/RMauZW9gyMtv2TouU4247+Oel3PSL2/4g2Hbe9r41zDH
b5lvYyP6d27r4U0gsHrBvfgy/Y8OHkPoJTYL0vdOYXe89SIIVKQ6w2r+clzS3Y3uHbq26XC6vJIU
tVKcxnvxGJsIGhIWfsBaMVgcb0Fv5C75q3gbi3vEY6AyC6QexohMXjBAVHSSkHG+UUzJirD8ofuD
Fvlumbrj4DwD2pyzd93edvylux6fixp3Ws3qns4QwVISc/WOb08/cb/sYq5gwrvHSAeLMG0hyMSD
qbUpd5ubpetigfDX5UIYkkwhC6gwTG5m2eJr366VatvexwIwFC+2gVH+1nAAkSsl4mMiGlSjLodg
7NfC+poH/+Hxna8knVPUD87vCj+fJmP3+SzDb92382KA3upQh1G5LDhPmGdXyUF0UBtFlPcB1hpq
wCsTNW4Y4plL62wdDkUCverecgt2WrH9iV5KxFDMxQn57Muh+KI3Y3n8zPxR8kSin0WU/v//pCCz
5lKOnUL03cOT0zNV9delLLgFOPvCgHZnA/gZMbvg5KsMWAwG6r+bH0MffOhDxmlKFME8+318pGH+
u3d2PIxH+SOoVqpQQed+gHSVXdXxbVhNSy06hN3GLJqjtmk0dS9zwmekwu/DTOkLx7AJHlJJMYIe
W5YvqNFmOrt6fKiFCNkseHpHWEJxRRrCRX7TA3RaJCk+i1uc58VZQ+WpErsciBb2SSzADm0aezUx
iNHPP6wkjyWXulBTj0sXiRyFXlXz/S9FHzGNQfSjDX7yaOewO195N1KkNjWtXdS4j554Iofc394r
Jk5c31gIPGRLGdFUFlnlGeX1NzBFtom1kOF06LfjjGFN7pOMkOojqHBdebuxI5NjzmhJ3V1NLdF0
EVbObLU2Wtoh7DNb0agXkqlK2n7wOnOhRcPP9bt5LQBa2LEJExderwxz3RM3M+4MMHk5kBWowBXd
SuLjgutNiaeM6E7h0v/+y+I+Nvz87S6IcMWFLQgaqvlJuTYv1J6KzTfjeV98WkyBikkBVnyK0D1x
gU7m9YJ9T0Kitoiw1vtkr5X114ueuYXCaDzBg6d1jJ2HNPd3nBZfDeGTexr+VabK/CuFOr3W25Ms
C+x+MQ1iOUY/e8yZYSc7FcOkGkFHbczE+q/JEDSkrkU9yOBtVza70sULWQpvCMKGnCIFaQuYcHgr
deqAdsUYlDbq2J37hFHOmEmxR769Pic68OKF0of8WrNAKkAAugn6ReiKkrsINjzF3a3VTp7VlHsr
8O25S4KJDbNVRRrnte9K9yPyyzXdQ3hgqxcbZjW37a9/NKdm7BjdIThUgNQOjyX17jtMuiq0bM7Y
Z92Lr2UQCHMKuensWzjpZmaehxrUOfltvdvJYLb7PrvyKbcba62a6DhJUwLVfz0AlHELDycRHwXq
Co2YXYnNfifXwlP+45aVAjse9O1VgBpLWXmJbO6//uld7cvS8q9UqXea8ABxQze3aWRr5JMtG5OV
aDxcYEt/P+DWjRQaL1ogycx+vB+2utGR5qqsReu6Cet0wxSxewiI9fzUEeICDg+/VZKWpmtiri4Z
bqVgKhdpdq/R8r8j0tQxwzTqrwfkE1vC0l8Vz2cYLWpGE8hpPYE5oKq6X6+Irlo8I1ovxjQg80Tb
xNAJmZ2Wgp9T0cJjC/RnkAW0QTEhsYWtH6XvKFTWpEBZPv/FHKAX4Bude3OO18zYKdR8DmNqLycr
JP6ho6ydw/KgZiIhXvVtx3fm00JaBVbyNbb9eGX8bVp4LHEonbzU8H0scasx80rjNc2D9Z+OZo47
s3lRIiIXUbPlya790dhwjn5QHn4IKg71PXIydA60RozKUNpQIwXEbYcnNBycCZ0azZPUNnw4VD6O
3EG/qtw5hQD9F27zzZEU51d1JJcxM0t46qyreTalCyn+YNAlz+twzIuftckfyNiS1+hUj7VZzaFr
R9hDCyQNAz86rZ7104rea2D8Cmh5UxygCJhLRM4EKNULcsF02wngTOwR2vjJuu2Vu3MHfs6b+WqS
FjcsKruKoPQbeSb+8crOv0xbnamUvb6Anc4XROILd0P7H6ZxWoZtODa3Kh7pcYGljxuKMVtjx0ht
za/Wf/AI9Wr59wVyhXqm2eqpQpZugvWQfakqameW6uKJSuoBRoWKaWimoKaSpLlOraApwj1Hae6D
qvQRgco4JoJonrNS/Uh1xtNxAXStiQtlrXCgQOU2LNwPR/prR/44WI8tLhgs9oY7Mnn3mrOzRVi6
mVMXyk4kDksoYbtkR8EG6XWMCXnmo5Rp61ZqrHyRYacTqATmq0JhdC+pgnOAok52GwAts4QVAjgb
vxe9cLU98S8Iyei8kE/u8J34gtDCWli2KUuSr1B82x49cjN/fwejFraZTpySmErYZu/xhwDwd6H3
2h2hmKPsu6yv7xfo2CtRKB+CtqQD5zcoc2a5y0GzMWfJRc0hQe/zWL57GjtRarxhq3OVzWLDIc8o
mVkQMdtfdzY/wdOxDK+HQwcOJbNf4FIitHGukL9gt+nXX6Rg3SDr14mNssPYQ67pkDU5OikH3nx1
l7ZL9pG9n4aG6Ct6Ug9pViVqxp6bp0GTq4F7catj22OnPCjJ31gA0TaN5QnDY3DbPISRJxa37j0k
IWOUy2w0d3vQrShnkQKMnzTNX9uE6yMqvG9EVxdfwH82TpCCxBnQVV5B4tzr4kJZxi9Ar4iyiiff
vIcVP9rQxVZOwo+pYEFIji6eSVXOJ3ydGqkt/z5c4DeGjArtX29g6dVYUSMEmRJ5NJuLNwQdmaRr
UTqm1e0+rsizXH0hpTVf+nIFwsZ84Ui5NNtyrbOhup3Ylzw3s9HPxGo7npnKOQfWudpuPEt2X1WL
WIx9jCfs6c7Pk7gN+DtRNjFN4znFZ6iww72p/5y73pbJj9PYoHiw6DJuOuFK9hrzPn6ytMvjiVs0
Jis6k4RxTmfHQdIKnrghJvp+XYL57Y5YQqbsrvDDMk2Xpgu7lyZBhcVmhO1nN2n2U0BHLNNCIqdH
yneIr5kZAqZ6IGo2HHoNySMct9wqWacQYWvyMRyvEFPH/mRQa4yWq5cr4quIk3FWhQwd75D9LzRm
kWFREBuK69LN/ne6P9606xqDQmbOgS05TPhrk40YVyKhcW0C7TR+aM7mKlh+U57gGik/OVGwvt0/
mrdPOIGTJcpQGUVHQyxwIYO+VZUiQV+w72Ly56lTMdLgHQuNykBJ6P9DSKQsuJKpgTk231UspIDR
P992XwwnqNQZeje5OqreBRm6ABz8bcZt6Uc5CNhalgQC0ZrjnVv7vBVV6D6V0t3ZgbZPgNK9OQMA
ngWWmo36yMtXaWgRiRYz9AIBqAgaaMewb2ZhcjFqlWgedJFKyu+gBAQhQ/UCFP9E4yWDiWmQMadX
vZw8xkf3wuP4pmvad3xwyjIXlkl8aBsZv+woRqKv1s+28zuAQufCGcT6aseIugU8ivuRrieFdxS8
L6HJj69LteXc1MdmN0tYMHxON/TYnniqm0H0uiccY77thFZahTmhPyo+Saw7rQJuJDxNJpZr7Op1
F2abxfnuL8RgIbipds+bg2sPZf4FEWfg2VU+NpXHit6guH35Bq6RUEarnOMHuWXZzJloMBtfVMh4
qFa1re5+Vm55/EwYR6Nd6QKnoXALZJ2VrWa4wy2nce0uRAu1qfVnEB5DjNTBR4dG5GM/T1bp/Qmk
sAlMjZ/yfctiKQ/U3FaSlSv90SlicS/17kCyD67lSR8cC6k+2M9lGcMBlc+q4FP3kuHCdzpPwqv5
6z5C6avBIZF+KT5jbeLzT9NzzHmnPZvRlwHWPy45xJwPm62k14TnfqjlYoKKxO7KuMzDnBE/HS5Q
qARU2jpgDSr3Hq06iZRg4pVPjGjtA8luQ7hyX06qMTkkFGhpcc2c7gvarMTc2Qrrs0cEfFqg5JNG
WaXK/duZxwX8a/MNQusT5ESAAZe43QC/YgsFiCwLykw6Oa5Po0rEoenqLdNiMh2RcxKwnkNgydH8
gIpscK4Qpmz/YrXbKza2BFNIVXEN1PPDkdK7cmM5st0Llrf3BN2z5PT/JFNuS4Yu+0tRSDOOPFoK
sj+RbWGRkojyaIVvDYev8Ba8twp19IEnvfwwGJ8nLnS14kZp1TMGu8lZP2r8bRHqdTMqOV7Lsj2e
4jdTOFQ6xDOxkMNywyZ40JC3EZvrna35rsO8qVqLKBxoEEqUBUl9jyzQulnpgSy03YUwcokPZzaM
Dl5Pa3rTv1O4LPThEW7xs4W999eVDLzyhzMzGu4QiVcD2Z4Rfn9LdOV4UuelYKyjsji84/FcJAxk
Cb6CbsEyD1gp+b7HIN+aU1j0J9NeFJd5sgvXlKuztkp4Hh8AyOvFSJmJoi0UrXXdddpEHa0bUuH2
q4dQI+jCFdKpiF6xGxe+9Rd4Ct2smsaGimWZprR4ZreM3pEPLSyYtJaZ6qyiNIgdmwEXeOYXigaa
RYn/+qLyQFpESBmy8Gi7hoCrNF9XmRrI+W5SFlaylpXD+Jt/ztWuS3OTk6B3TEbwrLp/pNo+iopd
Re0xyCG/PsmKhflNBhuuKHQqZIsSNlggqAeMSLRmTH5/TtIbewlah8O9fMckTgZSVvAgSvHhusZT
l5kWzKehWjY/rWQdfOdsFP1p94CUxn1MqXRz0KeZX994fcaHuBQepZay8qb+PvrXTwKHjKd6bEM/
ieKDuUvBS1Oe11wLUKMWo+TQudH+YMqCYOC/g+wOrJ7fmyrzXZpD+an92+Xd7SJI0vhbKzW4M+JB
1MER5sAH/KZ0BGV6dJ5pAgx5h3P9abrAAWNNoPGmJ+wnCjwggoR962MHSkY1PSdytjtPYOeGWsCq
nRSbTTHTc8nGodU5/AN8mV4oYHJi62ITDYFKKLi7LycTBK1ZDz2vzU5EomHojj8W9zYi7fpJcusH
2J+NTNOf8TYOgZRKpei0xD41aCbAPMHrPI3ZBIod/eN0dgDrslvhHhe/t/Ml2+biv5ysDo2VvpPX
oMVkjjjcYsJfEwoaog1RGQXD7ZjZVtdgcQH/SmMLoH4thLPjlTEWJeEJFkKylJ+TTYm65gtEUCS4
NVrI9lcYlyvaZuYKBx6/fs6gE9Ctk3+xyu7Bi56wlUtjpYBygxLsa6nA25btYtuVgSxWHazY0Xf7
BzdmWkCoyhJg3enHHOQiJoqO2CqQR8Z2xwERzTedteeP/TYMSbIbf/GBkPLpU1E7pPbVLB2iADIG
DHpsKHwZl6faVl/0lZAmGy+BP5cCj5JGblXXasp7d01+fcr3Cbabjv1LO4iTCzKUwblpH/WsM1/R
mtCP7igXcyRPvgcaBjs52zUO89E4BNanHEMrZDJM/q0QESRV/T4obSIYtPU+OQ0kTyYGdCi83MQG
ChRKn9soYWkhcfuGgUW5Vys7I4YgbKfPktV+bh6uonuHG1C8mRYMe1RzgRjmvcgv39U1ccIU+9pg
SR/yMX8FmakucP/LyOYE3zmque8a4vzBARh3m0hFOVz1IkFdQUZ4om1m/ez52nd2kWg5jHZRhgVG
jWpx2hKtvNUPRdmAZ1OJg5ptAI9LR9YMEdXTxzvSSaEC0bvvELctm8H6YOts8FXFOPTmrKQwICqi
kbzO20KkWRg8GtthA1jPjhIesutg89BCMRBSluI2ZV4KA3OA8eTHp6o7EPuCyXyIAi3PphqHWlWw
7NCDIZOpgPVK+SkdWOlvIYWV6aWR02y7r/EomkeOBohcaSfZ6DGM0IesAYt3ryCXeT84RDov/skc
vP9SPsaXCbq8lrP/LczRIyQ6+NERa40IULeag9DUKQFNUWaQmq/HZSEAP364vhZo+MeKE9P21WR7
jXIY0N8nhpt4EUOWKaDUoygAccPDz1atbz9qSuV9WsuGw26BQZuB7MH7zUqIHkIy8boGNPKd3Vfb
BfXYa9KdbNAGQDIQgq0dnYxQzjAfut4OeXqkdzrSp5MFPHD0ANByNOCgD/PoVT4HvpftZ2lBbTH5
9RkIjzLKccdmFro10coBU5828X/bJJfIPBXrd0t+NdK+u65daySOo5pCkD2WB5ArP8AeqlA2ONfq
QYP9WTibuN9oxIMLWQ+pkJqtEa5DwKRV7CcYEAGDyLNFvD1FRlEwExT6ENtJdalGaimmutVv5YS9
V+Tqt14Aat5br51GDvw/mffHtiXKr0hbSDIqmLzjp4fUgFHI+z2aasxAssNxrPS4bQtpuQTGn4/L
MsbrOtmxozU9OouSfDWnNCc/LQkn0QZUBbjRpUHaPtQPRPXCwTETZ0MamVQ7Upf8QMvdjQO5H+pm
wr1c3+LMFgfC9NVaqFw0tJuEvDscx4PEtQQZW5JMbo6jfTEIn0xkD/t3BQ22EawswGvXyXE1tpD4
heDTjm9oDnHrP5NbkmaiioQahP6l/HP6em7xpYIX86U1AcZSc1cev0ukTgZrbhDZ/O4cRXRCuWIJ
Yug8sfwQrzZjVWmDm5/jEUCACI1ogs8kdPjmdP8Vn54BX/Fr5PXpg1g+pvdrUQDtX2kRwPjKpaxf
XueYvB2YafMeIwKGIA++mZHiHv1VVRaJ5GvMMiPRnxtrD426HQRuiBMGdotqL12sMFttZLRF+f+m
G5TRFYcejJnHnJ3uFCC6Bi4esxPesYVrkzMCv1XP3z292H/UidkQaVrbzC8Z1rjvIAC1EV5v6T2G
XEVRAn1ii1mi9PT4Sh8DjcAVXxg1ytrVF2CFLJVsOD7N6mOadYPGOFgohRVAaGvMNHjOTID3a5t0
qLc/7Sp+MqGvE5Y8p/zuQVyVXFlZSfxRvERwbyAvoifiel4GgErc2/e738I5vjSD8kkMGSn5WZKx
brY6D7nZisBTkoBzFRQM5nWsNbcbAFlhfsxRAbxVr2LPunaXRMVSe64nyG6NIDKn5304SVyPk61J
h7Cq/OS5txluLKV2uufoR2pqapgzinLqTsY7kvcpl6OYGcHrzZ5RP/u525zWIHU05VRi5ZIAJHzo
RvgLHdyKyJlByzwYlWCoUs1r/xdlaTL6g3noTyM8JaaWouZDTbwsHnBQf/i6TRGqMkqGin8G9Yq6
jAImNO9XffLQk+SYJmqs5Ef7PpJCM2cQFY1+/LTyCgmn/c2PG25G6IWK3oC2hDlXk/7I+o3jhX8S
JX0MYYtfHmzrDu14pG+0H56S/G/jxbGpfDizaPUB9E36OlMvd9D76K+qDh6R38BA97QVCV3unKjx
BW2tHnK5DBYpAsD9kD6ni99SwenqHUXQfq2vQZI18u8yDjDDaameQAL1/sAxNBvw0uWEJDqM5Jok
DnI2zQlwduZkqjL6t84VQd8iqq06KvpxmoweLJ24fsbFfPPgYM9z7cXSHVBYXdYLEDF6D2uP9urT
1Wjs06ZtQ1pFicPPOjkMmttjE5y/ZUMsA+W+x1Jkx30Gbc3N2gp9tps+Dt1PLBNqX41+XlcK7oE4
tOpsJICxx3Vui4f0UVU1Fj1h8qYz1p3ZX11RVnlu4w+Y1SmZIlZyzfDwp4S9Mq0n0pQWhtr8R678
kDe/46P6Uukw1MUPttOiT7nXouC51C8C34gX2j/1MqLnroRhDG93+Y/7Vlcsj1gPfISdLMctT53y
RuzqJhABTwX9Paswy6FGQ2dh4IAG+8p8KQbC7OqNaPTaNcmErZMhAXQ0fdJtaUNWhH1us91RLCTM
2BVX56lfkkhc8GwpCczizDm0OhbPXDk+wWW0i2H5wdq9jh8OU9A4BloBeeQ2AlBjK94+U3zzbPfU
PG7hB18kDFJijdP/izzEZxKMKX9Wtr4NmesQ78L9xWqlRjd/U3WWtTaYvloye6lqlXH0kutCXtET
zrBBxJm37dcrz8RxO3XlCrMPllAQIqg7DfM5aJQP+WKeIwbVfDhuBqJtY5oOB75pDdM2m0uiNndd
WK3w6BfurJRCRBBu3Ty5pgIa8AMMOiMhciv5z4/baOmBQhkTPkNDwCA++sUnq2xOUQPq3uVj6uk6
ucDwuS12e9/G0znlUoxTH0RqheeimMKzcyeb9KUQ6DxJD7+y7r5jiauTGt7MYjJOgIPTNj85gZ8J
A5SLqu7YFsWcq9zfUmTep+AsX1B7fWCF1HlX3BDRf/IsmzdXxcGw7BqVNpRfFbpuVLdh2AXAYMP4
tad7gnL1WxmvUhsh1CmtaT2SRo9BGHE2JoYw2WDez2iSLNqKTyPk7NiafVEB0i+VSwnOvN/l043f
8YJncDElSW4jx2DH7vGZcg+aqRCttT8AyzxJl+umbgptefZxfBcDXznyeuzoYMH/4EBAjUqVAPDd
0Df/zqoJBGRIv5o5NAHCqNhtcorT0CoOgREolomgBDN/1lpkDi/zI8mdFQ0wJW8xRJTm8J6WXxPq
T8xCEEIBGOQhpGXyTUT5tHobRZonX46Elx5MsWyzhtFC2dhs0AgQKIgpNxotIlsucb0N+JL+ylK5
xnKeNmrBa38d9MIu0shfNye+UR4XBRZUhflV++3wW8VxlC6ZvU1xCQWrEEVV8RRw0HTBVjNNXtun
UMxY+uNloZzPTvWriO54QvhMgNpsgHt8FC1PuQjiRCKi8D7NXlmfgrYJxEGGAvtGUnaUZYWj1ORO
E9kxpSlW4i876hCzci9CQIjYUgf3JAX+wqpbELxuKUN7U3A/sgLP3NQ5omFc2YNI3pkrtWoMLzgG
anjGB9EpH91rhM5an8Lhva6hbu3VNPTinf4RHL+5h+KqUGxSbO366KlVpct7R5j0yHVHjp+qyX2p
4I+uzsAHNQMsLT+HeF0x3Y/cZW4L9k7ct/4xPlZBqilkCOJeMHKSMtA2aZV/A6tIoCsHJXURuQiB
TfGQf0+h1dHxptTg+M6mUdJO8oHQz4kxeBYY+xTC94ApdvKavhpXGdAwtSeze/4CDbkjAt8+cXYx
ssPnrLP0IxUlbD8jyEBfxv9Jg8GrRQqUAqSJ8cBS0uuQJb5dgLkAFQWej9a9sXjWhHj/JZJqVVx6
7hphTtu9i8vPTHNyvfA1Wlgyed//LNipvkffqWbpZ0ZHLprjjq4+cI1pGb0JA/XQES7tkWQr5Gus
FRtl893Gv2WCmNSKlmTXLuDz8HdIcKTjZfRYUTIV0p74sIcNVC0pAZxU0uOWWGu+w9C926f5D8wT
g7On/J1BieHve71l/O5CwNrtNfoxSkuayzPqs0miY28lMk0ngNoM+I8YxPDdNEuJ5VSOYbblzW35
8UwkTk86Gnl6SgXYQ5nEPWfyetn8BLyeKFBHvR/Bz88GWjWDbTACpWloCGWmArYMvqD7ReLsaxHy
lXS11cG8KpW1/KFGQfniYmM8Dmbx+7C7f5nRhTNCkQGN0TK8LjZcNTHaNPZPVKJmgmBUJWlMC/LW
QfxeKTFa4MzCC9vVI7h5klj1ovNU2EX2wAnYVzy2ttAeUY9535/9UkpqfA2APJn4HOgEh1/K8dXs
9CrNieyp1SO/pwob5CFhrVdbQyzkmBf2Am/hLGptEv7aMgvtNxycuXWRJ16E2yeUXqZ9LKl6qRUp
peMNG1qHgPhTl2QtpSQN1NoR4EowIDoHYm48ryxcNSusbdU1qgE5ZifusfeLQHGKZQmuzlwvIeNQ
wWmWKd8OHJ90wSIFnnQcY/ViHoeR2f5UPfGlqpJp/L6LzQWXTnR9ZCzlSr8t92JBiS21SySHnUGx
2TCYrzSFVgynWKlU5BvZzrObdtmJd83jKFki/3I6A+bYx9587fjKBJaIjSbxKe5tR0JfcQtd4Lmg
EObIr4P9dusTQi60IgDPE1GZ6p0ZVIctHdWisKZX8P9mjPq8Eu6KmfCIZy2UHx0lU9w4CMdYXEf3
F+QOO0ZPPPE6qRfDWt/y1bkddztkXduTZr5jmpqiBI86OUQtINoK4xmnA10pgpCWsKey9CLnpQOL
+pOgggUKi9T1WmJPpARNhPm/IUtHxqm5IqSGkNF13rUDCF2WAUviiddqc5xwJMuoLVp7EuAKvthB
nbwWAm0Lk/LE7MxHx/IwnZ6RCe94f4XLJ88BKaZoqn0QyU1ZapXRGX+4Bt8wwdBGEELRbwnpP0nB
UjVpdKwQRFwYAYP1LeVkCvTNaSBAjybM3l0DqhYgwsW860hPKEe5iJo31q20nGmPeitKFdIASxLl
tdHsBAzJ2q2DTX4ZWPZIs8B3fL2quAp3K4VSyeGC4eN5cu4M/COrKfIeNF19/GrZQZqyZL9mO8bD
5Y/zjzhuXCiyEAzXjGDIwKMWHJ65bMMFugCaOJKqxBJbtO+/z3XCdbkHWIEf0LoOcSIT9IFceckS
5yI1uIUENgTwbAXZ1HQYqalK2Fr2MGA8uJJpm/NkE+o+tZY5ADEG68QrgcJ+PYV9SeTXOmxNAREl
7n3dtWctB1SHBfB0sYEv1c59Gu44Gz98dwlXYdylFyqOXhTMCnBzVTdzmRp3wIJePQfp3Z5zfc8q
VG/kgV2UAVTiB+pavYZQVaqWBX22dOBXo77wsKIBeutP//1Ov2yJX9k1t0+kcu5CBGa4ON8byIOX
g9Tz+E5rfFnu3Av6GNshU9uY4ezE7IxH2spIZ/uga0mj5iKsYXdNIdeBjQ/4lCdBvsxH4jEvRAe6
bauEGyvUVxauu2evis/u0PP5ZSTbb8Lf5uV/QI6lIgv8ownh0JLsaX83dZzGzJWBsLmLdYE5jCAa
BoopqKQII68Zl4ELqjng+N9/97jkbVt/OK23nDcaWnO5wtm5VXXb4HNmqMI5qI+lNvbOTb0l9e6T
8tQCELS5qnI3lAfAUu5rgdTHuuxBUjXfWtm/4dgiwt6CYoePnwLT64Q8okri6sbeM5gnMk5haYd0
s+C0dnWZbFVU7v/3IsNFJJrTLXKU1GHr6KkHpkas50b5ewxQyFmB+mc0xs3c2/r0PWJJT6DkTape
BXQawAczgNJXhDs6FWYg3UXaFH9yP8y0Za/nSrSlRwMisre48jPl7qR1l3V+erH2NA9ZcSWnnikr
E+K+3vTuzYr1Ff7f4X0Ob1l9BlnwMLWGGQi1fjcXElx1GOOzBLDwEwJHZEJR9Wi6uK7MfW4owYg3
QlL0AkqJkbp+Yv/DUZ8HeZQEbRyHbV1xoK4YzFAUAxKPWJn6RK3XJt0TMJqoat+UXzNKafBvJ1XZ
BSPQIZ5JTb75qKjKQ46Jj7ZEqb8MWbJjfgPz46jAucXtiuTBI/QhruQ8LVxW4aPEAWOhoaid0xRh
mFpy1NpgN+K1k/C8Fw53PIL9hcemFV9kHAamyBTPWD//AhoJWffdBWaTj7oVkp7xsosRwfQFqkEu
eM1Tu17FtgDMLCZD94vRYcBGzKAbt8T8uH4LEi9WWMel8F+JrKHXIkJzerf8ZIXrqlDZUhNaVpif
XXH3GRx1+ZbA83YqqBbUnutiRlXiJev9PjkPJ7xbqQBXS7+uk8BuI4eCt1nLGgmypiJhoXAJTnpd
uh1KBdw/J6OlgXtKcGmtMDRfKuucJSfRin7KLjdFsArSgQ+ZWeU4CTMPVrOVf6Sup5qo/wYh8IBK
0x5/JNahtMK5iKr/5/Kq4qUrkYDhIamLZWf1PN3wZvMVnd65lON8ekijUfRMtPDxn47NSjSk4rE4
wDISYAUfRmi7xkVLQTHtNiY0BDWd7S3bYjFnYxw9BhIYV1kOMSFZWN8lWTxqyB0vlWewAdj58T5V
kXgmTMzn7IfZBIdY6/p5jJ/1WUNWBaAzgUGcs2ECTJ1pfukd2chrQ3laYwa0fWwh/oUrO/ip4kd+
JbUNlfylp1a8lnXSzDb8JpazinEHQ8Cq9xLXkCuOvl4c2fppAlEwTXkgHQNlLO+p71QXmWZ3YrDE
PMRDpCaNoJDqioc8W/LWU3irM92Fq7FMUxLemdaoh+OZR1c6qbMIvkvcQh4d9Mxb9q4K5klGSPbJ
rlPoSh3VIHjzjTqLcOK6LzeztsAGiSKqoGKSn6nhyQBUoNbOh47O/krvZQ/ljh2HFLj1qOPmLDTV
xb4g1EAbmtIXEOXWy10NiwxCozkDRqak3xbUEJ4/AtsKyScGlT4NVaFAg9AX9WZIy2EOMEMb8x9L
1aqloBKvkkhSHA3gVsE4h1Acegy8bnD970uw0Q7ZuClxzOWylnViuPHjrpThjnftRl5ZNP08z8JK
c/wzr22XnK2MhVlFQpKbc86tB+igXk6kP3irW27e84xKE2BiN9erPyu8BdNq6PIVSR+ltyNhCege
5E/EANy9tZ9Gghgmn0at3+PmYaJIse6RbASmHT4dLTQ1DqUiPpFvOEBl9xjLsoGT26RSsmwJxmkx
fk/ZMTlSx3JIRvbaaHdIZIBEWaVJddTCS7n8fG/JQ25y1dWc5B5LfSNrLgpxA2jfRYt2hYfDUh/Z
D5nzixaTCHvWmmtP6oZQP4UcJf/HReI8cDHmyrYrvPIX+qfEZVoHHUiqit+TcPHuuEX6IXz5gTHb
lmHOHLJvn/6/l8nm7X2j6sP4tyEOgKXvHmycGl6mC1Fm3TU1ztGkO6TGWyfUSDk6/3wCuWLMoxKF
BX/Euq0/3N6KU7vkNEdKT2DMCB3i01NrvhcRsrJk/wG1s7+Ha6S+5AofZHX29XUfXGZoBsc+1Ba0
W8l4hpcA8gvBQbENFrCd2jI3hNAndSmxayUGPaDyEFVcO7xNo3pPvYjffvRCRaQy+RvmgpjVJ1WX
QSH13lTCBtNUMjopj1ilCCQ9JTeN+jMHy2AwufpZwWxiHh0s8AYHIQDNQlQADT9UrrozX4M9O0Do
HeJEnmzyPMMuyjRHev+9bzJ0ydiVHDTFR8Wa/5MzsTIFyahNU5G0Dz2LYjo/mJDUPkyEfgcU5i1D
AOI5vCGqHaJ7wY+bF7fYsbrpmEZTfqhrdeytcGIfbFg/bHSfCkIhcbyvxDORkesrBdfonVKO0pM1
QSc9x4p83sXhpb1m6YU4cjZSyyB61k5/+Si1XduLQI3x82Rg7hgFQND+GpvObrNqz6Ub5eOErwzC
jO+njAGQPYUO5kx398yugMU4sCQdUsjiiO0eCu6PsNB8hC8ElKbvw0lhW+h3mH8nmNE4QuemoqoW
chMDeow5GgE0Hnjx69yjeGzyw7LPhPUbWvaZNPYYuf75da0/YvCZRZNE8ymIvTJuT74v45Y0NPF7
N/lq2/eHHtzjIk9cz2JyBhGDLaIu/rKHR+P/kWNvOr3oO+qEZI2YlCu9xhgdODy4ynGkvHlZ38sG
zixlp8J1b0XuMYDf3LcY8G8qzruVf5INqcgHto3bG1a2+hPfEqzwStaEop6KpuaWuA1HL1JQqjIX
lBpEAe+KNWaXINLxxDLJJR0IQmvfua9cA+iVS6xP0xFErOmws7NVbRu0olYE1O2h2vg9rRmAaIYE
Ilo1HmWePG6xKJ+gXUh2ihG2RfSkBWslBugpADzupilHZoTjMxysavXzK2HXihfh+WW6yt+4LCTP
Rf2ADwxWVINbk/wSuYHHZLb3i6HXvZQoKnYw/3QkTOrYt3pAIsHauZtXxt7noaB59/dL9NbUR3mE
ewynDd5KW0FMza9tq2++QG0vE6gxY5z+QlUAbhWQFRe4L363O4zsIZwBl7DAxK9ty7Bwrx7inXNk
USmrhCqw//yCrea0NTyXi7VmzqFi8PlSIzWjxeWBSdDmP4KAh42rqLZbVGOdhTuPkVv60nQG4dOL
Ay2C3XDAqNzAvYR1z2kE2cpqe0T2zGcv6EQBXIMVnlv7tPyCQyEUgDRte/P+z1HISsrI92dOALKM
YYCQv+7f1twNTm5sBTLRqYHmI8iN5ZVRdtlt54q0/9TpBPc3SqSUBFsE1bYl/uDVpD6eVrJ7LBpi
MMLfjj8P4elvslhXLv4otuenX60LRRuZc4K+HZvGNYTvo4RLj5JP+ZpKXnqYX8UnqB/VueNp+Zza
tyVZWT5gPVBSnaAEAWIDG85r7iYoQbDH8yWCJX1/W7tCF/J8t9F5hSTg86ynJnifSB5p94vofccj
6ekaBf2ERsWQjGZhfVgVx5JCDy7TDCQ/btlsvI+QmvFKB1WmtSY75RTL6t9Euh7/bt4EpYzbVWSR
MMk1QvlL4+ejPnHTCreCdrMxDOL1RdwfHe2c/wQfg2OJiFFMV7P6eX+/m2oCgthmTC/BrB9lSJUs
jRTat9h1UWWrHw9eBwxvlAgdLbO1IbmVFN3UW/9Nv2F4bh+IN/8X5cK5v+zgoVEmmgcKm7NR8RxA
RRebTj/cVBGudk7VwWqjwECJ25lxApTWIHr3mr3+LVaXyN225LWNLepDkpH3w0Q5rZ+RNqSXL5t+
fGeKwsB8a8+X9YoKV7Mmon7TK7iPJLpZJcp2T23FezomvlSmXD+T1EwddyUpe4leuqwB0S+AxGj+
D+lI/5jL5zzDeDP+392v4s4xpzfLBfh62jQc9m2ivzjOl7VOYpSHtnNdmUtRNyn9brYWr31HkUc7
L65TjYshgHr71S2eYsOqNNkD24OXX47KLPrvdrNSzrFcTSnZk2ZQD9R81/2jBdtbEwPpF1noDU2D
MQUKNv6nU4B5bObwFWIeWn2w2nkApw1HAFsaEDHjznHHj/P3lC0cbj1BOGIVMuuu7yBCwXhG5gLT
HPVuyax40zntcce5vgjQWLDWXzOUHaUId1VFWMg/etosoWSH7+PVqX4p2JK1MNd715XySNPp5ATT
gkV0iV8L8OmsdZQUQwHUdFWYWSLbCKLlayO0pqcTvLOCCvy1k8UHT2I4VF48hv6fMeWwstiCjo6X
EU3/Gg2FyoPwAcPRbWrBL2EYjq/nzY/z9gX0OVrc8oMmb+ZWZBioKxe8gB2CwppYD3VFfiK75u+m
OU4J/YiqfYawGMfOx/jg7W9DTlBPlp0MQhFEQ3Ody0kNdA3olATTE/tBSQSGS1kStCVZuy3XlRP1
IiUBQVvn/tXAv8UTOrBaBR/NvbhCfTm5xmilDMDf/JDf5E8uIqEtu5Hdo34hK98EUFvKbuDmHhCo
X9FsW3RpN9cDJXFmAj/4h0D2v1bNJmWlwCfbjjVYRM5tXGeuWl4zFAS4HHGgGsFjGOgmD9daWFsq
YQd1/mO8xgS5898ItxicasgGdSLeIFBb3qzU5RTo/7basv8UMGEFdAzGAGHAOat60RJp3d2ZBxQq
OvUzjTlfaWjPVlXx9EbB00HmKAcehypPRgUxPxib9+Uf49853MQEvc+pD//cD5F8upDYw/J87fpE
ZuR3YyaspZ7JOGYakQ5K4zXglgi5NRqMm5Cpj43HBd+rz8LE4U6DtA98sK8E0Ev4PzaOCzvfZIf4
L5SHO89N2pv726Hgoci1jzW/Ii6aomGtG84J7N11xUL2lsRSd+Jrkhh7JjxJ5Di4C3FzOOmVeiMz
FYENe6kHrmwHAqP4iiAjoiOCGcapWq2y0o/RnX1YYw8PnS4XHsnQd73/LwmTgl53R7DVhKslCxXT
LYmlYhcyR1wyKMW+FmKUJMHh6X5IB1p9U5WGdOyGw1WWIF7qwhKZ5/YXp1zDNp2g1BqzHCVjRYEh
BtFZktPEFNkHSZ9X7DLv1xWw38p2wBgRMKDcaRS+jNx95ktXA7XCFG/xxTVrPxX7XZ9jjF+lI4c3
BpPD8mDzCrtEUL/bi5ibTOq8vsjeskbXgOlgkwD0NKgeBSLzoIfMQAXaTrEkrkHr9n3vHL2Yp+X/
umL+t3ZZuilYvBMiyIXHuZ+QLeGqP56GU+xt0swxm9BxYzS5lI7XLpQ8GNM6IoXzUcm59TN2cUBi
kBclv8GbQUIo7Vy2rEaJB2RoZdAv9WAwu8GtvHQT3R5mtFzBYrSKAMs3/eEwa/hRbivX14b2kSQj
rKF+e/xie0etNbN1WzP2eY5CV/D2wpdMomrDSJBvbnGnNDxeHr7qjRb0dnWh02lIe4kvr2bIipUb
JVjpfKPLA7Boe9eKISksuKAa47Zpn+x/W3eGT3JPXesyXl8mfoQv3pNtFCH45WGaQbZiS/zbz16G
I1ShtZbtcqomxEH5c30N/XhT+Ol2VieYfXNinVM1hUvRcCDbvahxjorYXgS/ltkGJ0+a5nAP3qvZ
4HAhCN68pEQiqnq0P3PrLMggO7v6YGJzU6knfTrLjKFr/J7UQaQaqGNZOQwV4xLbXsRkkGS1IfwD
wtZo6pL+81u5dKLK9t87YSvdoG6KTNEekT2yojJR/YU7BbAA+8LKaXDu6aUgYNB9v6Ph4jqumFIe
MZPStGtnri7Oz8lCmr1CT9O3LG14o7lHOI3e1uC1lZtprw4eb9TNhAtmiFtkq97Zbx7MB51w2N+l
Wcb7t2FT4CrWTo0kZG8lbwfDLez3OvXlDKkV9PqtKAfklEYKsIC2bz9poMQKrixlT3cJjFxfW0ix
VaodK9284tp7SQtfP8ha5ZKXo7p3wweKErElVVwvPVU3aNP5XGi7AlMqwXNQARAsV9PiQktyGPex
vxJ65WYe252UI57Pv4ryEbh5l+NszeFQRsV1M6nx7Cx+wJGyElDtJzDQhOLX1ZzvNsLnXakpNwb/
GKXI6E8SbkonkfRpJX369cstoTrwLDj1bLJ05ooGSC7+jsrySZkX1gHKuJG8FVMvTym0JeOFFP4N
UU+fwlhuS5us1YQWsyBkbJxNhpSvhrKH9j6FkiQx3ENiE87J5ZN50vcET8+ayt0zWX/JreDeXj84
12/S6chNzSlWgr9DFKRszcjipm2XZ6EaZx/4b+wwOt3UWbdph+n/jMeRCDyJKiYftJ4uOyZKDWii
qzO1+8iQzYnFAP8DIqfXypmwkGHnahMK+LCmuzy01O+UOKtHwnr709n2dX3BVrrLdSEGxOshB3IW
4Q/0/bug+epp50r2jxVBHMfjs+OcoKMN/NTGpnFl+Comi5bIyPjxkdwQZc4LWW6dE+BbTpsNpd28
70AlFomIF2fDECzmzf1QjlH7M0975lQT29yAhjl7O6bSzDBPzoxsYTcbl5LLKC5pRQGu3fNjv63L
VA5HVFQDt9MOuPz+T8StZ5deCTsBgPFV9LagWyD1T7MwuGIeCDUkqxClBN0/AWABVTI93ecHslaS
ARsdiOnimcTGANYuilOiGx8uYfKK90iF6dznqwuYF0Bpj2HI1j9kIT7WrFkqBzpswQAYP+6WPbzZ
+9scpTVLdCDt7j1kvraLW+UyyIyvMq9Jq0gbOG9OHSpT01nbe6Egq7ngWmckXtHtBdrONe4+N9Ay
Y+YeCgRUiE6bjSk5KsoLwy0qPNwl1hdn+cUSsAuH4Al+IabTlgMwj8D6ovA4GXlPu1uoY781sQCN
/E19I/KUk205KRrEEFEzSMsN0tjy55utxoFgv1l9Tisp28Ys0HGQMA/5+34RoW3AxmteRy3X1Li+
od1ct2TAd44YYig4o4bA8+LmEmN1gQSnHBS4Qa7j50xhWRaWFqRwk7DqgCKQaADkq6CZBm2cD4Qb
ziIzHjG7HgSgJ/X0g9DIEyX6JQA40tJVSxvtQiG3JtQLZ/A61kaVIHIMWiPYz8BpJssyz6AQmfiR
8dj1LOJMSygIdE2MlwpYvpKMLWlKGVdtce2BqId6chzTiUbVhL8GLIKNApu8cvkNL06SwmZc3dzz
GTfYXCc6/JKXgycnhJvLd4rc1WdkiGI+5wHypGafU6x6v87ZGbO/H8W6mX/mQfYUgAtNrAFfTxWr
FW70w+/Y0TPbg9lcDebR0UePnq6/eileocdeNy7UQAODWqnmy2yHfIi+stQuggPoK+rkU95z1/fW
1Ngk/Rauo4xNaXNx+6vNpZnfSr77zl1C6WQ2zRxrjmvHJp1WGJwPntTIJxQjDNBQLQU/MUHUoR4d
+U15/VRNn+gtxbmBzWoN01GOCSp5OH2bSWvC64ucDKP/70vIseMQ8qPwFFDz/ucn06CgunHhRuqm
Z2aixDYvEjDrV6GBKwOR6A6JxhIBVznn9KUOP/4Sm0x6OpxEy21zSF7J4HsqBnH+XvACIw2pBsB4
ibcWolgozR7sB/bbf4/o4o57JnbeKlttO8wWRSQUZj5lPC/kHzUaHfc2igCGW6vwuUr9T0X0kPzM
MzHkbJQqWZ8TKPRryX5Vb/7CgqNgh3/Ya9Pn9dOzeYCg1fTpFqoc+wgggTyHJiebU32dpyRM7+B4
6pn41+izU4WlC7qKj2TT3UtT3Te9aFmmzEfVzY+J/iH/25egxDvrxA9YA6BeMTcH2Fh10j0k47Jx
VOu5/O1AgmwqqRA0fXnImcFSlw5RuKbFW5/O603pdSaY80qTdrXPR/R44hyBhmreKUaRropqDbJ8
f5/wbRj5YCg267sTOee1vTNYbcg09Sw9uFGpuIzYixTqFO+JTLbLI1olAVsaa6RYdmmRsqIyyDIh
MgEVBkNKyP4sbxW6mP+ysVwEhQLQu1PNod4DQEJ7tXVhYFECDHsChvFjyJaPf2ou9rfv/SlZwMfJ
YBR/FWcu0S7Brh1w6ZlzJbvQUwXyvbudW8mjs8lB0ywIba83m+u+e5/B4LlMae/vGCCU6zrviuk1
AsWBsUMUhdtkmgrDgnLtrfvDIMomO+BSfRPGFi52Cly09c3yrZ4ohZcaekIG1HC6vMrIjIj8f/0q
NZjUvhNwCKBWJz/oI0mHKBJXsPEJjTE+IzcBwiSUr0ovPCaItPWh9gc+oy0/VgFRaY8ms4CZYODF
1QpQpA4aTxdufepe5iACnGZdJb+wXn+iqv+4GuMNpctmdPirTjnyoX6EDZSDBbicLCDYR7Efjy5Z
G+bhUeCurEKFupi7qRDtVmuC+zPrJePKx36SL4mtBE7JYmlmIOuDZ6bjj1Xhk7Ww/Z3f1dCbb+rX
6TxCksYK4Ny64rYJFKZ9V5D6zRuJZtHGs6v5PbZQMSu/awUrj01sUlGQsaNKoJEL5UxTb5LpIh3z
WKsYwl37z6CsFvKpRMtU8pYPUS8P47YBss771rx3Ao7xkyMqC6Qv9IqKkiRY/IR+gUVgJyR+tExg
VTdxZxreJD1W85nbqHAeQDduLaG9+Ux8hgu+ItuUVL4ejUNgjaunEPonh5SoxnljOULSWCwCs/Wb
cO0MgONd1B4tsgIMa0L1dzThSgeJYzCx2jBqW06zv2HGHS//tclLYV5rcnPXa/tjSq689ABj5gBj
BEHF6lYo1Erp7QFrS4nzWnd0m0tzSSWtRzd9lZp203mZ2rEEBC9iT1JF5E5RHth9D2vJbf4zfJ7r
e/ak6ffvVtkEbXf2IgmvdyFaU0UwCe6UgXUqxoBphUpUS1xkgcwbkdRNeAG+bIyxlOvEuB1wDdfK
TaoXrnESFaTpHfyInqjmRB3Zpq243tt46l0DfUblZkFyAlTK92e9CRP9NfLWXKcseyZV8Pl5XKCU
DpoyrMtTx2cLU4j3pO9aG5PSJ1/feG+pSvFN2p/wjCXDFhaRnBhKCFP26nCMtryt1nR5hpI4tq+t
0nrPulCmy72OF1gWwz5NRMnJz57kwmg64jlwrqf0ON2K/Rl7QbvExEjtyShIo3xvxitR59QdZuiZ
7DewGvQ5wb4EQxCwwBhvbmzpnZGCMwAGXtRfcH4+7CkGbJiFuBRJRUVxMgOrJ6bq2zBoy2fTCHx0
lmQBdO2KjmeWypnt/p+afMtUDr82odGd5yszH/0HAYSu9Db8hFnzDKIJ1u8LJKGNKL6Cz7dFP6ag
imU5K9TNE2FcZeaMKscnh5vpXCPqztQWt3w7/qxS36nTuwGuYM2egvxe0v6BCVi1BU7BZnU5e1ML
LGlKvVPGH9E/f3pZQU+Dy5XN05IkF9HBaxFAvnnaMa/FG2l+Pmj9g3hB/fP28gPXSzyj8kFUZgPB
YF0Vrx9SSkLodCCZUKf3Lq9HTX8quYrvMj21JwMHqppnOIIFnph14LLUu7Yjqt7Dx4nBA65OCnpP
ogi3vNAaTVMCmgJP5jyIjO/qeA5P8gdxMYbghsnWDJLdr1uQXFZTOi7iUIeG4CM06jixMp+dEEMX
GuhjRUcHLlTt+lMW0O1vIX6dAapjj9uNP+k2YPKtQieMJJvcouPWPORDMcBvCxySqJzIfS9+8gfc
kaABmrjEb3YdWohflqVsf8fKVeX1QhTE8HRZvDaXM7HVkQ3YRg9/AaygGEkSUesEXlDp+JFW0s1s
mIKcDAJbCLf/trUaTLaL6/whoyRLe8GiS3LjfterQbNyS/KLver64OxZOWMrrVMde913VGpMjIF+
rUOCUQRMdg2Y/s/ko/dvyCsyIwLl3EPrnSBSkQAiQjdIUB3NqiP2+xE4SlX6TkkxxRh+2SbbCWG4
+uOMbaqI3Erk4s7GopmyF42kwxFTZGUvBrIhCi6MQTQBKOg7Un5ntbT7ENe7Cm3VOZBcSJcEJXKm
PWFmZ/YUYtX+5Lzfn9HXVCmoT7Wa2ToHDB5cUMVSULM5Koa+pdzocNgEadw77Lb10329abSdW6dW
jaMkbL2pgxcQGYBoW4sNCPJh8cs579zXRjTh+vbhQzX26bQK7YeyWfBq/ulhLq9NerJP7BeNSQG3
X+aY2Xo/+GMBZ2IcT5tH6I6H0CtYUCIwvbTp6fZ5KKx0hYDZemMQofofmVRp5cA+cMtW0JI8VCVR
ElEX4yat4q+ZdDozpSxnk3aWA0s6NjlPg4lx+R6X2QP7hudP6yc6zZo3t2Fl0vUF974gxtERdQDx
MOIm1FJy+IPEEXQ/3uVWai3hnX3m5bsWExWxkaDcV8byBrZQCzkXmq+KUPGkxrLxj9EsQn963oRZ
dRSu1DTAi0qsKDDr7AaTLcNp4qksPT5muE/YkL+kCBgYSSiNNUxuNtV8QJIg4+4WcI2lS7zK0Q5P
XC3qhifLTinbbRH07ZVB9j7UqvB/eBr5Ll72ThbIlUVDV7UOtmAFzIETUH+8yrb35eP3QTkJpHW7
QyTm9W84HhwdH5cpgjy3QLh3BfMnFX5oTrHgXUCAssQnxjocwAGh1X1RQjpLSWv698bnhVao1QVG
ASaWQZJgRe3JRC+FtuCXRvRlYoWEZog/x0SgqoiNsSf2gte7e7czBnPa2XY53M4u9qiU+PSgbGkR
We+ZDQyYFM1aY9blYjNURX2WCM4pApI9IWdZKKPbnN7gg7EkJy9SVnYo5LZI1Rgl6TNjOSNP3LCB
7yaoHefGKmsc+jqMy/uEiN0FPsxzUdHW9nLY/RITA7Otnhe0sEIKg1H7Q8ys860irK6Sgj3ecSzN
N/gWJwCL4txHvaresetbANtSAj0OWEP7+Dso2tFkyHSEoi/59nraR/EuO9m5HzEDiLf69bc0zeeG
6piEO9f9oY8nxSqxdnPQ/DT9SFH82Ui52vPDZ/lal6n23M+ZWI7PS2/wsyNbpPSSCpUCotxBZf25
MMFf5Y9akgrREOo3TiAjVOSBOIiUZvlcqYRGj0ckn32hQCTYrxFwBfu/e5K2Lj+STcAz9aulkUIT
leLOmRe+kjMm2P2fSj+ZY72LkpLnKYkxGsVjyjMFzFloxbOd8NtP3rwf20P9umRrlHRf6IU1vY49
bmUnRmIzummHEKqhCI8fEACQsy2adeRoi5nA7FqRLjyshfqM7EbBi7ica3jkAoe2FwuZ+31yga30
fhdwhuRW6bt8VIGiT4xvSDARiJpYd+PsMoJaQf5IkQQv/6kves1zs+R0FT7NbczWq5CDC1x5XlvC
vJL6s1457/Uh/8YNi+qsUfRrsQKksyvK9ToLZnHyIYrLKSTebYuafII0hL1YkVoigYgq2KhH5Wdh
BtuEuD3rLINxnPEUdsuY9yXqmjft5bwrWDq3Agc6fRreEPhmM8N36L5DoAdb4hgA59osjc1i+ujC
pwQ7JXEaUy4q9recWgau9mEHnOyOTDowFc0PzpsA47tbMwIIcowFSvCFJS1nt15jX7D/6bmvLemN
XtRUllbDSKJV7CNv4NxriXxqJ+7Phl8FCx4ChWdp6VRlm35IaB0vMoWdjIVn/wM6G5ON7A2mzjrq
kjjlV3txXMOEpiifsIY8flFZXrWfRPtdXSf+ivO/WH61o5Fl7vnJrLa2Ig04s7NLo+XfxV1fnGRW
Fol8PZXTSYKLIzFXU7YJUoEb0wB1tXdY7NP3MYuA3hxE2XT4EtzfZdsyliS7fyKmUXpcpYagToZf
fTym7YtDGAVQT1kpHMmXJmxaQ+/JQHn4KBhra5qA9+s/O+51zJmwYRs/8vYTLx86EcV3cYl++fGH
o+8zxM/zFKllHOJJ/YRYEip7ZjImtx+Rjl0zyK4MpYW5gfXLVQL5TR2aP3cL7t8odnO+BBMLFIJX
VT6a+7m4cpBGv4WJQAA026vNiHkmYHzYiupzUOaEZ2/8Uh1nSL8RLc44Jn6cJfVJzui8VjzZOlIl
JRdN/Gw9wKWtp0QRzWaSKxi3an+lgz4AWt4i/eR1RpomnKYE+dxfpNfa5wj86nk8kSqOoupWFLPQ
ZHKC5W0l85ut0rG9VV+sf/3qz0JybPtnGVH6zg1jVlrL1/qxRUyBYmpY7qKjncRTdTAszMLwngKd
aUL/1dVbESaQhcDdAThMgI5Li13hzWA/zSyqMdZvyxW5sNnb3uIsca3i/cyqEzt4jUAfemW6Wmxi
4LVaCg2lbDlkLz2CYnSvR31FJr5VOmecbjPp7Q0v36DU5fy1Hdvwsjy+KMeqUeGVTgbwX0F3+/Y9
qj4UiwYBGSdyFKpZBJuOt1/JCDFDVrEQv9IlRFmdbJkn3SzpYUTkgg2zHLlktgh/+SJx5IvRUS9b
LphnqssmEKQB8IP+78bJXGmlrLOd3Th3cXD+PDPyU81hguq9r1F6C2b9zNmc0PQFUu/LerVY4DwM
rU/hkf7yqVoSHKwe7xJRsjH4hMfQxNgRW7PyBvECAb59ZBHypsDLSjaWxGZhYp008iEEYGVnbcY3
dQfm6MIX/JHRHSnDFYyRhXL6IqZtll/mZ94qOerADbId/HNePE5jADWRRQvUHfYIuOdiaCOc+U6p
dxk5Y45RaENCnmZkXXkcYKyExEoJ1LA9zeipC/eJhBkgpn9Lbcsj5Eg+bDLkb+O+0iSgA3hDL+Zo
07UPBoRJXOtKcTzCoG2pp7mZHRYaj1qqmb21hKlW3vbJkHEpNZlH18+SqjbP+qAmZqYbQ4Nr4Xw3
Lwi/L9134/CPqXLmGoU7KQgjSC5obGoi+P50883l+seWTiw/J1u2c81Ib1jfAhpPIhg9pZaXYgWT
lSDBtuSaArwh4tMxGtynVJFftZKwb3CocjeJrLVkpgCT4bGEpZWcNiNV2aRKQCMiJeFrhpcv5Y5E
wFEh8AEaUM3nOJXi1fKI4CeRyn4Nc74BuoNOalIkMZPYXxeS/SZCM4PUlnPEXJiLPAMPsBBWkoMP
w7zVK9iVLSjqkiEI+TojGp11zikj+8tOreVKP/EDSVUn8TSuBxTRtANUFHqJ1a+AyZ903GtxoS7g
UfuHkTfuN+n+rTnpCwq+QWUYB1Vn5vZRE/+NXn1rCg3FsdLbAdP2ZrN2i8FkWd4wysd+9RvmCVF0
nu5o8qRONTBH8ePhjPjGKWxh1IZKhbGYC5AcQy+bLfO12Q0F7HbZDGL8AE5u1X/4uGp5UPKT8/Jg
iL5q/FwTUlwM3hmS6A/6vJVMtqiVg60QeCL+VThuxgbskODugaJsVTMv9kGasNTYPWezGSuauOhQ
esPCdoCj8RopXD4cbPAjLW1kgMn4eHGrhWhXDM7qLI6/IlVTfSA0xKpXEsLm30eR5nkU5YjLQwJp
mpShgc4+86l3b09jlnzu5S2HEiGNFyuydTRQ3BuDoT4qbAQk/yBr/ajjXJbVTpMnhUNsOENE0V8E
v2Sr4JgW4ge6XxJq9Pn3Vlh7D7XxwFZhB/dxzyuwJyJz6c3Y3vLgpe2G8syT5RkAydrjKYzgdpgt
wUC0PMW1+T1DLe3UhGXTgphnOOed8dSaOH9mwROKNqlqQwYxNSXvkfdBCj8+V5yM7flOlAIGTXcw
CN0ZliNb9kW5ZBiQQAUGEyntLxrCuqegDVKcbS8kOnmllMAw221pzGMJFpvDaMiffdo91Aor1otC
fKBpTpsV+7RWtF/PO89BrLaBoTCYczIZ6D7VCzMLguo3W5/pStrxfSnj2VI/8hP524QKE5KJdnM5
me7s5e4Y6cY3/Pbu9l5pxj3qnCZPzy+ctCknol3VWYl69c3vTEZgPPGV8vBTsOo4Y0hn8vuotPUt
yWzNOBYpyabqVOvHV0RFrcprO8pKBVRgNPFVF3NEJJWN59JuNL0z0O5146Lf5UxLHYcn/D8tPjyJ
6s81Ym5oh+b7nlRaaeheJiwX5V6DAyP5WTm+pWHSgd961YcQdvD2Ub74nrwceFikdFqjj8B2oksk
qnsVX0dCewkRZVj40BvouOHCBCSwgcqthbU/Z/7YFVIy9jOmFRFY2XG8dtNBSQxiqoLx2CsfHo0V
rwC5TfQiwh7duSDW6ClXMLDShCU2YcNJWvI6ixxNL7URJ8QOX0o9bIgy4RVES9RD2z9zx2ayYFoe
XCCSh8fJCD8T+fxdRY/sFfz03Kzp+DqV+j10qG3L/B4CVb4fEzGEVD+V6IGpZmRiMZXtMoDmrnUE
hXLCwU8G+AmkGa0VLpZXZgFCqtyP7xoR/kNMPvJ3g2OELp8X3lzpu8b/NZHebFnjAfkqs+YCJV59
4qgHAL4taOmlCnZNwpG8xjbvSsgzwKqvQZTyA1MIsmLjK2Bcohspf6HbPcFSXH1RroWR8h1WK0mA
kSBuPnhUxRT36e+cFFQQhb7TPfAlnfVUgCyqIh1EOkfb9mqi1RVB+5xKvctZgcg1OKY8SLFP6iIY
dNY4uuXMn8AEGFR9jHpXjyUZPcPBp8++vhpWUz7PJZFkW3d9Cp3lOiqM4zpy/FHMwYqqttAleJ9/
Nwe7+yxuU0l30fPwZ+vV0RDrES4IHSR2VDbgTLgWzsGxTiYaiPmft95zL/NT9+FpRK1pSHuusP6G
LIwW1GBHb7wSYg0YjjMZm38R3CumbWc1wTAxJjdTq4XVUIJrtClCJylm9jm9oRC3amViL78LZtgs
Idl1sUEwqGFNjafFsQcTueUA6SMncP7fLVgaATOlCw1yLzXEUaGVrhDvMNaMzOVHrojjUvFnvOFD
D2sscF8yLY6cwBY8coBUuHxqD1plshojuOyv9g+tIcEBRxwG7RdqK9Ry2RFFv1CPl+SHZA3FriyM
7TKXOtyvJbBrWlqUCANhZm9MjiGuZrrpefT7P7wyUu6cxvISnY6nUkZyRlRB9FDXFPNhuxD65y+9
/D7/pqthvmpePJ5cGQhWFsOnv+DebOSwq4vuGT3bD1hULj2ePz9jB+pj+dBDKUUa8xrvnyk96fbT
JFODxuSJ8wSzQbtkxZjsAIuLObMLPgwZh15L6b7FiwK9py2uGmGztKI1oyM0ZO7xd52OKP5EGUPl
XHqSmQAe1HhEt497pyCw/XihAFX4zXpoZectk/sJ89c7v9bLpeJPGYUV9wkMLIDysFzKRb7KXuvk
ofKDW3o2eIqVAPRdlPvltAVH199cRCvEi5ANLWugrJDVDeTeJ0UE2wEhrMtA2QCDRUYyKB0rtBm9
l2chRhqs1MlZq20kCSMSGN3o8ilOmKO6Ie3K1ofCaugAr52Rl7L1mKDBjevu2mkxajjCzUmt6jbj
CULQqbo+CWdFFGrjaAKFGCqCzK2dbnXsyzBnqcJjQkp4XN01uRtFKs7mysjJKonRCYtlGD91c8Xx
/bNbsj/IGhL6ucywX32jzmeSLs5HjFuj5wE0MMlRDcadp4HMj5ZkzkCAX6Xxxpu7sZ0gYqLXKXlZ
WkZhxQiR27UG6Xfx2W79EazxDnwp6Rmr62up3BPPBY+NFTKonAVPoQjHVylDje4trl4KZwNcGFOn
ZGCRekVFy1C3Awtw15zAD8Fw3h4tMWrxbrvyuHFuRJWJaFySR/nySviIgwIZrRGM2K38N8jiv7k9
fcxJMTkKG5cokyzymDgs97O2qMbMQaDGKJ44U8tKNFfnxxQ9offrltwm8ZAi3aTRKvcc3HobzXsT
lzCgQmmHoq1P/E6NY07/sjIlr24OMbr/l4w34+36h81Vin7H1M4KLfWTqCaoI62GpsdKpL5TmiCH
1h81KZifAhBY33uTdSXL4KClWQ0FgOS+mqRKaQoZ56etDW+K2tEfC6WlnYdUh5EfWLXzoaBoCLXV
O1U95FmaT3PVtW/21zjVOkLA8TbyRXL/PQklMLjkwIj/+ztH7/grxIvtfD5eLPmTKM879ZNp+Hzo
61TnluQXLNgGxj66Z0PKMzjJWKE9oEH97PQsV1UXbXev4RIvoflqWpeAFoW503DQM1JbNHdwHwS0
qimQlAny7F8v/t5y9SfNPU+13BSBLS782mHGhnsnGT0DMcBG/4SX9e/L//64UjxoBjNHuUiWj0Dn
VUoG2yQMMr5MuISAQ+L703ibeERXssCyWL7BSxSaOboLC57w2m1sWSCyoZH9MzWxbWZ3KPbx6NBd
Ek4eLopvYLRGybUCIlMyxIPY4qtiC/qc2jcowEGLSr4R6QoOv1lZ3IsoOlEIbLKRJ5MHvxjHrBFl
lJrNT+rSUFcIxR5e/xCeoVOzm8t1pluwzAgEZRXWHwV7tM22N2hrg18q6FtVxDAEVYsmHv2E9eFQ
OB+vYxTr0K2NIrZcYDiisDeLDyUL3E3sxFCwolhAasmD8DhDkAySSgbQAHSWWCN6hToUjZmyfIPA
NhzD+bvOSYWShYqGaKknnsMQ7qlOLGgZAvO5mBYMdiUII3LsS/+J83meBekgqh4Qjm3GiJXHwyZQ
v4jmeVebrQNiHySexKjcLs8CBocGtSj8WIkD+NMCc45IKoyhUbM9JgO1IKCNBhUKzPneQskneoTX
zu43F7zySR5EfF63HlJbiJ/JnWuqxwglrbp4X6xLQWTT0jnreel9U7DuNC7dpxE6I6YrPZ534RmX
yYa2PnKTZ3ExUxDyMFjPoPHgCYAviZ1M8iyaacAXPuG7axXg5iPoB/g16tqyNnQOfdxNyXefVlcW
5nTgyx5Iq5JujccacCN8cD3fRPH5a6TfRWtdT3qUPNKR5QcT0PRJsT1ruNdxb6oDwwkNd03f72N0
5A2g/1pF/YxBBalcP0ZTZkVq9iG9p0Nu7GpnrY93EsRb8sRsCJV2R8bBdumVrvOtANlHKEJJDFUc
pReUta1Kd++07cBBT0IyNOkUmzZeQq4e4aOng3qgRBM6W1/3tfQyGZ2hYoivXPs0oqnSunrXLHOV
v+W7PBkst/P4hnRnb/taFa1xcM7tYvglRKcOgvueQQ37RLLssuFy3fxEZPdNNOIjkz0BQaKoHVOm
p0fEl94tYJ9rmpOF29R8pYpbPvdLPdqgRnuZHpj4S1fvtW1sTK2gqYQERQpu61EtGLqIyuzXhln+
+wwbvMER1Bqa0AOWr0RQzC5udRltzjONlhX0kl6Bf4heZSmJmH1qDou5jJZwcOViyvugAl3kawL9
JPAroeVOK22KxU2xKvtiHXEJdi4RdUl8CgLqv83jA4gD1OxfXPwId9aJngZNTAwCss8jsBjT3ZLB
N1QWfijdKD0Sry1e0SGHZL30tS1puq3VnGAbEnJ5DeMWiGWdktHz/nMVtvRyi5GgP4BHeqaN5OZR
Ndz6B+enVJyIjafZgu2RnX3zbOFuHNYXZa+AhEtjqNP3WMeKKi1nn2wjwiy4v0CMwt1KQn80cSC7
msf3AJVxmNrxoBW4rqAZJpaDcOl3GPGrIw7IhWvNTZBxDjgE1uvwFrlotkYdzyDR7i7RbgRJMINL
KMSiyOCL9GASRYIID0vpzV3xLdv11SfushRlNNoaUHKfWTOND2/e3lnZICSmaQuWcE7/bLoZec6W
0UJfVV4veUDO7+A/q2YtjVUlmiH34+FbfP0l/+irUjzTB179q+K7i2XarLhmO5bOr7EoOUhQch6O
sY6JABkOiYWr0/H/tH39BxLyR5uQlzp6ryhYqMq4/5hp+uAI95HLNilrHeoxgbZIVgdn64XdB6jO
d/1UM9rj+7eEXIhR4zMtW21Nb0H4zOc3pFlm7+Nl24uM907pVT9j4YMYVI47IABedc1+sC9fLxWQ
Aw29VEsCc2Gp5T51L7jVPmT78XMShIsj8c22aInpIbUt7bqAQy8bWkcRbfnCMpcJdd6N2aU7xAGK
R/ESEp794tq0zq55saYgJPi3mb/iIIAwfQOTiLjmlzJ5PDNQ2XKEY04n535f6AB0Y68wJUfWl+gr
zmkkAWiyhR3Wp+HHXrEylfjJoRXJCPjOU315QYyliBqhJCXDhsiMrxyeWc2TtNWixqFx6ohtza32
voFfL0zirJQrQ0tElAHskqGA2G5VV2KGw3nsDvvIaRahrQ7mHIZ4C1tO/fOPoN/U+V/EPnsMtuHR
UJdRq0XCYlPLQ7ZEeYG4uCMvrBtbenf6rBK3zmP1vMpOHnuaTUEFqEQlir61tRs3BcjJK5dkuY7Q
6JYXMKuyIVcKwcY0p05n4S0nm3a3Zi4TZzVGkhKAx+MW+KOYKAXtkjZNVCK6+GX6dtEMPLlTBxQk
2mLFLISvgeDX9WMlVKytcQJ3dNr4empFTiylKxJTblxIuSCSBBwSdtmaIf+f0tZW1Dr02/T7MU1X
gy+waFzrNk9pg6TDE7/HVEJMv+WeRi/cPqkKLJrGE3pI81XKQdkWnK/pYl141LXZ/v18xIKn/DwP
BMBPCzEa5FqKXfRjg89VKwG3fUJNL4Nt1TuDHvjN1YBaGNhvRy+OaSa32fkPDeYz2GIUQBZObbec
BgZNvKtRzqOJf4tscCFnTwl42PK+U3kz+WqDt6wMFfDO/XR7IE9Lc8EbA2w/OgiBUjRI8keXvB1t
4VGr81xR0EiBYLGa62Zne8PJZCWH8ctzcbofm876VEkMImtvrOAxjGe8ULM9j7GH4dPrLbMIk7lG
EftmfZtz9GuRZ+HUR7sSa0gYSA2yRc1v7ucTvHuJSOgIsbiZtEnsaB2fHRI7p43m/Dfq3tPCe89d
3ev/IHXAbR3Yp6YgNVMNL3BV/+avX2YYQzPexHXyCQzpcRBuBfyJ65cEinBhs7CM5OUQMu08ZP0t
3mqTnpoj+ZqWbeBqf5N66DghS8stVNj1pJIW98vSENu9ve0k3t8XaregBxzaaXmIhP1YrQsVUPrp
3yVq4Nsj9SIzP1wmtpIvAxWh0VQBxzYNQrp8nlnzYxbkEt5YmP1RDSVEeWpwW6CFxs83/LwUMSJj
FPEkG21vza13C4fpbkr3+zxrZ/qcCfYOtcBnZkyVt/IlLbShEAWDbjtB+JA7EXUzpytaxVT1236C
Xb307acYnaBF6cGtdI6MyiCMZLzsSHXM+bHl3Ym6AqjqIWs3LhSn2vsTZl8M6K5f1QsVhJAiUWfE
9lkFVNjvP2VSTl/5o9IgUOhQfAdxpKwgkAkq6+lU/r2PZH0jD+QE5Cn7Zw3mUhCJzDbREwvljPRD
anTd0Yf5DzZhU72aJJgug7yGLfiJ7sZTVODNW+o0AmObPNV+47GQeDc81FVx9UYNgf9W+e9tCv6w
/lU+HHioarpnby75UAVuCIzL/9PLXnqzWguifkXpmo4gv4iSChDCxsaS1ZnSer13mv3vOZ5d77hb
koYKNWdds/hvI7eX5EQ/TUTFLVP1CL0CPJZBKMyLTpgXFS02GvzMqSwM/HFW65rsddxLIglumMGL
TLSaoiG/E81nyBY1DIFtbFfVMOsvXoPhOWqiNDyf6HHEOvgr+YXEWJpevYGTpzs48M5ow03Z8sYJ
oqR4xujbcia47K7kCIWqV3GtRS3kPPeTFM1z5UKmiLdzSBmuRApzLMTJlz3ZPSTSW5t92gtaFejH
zSo6f8CdQJuBvBuJFF6wSCAoPEW+ls6vqfeBemgl6rMrVSdkrO6drW7385GlwiCsnMOHtCWzwVXz
9sEU/wCXJPsYJo0dumNBpr9y3MfFeByUZVx5HiUuS5VmX2AIInedrHBBc9mCafS8Fi6q8G5rTcie
KyG2FCa2pM726bYyNCV0l8Y6Y+hKcUlHkoPpz7W9PO2hdz0k6h4k+iyFyODQNf4jqCZ4gQ5DxP3x
Sb+B+uzckpc0YhxkXurUNSs9tLtQ8o7YvbXRa83kbIScPTOuOqim17GOWowpxljVFbjAPJdAyoDM
sMik+wnfFxYEPW+ENSz5I0RmykIUR28JwbU84cA82uU86CYwg5idKckuCbVjeBzLEH32I04jGPnv
K0qM+Mk+LEvxmFWoTybZNeGo7FxcpfKcaN80rg/XbSmyKgAyaCZT1ULNoHTqCVm5Lolb0E01kaRF
WXRc1xiP0CWx33aPvKKk2ZwVIyvccCn3bsByDrnW7XWvKQ1vDWF5DyDXRGTOQ2H2AUxD7HpdC2CN
/KTSLNeFPKqgMfE8JcdQ95AcEZlXIimlT7uB2P8zH8Uipxh0ORXiuL1VDn3sLL9vF25WErfCa+4f
XUjmO4P+CGBtQe5XQPGeNM3exvq3lkBUxEYIptAHJJHndrqJhb6TOF6q7FljGgI9S81R4UcOge+3
7FYdSjw0Bn981MVg3HkPWIKpHHbS0UBwbgl4XTMatjA5FRyyJHlb5aF47H7WOGd7iYqFnWOv1Y3l
o3pQhJsOQ0xFw5zbBqeLOe1q2HYDev6rWY8+mNBPiUBZGj2M02441IS0oIwles37acnVorueAH7k
7TVF8dXYpicy7vhbFcKAd+xueKYf26Ft9SJGAFmj4nXmhfqdQ3QXrVX1Xb67LhQPL+tsD31UIWOl
BYwhci1Ajr6wSi/faRZSE9u++848hJWUBE3Rpqtk0FIv8W4nNMqF7jk6Al28LuJBDQbscK2mAg3b
fRCWqv35b7RA7XWy6Hsx5ZneyEf+LYh/gXVqv6BJciT6PRvtYe4IEt39EZXBXiYM71O4FyfaDi2t
O9Kmrp4hjH7cjd8TlNrt3H1uCGSQCyxXNyfE7TsawCavlEsXDWEMn0RdDY8WTOKuJJTga0Q13QY4
VjhmuOytBKOgx/jRZZXpwrI1AoFJmB0FFk6LB0oAZCDzfiTSiAOQih6VSXL8wKzrPAQT2cHjjGwD
5Jg5W5ApM6vx6ixZVX/bNP6ve+rEuUIV8hC8FCxSSpib9xArLKut4Q+zPlMk1N0v1WbDRTwRrFME
DMYXxvc6GDf5e5lMA+pPAn4rlzP7SpYPYBKMWUx16xzsEAjy3AaLkjPb/F9q9NTRSK3EWlrTQGm4
uADvFL0cG6hxlRjJNRZ8DEt4HdK/gvH33V4oiFEDHslXuaaeAdoBjMTuqQBoa6B6zB+R6GKKm5Bv
+94fSS3u0RM6Iq9OqggRWOghQT6YQnk1Ir4TbuougTwtLjkq7ftNNYxslAdVOSCfBrpOBG6qLiIR
JMEXM/MJngqFpOoWvfUIH66MEOHvahLREUAYXfnLo0k8yg7LqfIaw0DliMdlopmqdFMMAbkIHz8d
IurYLDyBU95Dq7Y5hK16JNhN359QlBc8boadqbAA4csj+mRm3Laagis1Et7nThxW6oBWuWPK9AER
xieVJ9RY3EjbA3icq39FPhQcjIplXJpXD6Ofv6C6AvSrN3VQ3RbfQwwXQHCS5SHpuW0wzx+y22lk
0kvyC5HIXkC/SBu8dI9BRgsBUXu2PSqa/zL0v1dtTbKCRsEd3fTRvNK5zzRcCM8vvwkNsZUjh457
vlDeekVmQU+E2wvjJ2m/80uAPnnIzj8Bq4RoCoQ5JXxE1JDeOT+B+ufr/3MjPC3ddJd1C1buVBEY
bRgKnxkOOnhEBik2/wqY8t5Efu40m7BYLo5n9JXNdgWJ0QDDfKk4nFyJiKvB2GA0grCbPnaS/EVb
J20TVOVz4PtWLLaFxxt94jJkRmF6CXibClciiLIxFo5A0qN1tdo1pmVLOK0wKJomWIZ9O56S1Po4
2Tl76ZPAlTnpbcl4+tbvNVjIMRP+kTofR/2L1vw/uWDJmaE/xmWuRIyQ8tmbYKRZPkl2ju9zd36t
unRu1ZZKNXETXZqNkNLSQV/RMAyBvm5jRooeBJcgN9tFxYyVD4sz3YVKk3Ub23cQV/GEqNGgMLLE
k3Jy+7u5250m4imdkoIk6ue25O3OTwNq3uBJxbBUvPdz8qOt/bQ1dopOiToBQyOsRTH+QmGx5MPZ
vgDDQAZaYTTjDNBVIQos+w3A0EW0CN05wJ/GXaVYYFMwco/WaGV+TPiyIgXVNJgfqNPvwJKbVHb9
2aDs8KXBkBZIBOcqJO4lF30Bv3GYzluYUnaibnmg3+8DMLlU7Fs+qb1ypBBd0jImXvjCSVOQUfm9
khd5DecXCMLhjEcDtFUd3k4Pime8Hf8OkN7SyUri5PNVTDia65rhmrdJuqF4z++XrwEqH6NFqDCV
V3ByZxNgMoXw5yPnSribBEi9EBjWRmPIWUCOrMMRt1s8jbk2o1LqyBNqaesO3qTY0IqgoTx9MltH
6HrwEHoSBs6YSpXwK6xgof5ZYdT0uRv3WezNnEZBefV61txO/B7mEXAsQMp2MbnIIv5DkSsS2QLb
IvGNBz9UV3o47t0eDWTLuQ17Lr20/a13Y1oaoZmyo7s23hTlJweSpxqTF0h3ifCHNfHQQir/5FkO
z6USsoGReL9CO/WKzjNZIOYbFTv98ARVTtylRII96tXybvfg0RMmmFMJBzgr15LbGyNNHlrwSArb
y8jeWdtKOc9Rn4+crGYPeL46VdBzei5z4s2KWCpeIg1Z8z0kg1zlINoCambv08ptNqpRYJU6Nl5c
IdEdeTt5eAhG7bySW/xUrRmrE93zdT8ya0XxrQ2e2P9nwMHb8uNbhLQmnqGGI4hq6RPjF4fEea4L
4yiembXcAPPFDzYP2IvcCtvbzwuC+YZ0PI52huaISd9Gqxrlk6TrqerFa8YuDf/AAyEtFqK9rczg
JSqeDlszxNBUXndFTgu8b+GIIGZYtbrjqjGZBRBlKGFZBeRHx3yu5p6MatG0XyX23KFiUt/XT4l/
qwFYTGxIU4p6lezIxkBEwtLtXMmPWnKO2WciYRmRPUiIUBsK7HnU48BNnTPrcGgIkuYjKgwhrd4I
m9Y0sWS8+SkiwhawQuOplc8cLS5QNR2Ft0WNrXRdT5/IOg2F74QvmpKybfd80IkFCZrKJFDAS3SV
WGGk2Qq9e4q1HgbnTAgrG9khhzLE+QKdMpAjCE/MaZrosafb49ViGPSv0X3P738fCduqQMAFH/n2
8xZYharsbH7itq724cH3PAjFMhi4WYzIgSd89GTmS7WZxu38cSdguCbhlbHXug/+xDXkD+eHUoeO
45Nv9HpotYgNKRvV0XaHtTzi0IqHi6RDrSV/3csFzIDn7eitwX6vwivEN183FtK3M2jpDWOy4G4w
wvBBihaKy6YWbD65wFGA7fbn9I3TxUiNq0ir1CaU6SlJ2wHyrOr1111fUn7V+TsuMkj6/Y4PcsNp
T3viIQYNY/QCVBDha0uQm6CVFisJRZzsGOAC+53SGMr/NzA/n4FxxjLC7yMtdEsOvvyykNos9FJ8
SCgqwEjuFILV9vaH3j1Z4ifFmdvd06LmQTpJy5IWt77B0EV4qkN9EMalxH0enUQuK+vWFFLoBPEx
cwwzw1jqvWNzw9EOo83uNJQQJAv/hU5qhXD61cUF9M9q4JR0GapDEeJxryKAIcVl6Pnaw1rziRyc
FM+UOgMt/Or7L2miRaqGjaMgEMWd8WTKHQIGLEVAZle4iaEYrE8EIjtFaIDJEmQY5gShxfnjSZ/A
yUV+D4LwkolU0DR68REw3bmpOuBm+pimwnRbjP19Uy+LgKyAOg3ED8WEszEhQ1uxP9PwlPHeaDoH
70EOXDfr+4T9soVhXNbQEA61aIt1vEz0/KPreZCiOXSG3rSXywqV5nUXmtE/IKHiwykf7i2AWX1C
gaTsGD3L3ng9kplWYowN+esZ7pdAi/YWOkfrVcY5Kx1ngqFfL/JwI+cvsxSCha8yq3ruMYbyypZx
8V0QPaYUrhsUs8mk6Jrt3mVrxtFq68GtXHhpZvnSKJEtkc7mGrajaNZXXUDsy1Xfcg/1UE4QpP92
BDHCFjy2ngq+zUrNYAzSZ8rvKe+FLWQY3RILgK7yWqW3SvVtRuSAg5AVulcbPxl7lGdwFB7zNBsa
P8f2om0GQKVZqy9H4RfsRRrA5NdlWZEyxuNb7WW45YETg+rVBMVhCITYozTXwljXC3jLPSMMFsjs
yKFoN6H0cPJEzhBW2gY3W49KSnprAaSpv6PCPafVoo9yonQV2fpEGOIBSD2HricH6VncWz1SDsjp
mKJ9e+Nem01sSWHBqtfnnbAJ+DL0tksX1cewWuvVIWPnkekJJE7AkGXxCS6QdArtXp34jvOgX3l9
Np6tB7AKtw4JMAhBnzhhwm8iwZOOOgmmlULmO66JALiIpp+CpRMB2mwX472Hpy8jNW3nHBHS5b+L
2QFZz4jvyWoV9UFK5JvA+khYbRl9ge4HtDaJTXr57bBvcf+v7vfhQdS5ejdE+MN0hfL7l9ggoTPP
7jf07Z9JqC5jYs2pZbKTGNULNlEtkSGV2tPCF5l0pQYPQjncnW3S/Q9PrNx6jU5aBq+jLezZvEGe
jcPDI/B40+RDfUjGoXoqyFHdXnqo2YO4CXY2pUiSUEUmwPbaU+bHjMfnmXy1V9Joj6c8t831yBWH
P4lR10gokNoq4wX13J7bajCRlon/M1vmcyAZlLwVajcNB8bFXTzpHvm222kDiNqTQ4qZVbkySFIP
pKWyD9cYytpYip9S5Z1/rqikx5JDflE3ddbat9+jqB5Z6bSQSoYnau/HdOpTEtpmlnzx8hOBM7Jq
h1S9a4RdJWJqozI3jIfR/acHdlELrbvlxavPpucgd9Uq6NAaRCXqiDZw/GtPRi7hUbsNWYVWVVeF
epAMg07QZz55ouRsC7GcwMwMxYEdQJtgJvWnSjk0d+oYQi0TyIBRL2tMR1Cd2WWx1jB/pWcGRBEp
gi0EhIgtwm3uTUFmX9pulonbtrY0MntV1n3D4kEPEvzPmeBqb2N5qSV9wDZiES6463XSLnpZKyUi
5QlpQGe1p9OIXm+wnAOefnVjHJL9ZfUPLvYUXddAn6nA2hEtUpotA0v0jWhF4SrV5QiX1fIpE9Sz
qSc7XLAAoHJiNTiEdTDMlhj4fkLQ3AqI+uwVFGDyawQm51BWnJDmCxZ8+KWL1eIDZdIaa7msP4AJ
IZoHdjWGy8QQkTYfbnBcZeoHMJ3szSH1CyofKSv8PT0VVYaUI0DcnXc0kubhW7UUIuZpSNYr8aTd
+2H1LxRo8vHgk2ge4Aw9kHQbdS39ZtrUqzw9adOsJgCs9UIY8fItdEcHsSlQJtUxqGg28tccsUSp
6jSoFhxodta4SQvsa5aI3rGh/Vw6J8/1eGF+r2C7aYhDdZ9sftpClrWHBlHNPx7n8aF2pW1AVvM5
5pEB+R0Bas70e2M/aZy4dmPT6t4bnKrZKyZcX1GaZcAvvE0U/ezS5kSF3YFKP1Uf5bFACIYNmY9B
Hmi5+hzMt46Mnxz7B9NhjbGiZA7C73Ah+2UPYAITKr+mymLL46SRQHN/M2hQ5RTJviYLQfwOd78w
rreiZvAJMiHSjQJaxFccfyGXbOzZJS8HYPYy1/JbmYfW/Tx2wRC0+PT9/NoITl1xDf94zCEzsJC9
TTYc/++XiFFcbTibqYUbUOKHMiVRgyy9pJ+ZiyM84Wkwc+MxmlyL3/mzpjUNJXGXMJQHRiU7nUhd
Ig4Fq7AWLU/44QSQ/LTAFcVK8G0zXaPpEQ4WsZt+meTa0AaYTah3FbQap3Ntd6Xo7dxY1a2AEf4A
Yp5TizuFkyMW7Pxc5EB+fwkJYcmCkLRbNXKozWCa+4fjunFdMkIJ44cG7peYsj81yRWbyp3vLIM+
KleflgSq1J1CLxmbBY8cg7P8mK19G0WS0WPk2YxbB3nhlCM9ky9I+8+CwmO9yNcVelibzxLiz5lg
Lp2yHs57WbUU0B1BcZBOTOubrlA9HP3yFGvmhurw0y0REXW3ifa60ncIrO1FN7uS4Wg+1zAIFR5C
UrsJgQmM+/2V0uuOrcbHti6E//PhaviC/7VXhm/7Ws6cV/hVm+A61kA+oYNonxNhJEUEDv5LDODk
a9w42EAnizX5FNo/V7GrY5+bCt8ywnXGn6z6+cxdIQQ97ZRGkzktsnkrH8hRFpDi2XR5FmJtbUgl
+tVcFmyo7JTjrJx7pJIgObz4FpoAX+gkcaByNZ9YznGdaNh4IF1SN5N0GaiqheOmXgELnLkeCQR4
DNQBSTgwHsX0G03AJO09rhW57SqE1jcuhZZg+Oe4//YDyrh8y+ac8bbkx8k+tmNetqFtNg8cALly
2r+MpFQNsNex+DkPHVnn/JIDR/Vfu9XHNv5AvZvFwkusmSiPEpECoW/vgho64ix+D/LuTFbqb2jp
Ulv6lFl2JTr4oSCoIaeZWaIQqMR8fmEOJGXgdjZw59quvUabrHhX+wPxdvr4h4qF97GeKx+2vm5v
AzQpqlTe8r08esOiihX10y9euJIpAkz9fndxoUFFNJRDsrc5/wBSQIbTxkfmvLnip8J/icvf2UOt
gm1ZX603sCpH6LBtEfJ2NsIpfhOuFXsK66ATsZU79j0N6HYD60HwRE72Wl/dIdQ5/6DyJ4A69kys
rCtk7bodX+pY/IPuQSfmUAYEozDAPxC63jdlQ0aIrvmuvI9j4qhIUDNZyCY+UVHQWzf1C49XCYuL
6u8O5Uo9MKlLfIblXnP8ncZfkyDZTHW5xy3SAwAjeTvbze9a/uTOi3I5YjsjttAowZZPpRzXbvx6
GkwqpdJkn4ONNuO08Z2rhSDhZSJIxEZ8pEuSleuHq6w2y6AmApd3CZqFaGEvMyMmM43v8PZSnn8x
IMKquuLAo8/uWizPfWCXuLLWuW2HwIqL4NYIh9tlN/8jcW9Dgz3vaDuWskIjcaD+MbvtkzHD7ciz
ZqCqJvX3h/KPF7TmXe2ugvsQL8IbBIhZv4mWEmUg+wgkvNp/pNk/OKzUWsRaKqfVandnRXaTidk7
QXS2K94hqkZl7Bv97m3inYtP8bIa+XCdgvIng4Lzw2Gl+qIY0Odg3mY6L3aEfiEEtGmkvw2hRmyV
4BpzY6lCe57ScplWfs2QZLSNxL8AKg2xR7gPIL3RbDfHPxfN2Gf8eRwDzA0OlndgQkY/nxKj0otV
lN/TV4O5a38lyaIDt5K+F3tij69oWyiQQbfoes9NuAAXF35SY5jLA3FF8UIdqhTQRMnrtMZsgMtT
1bFrEeNNpktS2iYAaU6yW6Q0VTS45LSarg/WWbG6Mbyu5y7u9iuzcnjCCZIg6G5frHwbvDpJ+i4l
i6HxtqHcDC1PqxA+yoziqc72y3LOvlHpsah9NxxumXYH8QY4A3ko+k1hZlnqovXQSPNmERICMStk
2VgF+VwHSEUQqQAG03vajDWUGqiHVAf1vdm7g+lzX03pQzYJDSSHq64fbNZiM8IYoOJE1/EFqrEK
8xMQi28UxWjkqHlP8sQeKDhHAwj/dQpzQTiEOkMEmg3O1j8e0FN1f9SEk9SEqtpkUf0ElRC/cUd0
lDPgSP4ju1Vy0DlmQ6jIctHY3aEPB6iJ+2LF/nBmdbUgS30tMB9/WMh/BtqmiupJmJR0DMe9ta4F
OKe6U+P5ncMAru9BZxLNX+RNxQGrK6tBwCvpSbeP9R4jNOZOS7k3bhw4wYE4wtRhY0Ghd17NXCGv
7AWmua716WHeAijOsItBN4NzlO/xN7th0BXEUdbCyNyjJCPHNRacxnPDepywidnL8VpsbSRZtZ8G
GhVwUWYHPuHkBwWTWcgNW1m/zopRdtYuS281Nvw7R8EH7tu0KbHrE509TQXkqOpAumvGpUlVZGHM
4/UG3Lk75XFbBdRXNycomKnMLXStpMqJuz/Dk9G4LG5pQO/WPMZog2x9jve9QiXG5+MwKpPuZj6w
HQMnqLoE288axBkiN5IE/qOd7RhycQdeWTQU3q/Ge1wgob9HvRAnVoDg8gcHyJTOjY91CgJIxIrD
pKinipV9K7LRodsWvq5UENjlkzs88xW3OPz1yT1xQ15T/Nu6Oy69VkIqVs57v3IIy07/S/kumPql
myLl8vmtV4i/+UFZcvXVoX3wgtPyjITfkDHeeb86ex7rosMG6rYJTqmWlac+dMbLohk/HSH7HyNA
R4Y0Y58TMEXV32sYuhRnoL/Vg2AiFmaVF4kKsKak0WsJ3ABEjpC2l/GI9SbXvBJmUbw/xA0N19hF
VHAfUsG+fKQ2k7KGNsczisEMBNwWXnXiL1LmnWLp50CO/fn9rT30wM5ndj8cNudjlk6DcHMf/hhQ
+8PyTHpOJ3vCpI83p32dx+vU+U4ZiscGH7SrsZZpOmTn2vXcK/QzXhMxqvARV0FpoJ6574mTu8KM
3G7iCTw88kabG7MZSLVAP896xGvGBynK7UB7GBUTGvAtgdf9qmGzJX7pIek96DnvFkJ4TgWfls+o
QZh9UQv+zmbwMuW9rvl+OtmBAxqBfsjC3/Ou7EOvqyErW3R8Twvz2EQWRrUjTqycYIT9p406UsON
Aeppj2qHGdwyMFJkH514DLAoQveodDHnaxwYC3LfOuPaAfKZsr+wRNmiSCp68YL7IOenqhr5D+rj
Luo/NPVPhXP21ma1qSf33d9HzKiPTFxCaBo/c5RuwaE1jofGpUq9EDeeMA6ItJix1NoDbkxAL9SV
gzb8JA7KJJFEJ4Cc/9rEEvQaS+Aqg3KJKahhnJhJmwagzQ/9GLTCKxUHpj3n5au5+e9bVMzYe7Fn
/GOM8sXBPTelh742cqohrs2Hq9/1yCkpRegVD3eC6vmoOeW77n5DTJXfMsc388xJ/maJpNYtNZEH
MiDahDByDu3xrzeE67c9zDHzxOoa2i7uE/z+Cz6rNII2axE8Udfols3d4K+E2qxfImEiXI1LFM1u
iYS+gz8O/+FTqkUQfgBCdX3AUzjMjhRZUsZ1L5LBiMERqcHiBY+1tnaZwBpnfOmxwQ6mun7g2n/q
6Ew1rmLviRSDLpAmAJaQDnWLfzFIhU1Bb0WSvFSg0SWPTwao94AY1GGTMBCdJq44nwbdelvHeufr
qBP/rw8bDVHS5VgDBQDi6f7J/d9JyQraTi/Q26zsIHzAtm1PmaJ+hqYW1hpfTG2vvAnkbGRz4908
7SSTND3u/1N0dPI/NQlhhv3d3CsGhKF0oHxcysLW7SfGyS83nIpmd/qrbz8d8EVGNPaYqsSDsQga
5ZFISJglwfvz2CeYwftNngwpmM4XDXk1pxD1HCBu+mQx25xBN7KA7ljzf9+YReDTD8dPHOMTrliH
XYjm/RBi3MZr/g88c7e1htHUu9lfBuLaGZ0arCCwVthkyrsjWX8Q2I36XG265aS67Ku1qBV24ozC
+rZa3X7qRUjLpZdQnMC18BlsACpnObNJMV2HDg95ocHUxwd84Q440gd2wYLkjcNdccSpfu0XsG9L
9I6YAZhzooigHjPqV1u22Ph4EmJ8bf8WlIsadiggGHwXNBRbqOvNwkdgCd5aE8S7AmBJwzaXEjnE
mjxJlaV6luH40vQ6WkQ87Hyu5bFrIRULWOvThI+8PtZSbt1lmXrnrMZE8c28Nrq1pb+OPfXpm7FC
4NOZYniF4GmCvfBPOhOLimzlsw3+xjzssUEtaNhEgk2ILkQT9HmCAEMSgP6DwJcpspZjCk0+DmJw
DyTqhkZveuRPc1MculqcqS4b+cVLjF9aadjamukQ0HARjw4ANpxZKkVHOiVDYK5q8sKHbOqxRbxs
tudp1HGUYGWV3NWTndvsY9fjZQ2DRqOsT5Lb8pTQEdc4vYsjCYvI1jyuF6KKmVV2GXzqVg1IBy1C
4Bb2/Cuw9DXyYBL383ZH+1HjVTjG6Z6IO8rEaDB0eD4Sk4UUJMfbPxT6HC5NLW8tpgU1kqiOmcb3
8TDR21iGpakKIuVhB+nUFk6vRUOowhwnv+lXZQ2eCuuYkzbtFKpCFjlBL6rJEDB349Fj7m3PSc9e
ppeP/ffMPAn1IpfsKCjg0dzX/Di2BI62bLo+qYxLPr7mpfB4i/LiD3CA2UsMHrOZ8bITuZgrGGRx
QChMV9KUWJ4bI8zoCDTr5VtyoMBEiecSL1v12bBdSKzulrejwsYXw1f1xByoVO63OzrueFAcGKfv
SykB4XN4MisKkVLoC1gI3WSythYEdwn8QvFtP4FAynGZUvj+bk/0HkTIZCUYslqqkJUrQYRfsOE1
NRSQYEap1lEh+BKP9t2AtwCLVRjR3gBv+S+4c1rERbUt5ktdV2cGA8aZLs6U6OzZr4SxFN1t71k7
7Mt4H4haUiR/8lFl5kTnrcXMzOj2KYTvF8j77ZYucED3qtrmG1eAys+YBlG/80ay78IL3/YTajIi
q2qGq3RHx+8+4kXD1gOrnCKdupAbiRz1a3Q7keanwLtE+xtee072KEBNNKfmYiPYDq3eDjhjI7QK
nr+ykWe5fnLd8V1Xd9r3aFDOgr3NCCG3USTygETOWotLuXG9umYu9uxkwVkJiBY/aTz9oSyP7Ctg
qurCNeYgRjkN7wmPTkPSfO4U9Oy/75McHUEYTSyF6Sv+5nu4di1vvlihAf0Wty1EOzFEaOA6CMAi
bvHknAZMGbXJqfhbYVKldW3GRFb+I/LHQ0i+MLeWz1vCyole3y8oZjoZl2zInprs1HHnMIa4zrWo
dOOCxQdFQFpVnrjKQtYR3nZzc1AWO5Ba6nHpkw8L8054sTSH3Zd1Uxe2xnS1b7hgJEda0z8He3OV
YQwdjU/T9TyCoAP6gJeqCXZKiUcDqBD4Y1Ugs9YFE5epNCJ0UvKAfYezjbnb1JnaJLrYaNSTksep
KXU+s9xvAebxxvBMN5OB/+m86cl7CAODBQ3ORWv8dEo6kezzbSGnepDsWtJmlJQHyrxLkyPM0zy2
yK5WP+tVL3dCZDwAuJY3lcdNxG8XkOR9tflRQmGVVyHwLnypx0OLPbVYKuOWXiXwLog/HxZOYOc4
v5JnmnTfHc7eKA2bcaWam6ofmfsysvVrfitAhBr8JCpCJBHjXlj0l/DmR+T6cppGwXKNtZupWFMJ
wMFWi+He2uSuLTmaXZvY2CnJHg0zbliuWN105/nX6YhxdL59ZpN/9uLddYwWBjFTTAuJjQyJmKVe
X+64KOq7JhwEr35xXtR+GixxwOmtKidL1soGcWmHcFG+yqhi8l96bhVbHCrt8A84rBZ3FJfN3enL
/ZL6+GfSbFlxXggknX16tu5yIwPEVXye5wBbFYHCcTcVnjsW8r661XgwCyI5KOObzKjviwZPC6Iq
LMVUZQQEN4gYJBFwllHI5WYVFU/3x8AO8tsTIdzS8Y2E3rAzc1ezyy6I/PHxsZii7sPkwig8XTXL
CjNJkYM3cOtcG/3/PN2bdLGgfSdo/USts4oDILHWUqRfEC2lPEPSxY0GBoyxvUkGZEJjMKJ0RbQM
yEItIDpQPBEFuwuKKbN0bTDxz8/FfKzxPRwbm+gUQaAE2PRpMzhX3+ZFk012n/lnTfzMFs50ZZ4+
btwlsQRxwrgdtaWQGrM0daizQh3n2PvBxMsbqnJp9DaJataj8r59RusuKqpsA4OBqUwu/Z93UNUP
8SMI+c/21ePMioKor2aJGRI9mjOGZKJeuf/yqBRLgaLQYbkkCpXNgll5KbtCicsrs4Yo6wPSTo5I
CCK378elS3zCKeRZvKMH5Lz8SCenbViGbdsee4JTjuwPmQfWfImB1svzlCEMOXjHkZ3cDyDCHoOi
rAPuPsi6lIE6ClcWzKBtADMtQp0ESAGB4sZVGOyLecuDck9G+19shtZ6+jLxlUZBum8QvUii/XaA
PwSM+mmOEc8EWXsxr28nxuL7GEntf/p9yIPMRQ7mHwLiq1acsxpTcORU1xucX1KhtGHKX4QMIHw0
bMgkmQgIWq33LdOJCHtewAZZidstxMaV4i3pa83QCU0gKuRmwqGsmzGDYgnJGaC4Si7oQwvpCmyd
CDvMne3A867a0nHcTqNXYqRfNlYOxKe/bI5C3ABK/fUpM7Yv9W4tqFhtAt2GxKN6biVQ0bIsGXpS
kQ0LHooRdfmBMBuJ6xqTU+FLUbIRG4z+j2VYlKBhLnJnG5ztwWexxDTGvOf6eQv2emqgvF7tJ+jT
N2IccKBpdmuSc5h64OlPileyRnRyJLwy4IwR8HgwulV7LU/bMEYob9KWnnBhSMsYbBjP2I2bZXhi
RqMsfj5bDnCGsYjsIq8UIU3iO7/7lSvxC90xOaMf6xxG/+TWWY2abgT4rW6wfmtoUIz0TVU4NiGw
DoJCJVLb4v4e6aVftDt87tebgDZKk8qSVT+ZoWE3f+mz2+M1DIoC7ackkFCl0nDPAcMKrli/CSMQ
saE+Sap9iYhpKzXHdSUdrvSQecY2L0FXrlYDbYNdaLcZQEHnwuo8ZeutxFCYD3vI5mzVZh4DWumk
V7/1OPZfz64WN4+rGA+YHofSloUZbyPama6YU+ZIWoFhjevdFo5GHfvvzp/tYpF8/WlGiX+PTtot
aFKO8SfjrOSwW1hh5fIj/qgRgGMzufD3VU7fiZ39CVQ1k9RQe11XbcBlV+TQN66HpPBI3Dnv26de
sRkoIAVyBmz9vtFDrdb37equjx2PaFtMsnQtfSQ1O0x/kQWCLROSK0TcfY8vUwKuPSTPwJAl+YPI
LXfwS9Cmso0H2JCDESeD+1wrgx7C7bNN+bCDKMZH53Yqr0EbFgHVakWvE1WxTYg47nlno4MjAmUs
c82yYn54b3tkEDIlo9K9GuZdAA0srU45gZJ6hIyF5h9gG9d7bCaSAgfdF1TeINXU/rG0bMxojRsW
KD2mpDdNUpn89n2ehUjGOC7Ct3gJKAJGbRO521g0rhHdrutBDQ8694cZzCmXBLhGP9ku4r62LYpZ
k0vCdxE6ale+9iKzMboTZPTtDUZfetUWr3rNrKRygU4E9jp7sML++ff23z507TJSMf0IeS/7iKoI
WamxfaHioz+nePlq3p7V4PailP3h9NBw0iUGkT010OOOprCR1WmWEZLym0EuFFCKWGG78taGy7D7
lC1N2ITcgj594h0y1FDBGYV9lCuuCI57MJGYtOrtgSrFAyE47i2qJUZBuNzbPDWI96RMVcKx2bhk
dUx0KPPLj2k0BUwHKwSiR02P3PawC63FY0Dc/r245Hb4xfbN3U9K4fT4rEFCrcd3WxQZiDlsKB77
fuuPs65UXUcGZ85Zu81nJ2Jp2w7+SxbWS4Lz5rtdZv0+tOEgach05/ChiUJ5As8VTcFQbw2NysXo
9uvoh2mYBh1/zBn+ooHf5A1fExlkCCFOZiTvt4ClrLE1T/E1wxeK3NmDeXweiiAeoi7REv85pu7v
PVJAuxi1LvOpkXk2CfE30oLSjSMYlxj6Czu+oXccDHT9X5phmjm4IKxP7F5oMMrYwwIANqeSQLlL
9R+oaYoJ3OflanNwJnJBwFU005qTxTKj2amk6MS+0ZQYh2HCl86J848vhcY914LKs+77jGljCDMA
0lCFbmqxN5gt0mpxd9ec3741MQnZiRljbrSafWLLBjJ3+yv+v5u+l1z+H5L8pkV22Se97ZXyDNFS
2tXPem3bIsWJVO0VDjA2wpFD0qDvngwxJO9xJtoZHUCbpcpxzGik7oe5NG6p0e+iFJkiyOsZlpLj
UpU3W7sGFvfYHTCMhaoa+71/30Akqig204gsHHDgEIm5eFGzBzzbhQgTG0AVddZxiUtn3GxpZq6R
kXH/h9qXLqcm5I9qRQ1xflKNcV8sEPVl8jZzWW7Aaz74PcxQEYpXLetHCDGvcYHmsy7H53BLR243
Nj7Cqvz7cJt9TZKO3KyZCrpn6XRRGj645PdqSZ5uEPRISfF2Xswszga0uuHHv5wMrREVpr20l4ls
q2gL+WxiCYtfrGgtW/xTDggFJQ8ns9cFRkJ0LVNN7JuMJPSWl46SepkifFust7pnslglmn0d/cwk
esVZGBDO3QaCjaFeqYMPJneuUDZFFENvDKEPcmWIejJYnJby1/W/Exs63spo0gy0grLMiUUPgvtL
mol5cibTmStIcjxfJcdKYCANbWx9dxkKsSn2MFK60pDEDefjuVCPNGM8jxYhB5hO3x4KnMjNgQzY
4kiOrIkOx2sIBxUTqor+PRIwW6szo70iToNrlYAJz5HnAl1ph4xF+xkrVgTMLP1jI6O0gIUN1idh
4ReieqV/CspUcy4oFiqZh6TBLMw8fl5/mFz5A4PROL1K3r/tOvptvJz/q/9Ik6YXVn3YWeeNH0or
+Iwl97ep0J7TbdacET4Tkd/gIghJcLBfiLeFBigEduLh6UzMWsGoGPIDR7b0YH+QOm5b5lSu3wkk
UItxgvQeHVMgu95LpFWUwkpITfH6o7iOjNffp8KvYOjHN6ZUpZ8Fx6n2ZDuwalgy9bTXI3c0PH9f
8O+GbS2sfH2xyEjXIxoaUCInRaHsrSgc3paDJz5cXsJWTErMuCanKyjcgAEm2b55y779F5OdVhPo
goJheWvynhubEfSfbpMfDd1uzgLdNLYEVgZqcZPOxakIV6Kw88wGZxj6cbxxIq7+u1e2Nyv+CJL2
ixYKNSMqsIF2L8asVXb5jMTDlD2P2jOND9SfJ3WLq+9wWsXOKMpmKArhcIpoDK5BMB7jnaxDZLQQ
tbyeVkXpcXlw6Gn1/aol0Vasa6PisrJOVGGddCEAjwSjL1tfTGMm9AvHIagSUk2hneos8nMMdxvm
Be3mOrt0kb3sKe7WbGsNJfeh0Ajp3FGL5O9EroqCaa9Yrbxbr+VpaNFq/5pqbsWVNUj6oJm6hQ27
iCa/EQ/OF+GW+3RKU0WCZJF1RJfM1v6V/n4iFTkdtlu0Jyo2w0Tc0vpxLAtel3osjtzy8oD2XWAx
NhC0OSMVCO1ROxMXXxC1eXdhrDxPCgUcK5NGL5fyF6ZRlZ1RjWnmQwudLImfBVuXUnACxqqLuA+L
Lk7DGNxqom1SaUag36+04LFAMAfEOBKM2ftuPJv3rL811LV1xFYEdvrhJEMHs6f/Ab00JwMKrnvv
d5z9JFL5c+benAoZBahSpyrdKmO2EjKzbWzmEl/3TaQmT74KKPdIMAwyccxQplZvDDExR7QYbzBd
fnzaE64INpxzpKvQ9WyobzGzOjFx+fqb3E+KfH01e05xFF9Vpix8rN1iTc7wIHAW47xTs32791LD
ZsYaGNEOHrhbVJ0PV/RC8Zg3xTy3kzHdZUbTdMJ8gWG0+CpYExzBddZ+yyQOiJ6NphpW1PvG/adI
JyhzEte/uOlA+qRXdFuh6IZtPZg+SOk9+UhYqFupin1n0329BcCzVga+4fHzuNlwbunIHylr9kea
aBofrXm2DHsgW1aW9fqhgTNFx73e+RBytl/z6VbAcBpL9NCLnQVL28bB/pJCV9oDU9KvCSB1f/7+
Tn4qye0CrFb2n3uykL1zXYC60wBYAcm65FkkDiHOwkk8WPRweeSgd6SjZrwcDRAsunOLWzTLSiDs
255aEQQFfu++pSQ8pWx309jIXnK80v3DZHGSEIdFqr+bJbtWMjJH4+EJ6Brv50eBfbisurxxWv27
M65iexmEg3+eHzHEAmp5S2ZI5H6/kLkiy7Lri7oN0YIIVbvcg7nnglUSJ4Zbq4O6cQNeT8TcWs8X
QhWg25zEp7FyWSRI1XZCXhYX8n+xni0VV315K7yA9iFTnklntblWy/UXyPahd3sgZtLySeaENRJx
ZDKxL5FgBTQS2uZ5ij7CKVpS6TxjxZvcpzlXI9jza/iCaT+N1p76bS9LHA1n6VUxPKShCFvPHEjC
GAK9T91kbNuWcVoXVfhiCCXESgmZH+8miHbdMawhQHUGVdmEa6ISwRX2gEZYgvdQA/vHEyvBjfT1
QoYvG8vgUsMkl7Eo2GaqX4NinQoCoZULyOKiJAbxt5VdBFTJ9WPtoY147gU7lPIqD1Pkr66nxNvE
5Frx3gRUUYGBONSxTYUm0u7AU/S/gsIX3qaKGfBrNHsZ/d0C0j5khOw8w1DZSbKHFryM9+6g7mMp
0fsOKcRGvyY2Jk681fwfMwEXV1G0gTzX7MVnDNymt2LQpqXWLsdr2a+frfxA21sfCK3H9IpuMpnU
d3TOCXAQM48mTSSnVnV2st1B7ktk02KYoNMpvAUxikT9ooV6/qt1l22CQRZRQ+zU/VTRnCTY1jv1
xhCGA6G7+/CuEV5B49ggBfPv1nj9PHFLuvNs8x8t0+67oBsZlbEd0QFH0PEmKfUf9wdVGYwKWEcg
LI71OhP63G3QbQzIEsFBsVCvc8XQ8TbKixKXPyE3o9gZCectD/5d/Lnh0OLJuFI363yW2JHjT6FM
/qp7vJnkhfkWJu2sDtt+puOmeVfK5kdrwF+VAf8F/yH1VtKLQxB+JxdKFBj+yvFElr6qst8vJdtm
5IBdZJsnOMB8aeQ458H7l3gx4R/lXUlDs5Ya7FRXFR1PZ+WnPnCUiKCK0zQjo95ApgOzH+ZOBx/9
sTwyeZl4LZZYdr2rKwCERDIRF1mXvfHG+M2F6mHuM2ic1qOH/C4VbauAF0nY5jl9ou7Z8a0VFp24
3WeeeHNFugjQV6+m/Mk1g+wiXpu34ea+E30k6TvEbjox3PWYwQ3Gp/zZzfO5FlVH8bZmTA6EmYAs
7u5TfX6j3Sqzd4SXVFVjuiA5TpA7wnjvOf+rpZGGMp48whxjOWTpqA9g3IeUQnSuyCasbL01o/ry
rDwt6dWX1RfmuG70hpR4BJyGHxjPSQcr/SWpa7RC74xlSTRNgNJbE/pLjImHdGlPUK2GzXkZxf2L
lHu7LNiGAP0YTETcQCeQH9tcI4AsY0k4k1x6VLc1IGV+oomhuMuzn4liyrf8PphpEFmxI65HqNJC
8ZayDHXjRzvtyZHq2Rejogvp/Gkr9WSqI8n+35PW3WF+e7CMMA2ZtJ9VmlIuHiJT+ln7I34ep0Hl
02bpw97t4a3F30+Ensw/Bsr+5IDqec+pcJcEEGoCumTWdTnHhmj5uweyeUy7d4IMdxM2yAfdVUSG
yzKgfuz4pcMhzPmgcxLCZKAGStKA1+eNF87ffqOpraMIDjX+XWaaH7wJc7H2TXHn8yPtZ7wRFjA+
a9q6ZXkLCufdNlVDSIj1HFLw6fRXW/DhMPj2NWqOAqtbHCwI8x+33LwAj/HOYMFTwFIescvqkuWA
9oxdoHgNyYoMkdj4mijYFCeOUQsODneaEIdjT2M2BxZDTap9UgYc0fQ2YdqSkXFRNC0mTwhTPTQj
GrJOWLYZ2O9xuKLnC0FVtbA9H8g8xB1NDJseNDed3x49Mrbyl4gQmzixFm6rSnb0o9GXPoZoxxVD
5FcMn3tRcjzM+B0EqkZqDZIJiJguf9a9ThAtO7V36q16CBsXV2NpF3yX8kz6MbdAqO+6yFyFWBCz
7uVYW/W0wU6XdEkTVKOSVfK0Dz/koIoClNiiIBZPLCMsNqVxeZvMunOzqwahUK4qALFXn5AXClFW
FzLXzbR+FfeNp1Vk7Gv600RQu2HiJePKjoQkEE+vQ3EowfmDHsVthkDP/QWqXBVyL4Mn7rcs9Kda
x8Z0UhMW+oJl9Q4liEXGJ8bsCyjnPFyerdlZZsjYmkrb2zkniuzUSc7qjH18i1bBcw9RPvFSpw0M
va9cp4oEQ2y+Np7dh7Jk7PQpFvDNwD2I39Nd3RQG6OAu019YJAj5o6XFKzccihjALfTTKRHSkOMx
+1dgpB9laKfob/b59vFSi/3qceludJ+k2TVWnJE1iXHgtFNFs6j1nTMxi2s5lyddOOIAoVI3b5pP
tFRCBXE1QNRH95eVhp+8gMRgcxTrv4nMT67TTnsTZSCkE+lLoL9yoG+vwjTa8ppcOJW4ufrvdgBN
hs8WJKkfi7lfA3/MZUvfwWojamYoKFrcgXFgsexDxmQ5PA8vaUgHd+weVEQNOFsgpQmJmMzwsy+O
FoqPwhn+6NsMVAReol9MG7ATVZccxiEaWv1GBKJB75YmxIQ1bRwGHoa/Z/9+o5TY3gp7DnSK1OWf
NlurVO56Shh9t3dmqEJoTGohKMw4j933q8fXOYMCubZKC7zPmxSNRWNVrOfzdUNegWG7RAxcolOp
Gch767WjLCPBQK4wwUOsctiDd1+aQ2a0yBfelUN8jbzLFNXU9RxS/E+08rtHXqiFkM6Q1FRMpjB+
35x1v1adG3kDDqyAlQdATkvtqA20m9dhtQVgUFTmmxwQmv+UyPMvhVrv20FUqyXoTyXIFlNUS1kl
pO8YKGAcMMMm/acocotsuc+udV5dou9aS5GNffJYZdg2McjvBoAa6U5vKZRzQGvP15eaLu6HWDOM
SaPm8/Zne5fimo0oRmVGbi4842SwLQFDFl7podVloMrVapk2JvBLuO9H7x0dEhqBwCcOCL4Ve3Kv
KEGLCo7OS/ZxFPmCmVZSuIbdPoE3BSPS2S7+cHFcLuH+GxvdcsuL7hmxkggj1XYJW3HHzicXipBs
U7tFBvcXi0tTEubJiEc6VqZre8g4Jdk9NARSSnEjCqX/uMniE9GN1WGWzR801xKatYJpJ7fkB324
k5TrmH3mPZwICrM/oe3TjQfa7dRfRnr3GMEUwSEPguxd492pV3oXSzLpzZpoCkIGevCWL3bIWSev
+DZWzDOkfHb5lg+PBCRkC4XXdREx6xNx0qnlUHjepYXisPmdROfsZnjISUf+qnfwiIkTIC3PtQCb
SrkM+DjpCW0GnI5gNchKIricKcETqG1Jr6YCRQ5uLBAQo2vd8pkHwYIS2c0y5XtkbkefuIIwIwd0
WVcknju7olbxt09BExs27/tYD5Ajv2DqlppdnWCQYK2TfoqydXPg7fesGTAW4wgo1riqOMlg8Z+7
QJNkBX9VR6CCVQGipmKk39b4hmTmHc9yom0kV7JBmJnPQmrtJlwgDOyl77hm0TU8+eKaEo6AqNqv
6h/fHk9ZfX+534BucufjKaUma0XlazlkFhRT6kYX5EeIT2AdLXmnacZ+TWZgzuzrl+CF6IeLzV0O
b4DyMBiA45d0cPL0XJGzweg/2Ra7nJzGrQB7qhhF5/Hme5njQG/HKXaQE506yjdKpjdxJWu9rTJN
A9No75q7knP2bQ5wyd0nD/22LpC62+2tWh3REGe7A05qUIYvOoOhzpL2orvINKq3PaTBzOaPITxA
ynQ3Nv5ZC/7/XJ6XeaEFsMlMfljKfta4xBrwH1/6Fcnm/q0wlGfy3g2oFsheZs2uofCoB0Qaw3TE
if4jOoxReMcWNOKsebUbPBNe5/QEQiM/Gbwx6ICXYKMNDTTZhNB8SH+yupArQO15AYVsf64eCtYH
E02Gkh7H1qorjehE345l+5vwhdCzKLDpBe/yOTGt95MqljSZVdpi4r3B3gyROsXbuUY7jxC128uK
dt9Lffq1CTFZbzn9sDFgIFsDMoZ0XcdtaohGMuJUAjHTeuaHKgucK6L1KNFj8RnVFViNLvzr66wm
c23Ci+4T5qA8l5ov/EnhxdKnmFCjnmUcGEAySJI7a/iUjIAYT2zopjQ3eRX56ssMnq0kg5bdEzjZ
DakR0RscOBuTFmxcG83IJ+QEV8bKnhcZ/cG1KRc69Tjd/2lWnvc50socYbzpZ7vpNcq04ItE77mN
vvY0Xjnvu+CNxEXGZ9lE/8wUsmrBDT2TKSgCYT+pBh64w7RGeq9nutN7UKI2tJFBGkvmvgNY1Isf
hEbgqqG5MeoLPts7xtS62tMf9e0O08sFk8T6EQv8eX+474h4+4vbCuWDTYrd7k2q74Ief/xGCa0t
Sv5D6DUA8L+e2+VAOU67SQxghCgs4aPmXvcFBeEsI4ZkzZXL4ZzQNAReeBxq1WH/Xm/9DCgQpIqR
FJn6n5L/uAY0NqJiAxk5k71zEdbagt0j6C87HQ1WauYNODkjKIplb+5x0H8WGbXf4sJIooRcrSrf
hxEkS+ZCL6jQvXz3znKQEJDfMmeUr72fHmnExuJlimt6BoR93pR70tmSIbmhcdBn0fSY9Jwb9FHC
z3Bor2Ys/xuZrkZ0082R8SWaMiyo/+9aKBsHK7rfJ7RE7ABHDMW2dreSByespTw6D8MNV0XHtD0r
Fa/C+1AUEEJba1pxfJLADik2N7Qtbb6YfvY2QFWrziuRDjkQLKd9J/3k6Rx/6e3FBZ91yyhY4ZDN
r2tETFVUDgtqpp3NVGAOlMJsMJ7HogSm1ztglLyqqh8eYDJvj5wvIWYeiPmVP/vl0Jo/hnZPeZqF
hiFnbo/SxtmR0Atgh+Xi6+RHNpYWiyNNJU5PGuTQCfOKCXiXaq2l3rNPTvdlxEix8nXYwRnn0N/K
CDm7jvVvPQE8I4tfB1tiNgpS37VY03Uk1lYVvjk+P92oGhhM2FttHqKiA3Jp3GFgbeWaEvhmgWpo
PtHWCmqDkL7aywLekxClQr36Lsxola84ZZb0Gsd5Jo5TOB2AHvHHkn1XXuicbf4S5ZcZ0bJeSzjy
t5+MYzQebYSWKtXogopUN5ZyeiD1HlCEJO5c60f115HEX3oQyu4Xnc9qefBqlhDmV8uYO177XTc1
8vgwNC9/AnmSnPbGaxBK65n88ltdSGj9HgE7SxQHGUGI2nFgqonEO+3zLEOldi+/8gNNIsDP+3JI
yD91lYfhceA5SUP/J40zxf6oPGYb4yN5AxfvyK7f9cFdzLYUt+dFX7l25bZe4djsuk7WyUMuPIZE
6n3fuYQqVhEEbqolSroQcZgYB4AweL090/Q3BW0ZnMy/DycCn2F9uGL2A/0kg8Mvi51mj13WMe5P
2G7AIq7VZpHTM3Oj1kPoQC+eBOCNfG/wC6PsUE+4+28/4MnvYE8XCIh0dBR7BZxgNJhg7anMGIf1
oPvYMPkrlWU69jSsfkYwE//YNfSCWUwDQMYhDWeEP64ZqfSRuuha+jcvFLCFdcbesN0zboDdZTbP
MVjSoSzKz09jFV+1bmgwpttx86PHIZKylzgvHt5cz9CZvUoH4tvivQNiVQAnPYn6kIu0sMBQxoB9
rcVs12rS8ie7sTrGW9sJPhGJZKwM9LQbVYDAao8Mieirah383bxXvGmqAr8pNFP6r8DRlf+Z+vv6
LSTgPLtLoyRqetgG4vMughBop9sJkoK0y4SorU3H4BM78oozmMLnOSgnsSYG0jS538oDq/7DU1ls
QPvvlz9aMBlB2uYuXlP/pgqzkLwZYyjLJ4jcWugtY88v5ddgXVoedzbVuVEZF5N9M2syfTqDT8YU
t1Yq32Ipqss4H18zgH2ArX4gIswDv3us2z4BBRapcm/sYhoBiVLdiTGAPKIEV3ra4m8rSrRQtQ5r
A0gmMAN0biRZ+RP8sofZcrS705R71eNmgBlf4SBOOMAOkN8RHbKyzlrDkknAUEpwsY/wT5S4nbuI
fC/U8fEe1HOSyqEeRL4jA9rym1nKY2YX3xuLPvs8HGsosbSRyLkQi6C4pYknMrwtpyFyXZCQuoZ8
QkzSQLmoPDJI3cFLiuRFO/UjWI+Bk0tH1p3KMlzZOt4rPBdAFGl3Us26a6Kma4yxTfDbq/P797Nv
F/X7WFKfu4/VlZkBTCXr24tdEtMUYxgyJMfYOnTeeCZtB8+gLOTpgSGtJBo9uQEH0a51YJW3ZR3M
kAIXXsHhhoOdrOBDzhRYLo0IQT3e5UFN3zwNSizeBKr5hhv8Kdw2DCugofe5lVbXt/k4Lf7NiZpV
2TvMkN+m0+6gVxho7hMvHPYt7KvfLGpf1PZZ8UZZRihSf1lk4ngeYGSKcq3TtcMimG3oaZnKWIgB
UCY4jGK8hKVGV9xhBQP5YIXxnEQPxMM5sl5RAcqVtmER/VkXFvt9CFrtMSUeazWq2ga6ajdyFOQk
nwI58qzN3my+kuXOwmE9zgYdjhbnX1I5jW/sH0vQK/2WP6GTik9+9Ll065DX/gMYtmTXGkYVVJh7
Mzk5VUCm/b/gVEU3jtj5n2NcGfPamFaUIB+9voHZe2gH7xrO96LEs1BR2lxtaDGZDUFBhWQGL5ym
AeuGDUoe9qG0JOkTJAYrzZYGrGOSrZuwAaRgoZHMVBhmmRnHoT5Jtm4ogJU2fFPvA+15qYKjeQYC
ikBNeeTEnhbnF2K7S0mSvXyuno8TzrsmbhaeSr80Bz5Hl1ve3VFpJJ35anpfzxPaokRGawKTT+8G
o04vx3n0W7y8wzgbqx+/khIU25PhKVgsgT1CowVvvIrfl+F9I6WoJqrxrAh5jql/XruRVuVSUmLa
vs1mj12P6UVOB6vS54gNwUtKrETMB9Lj/69RdCoWaqIJpucijh03Z3z81N6k6LNbZT73GsHH5c3W
+qWUKAWDKht+EBuhS2sy1VSr/nyFBC7zGc7/Xlxc5d2wMS92FCvz4Yp0VsoDhduZoFGAML+wtJ6T
VlWmPM4nHeEslWxFx52LTQTclA0A/tLfzwBA+wvTf+5+UCMA7asCbsEbb1PgwBkHH3xGok7a55Qu
nXn4Zi34VWOFtwZ+VCwWMciEXJpk+Nq/F6jU55zqTvI84PvJiszISSKtwGu8S1YIeZY2q5HhfZo1
5wpDgOfI4tsaXV+pqKlPA21tsWL3RSYc8f6gxaqPiCQgurZuL+A6Zn5G3/UJ/i26+S6iKsda2/9i
RSw225Nf6ibhCHAHmLreEvI9c1ThHEtDi6Lgj7HMu1VEuphqzMCERuMatMxvLWN0bHTPNIIYs+Wk
9uH5uIO0leQz9oDQYTxhzjOkRC1gJhXFKP1We1GYIWj9fo04Ugw7H/gzlz8JHXGuME6X6gnHzGU+
sDy4uN6nGGVc/YTPCEui3pwrZQIcwYpWuTxjWqcQ3jGjHz/STxbYt03kUhjXHu1xt9khMaoaVTUf
L5buZ9a4lEhfiUp4eVPIovUCtDoamB1W7H6ihAsfu2jVaG1gf9Shm2autsUGCt+yP5qcUJ5Ln8rX
C4WJkFsrmitMT02/PLUn4+uYbMZTq2VUv4qJlZb9cbfoMhE3A56F9aACZAVYm4a4WaxlQ/e9xIYa
EGImmAyfuEyIAkICq0HjZ2ZaTHHYH7zFYPTzDaAnMtZal4wQyUm3b1zhBGILyGGexvtfDmROdeNG
KBBiDxF/sEoLO0uZPik7PmT95mKMdnJ3fgnuq60xnls55UNYVh2HhRCxFK7e+hmcJfiovO2y3qX5
Oi08EpxL/sXlMZD+CXWpcDdn+3Bn1Lv7v5n+a9kzk/Qby7MRQ5svo+CdTju6B7WNiIsCx1dORJ3f
9EdWh7XUdLB1enMSgOYHLNGlIZkRiPnUHLdleZ8VGJ+PnfzhqahIQs/2P4esVRs+LU91iP7YXBrc
5hAA5QhreadPlITaBxaerGoTkX+K3kGtu+qLNpkM+z4XnO9d8XdY7UQyAvpKA+8cBhT6uvJkS85a
ppEiBmVi5yUMa9WYN1l27+0JXfAnktGofdiQA0sko7H1Uid0bz0Z6XCKVGz88KTJAsk9+Se+Z0Xl
WZPnta8p0DLL90O00DIe41qS6Uf1oSyvQ2srtZTVvJBtfAWmNnN5lueX1rPusljaNjFsezNTQ3aY
9RSXiAhuJWh2F9Go96lxcgDZubaQXY0jP9X9mWe5d1Ye6UkcKBcBXgKzQJ4ronm6q1rwfvOVEvcX
3ZvamuTDNcaeQ/xr/LYC/RKrUKxLB1KiBxLLHbKdSIG3CNFnWWDPNhLDvQWVrFOdGKdq349GrX1l
MKKXO8MKSpB2wnbQNMg1LCHtFyYZLHtrkTbDisxubykBFbZj6RkEovXyOTQydr7+UUFgAqDFS1yT
Du6xNISOU+fJlNd5/45GWozvZJvJIKPkeidKPzPshvJ9eGmeB0anUyvVgooYMMa3BYAVD+0TysCX
7Rn6DLE0gdv3jQ8igiwOgaNUKZX+PJbs45iXWt0cJvdhGRx3Gdc0yPOTwqpYxTElLjtOgVZ/Ry3+
g/b5uD4MVTr2jwK3X8aC9eR4B5aQcD7x0s1ua4O4OJ56NB7oTNoV1PpfaAFyPagUeTvMsLB16n+a
Ryyb5ekomXpomIgCgxlsLK5PGo3LmsjG6V+61OHQHSh8Zhdpf1kwk0WrNUoPUOAV7Fdynj47oc/I
xuFAnUQWwEf6bytiZCf+kESMPeMw5IriwAlGqE9F0fPq2iU0YGCpwLr5YJBGfOqAmpZvWHiZaXvQ
6yLq9nzdpK6M+LSmLE137dJ4uK05D+7xowcOT02oQ+hoYvhF930qNyQ7jVgLeeqsfQ/8JMVQ5c9p
G2IXoQS8QQu6TDCi5pYVgvp7PU7QY29l/ocx7Q7Z0tNm4M+OFf/mN+dYkVImQsukj4WMtv9AUeus
Y62LSv8QmGXJ/HmFx8mJb7hzDzeWvmM2RsTtAvyRADc9ZQD7tajdj75zAv7SbECFWs9jvKor1bY1
I5DRYzJacEgvjXqdyORcugk4E73sA22NO35UHbbYC8ULo1/LKiFMWtB4I0m0gi0p1BQaiDEWhJOy
fEaPlfD8g133Tvo9ecgBbRWdV1ljdRUvSyPdZgJcOg8iFX1fqdCTT0dv0lJjunBHOXvGiMKObPDB
y0YtYlQVwYrSJS0ZjDWlyeFwgfni70wSLyltPdoENLy77g7T2PwhanrMDMzs9r9//3KbtzcyCJqF
d/ohWhn9bOPeYscLNf4ai3TgJVCqkcJVXpW5BGYk13uTXQo6pseMV0m40tlXOkDedliqeQw4ofKM
JY+KyYCGoRvglHGucmTwKaZmZxR17s75T9ehH9+9aa/hFaIUBg8uBTDyRqNN8zmAD0wD21tK8Yh9
Cdjo4W0wVzyfypqOUl/UygBGNGM64ZzTZC53HfOu41ta4QdXfgmHtFoM8eQ6G4VO7siE/P3tX9T4
NjamaJnSRsKNrvaB6gAnu7ZQOHBHnMuwiPnNKTx84M4VPfhhwPwxdELhWkqmgbNqM1+SmcSkDRGv
h91bR+hSjlVGomlb7GacIQvHlsdTqZSJT1mTNQO0GANL7I5AGN4nxXmbmAV/1E5IbxCtB4jUt5Ai
NDnU1enEa4fmRVGnugabqck11jhCSwNPKfwM9q8rddzduw1YV4a8v6LMV10f1PufBrDlnD2MYp7t
2dact4zAIN89AHIUSAftnqOvAZUyH8OxwVGu5CnT5KsrBbuudsjnL34NKlzE1PVByi5rKMFPcprw
zwiY63Hj0ZCs6Xz2rGTZ6p8D71/yJj8IedWE58MXEJBmWB2O48WE0L0C0Eia6NVw1rak99HVVfPV
cM1vBlbhsL2PnnuLdHKmSDeBoRYU/IIwCygQsE4/t00EgUe3xMRz0LBV09oZB23HWR6TqIBuhmiK
cdj12+m3Vk/yQeP1gOaRhehJaWrg0Cw1WeZPzzAW7YDG1D+KAweNgsyz2S2G7fDAo6MhJjT4LjW5
9p0ShfUfN3gEqC55+glMPp42pMobT2VyFlUZFLqkFyUjso4h+waJfvW4U5PFdMiinh0KLMqSDhuu
QLpMaJVLCaSeMZxTETbw1lBph6UHyaV4tv1JT2CpK6BgHOFKjkhfdg8mfLhkSdcG3vQLjaUvqtzO
BtEH9HtE0+2bHKVkdVSx4upa044+7s/sMhQB6ps4jTEzT4FdxNQDnMfqFZxVOArL+nn5qYqbt3l+
hGv+09/F75gByIhBCc9Ewyd6Gu8tx/I/vSxxCqNffrHfB7Kg2eivpjSojPSzbCkJNAY39p6h1AKx
eM3j57yykOESVz23Ucd9VxbqyHVmBpGbBc1DY0d2BqzSoD93bnS0xWX3ZC1sVS5EIUIDYAQ7rC1D
89LRK+Ph6+ACKyKn9DmADcq7/6+0P8LLamerZT+6p3BcXOxhEGrWoVZzWBLK8HJ0xn/3U0lZQZ8d
8EVqNU1WF85Z07HdE8Xh5VtW4/9Oh7aIEs9w7vpCkQwjqhRzZkZr2kkaauh9ae2j8Zf857JJasJS
BqKNRrXA9XVBnOoCjsYJYNKzVA9/dezP9Wkdse+cuegGoW5QQ7VWHBkdPkS1fcB9r7ZdhMYYo8/P
Sf27MwgieUPp7WGee2lKClRd85Off2JsHNVYATiK5KiYK1yOgHYEaod+6MzByO+abWOI3kOJplxb
tHsFRL/QNuVmHOZ2vsfleaIIVFlh1M+nDKuShTeRXSU3fWDge1q+LTB/UXBU9GFpm/VFYqjsK/5c
mp7bolBjLhyao+F7Z3GA/9/OGDhPckAfQrm5dDcB6Nu6U5wKNLcQ2xdb0pU9+owtKjhb+DAPb5ww
fRXFeu38Zrz1tu+Xgx++zkfmFZLJxQnRexPYn5GeQ88eEPbbZXUqyOOALTkMUoXr7ycEqhRj3lE5
+a/GIecgLdE+E8hvlTYTeTWN+WgKx73fJe7dohQioFvp8fO1uD326SXXnPZoZJFQUrMRm0GnJgeh
MyyevaU4inC1CLTlhR5Xunnk+dchuNZ/F4SYTmXoAXJYRUT955BLODhgUMErLOhS8Lh1ajxmvWpu
7F//YVqZwPtN/ku75C/mnhpyZseXsidIBFwGlqQ2Hc434GNg11OzPZWe3B5k2DkAMBX2WLrjZT91
CEie9XjpRAvVakFhnANjL09Vh/0NFLGb1ZXRAREQKTms6SfUER3b1iTpxEqwniq6yHMKLG207KxO
Rh+oBPte7mL6+IAikH8Tzk/h9+wLEudZ/W8X2MeBO0GFPef5/5LSKPlga3VsdUyT3zem/uLzY+Yi
Mx6qfVT/9Al0U0NHAuloASBenrFq/REzJMUOzmHRvLrigZeoXyLpE7Pi9Fai1Dg+EyhzzEANDVdx
D4UxjbDu81yYX9fqKY7PxjiXIiVYlMEkpVk4K60Z6OD/yZywaJMfK7It/ojURi+5yC0gOvwGVQo2
PyjIjkkaFPv+vQ4yYlZStPz/a//wnrpyJgpm/Rt3L3B9yArTHmECROmoHnroPKgwWrL6lKQUFRVV
QnbwYDen0as3cZoMwosCYlSfoS6K+fCfBw5xAfwAIgkKaiF6V+m0Sjtunv3Vs51VfTsGPQNpIVCn
ydBqfnXzp7UOI9lpTuTeO8wdFZ0kj89NYes2a12dnp3Zzy1q8QANFUDgkIvOS12+Ndp1JfpK+Vac
Pw58Zvj3EDFZRrxgO+AF4d6ON+sJXKrHT7BmrZkqRqlcHCLcCYLn+E9pwjZpRMBQDk94UmM9ycIa
cnk2X9FzQGiez8YEJa6w9rExMkx1l6ltzUH3YB6l7xtbSBwa76ddAe8pRNP52h8gcGWYzB4mSK4/
uUrIxw5VsmDRLT9tkrUMPSQsCIbu6WK6Q4WC8a5vZ95o3n/vFOUwtr14h7IsZ9RAvNGsig15n8Rk
E2heeD3Q59Cmu13d1d6k2Dvuw5IY5nrIYZhktVvKeiAD7dmTJv0CoFHqh4rFkv+7eqSERhuI2eIQ
VW7QFjTjtMixK6m9Udhhkw7B8fPB7Kd2YqcugnvL8O0m21eP+c90ICG8T2wiw9wdJhYshBS4oRbw
HyZ6NGUtPIZ1TpDR3IKzR75AvyAIEVhCUfL6Razhmna+dtuZaBpt978KgHeakt0xEcT8I2hguqsF
pqLRcGWKWB+ZB3T5K+Le8F3X6prrZtCsPBU12K5O8GDmXMe830y1XKxNA6L/h4HXSFbXVLz+dVd7
BtMLaZu6xUrCT7kqyRislnltHJNE1LR2E7l1q+6XtSPKVmXO74W7X+BqrzElmfPBwYAHFABQz3GP
UTtz9cxaXCsjglKnLKGuyvdG37kS6GJ9yRvldqch8i6lSCKOC2+heS1ilqpFII8f+1CDsxeJ+F67
UMpKBOoNMeV5hIqhlmv+nCrHRdWUULghK/JelqJcMUipGHlvUrPMwEBeeeCPpSM+F2Ks0Nkr9ZGx
guW2etJEBxDqTJx2eRDNSp2fY2FJQFZ47PeY7NuSGhmTSCQ5Fz05wdX4b5TQWegMxqZl/nPIGj1x
Eo2ug9iKpgLGswKtZ5M3LO6eqt6Sej0P8P2jS8h4vRNtbhU6dCHavafW9tuPA/JeoLj48nDdIo8R
Fp7afc+5xn6YWkRoS5D/JAisX0Y+rsQeSVPvy1Nv7TpHzaAfzTi1D3qB0QM9yaDjJn1QTarHGJ6u
RFj6xcq//SnuFWCeh2GFQs76aERC33Wr8/KB2VsqBS76i+I+Ro5G7KAHfIjocUztyMDqjaqwF+UT
4nTJQee96dOcSORMj+98pC1UEJvPPNQf5fjyDTranNwsSWc1lxnpQ4tDPCgTiMHZNcsYTuEcOytX
c+w7hWCnTUNEbu6kBpgMrkVQ48KSuZzIfGxuya5q/7JtKgxnSwpekwngZEHZHI+kbii4tQivM58L
8+x5TqNx/pF0/ftJxJjBvKrOg+HifTziet7McHX3aoAz6wwwvHHLtLapfVtYu1OK1nyUX/5BRvVk
uG0bQvUpaPMbJhhUFxnWA8QXDRSJ4+rXpyUMuGUeV1ItoZvAmWaD3zKIqSdjIbExyOR6A+NkTbmW
HRiJ6+89agMbN013edrtHUvCdvH9RoDwYkLmng2MaNZ3UYHwe3whCAA0LHlma7Xaf53DPBRuujG5
6Xgd0Y/RHauJBpdvbUv0RFf9DjDFNn7QiCXiP4zFH+0SBrOTcr04H83/vQfmXzfr7gRIFu1oMhra
74S1CSx66CGfbkX24xkowD18kpH5n0hFfPKKb3FrovfcONx7n8gUdyhCV7XOVccbtDz+xZV77YVw
pPn9mrvoK1Mre8dXLuhV9RYeeSxNxGOCR3OYBgg5/bvf6/EBtTBe/LaUWzaQW9KtP4P+NGJlCjhv
qp9rXIJw2ZQNKbDHV0fkDREB2ZM3lm2QSwPbvN6mjVsutPDe92eyyCB+A94VYJTC7JGbjXkeIPSs
AKWG1A2kcdwflHv//cUrPeIXg9utf5iqEEKss84hNxcgk7sJ7IO+HKzou+Q08etYw5G1DYhIFxIt
uQOeV/gpkT4hthZuP96G3ejl0IWgAvXwgCcCxImL3S/4xpWJmuIres8mfzh2808wpMZT0TtNKvQc
Nz0gd3rd+W4TP/BOfoyWLBHpWxPm4U7zdGJmQsemKe5QizlVmNsbdkin0nha1zdvO9RVLbZSnJUR
1GOZZmbY+Y7dJ1oQVZja7nt1U0oYxIY/tr1By+X9/xLRmd+X/daLUNjWV1CcURRP2R7wXNLwWuNe
wLsoYV2CyE3kH2Glpwr0ldRJWG8o2In4WEMQPqkLgTbqhpi/mQWxSZyPzeZzVqASEjfXD12uVnol
oVU31rBn8QAht02mAuT4iqvko5z1yEoTm5eap/8NkAC40+lXtNjSHvbku1QtGduMx+HTazkvDX3+
2ljIwSdg56qVSqppqXzjGUVyGcKfJ0uu/SGgX8H+i1Uipj+GCKwoVahbY3rojdjXjh1E0SHgQmxy
XwxjQI1iFebfaH5kN0MaiauiyMGX9QiyUSG6kguU+33v5RSex541bdQAif9zx/xekkdqWBZl3wnx
wP7aK0O1IvJaSBwfNarX+j4QA5J5fl9qoUZpm2vkqdg+nRNCg5V1jLIpdV2ldNfiKJcH/T3TLB/9
HEsilcxMia2xSf/n2gkktdqBuVIM4M7H/MJZbEJzWDHwIW3w61d/aNkvEkoaUwemkYM7ah+H7NGo
H6deNfPAyw93nX8kuzSuw1G4DWQgIokurOOPzA7E0bx2iUQi1b6km9VzOxza5GmuzEKKBGk9DpMN
8CcyzZSA+BS2XifVBPg9irNcjlzqoGhL3Zwp0e+RSFDGf/LFzh+eXx2003pcPCUxmROTD2SUx83g
MmN8DCHJ5/VzWSzPbUDIO/N4ySmcKLb1djhhZG+ej0/FmFuXzd+wRbMW2Mu2irlJhPR+us6rN/yL
eexXbu3MaGH3wqY5XK0daBsSAbm48FWvGnTUX6IDhey9wUSBDEVO3LprP4/QUaNl+xM8V7KcoQTm
B/YDq/LwJTji1LoIlC2U2cJ6zddeaLCvNLmoTcCank3QdbC0XGODAopipOVkH9vYcHZ46o4I+Y+d
1dU3hsBn4NeUXXSEwYtpzRpQA0M09tYAA3jIfvqvCneiY5VpbeEtqhAvaVTWC3U1xDnBNR1jC2eD
+UmyoKU8UetTPE34e+A/XoQLBFWf2NxPNq5+2TUOuk5eWkzZd4Atd/cSfME79d3m0TjEZVp0+Z2H
yRc6ICDuWo1EU/+WyGNpfWHc9kT+iCpMy079b7QbrebAMeWRpb+dpc2INuN/57i0Foqjhk0I+kcj
2iunx4suDHLoGXIqswkstf6TAOk5Ine1P4uHsx505afxq58gPfTEs34y1HoBcwlmh4KIYsFm1ZS4
ieZgaWTEsaMyVWt3GV+QlDkbNR3UTHf25vW4bhAXDFi0Zi8wthhoHjDTVZgIh7Q2ZWxvPggUZqO5
FTAcMSk2kY9/Y/rmCI1OylzUWZSmtkTZ7jYYOnEyqMUmYRAT/4kz5xRu9nPwwjgeUchNDC4KcmOl
WcgDRzPkpl+otID96ZmhFmk5yXaXWE1mp1Je/2R2OeDLt37e8obNPHf8bhsW/uSFDibOmF6MidRZ
thczitW/9dVwv62wK67xx0yxsDOX8Ml7ggz6ypgTBnMFFazMS55rV2jxmnb8f42GjkS71RCuJieL
3g3hkAo/06etatTSvbLD0axeKB6t87QZmDsePkdiN+7eTxe/vNsAuszKpq6q2TgAi+Xtf5CGPR79
QELkrMNj514RJcU6GedBKB7+kXFWZqZ2MNNUnFV6Ot4e/snd9uV+t1ADRWTf9/MXf7ZRduLgSBj5
LhaD4aovAeNcIYSEVDjW/vkRB3OfZ0WobTaEsIa3VB6Vla/BoGT/BH0mjMviUNFaEGqNPJDsW/Np
OvHhYKLJm+k+qfBbTclkxHqEIGOHDatx1uuYniFHJ+2mbA61YBfmBwbucwX3F/dgvI4ka3MIEVak
M2L/nHgt9yhs8joXLggcLkyGQnMzy2M1BxZTIhWmIl0HJNy5nYdPOHcK5WQCYKfCTG80FTDMmuDB
wrBS5yVUejPXcEYO2zISK9A2ys8kDJhKOeR0HnYrZjiqWxtAQpg+vZJnaBBEUFfRhRthi9ZkmiNl
BrORi6EI6dkLJZEjv6E3UQX5vRPaFYjlwZmlkqEfQpVN9b9b7mD37xUHMX+PqWgo70+BU901D6Y5
TXMc28Ep2VWsMI2kty2aX6fD0CuKfWe+KXGR17USBtlsECFzj9Ah/NZRzlKBkgif98gvpNea6zH8
ZmQstZGOV2Yk84TEk+4f+cZGqJD1p5HD3VXcZFdQoX1UBS2rIbLjX40+TxSwWVcd+u/HV/Feeac+
UKWZ8Nzwi3Exfm3VkQJ+aEbAx1J9lrRdEjMU0+/BvDgiTlMj/yFhijIX3W64s1NUdrmbZKpqgD/1
lNb6vJwAB0JObIFZneFUdvQBN4kn7EYAu5CSJMqOxyPFYgXa0do0RbXkYFsTYCVM8fbS9st6O6hO
fwG/pq53E4imUoC4rlSXtt3fLpE+0kwv98mQFVME5/o+C5pqErholwJYDfTZP2jnAf/GpYyYtdk/
aDqFcdM3SemfKrp1oY0nXzjr3V9vcHliXOXW4iYk8hXHqE+31x8Y6REXOUuki+U4ZyA+f1k6fAhS
c/Mbhil+2TqHCLsYoGsmtMH8VhmX9vkzrMp4MWRV6qoVJoMqhHv3N93qKL+Pe9669RPkdj7MAs3N
orBKjJmsfLcOYVTXTr60l+5mR8BUDiSYTrNom+S1YttI3w/ZG+hvLScxBKfzn5QPq4rn+dbFw1ZT
Tp2HoyRBCDKZGFHI+qLvw0VZ3pfWj/kDWaVLzanBRP9GVX1o3LUtqPnVncgeGDZBCqI/KjPmWkfh
Ch/U3v6Yy+WtPOUVt3560R2uGrtgG2Q97wEvbbMP5FpnXYpeXjru5a3aWrqR4W3OPoEH+/Nkp7HP
TDHC+O39adzTGEZqkTuyKmI06JG8SGA/iKCWQoILDvb5E3ERwjgm5hDCP6V16v9maoXCFyEpLcsl
5WjytyepNAQmzpwhYXm1EkWw9kYmDhuNbvkfZ1kZuwDWIfbyKN9aSZ1Pg6Qb/hOiFpux3b6KT3JJ
152S7HKeQv1PgHg6khWuOuzeANtxflHh+ndbH3k5OuuRBciLp+TCn+gOfB70V7qAJaX3JaoHHbNm
8Q7KcuT9LnyriTulWW4G6PujydpzLMcZXvoT6apZteOzWHk2Ut8j+3uY9p0fv4lo636nsyR5faPM
6KfI0wbn8KEIigWa9pADtspRv2U609TqjTz5Uq+rPRWjRqf55AAr8IGkieRoDDfUv7WvrGXL0oBD
fd6yuVwG4DTUUjxS3eFoioWFvfa6SBsl95h/zMnvi+pyImtGQF6W4N+ZQM663eJcs6fYK+pi4k+q
bayQ19BrrDJ7aTXVd6AD3iN0NVEtGSg4peBhf/4kM/Asw13qDaSBDSHwHXCKD6znn0Axz2g9bvhp
1T3vKAqJ1ur95s5pQTjKc6+RlX20Yg/gA8Bmb6qv1KukliLUtEGvhYG5t0C9Lxp5zdKDBWRpQIcn
WOnP3r9yabb6uKe5kBMtHOtyhpyOJVWVEjL80E4qRXcHnCxbb3XsM1Ua/B16mPCX/r5vTgRILkRG
q/qD8Iz12jswVbzDJBd+PXTK2KZ1p59KWkR1p92/8TdfQA2XIG+DwQPyu6tOohAeanv9JHbt5D3v
3lS06wheG796HVdstiBY7ayRkIXxziI3KMh0xl+95pIRHkzGcAu85jSbfi8OHZvEHEBUMrdz4xGa
jDzE0RP2A8tN0A2T2mLKMlJVCnW2FWeuCC6ewiQOXwH7dWd7LvONzMyBQhK+xGE7kIqfy7ynpOEF
STMH0nUf7pBaavsRHndAKpIJE95ep+sC96kTnezwUZYFMjjM9Ben95SbxJmSmtJmdN2Uw+W+FkYM
lLn+g7VsQAK3zYvpBst80T49o1NseNgrk24l6EDPegv4GAGt5kwafB/GiqKD7Z11HRVNvN9cpMey
UiWS0GbpFU5OZM5EwKow1de4smFojaSRveQg7jsB1ef0u0X3AEuK/AQzLhFC6SvzyRloynPg+w65
9itn3yy3u1fU84ltYQErbfyUyx7+ifbWqt9/fXGQCCBE56Xp3Pl2Pn73TREQIacV9EiNZQg8OMJV
tM+x8MAUat+BJakSODYbj6IRjJl2SXdDfZSX2vCAY61GvFF4nyxSGjKA+X33zciVgiY6Y//sGUfJ
yxNOVK5Xq1wCsHiHg4wynHhrMtv7zLdnNlFbVF5ZTXIdCFINYW/qLGTnkWtImd9ex+8d/cQanPK4
Pp5ZBOexGh2jXyRjlEXMYUfbivHHYyTmyD7ZcZlM8Xsd2bXfESyYjcodP3XtqyqDSvZnAomZVxyY
dTryT95lNbiMo1z5evfQJknjuE0/eQBoci4nqA+TwAlmnXdVVzkii3dyFFJc575ET6RQGuAPNdrG
2Esw7Y9VKpN0WLIJLYFuyolnjOxpkdHGJeQsJ3fZW8PVNss5aQWNOzo40DWJNhb4EalW9H1q2ulp
D12uRez60hqNiMkOa79/etgnu6l9nzwrEE+OiozFJdIg253A0Wer1nvma98fqOhaPQru5f3h6ray
/FnZ/mLAk4/hLDCZ8q49nsIGXt0LAI8DFQMwg1DjOZAfTsmYcxp7I96O7kqh3P/T2xfbZ3N88EOv
TgiM3oTcAC6ahALxB54bicHfPzoUG9FIebmjxo/e30BXgYZhMm6UuzVCLWEaRkSg+m+lQuoz3ZSd
CbCkz4WOlg5AlmMg+/qf3rpC6Z17oJsT+rKztLvnndUQLCS+R8vrt5uON+QwYz1hBxacAs1EHbdC
424HmEkRLE/BrsJaSoMk3LmuDNYhR/F2raDT5S1wGAVn6z97V4TbuU6ISK0dazwSxtIFqoPTPlxP
2Ajx4MHtkWKEU4Oe659ZjIEbcGJcMgvoPmCOmNxxusbJl6ZCeenQUswBbWWvZZ/x7+PkzqjKnkeB
CwsO0PGFp9D4u624ByiVIDngcjCdjZJ1dwKz1ST2ASooQb96jm9VvVUYZUZuxEys3SiyRaWm63K8
gqd3SOh6RorwvQmefLxX8mItXgOmXva3yqwnxJkNaMUOrpW/3YWEgJ/sZDrvWXTU4CVjEXXLzca3
T0oZXWeD0I5/55RU/wWfJMvk8R7jeV1QngsWlV6q2SEBRYXOrsJygEHgbJjpCsKwyA7UqRo755qi
wSy4Fmd+AS7qc5BaJygaurIYTHUbIfaxaTGPNA4kZzYJ2BQfKle2R41PSnO0TXPVymWfqKlzDIDk
rKqs+i0oypV3VMeCSklQmQyT1U5AMSqLhtwYcJlvbUJFacBcv0bzclAc5tDjTCwe941dioM4u1Ul
9TJv0mXc7EOsgiPwMwzF0XIPBWg9r8TN2LFIcX//CtxISWdpB21ji1fc81iKeanaqpgfS2ghms+E
nLe4OFfztsQOO6zEeGgj7hDpKjNbG1X4KWLExDV+HivffB1mkssRZW66L0hM7MdNm/wehdVrTHqf
gVmc+iiSAfZmD2159S60Q01V/mqwLtU2/GQ1C8ZA/4vomDG0jB6+6AokPwdWC6JVMQCwpRteTCtU
LQ/5JBwc1Y5971ol2j43pzHRkQ/SzTXrk5w0fB3eqL9aEpx1jO63FYguy9pIYIlAI/3fjLo4kbhD
YDhyAAXVfFELMKnTXJRyMEC8IMK76UBKIVeXMlVupXFpKNZyoG8lzYLVvLC4Vj4/WWDDajjvxJTw
DkOrHahJF3rAyjq0Ah5oOpwMRvR9JIFs4qhmCJtMYh90TjPdpFegWlvH9nOU9ffhCjlS9Ejcj/z1
hyASQR6izufhuJmm+jINVAE7FihyRMFtHDz7ccsjs2+wVC07WvuT8qCx3sYOaGwnMWhH9x9eUJKM
i2YLoAsb61DJvHXxvbsAnoXsD5pqoWnAEje+TBE/Fo85hiFuAKeNCriDQXFLfuP0zRXtlOnRm6WW
Q1ca2oPqmQxbR0hgHukqO+Oz2xCXloLMvrmo3/iuEAq73c11gFeYAVd5GrqxQ5qk5xDTiR+E3IhK
U4DaSPIlytq/IekP7f85ob9Wh+jOSpucgNHwml2alShRUBE1PlcXo9JamhZEBr/FRRRNicC+XCFE
RuXYKo6RJrsmLXxUZdb2MHxXdaewRPaWEdqgu/VErRj2GYo18L2niMwXijKId58HTSSFmKvb1lGP
ozW4/Cq/4DgWYrGZTnmZwFFINCooxwlPnr15QZSPlpQFOZP6SnpG/RW0Dt2oeuE0tSrWBOXbzhmQ
A5peIYOMzdR11H1MsP9IXKfdKKE+mBYLWTL2MWXy1kIwEHSRdW54naJQsXKobzjeLYMacPGu2MB0
bDibFsf5wPAAoAqabF+gDBDa/C0lE8XreppZGyUg/MdM7FgKroIaX2Y4LSAa28B73znj4ThKW3PM
ShDGjNVDHlYykrUVrkTeINxfWnb3DrOoV3DntHi34gEtLblUyzF/hxNVTN8zGVNHQQxRCRugk/Gj
6r7ABEKPgNmwpevBYt7ZMYRwBohQf+SOi0pNgzJyjb7hkIeJNAjUJcYsLxX5hTOS/cRf96g5hljb
FEVysWAbdgCez0wuyi15uQnbBKwiTqHbFYOzffxcVWtikBXzPmWVfVshDoPF6PA5PliCzEArhlE8
1LoxEJptHmyPB5SHW5Ik1+blK40rYXwP+0cqXEGeZ7Zoa10YDslkDzHbe2JIb8upaZlMGt5fkBbx
RWkOxr3P5BbfPe0nANfQOfw5yv4y4wonwEA8hCgVV+GAlw39FcEM+mFkgbRinGu0zcUOAzFY1/1q
UHr5BB/jAwoULsvjRx5ZeqamxlgKRhBIdPFXQziPDf5yd9MXC7D5HNhaqqTOpXQxyav21f9ss62p
/jg2c6fFa8aNknT6uZD7Wy8IebTd1RvBGUWUsA/+9zTwi7vdaNLL94Iv9auxdbKHAv2/niy7EL1+
+/iyZB2H0FdDD8DGRBDO11y4Mtdp6O2UplGJ3sxBfo+cFAiMhUZVdqhUTRFw18Ewrf8aM3bW/TE0
yE6/XAPXtuadGLx2YHfXa5ZQ0Whz4L8UaVgexCeZpL7XvggTOeSdrdIvt/ukYQZrO7jMNqP2KRRp
zq3LaDRD9r+JE5tOgB4WoD49+ZY++FvBUkgSb0YbQro2LyGFPQDY5Wk8Cs2wLAISBtbl8/Yn3T3u
Mq+Y8gi1upCgj1VaX9t1oseN+9KMIvAn2T6jE5+dUTHfq9pBJtxC2x2SZyHy+bzr6rMaqJkJl8bX
cHIIbzpRO5Ef2K3Z2tcWHMX/PvfbuJenk7AuR6snRpcGtzxBovog7Ncu4FqdqqTj1NFJa4eLkoIj
RzezZe9/8uoEkdCrTVvHAkkJl9cURogrKFlaCYovNk9ZRyAoWS2MAMEOEYNQNLccCtzvnlh17Fpy
7x+9LkvSaoUF0PeVIWVf0NQKWfssSil3jSGS/a6feTxHuysk/EzubGUjPKn6BNV39z6Ryt2nj0sF
XemEYUXB1YZu9KtckI2a2ZNLQD30ffqqZbAm2s+lzq7iSnIxsyFhaWrNMvue7nHG7JPFWPMS5wFW
S2igM6cM4je1di/PBGLru6Zac/GoKD+r4/DoZUFk9/DMSKjs+/CO8z/XWsidOf07B6Glch7gfjlQ
pCzMS4mx5oe0qLQaN+BiPy8wC0QB3GiVUTCOhhZ6Jbumi6e30U8SE0n1jupBZ41KnvKz2r6Fz7G+
6kpKdaFqvGJglSVmuatx2uyg5BS+lQ/PSJvH6Mf7MoyyAB7+LazKlBzhyCYcTQ9b3dwSgeYxBySN
8ZN/8Vs00TlpUts2i1kBJFrlAWeRcw3AV72D7wo2nNdaAHA18UtRfSG6R0eeI/aBcEc2JOt6X7M1
nq+Jwdy9RBXNynkZ9TucRTxY70BgE7oAcAEbJQUtiWRu3ATY/FSWQoq//fjQl7aZ5tTK5svKMYE8
MhlzcaVvrZae26lyTOyVRfxOl+y85L2AaoEm+vZbFH3vuD/lSm9CTNqpl7ekZ4dPomj+rPzEBZhi
U6gViXoq9AMhi/vRtJiA5jPfWS6zrqA7VMT+N+Ol4F5rWPu7Pzj4JdYXdwT18Hpoap6f03SLoFLM
CRtPxsZi1Wq7INrBvDYqNkU4tcIkTaacUH+2tdA1TYlD6rwDggd5JX/xrs0vY9qELQqcVx0oKAH9
dS1wXQXlAOS+irA//8HWzeBtlv93HfNTQvMhjACqD8AiYMKaHxPBXfmEQ4/K3G7yHpxXn5+rq3pC
tJWD0QYggfRKW4Hsz1w8eZznUO0q8WMDt8Bp+vBV3lnoJfRMBp1H6haJin9rwHzsuclfo+UblVa4
lHxKl5vm0C3Ewtag8+QEwgNJOyr9gp187/5uC0MWlmxSh7ZxCWY/djpDLFnndlVvliSJX/bH5HKY
02q8NzSgXTsf8qVmpWh9DAVn8YbZiBcEE11YYbu1v3/hV93s6rL455jJ6SdfOG7asyWMjeUpPW+A
eWxPWasIS5rK3aw4l/F0igTno0axhDEVze9AdOEW8JW7jfyqVIIo9QYGB0dJvUlOSQJcPZFmTTj/
Y1zYbLjvDFqSNw0ovEa0ULUkYQ2+Zf8kj6lO4lr76wYZdmBK8T9QzisLb5CwZKeKPzGdS9E2Z691
FRsCrlGaQtNUeMHUppj0/V9cKZuxMAJYgXdjwsNQVL8EpETMkrEwI47xvkV/8mAuqWqLUAJ2QMxt
8rdJGkGfme6RYkfHWZYjPEuQdnEcyFXd6F8j4oeHzrX0K4oHSyjPgdhwRZH8KSYE5ukaficZgm6M
gHONGDuXT4a57nLibkPZihXfpNDYFd//0UmZb8QWY+Yx0I/dbXRTBP/MhEGHLt4lBv8CZZuFv2Y4
CoOqK9ZLpikGNBHeft9NAbIyW3/S5DL+eOK5DyyUUGsDJEJiV4vbPwRXMBDPjomwipuIAEe1Ffoh
pkXe5JmzSVN0dXGe1v1pfL+oJD2GPwkYwk1MRKJl8Kr/Gy04RAj2QdU0TSw3szfYjZH1lMnJQZAt
bW/h4o06qBgYhM5xvQN0M5D2qKWOkQNgSlw2KeuY1l73JuXrBEw+rfITkUpjGknMiwxhjTqtbepL
5aoMTVU/zq4lkb1wIGZ4C4LWmFQHYjrmiBa5ze0VZkGMopzLtb6LW90MHJOWzU0RcgU64PhTYoTP
qnSsYAruMvCvG00baFYbT0BUFe66pDQFQ278AAo4tt0S0/X7GsfGhb79qf1c+d/I72P2VDPM3aiC
farLbVwu9Jbl6y3O0+ShcQUZ2hKbwqPTG2+h+MnAzQIdi1oaj7GUwkIz7u/aCW3961EICLVObCl9
SKuJuBDANdESKD3D3jk/QQzPKj9kKUD2icy4BIvegelY+PvdK4O3EV58sTEz9ccvx2eGN6iNwk5T
BXG+Ixbs/XWBSP1kRovuXJGsnMWzLYLGNwuvsdHfcKmAwjy6Z4tocFG+V1Z7VskFX0KkMXvOmJZB
9uNoujuH5gv9YVBOekj1jf/Xz5iJpou2stV631ZohkWxXG6yb8E9+pjnLyXyT7Pj4Hg59ZPf1eB8
0e3SeepImZx7ctp/uxObHA6H1oSxBS5gHFwOHjC0Dl9dVM93nWalZlOBdX1q/tG9Uf2XN4ePBsUL
z58A51XVA2U7yIZcVOxFQd74nmSOccDNuyPmGDkjLYwXr1buOVMEUak1WCKUahkcBtj9STrRQJRH
LXn9HR5wV/TLTpi0E6dAxZDjxWcNkOob4MUEKzm8ptm0yuroA4wnXHvXJ6E5flnxUizpB79+rYrv
fHzYXufnZvDzPG9IGa6yj/PR939jpCWM3rOJFhZCyyBZGsvPAdi11u8mTjE+hNZTo3MHHrL5g/Yv
12h2O1OXSscOMz3xkY2VgP3RtPqBRmGqWQdayM75FVqFSytOT0r/aQT07JTuWcKm+GDY4kbYxc1q
Q8AFK5Fv7EO33ddYrL2wbGlBqKSGCfNYCS+198taxnKaiRpy/fwdk1F7+8TwayC3wmXZaEWcaevW
2AnuNPJrGW9NYYMkw9DyDO/ZloOND5WrO7gnHykM9LigYtdUL6aXYws8G8oSMYCjNqzMLZSCe/m2
4KCv/IqLtJ6LJOa1Gh7FJMbYczVw39dujoco6wNwUwNuUWJRwe8ttrMANK51qCxtQxEIdOFP+I8H
Xz/+H1B9L8UmgvoC97JvqUGUFQbvxBkGBv0rYSRl+LJ3RSOSqTXl/8rdfcb7YfNtbcKkCzraN87B
bOvM6It6fNNiCdFKY1/XL1eTh0GEBa5nzkcOXRKVT1Rup6bxW9xZudmKnF1wCbq2wPBf/uLRg4Wm
a5JNf6xNXYsG1LGJblngM6/TuRrOnRrQCgQFqh0H1TyLeOzDWFfWZl0FqSfaUIA2TJVQ+glZ50Cr
crEokDf6R+HIoVlfrtkTE6muSKFpol4ztok/rgWzhoy5NTGQKXej0qAnwXMw0T+5kzo/Tc+h77ls
F/WENI/rvOc3XGfFt2W2agVMPK5GPFmTCI+/2i4bPaeIJZALVEXJdZIgWeTOlwy34dLMwIF3YdRF
oGF30OPbEYr+FPMzDu20PRQDGd7iD+yjMVOJOaaU9/mufX46xRZnI0YLMM2zeNbi/mKnotZaojOU
rF4RUKjVx+H3SNYzFrMrJmtg7j02xmEeUU229oeqz4HzK6KnCqZy7mvmvkDkdzS0QfU8iihXpkCK
TI97mwuZlAOC+mixRstXRGeg4C6h0aXxGPxyV/QUg/DPQak2c62B+d6UynPPe4bWMBsWeQ9wTJ/e
I/xQW75yX92MX6zgo54JZm9yhlF3Y0+eo2skiH1+qM5Xv0X1La82x7Lhq0TcI2VHSnCE4EP3Uy6h
44dL8Gv+UsMgBWAYIibmLpD/PSDsMO3D1K9oahydQLJKt63gpQQRlrAVoYz30IQf33IQLaIieK7l
x8UIxZD2VJYtVcCYNSnoOzye8EXiVDpeDjy7/3dRezwMqCQNx47trejOS8PMGEfJ0GSUqFl7XQOl
qflXGGJo2dbVx8XNQtzWoK5pHDklHeheTbddpUpfdCOpGChtBSQ+DGxtC/JmD5HQ82DKAWVIk6Y0
AB2cEqUM0bOYzPBPKkyzBE5RpmWoh422+iJzppxLe+v7BPJGj+U5IdTO5AIDj0B1ew4yW4S4LGy2
kHnzr2+60PdITaTBnSV90TsDCFGWQbClIza3cUdijiIFBR8eYwde2XFciJRojalPprN8ODtE3ymK
q4ARhNYJ0oA5VrcADSg0pMG5DdJY6jQt5RpJPhJ0Hf3Owy4ZaTGn6fZbUKrehdEgWhTfKoOUKXMj
1iOhXZgCms8EzVZnyMB4nFCLZHhUCCVPDmRVJotZO75bErqB3t0xv4FzxEZPSHirNp7vajUHzOW9
Ubv0837gDKt3MaSuxMDeXIPRgAIQzx9agcGwSYEnWL5motZR5U1Lxid3nJHNW41f0xQ5lwqUubAI
hwzEIHnsigLANCHDjIPdoi2PMDqMXwpCNThXZ4HVt4WF07KuizqUHaR3KrLWE1L/f4rPdTv1gFND
KQ741Yd4mj773nIYdZ7BmUVJAcJK5HxXHH1thb0H+qnNK4TR64EzN0DWy7mOJy/BOlPTJ5bdGQzD
ttxwCpKwxiOh4+787dWZl3pTJa/3IJlskSm+FrN7xEJNmJvI48+1csFHrdpImPxyU4eHDUIJ4weB
kS8FgjEhKJT4JfT6uYF4LZVY+I5nc4BqlarHGuzhFd0gWwQrgG9JCDAOBCaIoMRgl3AWgUBmq8gO
YSXbVdwYMkHZPtl+pf1s44sXkmDWBClSVDWOJ8e3Tvizl0UQHg6R1Sb3bArFXr45CpwLVEPlyP08
alfy+5jUR3+niQkJZGEOjWT404fWDpyRm/37Ri2XjLURpwxJIjdd/VnwNpSbWyvGDcQg1F2h/wzO
OT02qbvdfvCOflRTnT75zNqNwNwS/fxWce4EFjrKZGZEpnMw1PZ1hCwo5HHrIGeps1Jawq5/LktQ
JvVO19n9D2uh9iO1jx90EHZclIiiO0eNrymatNl8DwjIz2MgjQFW4ju7Pujote5p2TF5Pz5EO68x
1mVzzE8Ti5YGE+LUg7C7HhWh6ANTPltBYMAx5S6fWLKhrWdnwe1tuDeXTPATzMnd6bpuGtDlK4TB
iM75zhQ3+/iYQPmzMw+iYT6MkqtTwRY8OOeZK7TTRevGSv9ly5Dqt3DyVmwTlj1QMP5cIKi0jXlX
JQTZhMTcz5SDcJY3xB8uC8G3OWdhaBkmxdt+ej2/w0z6seInantMPbEUiVx2BJbXM++WlmdOgQCC
o7nq93ECqxwF9w1pBO1xbyFW34uT70KZrmV3BRkj8NUN+l21U7aUC8H5w2HbUA/xfQ7jvHpa/UYb
i0frAC+RzTNNC7NBM61g57f11IVOzqx9GNInNQOn3udJ6joR8qRSyoEkg+hJs2cQvhQYn/cre70u
54TIjCue2cf1U25vdewbFmortFS2Sb73yG5jcVJRYJ4/1CzP0aBhiW8o7ayvMTy0ntkCw2Xk8/nT
TSUyEtS5csrh3DjFsl+zUUASEQBsbvsqfGAXqVUznzeKH0/ekhnE4RsqsZqCZPF4Cbuq0OV3Sf0t
xoaj1DCW87ztqwx3PZHVPB0RiKonnHWGSyLpklkbs4IYmMPPwLjOjdMZHKuksKy2oNImYfgdQ0sI
2FG/Zz4m4YmyAfN2aSm8kb3iu1JtRdS/gpbFynrDHj5dHARn5ijnc862r9Oz4JXGvKuu3qfRrJZM
EmLqCO64V8CadMCs3c/EpQyNkus84ij3kPkDPkKzwV7ZF721cgLBihI88tJN6wpMh6pHe7hf6v5K
SxHYo1olkFQgT7vw5EHXVh5tV4o0JuRTBXXjnY52ilOmB1DFL6EZMJyxGuzTpbz7d2imVU1Bghvp
clyYpS6I1mlXjV5oatJzl8wLoG5PcJQtwmV9122Aq6CYlJFN7vXC4LnZdXmQGbntlxS9S4ifYTFc
OD5FT6+mHneKaSSI1L3DqX22/DZgieXllAJGAUsHZ/AX2tVhqv4Ajc/ACopaRb7RF/UR0R87E5c5
PB3+TyQP+uSGW1zHmoUMawJ4eAFQF/5X5tdMm7HS+Fj6NEVYQcRRu28aaf0WTKkdqJQCsg9/tL4d
fpVFGn0t0VKOmoocpbwj+QWamtB0TO1F7RcCY6nz4+cSbTXca2q3XDaZibwfOMSe8k+IP8WfN28W
g45VD2ZVSMIo/T3o0gf8JrzJmpc5AFyMZYbo0+/d8VVGHR2Z6QDCWynY3TNjFuo6+5pO3G+ZevOx
In6v9oviStydJKKpjCVMHMyZbQQxoMIojNweJajvUFE1GrbGHxtsLuE9UG4oUQ+QIX3Fm+hRGicV
u8hHg2pAz+I3noO+CsnzwU3BNxAlD7F7EDLq79m8vWp3RLWNMKzka791DCNJtUGCp27vuvcC+MOX
0NWpzrTh/VN3OaQ+M5vRnd/alWOG7q55wawDXG1CFSNDbDd6JVlqiqBVfRIhxEZ1h8DAhXUjo3n7
b0kqwXQR9UcSnMauMU4nGU0E+BbHrQ9vRNMuFz7p2nJhhexx2+E5ckEsO4Kuzlu5BeQ9/j+MEVjH
bPAeeyP4eMCEzcRtlNxl9wZX8XezYzL6cDmrHQk33cWS+tjBKxr0LwN6UA9sZ1NBzlQdEa3VTZQt
OrV7yQVMXOBjRwz09LF+JY5Js9bHSQEhGB+6v+Pn9ZOmvq01I1WxsaDnsCoXSRaZFMht/4IHdQC9
X5SDPaCZ8Jppc0JUhllCctCUMfX/ipmUeUDEOeViSkc/wiP+wYjWPoemIwze2C1oUFoP/0mUY88s
MdK2bbfZGIpAA23OhUip5oO73+7C4zdogYYO2GVR5cgx+XqamgCpFEiTQAmmUHcH5XWdU9f6RxnV
J7l5f+lkyZLW5Q1EhdvcQ/k0KRDz+hCvmXzbiTlUSxejnpvY2J87iE5UhGnHxzUe7cwcJE7IFrlr
ea3vKF7mx1Sw156J/akT8wIwei2KBQZahJsifL89U3Xu3YF/XuSk7wJTMxFGjyZLm9Facwn0pAkK
Ta62UWmaKSjNfoiTA6ox8gXitjxL4d2PpxY/OcfSqyN5RkGRmPoG2bjY7/8aNMnpRgDwH3avp3GF
25ZFAVRNGvDAqNrQ6QrvQmFUboki5lZV9beVfeMQjjYMwJYOg2hCiiyb3ax8zxpbLwZPArFRxsV9
StM5thNEeI2/bWm3ZW4BeoiORQ7owL+5lD7GCNuVjuLwVOQV2pKd+BsHd/0YnmzBJSEg48D18WOr
NOgzcxDYRVMJslNYi1QLSxwQbZ2j6zJBBJha/Ml/FA+iWF9lhLQ2SDuPWVL5o0c0eh/24cxVl9SR
/EpK7wWyzd1Vd/gvw7j+dZXyVvG4T+TMPGwvetIVKKLAMPtDCs6sex2Wp5fDXwG/NdHO8/JYQ7vF
wE+Z4DxJwsBbgEfGCSI6yLYijJWVcUnHQaKeMf73NiYgpl28p0Wi0lOBDcx4jegrAM+uLhcxJfIl
9r+S6N3gzTwn5SM6mY1Dkv4CwzFUpDgs+BK+oGRIpgxM9ijulgJzjjtYTM8kSb8GTBHHX3R3AMxs
lRdX544SFGz5xOMMg4eDJYXr4EWgWx71j5//cTWNcp9m9VycOe2AxU35HZCXp3SHh25JzoqsZRMK
X5LOCrDOjBdS0K24LvkmRSrg/yzdAnqhVZMwRElR71pi4vZTthL/978t7r/nik2JfUQRJcNGKaJb
JUTsGWZSuiMD+lHanDDJTkKsbUfqmhwMrpLQ+9/eTPzHCGYx2Xvrtfit00q/1B2R02bCStOunJhZ
YcEw+rO+PUucVVtTh/JguAuNrMtJtIg8PPxX+viIxNHM+YowhJN0xkXOKhFosvwwO5Uz5rPJjHoZ
HPEoR4Erv81Wf7iTX2GjGJy5vSH80jcqSsit65QRQUwrIenjKu9VIr9apziQit0ap9kWnuKLrk4V
Bpgfoi1R108IpmZanggkWUp7nn7m6tEC0FkMJFJ18IOc3VyUfylQC5W+WcMUY6DOcG05zKmFlLKq
nCsTZ8I5RiqyaNQDLD22jU0327S/ggKCkGR2I8zrJtLIl7ysRVMcFCECjrFMEJsgc/CI1MkPjwSJ
tkyln/vZmT7qlaiecSVgS2A15gVEIqF8Bsm3wA7vz/QI4iJ+Ssobi5kv34IQB/M9dHlMryT5qRuf
Ne062QCrHdlVvZ1eVrzluBlqtOLE+bGyPlBr9BdJbAhCRx+NX7ibC9GD0ujXTpWbzpJ/tkc2tuyu
w6LTKr6qH59zXwdCkqfUEC0XFRZfDmZF7PoEvNI5kt8XNJjMB+IfvDCU727pPcWNStC+7h9NrarV
eCral6XPnX+3OVHBKGPTRXGF4GcDubrd23H18glmgVUJ0KanTAQA7z2QN7HKZsj/zv+djwdDls6V
lnG8+1mTFJnKCM6U7KahEdYq6PfCAM2zzoay5SxzufMuuGHUFQQ1PUruNHsABg7a/kVOteMGISnm
++/ibvvV/PAX/jVFBwy7TVrBvL1dX2cISrT+BZZTP3VeveEw8zzlq+DGDRR83d4wpjse5DrrU7J3
iigQfFdvw+dCydBAFon/ENeZf8hW8X1qZlt/pWVYNLCYTzC8MW3icsAwRKEA0PTK3L/DCzgHyHon
SThKfU8XWxqEr6FpM7hz4TC3ozepr3w0ga9QcEj/ZbNqQl9G6nyHgDkulZBgX9XSuL1+T+WC+tXR
YDh8kdSvFmf71oUOWxJqvWTzATGBk+Dvb7HLJBut4GM9gMK4xgaFLM3wurMR6UFN+oXrULrqbf99
t3tmRv0yl7fRVsHdkq6ejwCxBqI6CHFWCcvk2nRHTfWFBFo9w4vQTOZAoJmgg7x0CLENPnlCz4V2
Gi1qohWqJkjNyN6W/a0qljnLbTKaoFlXSCsMFRuajwsTTMCg3AHKS2pol04UTSG6wOwfALw8FDqS
Rm8S7b5U1vxdiXCViu+RBCL5bLFn/5SLyUA6tfZz64SnzbiFlUyeMenTHLTzigMmcl3plnSFefXv
ct/IWmeqPzez7vBr/5u66ppbW77WRxv3tSt1JKB4VcMgznkfDPObCyiOfT3omFJ4noCHIkSzpSbk
lxkpOMASu9XY1wR59SLbCLjLtB4WGgWOihlE/GS70a9/ggK68NCGhw5em4mUxZhqJZYM8wV2hUy7
fYr3uT1meEWPfyn9NSDFd1RlcQdLjbOv5gCVsGuOdhX9akHkkikNgQ9WAo2MbnE59i8dVzTlxZ0F
531rW6IOnKH2p7W2y9AETdHQXUgJFUHNymwWwXJt75nJQ4D5b1RCqgKAtuv+G48ySwwhZIvayXZL
xZz5Z0kzeQ1wj4Mk3rF79xkfeRPL2qIN8+3YQ8vz4VbSzhox7frHz4uA+hpqYg2j/Al0bZNaPi1u
2vdmk9uwAeYjfN17KfqXtL/yzhYCrfDp4x5c0WAj63aam8dhZzkseASq9dCtjySIcQvhXKjGXokR
c58J0vZ8XtNv4WYDZM91QLE+IBaEJWEV7+8DdAooo1tKttkdglYkIVyTJp7z2rCqSp6WZQGqbz5j
rAhnUDtxBjPYCAUNgl/Ilx9pmpKx4pZzcUmqLpiz7XCtb+m3GIDYwvOVKc3EWNCwHmk7NV2PlXWA
PnZ+mZjH+tqAlcZPnTVYchbbXTwrX2+JI7UZw98tJdKr62/+1QnQ+AJbU3N1d8VeybPnx+TcgoRd
s2KDaFbPp/XaJheePqDf1OhTTi8B+uMGjob6ctfLBvL7SsKDZ4EtrAf7eMrDl5yeOlZV32LgPNlp
zZ2GLOgAR6Yx1HSdhbYH43Pi+nNEPOaBSBa+XdkIpCoxftl5EMAdWt5P1uYDBruqnydFhQ8HD1Vu
57eyZNok8/vzhLSIbuKrG+vZfjNq57gn662PSwHFxkxPj6DxYYmlUbYs4O/p3rtK0qfNkIpFralJ
iIqX3jAGUxILnYF+CUfK+ZEh5OS8fL0GQqNLyQNE9/A9bRIBClSS1NABQVoSqTWp1/CAIdwbntC5
/Voj3KLAuhiNJpla3y/0qfnFNkkFNszul1VUTNiaxrdMgj6M7959x6Tu6aJJv+d5wBtcnentsLQ+
AfBXYYRzWz7jn4q5XMTascGO5rvxR6Tm48ing7/3Gcz8cKTn4ciEmwRSV6mk65oofR7j3vp1GpQV
dyaN0fg+btu3LUpW/j6DPZOEfr0GVOuw7vH7GOFzI+MnEZUA5Zfq0FebCkoS6tGYjmYdAO5fhNSi
6xemI9juvU256mrNV8IrKFAWelNvhMm3ddklW94VwIMhXdQzC4pOzcQhHuAixyGE+8BRz+LreMTU
ezRFxgEca/wKgUWo4uF+iWGQ04yTpJQ7SQZv+69j0XxUrFa3EtigN9iN+8Y3hpZi+qI/QWx6gjFe
Z+/til4eEwUZhQYFJW1YDFgCOgW7Clqj62R3vWjnuA/Y8FNkQZqRvSrHVJLKJHxcp0RG4amo0wMh
KjGYcsUoQBHSyB7lY/+NVsF+uXELaJF7Uj+DhYXDPYwUicU9SuhSw/KhAirKQ41E4x5FKD6hcL4F
2KeSmokinueFkCq+urnakt6PxWWpipRBItqNhtHpTd/03Nox3J386ob/cTxE+WCSIvujPdqDqGXd
AqsZ8beNLCSZtNxJeZeYEcRCTw33asSjKfi4RVfoaf9FGjpgwQwI23acmNlRpN+py6m3s9v+g9C7
1Zx6PE6WLlZhB0+I7apBrqizefrdBnWKq2PzopHTShECLoz9hcl3xHv86Vmz0R7b+YViy0BPeucc
uO2hIwePOQBroE2woeIcl8qU7BmyjO+6wzUvsOI0+ZAYLzbt8RCmcU5BkQZG+faHtiwtRqhNAykZ
mJhhVNTY+87ycbduDnQQjJrLn/UP2Xx3NelrnEKC6FOn7JBAvD77+QztV9kTObhejXsgdkVC9ZEE
Vy3+xXHVXTsi0la+PGqbKjtb2SHNMhmJvWhZD07MmmHSJuwf7ohpKgkVXR3MZZOUzUelyjL792WE
GNC6GMXYFyMLpo45DhEZohgE+EfW2BQa35IFVBPmm2xCRXON3oLgWSVUYUuFYAAClY6x3MTQevFO
67rXZWDPvTmAk0cFJ/awUeLPsXfIJkN0VAmpx3JUpzOLDpT7S2ECPRar2DIvm8Gj+DKSYrTYTqWG
hU9Dn1HmlWOhkbTjAg7caQ1G25OKnvAeupkn3ws6Homb8D5L9O3nsral9LNPlwsYoZNyAmTZCA2F
IQVpI+Aaa+ZGz0V0rpb6gpTCyTh6iyM11R5AfZj6P/VGBC/Afx4lNPgq5otuG2jays9O5+AOyZtg
TW4VkIjyGoxKu/Rbxq+tzGhtlJ1bXjbQCT9lwqoTWIWH+6ojePa2EFmmKjdItyF2u5WS+1Eum+Yg
wa9M382+gDyjjYoKmG7C+hq4MTovVdpIvVeCgTvqmd1SBYgPXJ8V0ENHL4Lx6Cr/sWj2J1RGpSMu
b4Nt02QsS1XzW5NTbAC2vZ8fhXkuSilrKvwocmHHBG2JRB7atKxLmqdsuV1pwrvqTdzDae5rKQ9Q
kZE2SO7huG0lz/XpY5psYbEC7Y+IZwg0o9jTPbUpZUNm2csN1G7t2woVsxKxbNdobDVhV2ykT9Cc
iCe6o7vt0ufkwEyvxUEmyk/5NcGu3qGNf87OzuSv8tsmvl2zm2npyKTqNdUBp9qErbP9GM3fuVM2
/d6a51wRME0aQGbIfvi0BCz4Zo4m8421MthJV17frZ9rS1apUlbbK4jeVS9QFRDi97mYi+DQaR9Y
FrGtV8k6Kx+Bod5SXSBd4VDqAnVtpm0kzVCJCozBhYaDnnm+t0aIkiTVmu0NBUFpwiSz3vHGpurD
LVJZtM5aNCLWSS6KsUqgOd7AuTMSRo5x7Tw7O+FukwlUf9G7Ut3kY9DZ3Ef6780gLyBRBGeBAXhe
kn+aVt607oCHbDCrzTop6UxiL61bY4NaXyUAZW7Is6v2wi13PIwBj1bYWLNEbjGg3vWPlEE21Qzz
ciavhfwRhLruKM7nhQN31H4OKizvWNZtVZe2LZ1BtXJneZ0xDs9zma/wtBJoT8FapgndEM23iK8x
tzqidNdwmI3lFp2eZfpYfO9XQ/kRZeYaHMt+nxXzkkKxx1uyXdLvy45VQa9uZEBwDhQ/fUrFq0Tf
Y9jdcDX4MtlHDFgpH/BVLwOaI5CHeTqZVCMONOXwAzhqHNydAzSu8TF94BiWZ2PDXZU9yHirnn61
xSwWjh7K7K6a7zD7z/l5fzC+XKFG9ot6YKAzm+C7QsEbk/VWnr9mKUWf2/HbbvS5C73v8gy7UHFq
uNppuEOxiM361gfa85NleAhVzkxJuOwX5tWcH6tg8MBkXRuDEAkc4GSWpziRngdpQjtBmd379ypA
hF5lELiO1SjZKBKDCkGCM0rK/bKSOnEMpdDrbl3gRKW9ZSmlp4pcEcbShkW8Mg3V5UoqRNI+Ue/D
anVi+ysV1d5aKzO1B5zo/IeKnwGNCscz8Uh2eX9yd6jO8I+dRQiCYb9JmMjeWZt5mPDq/7u9JpaN
xnengGmDgwa6QLmR9yyuWGXtXJiFJRKoOtTWGeI4ohW6nyRpQFNV7i9vA868IFPegjMnibfGVlf0
gLMSiGVNxqF0xW199FpZgTy/eCxfxOXj2LI2ulmAdTI0zjsN0pTs+rn2CdZ3V6SK2K55EJJR/CZL
WHKx6GN0gRK79aIkUBVEOAQ9lqfPoLP2vtNwB93WFC+slfhLpZ4zTdpsCxYqOjZrwHO/DEutZjzF
+5T50RbIoOvFzG+KHrydHZxy440srTvFexXxmQW3EAh9+QrBXbYpUx352ScebzEDC2xmNbCapoZK
HdYQ/oDRojcYKvMGsbl/7WfkukT3/ytMzHO7vgErL/PkZoyUeGC14oL7IwrFCH6VfrN9v4tjdZJK
k0rNb4Vukb01iwQEv97ba7T1xjtEp2psG0sAjEYXZnG5VBDNbLhik//nyIf+YvAzP9JjWKgyYaIh
yExZVDg19cDCtU7vLmJiw3Gci85C/Oan01/5jOKgUdQvnuqokpUxbApCt3GKwEp+ckyKu7teWxg6
sEq1nEqUW0TN+W7cxIT6O9wFZbknbGXOWjeb4LkPaWvxJ5Cm07774skJybKUE0MfvzK7WQTYBIxa
3AQdilUMSDkct+iOrAMtWCsXPoB2I+qV0Lcpqwv9WLg8241/aEfXo271PUcx9kgpiIfSIV4ekmK0
rqCf58goiuuQI9ZlBEQC8yaJO+f8VAKN08ENqz5L+gebiMDM/Ts6BaX/LAcWYG7gwZhuHTVkxhK4
uRQxvhL95a4DJBEr8FKXEKlmbL6eau+UiNZnLqrEtNipeYnhIUWTYYC6Oqgz1R3t+dqYxpKbLUf4
ovoONMn/o+eO3yy7rbgl88isVGCUmj0YBQrAkP7A0emeXeW4C689SPPdv8D8Fa/XxDqI4+GsXz4p
hwxtJQTnLn/5nJ0cUZ+Z8FOsGAUxypoh+hQaCHLzyBMKUmZ7QK5ygdpwph3UgoRrEQSwHiWychz8
PtMLvMMxGmaUws1JLohiz83BCCaFAhwAv4gNUfqGgnLwjBvBpmEn+djHVgDVaYFTJmzLklz0I1xc
s1ZkKnqWGqDL9cwwKyOEe1IF3JdeDooq6cdrxdFtdHjcO29BM54HI5q67B35K1K4plTocRtJzPuN
vEHMRarLK8N6PU9Y/O4d2XrF5Z7eNPN1f/u1EwpqQIkvoQ9s+tvULTse61i5owQgSTkY2y3jzPHk
JXiYXipEPpZ1pRKRopiTkhf6Bj4x4heGGrHkq/597Z/K4pdpUlk5vAGqlE0Z0FM8Ra88zSANujNI
fzP4eTkicg3pdb4vft6Pi1o4deFak00axIgGbF60ZnIsPQjAw6vB/0ROOB7z84aQuehPaZPZsB8y
SAeiR/UmCqFZWK2+4PuqJrZ22E7Ysjk6uFpJG71P9m53DMZ85ciJtDS8T+XWx8MiP1npgnW1OBSg
185hvdHdor71Oe0Ma+7HE2+TAkdqO7BMU91q+5V6AnVZKiQjEJbSPhM1USYIaTWcS5yIJm/CsqYJ
yjSgnt9mgFGF3nno0EDO/kd5QnYHLSwLHKtIWmc39843EbwudqqKfgQrorXNfx4G14Mo1RcA4CQq
2Pcn5JyWHTSVpwlYcLyXC56a4kIg06w+yvNzliHt02YpuOHchorNXtXrf3QwfAaOzPZlwaamaWW9
tOLjlhu2AO9+M+Epo57SPH1l9zIXR7IZ30GqBpvs3zuMZwwz7hEkrrq3k16tGFfq0Xl7mKHR5CVc
JHwcabdatmTm/mHxGhr+nIfTYFLQIguhYybFrvCGUwCP6OtaOoCLHqnszGInVHu3wq81qcHfqSIZ
tzOiB9Y28oIZ7C93VrNoKOJAsTBylZ6kQ6Pc4czqKeDeYzimyFfPzXUpaGG25J3TlE2f1gTa6JB8
1F4kA1sYr3H89LcYGV8sFSlXA1dvWJnllXzahuDsUIEPW8CU4o7ikYZOYDsDARs9k2SZlLA3DNpo
KmI5p+C55CaUwF5PfxTX4T9LcBgGZ7c8ger46gSHo1xkyDkRFhBQ9ck+b0TU4RIE4IL7/Jx3SRl6
P/tg0s19CYDdiuyaFvOY9cfqMVQ+v+ptmSFrDVqole9vZ9vBNndKuPQO65scHuVFDWDhuagQEEd0
tUk8/n1z47O3WFdvSV9QEjifBpTCBsX16+gxMyHuxjPvfcoNX3DnTLmbGJkacZVolNI3J3S/xhDi
NxP0vlDbaTKhywqayQqpeB44Y2o+JIxufrv4ya+ip0m1+X8tWoXfVn0bpd8YjX344L9FXXo2297S
fugUd1SDSGvzSJDR/QzUr/HEw2xNRJdp7eQMEDsRGA2tV3Hqh3e653b3/7kK8Sb4tXtRuLLIWt7I
HgjZ7pRBcNlB1uCBNc1wwuRIsoHGLGNEJAt95EshlDlfSGw5UbeDYgIntD6SPDWDmSkNx0dvTVFR
ugY1dXYcSWp2+vCEscJ0VGk1+YlUFFuc+SMolPm00+VKOKFn67ZOiukaIfMdGYTCXM+aj01FfVn6
8wc+/XVAA7MPbD3E/LDMTn2ytrsMH1P2aORuEMbH6RkmaBhMbPhOetu3M/6Tkf8A7Aml0LUTjRZx
JDX2NFT28X0Q351K2gwdNCooAMiARDd+5RW9Oe3rvwmuTYMLeb8gAaZva1FouJ1N0SjMe4clgFLI
0jzPNjW5yGYO7dlAyx6KYCmTW2o4j9NHarucbfaQyEiHmmHginM3Pduze3pRmzTHRU19hzzd4jv8
D5bDdjDYlLg1LLImIPGx3TqShp7CELff9+j5LjikyMhutEkOJ9PGbEmRdePV1C7mS8rY338tAFGy
XTuGtj5AtpSiGHQHPK1EFIB2l6rShwmnRzqQIu9Xd4UxwiMmL4q8TTPTUJwQVWpgw60u2gXLwwK4
dEzwxPC21q9AGXozEVZXcbg3V+ZNSmyBSF1bfUSGPXl/4j8rU/Wv7StxzXU1vP+7yRrYAI8pItb8
nqBTdQbabkUGl9fbxoudiehKaXZKYs68Rc2kHz4ZL3OTU6oREHTA6ASnfhe/xAuEAsJTL672lMPJ
xyptUscZy9rm3rOWRODNDsWJ8Zj9aGRbqJYI4eE+ivuEA6CLE+N7pQjU54SmFsPWntDDG3UwF9Yw
I4/Cd4WLxw+Vg+wX/fonUsY8851j6cvqR0PeoikdFcLPlK5e79Dj8qdqSwK9pEyGS7+7O/OKrd/s
zpoe/swBeD4BSunxsjKS1dVQH1y0H+rW984OGdQs1jAeP5EoPFaZxCFZRVHWUydPimKQEa4O5GNI
qmMl0o4ReFYFtEklOkHm6LIO4/WdGXBSFcdSj99TuHTn/byGC/gpruBKI2xOR5xW/U7qdzqcvjmu
5y7DMWhC0rEykPLM56v5UIcxkmX8Kwkmxm5L5q9563d+IuHdWgyhAlQ0soIqabKvnDBt7qMooO0n
y3+i27rmYrVB6c2IKqPzg5jgljaoNzJaIOpH3Iqb0Vpaq6+Mo0/EFRgafo9274vpSVDpflLwrIGH
SpZ2OLTZJEEaj7TS8l2BrNZfFnWulHAS+eohC4mPLi8p+3vfbgvaMuWOlIgTVTA2uZ3S0g4c1lgv
NnLsERSWpcvFrUlxJ1xXet7vIuhTlPoh4DFVDSLmYM2OEk61gbi/0uM+PC+DP04tYCPXDpsgAlM9
PMeFdN7JAN2k9Y0BzNRM5QGiIUnqVPJCMN5vYysNo2R39WPvkLr0FsWlpYSr5q+yiq4pS/fJNgo0
QL36cNMCk3NSSzF5FN290XWy7IT6WkbofygMUUTCY2mdbPOXFRm6giIZK2xj5+I7jJIBjE7sGXnO
Q+w/kftjzb/YTl3KAe0Z9MbqoX0L1fX94kBCLngReQDI/KcIZ9Y79NeiAXiBnkhtR1FnqSYikOUj
mflY7cVV21Je9jxE7CqGX3L/5hSEsS0tvsrcUkSpaa+3AAASL0oa2DtoyhVDbNoWcK3cH1jWn2IU
nnQA2G1nauzZlZEGP+79DDPUOJ/qEfhPRfC1baHnzDkTYBuEvz/Y8MhtWFelDhR/QGo1LZJ2pPUk
20tpDTP+ir/iNEGSmTtQ5itOT3jFX7HklVpcM/Vmr5b16/sOX9m6604ZzMqthRc16DcUq9FrDA/i
d85xpFcR/+2FVtzdZK7RsNTiKKbUXAMq5egrPCvnWdZCh0EhjUSvu0yB9Nr1DbRiEhJp0f1EWviz
/Ho6S9KsToQ1h/D5xFAufffuMIlRSOsUlmkgpVFIrtgjX7iu+sSbYxsUCao5CFFM6DEmUO8FIxK4
gQ3PwpOhBdR6YOuZzplDBrQoo29k/jHTGABTc3k+6Er54oz4kembD1ltGmuINZkNJSEeV785Y/QV
yKeghaGQaWLypud0hMSpmyU9rsjkg0Z4Pc6u/4NEEs1XWTINALkZ485UHMPoi7a9FRdpe3AH3v2S
zTQIUfhjPuER3e6xp4vGE9q8Mq6IbRw6CRzJx2q8SChTiHHafPLgZIa9MU2VwtAqsI5DQPvvTCDy
EmuKP2VuYo1nQBICBui5M0jvzZ8uu/VPCGCP65bW4yM2UUcD6iKj/h2DWl5ne6jGEsq7fNNxwm/w
iYGo8q2sWuToNoRGJjHD9CxJt9VapAfZA1aWFCasc6OBvMsxcARGOXDBfxLaJHPBYp2MF9GYKuUA
LpOb6mDKfDcIfikV2amcp29c7t/cWPwsJ1va48fuRriTaNLaWo73MTzqh+9kC8EUs6YBzVddgtF2
0G3WLnbRu6h6dj64XOrrCDrERMq738KUcJ8tMmNnCehUDdoSP0qJkmNvmug0ISXZhrjqo83vR0Lu
zXg4cDGqTn5msZXZvd6p7zVewHmTjS//l8S92XUG2QkveTIs0kNURC/dsxRgdkRmnyqee7XdI9p7
OfZbzfbCes488B1AtKPl5eKnS/xgdWsG7gttssSDREpjkn1Jh0eSWgEY+AXDgiNCCz5Em64T5P3c
JTrJX6FNhQCIeFhxd2kGLjBFMo3HkPFbXk55F9a4apUjuchtu0NDdGvNYU+LC46PvDwLa4gEDhbK
pYamE/yU9idjUEfnGeGinisoVkIjEw45qPqDKZLdilPeAB+fwiyqnl8FZfqVhYXNN+QR0QBTz9rU
yi6eRlh+xlAkIcwJGItnVpmJj2OzdrI4Zk8+bTUzwHEhaXk/MIS+u3BulfH+CiUWqC2Bn1gFVgYU
kCaM2mXfcpzCrQvPFWPXGxve/adiEDrDpayOebQz0pMOcLyky2trl/Pgsj4NmvbYPUp7VHLrGWRA
1RU66AxFsdODtTxtxCvH0zDupptl4yFBnl9Vl/OmSfyMb3Xdk0LOTTk/NmZ5F0jhfW5nRBijnrMM
a4/IR55Gk50/wAz2kFt4gMbUpNj2XuA0s+ct2TyV5QkhZ5KKOKEtOSCZcC+O2Ta4pnHYTOFrbgE1
/0BEwq4LoFt7VoCyavCIds6p16Auyl6ZwINhtmnsFitUy+dSjqCObBP/c7lFfv+mMdLqArzkJ7Mc
MbVsRn9UZjLkTkjA4qb72a3bIvC/jeSDYvtS0YMP0L2voZ4bsHTcu+n0AUdRRvSBLt+nz/Y16dJ9
NbcFAlJGV94tziqJYf463NiDWL+PBzSQyfEC7895RGkwcJ/8/oDUEgjWxI58SU/++78KimIi7OhH
ytummiidAL1ehZ3hEn6xuRrzGWk/Mfgtrih3AzD1Fi9Nswv5T4Dfu4JIJTI0zxNrF1lPMPhEbZqa
QX0/BFul9EdfqDdwYDgfYJFMqnUKXrr+LYZ5cvrMXkAbLkxE2Azwe4Ml2Lv70WwZjR6yGKGMdnCP
pt6Yl88lpKjT8awtS6jo6TLtwmWlWzMgbKOXJhsstln7DCml2wNLwQmbs3eWz0Cr6RlIdtzBe9n0
LYkUSJqx4HLB7ZgR2hb5cnVPv5ULdDVhgAPx9s0pGXM+BdWdiAZqwDZyMUtiJW8KswKjs8XYfPRU
/dXrQFtAM36NyTNR9SyK7kIQJHcYpfs1NXyi++vbyUldpol8a5MrowAe7yrdPI1LzmODj8cFCbjx
c6+BiPmaGBlpFqt2Zqk784WV29zKiRxiHsASLAXXauRDLYIIJTKagrCQnWmzU4CNj0bGqAjL8Icx
tpebaOpk+w/m9sg3/giupVDZL0u09AYsDgfxHYoIiF2yHb4IzDY58RlbJKzE68bBeDEP4zzxCKZG
9zeqO6MdyS/L8eY7AcTA3cF7owbLO9fQJOrX12hfQLw6ZOpfWmyZbP0dIH+Lyp/d0jY9ZOW4byig
h6dU+dwFAlQ3ExFYAbDF0bHnbeKIUj1S/VEEX6PQ0BuPi7lvTiFkreyaKbS5VY4A+9EVT1OD/7Gp
AjmimrvC/VRnLJO2GJkUo8nvQ7o0h532iOcWKQcGnq+VEnxM6zET/SHDBinEHmColuV99Bpu6CkD
VwoiVeUAAIF8l/Nf2VW1xis2WgeKGYY9J+C4jrwSgE5+vuvZmJ512Y9c86i2LHbF8yVXvKpOqBBk
rLCD0croCfMj1VGDsOorUS5mR7WuY1A7c834hbGzLEAwm8fpj0HXEH3FEE5miaXIQ3/q9y+evqMP
7c5JESpDeu5RTvldCy5nW2iriHi/gbRNgurLjx+XWoE9Ffi74y0Y4pyHHaXGwBHNBGLNnsvM6ddb
bPMLikA98PHaMstKT+5lCjw2ztICerJVNOQcDVyWVnWG0iNKyllTRfTGj9OW6zIq8++RIkQ7uyPF
swc84AdTDiKmadReapNQlwZckQOnktaOJumGA9bkeRusApa1kH/uzKgevVxzE2lUefNcXNvFekz7
uVDwgXD/Y3JZrcvVHZwSrNYnL6dR9fihpv6wcLDVqFcBqBEl1DK8LC7pVXcn++kywta+skQpS7wf
C1dKa6b9cDAS6Lk965dUZ8tQON3/4Ac0sC06WDUzJGdWIWJXHKxRNFLNC/P1V50jjJiIZnjMknPE
oTI8GlCRrWFjKmGhrFO8trNlqiejFdgYsQotr7OlCFMgA7nbYca70mSWoWkbPzARPnOYEhK7Ssc3
XdC6NiDBii6JJydkfrjphrqusi8uIeW6LiPoUobie+hRsoZLOiH1rDVaITDC5hr+BapPg6qBXT3/
2GPr8Z4XsiqzxqqE7RlwMRCsc8R6DQPvpikZPtePv8uUMRtbpzgahsektzCRVl7BDva2QPRSLolQ
pcLXkQvDDdWibfV3wfLsY7DHfOhjKaeajpuz2M380fw98wOxzqgCMUZAieKeun5JcXP0X7pLC78e
Od5l6LwmW/We7DjColux9T5LDS6U73xZ+w493xTdjmljMX901oiUcZ3vDNzuVzJWJI98OvEtV9z2
BDFUJA+gahlm6oA7YtwHDrIgFh1AzFbjkMpUoyKZxzQs/H0r4UkQ+/HZkKaLK5YqZJ2VUek4NaNs
2p44itX5PxQUqfv4BTYBLLdXckz9M4QTtRyC4f0/O/OLz2T26XkFe8nIz0HXbxrhcGFJdYB7qp3X
lU6m75e07xfSvDCPhAOhE+hw1bc7RxL328SAFMPUKT6lnUdtBTGnWFPNhcQUSmDzpi2BzKD1iVRq
BiHd2EAzpwE6bfOn41tN+3O43CslXo/NK2YQ6COcXUHM8z3w+BucfusgxDzx2f1xmlLXTFNeEruo
FI/ZZ4nlGHKRaUWg6P5z2OU5t2m2P2nKAfaot9brRQ86WfwMMSQVALj3h6V74IxQ5ss4ZVMpYVKt
pUC0fC8VpPzbQTmUDecLs41uBTtuYnQxH4kgNrb/97Zo0+ii8TUorbN60KolU+gwLKA3IjYQRBU/
BlSMqVzQkzKEy5/R70pmRFdHgJGhRLPcOAGoGexDy4Hb/FHY3mPp+jMk/VP/Kw8yMmh7I0Rr0tQE
1zNIUqmdb10QUhNK5Ev0kpleY4a5nkhLkt0wtUx8cKwqzPh21qQaDwBF5/nWYv/nFnw68UBKMS7L
YGgZgaFQJYj5LhoPI+HxiU5XOY6H1Yb6rm9noZeV7PBsS3buawmEurhfHUZlh9HjkvSdICS3lio8
jUfoR492DUuTOjU1fP7FDw9Xn7q6XVX3fdu7hOgbTOaED/KiVaMZGprs+roSKw0xGfBb1iOLgWCf
hO9emOvTEG9ehhXswPbj4AyJDrPPU8jAhnQXboNruEOMiyYexsdOhnEoc1cU8EKQ26VQUStP3hrU
M6DMxVqf3Z8ZMYKJ87usKXeUXAkuyRZpsgrDousCG3VS4tj05OGN+Z25G7kcirnIgCiOrP8BwsKY
zGMVjN07OwrdUUCqXiySIRzDOFOeaxb2p0bsbkaKScmDqbWJVyM68LfNtncAKBRSRWVbi83xgKhD
f1XD5T/h2wSrm43+eCTERKPgpwQqhbdKGJJ14edCPd45vQOxBYXcuEXKAPZosLufzJPfUyH9RvQ/
XPGPmQz7RR2kaEq0d2o7FCRQLik/FdjxjBLDgph5P2jobTHBO6DstCzjYWSoT1MtXccaa08fn2SW
eDJAdtuWgsvVpBfx7I41L69sZzYqdK0pCrLn5E3hcZxaRdJJF/dDyUfNwDeTLyMwIH1hIS8CuxVE
X+lHKUYAFiAdetdLzOKeFz9/btYEWqAFsn4TuJ/xTaSFkxiz3frx5EOwhGQMEY22hiODH773MjnO
1iEqNYGLo0TVMW1t5PwBEXXfqifjrCJcdP7t5oPp3zYynGqwgE7UUjxlm5ZGSRdxhJ8gPrDtrT9J
Pi+phkw67yb73XikMgI4HkDQllm1Vn37ny/gijnsds6bc/zK905dNY3w970mu+3nn+u5bmXw1vd7
2X3TZWoc8ogzWtwaljEGM1MTrjvvfQHq5iFeNj6MnSSQdoBvmJiDIP9ubNyMy+CM3NxyoT1TNkuF
BmHG8NBE7mgkjcMYPcL8icbkXY5/61SPmCgIs7sCBfm7nUwhx18SW2oOFa9+9Xz5pyq/hCIxwKxd
fULKsneRWtjCjZolMwFre492X7S5OatULUUGAq/DzYmM5e3VwAwHV8sfXWaTfFcR26aZ/WwkOrqJ
JvVKxczgD8POivxgU1/JUJl4J1rPhYEbFhGW5w8f0NyJcKJkOenXIDSWmsOV8IUJSF9fjaTPJG/3
sNcOrklBiUxhuQ35v63YcHxe5OfvEFG9t1zZeVn1LrMdDAWiIXAkxgy2+5lALMJOjOERqIpfPSng
h6ChVGCNoMWzr1PgpTvHB77Uj4cBA42BAlTWrn9VxoOu9wvWol2so8mRIPhLlHzaHkLxITahEIQ3
ce3w4ebw9+hS4Z3iG+6OSoiLSEoqdmo5gIz0mubld3ap+YEMk0B3TyF+Fv4tvSA8P/wocuUCPQwK
isZlW5nTbJDjwH+5hPqGCTQ9iyx41QmUDSIC6/V6lopN9DFtPTxUevTwMMmGaBdIhSq2GhEMlBW/
W8q+MlBLWah440y7Fk13nYwkE619Z0QC52tA9ZUHsaOsVN6MTTkUwLC02qM/zK1UStUP69C00OtX
M5aC2u1JZpdm/o9IEfUiSbnOusxcZynBsNikfztM2wRyJ9tQc3pBW8ONtiIdxKCBnbf+kPA1qJDJ
vu9ehUEfDKvDIlwpAMufJuTVDffjDfRxaCFM8fKU2iA3Gp/5FT/vkKa16BHfqlf2tJ0I9D5DLRtw
6y3T82mo5g8KLM5lquUdaFvSBjZcPvCveppeGQtsfaQqQW4Nn2hd73Udxjth4TiOxf2d41idMOJu
Y1EXmyc3xOBG9OauGezpFOgwlPhvi8tqdAf1XY/utaFJ9Elq5+TzUTekFVwfM8q4Dbd06GkK16Mi
gGz7xvwa4HsWlU49SyXYWDp34XAn696iCddk7t1+ekw4nEY0JHDwcHuOAVQkwBuHyEugiH/1yoy3
zFHR4R5yr3x2rGjM76+Yk/QJLcLvl5/WRPx7+fB+9vyyk07yi5Tksmpd3LxqoEZbjDonmEGD0QlX
aePhMmMce8MKfmeQXSt5LgsiNxbaLxPCeIWu4GUT9LVLJfAQgwLHvDp/s5/XQ6b5w/X3c25rE1Y9
v9mHjgtvYg+pMHNFSLJwTiKpYIS9FiLZU1koy4omKCcyw32cFnv/sKVJcphGaCk+7kjjg07AcTmw
JSqiskC91+AVqi7wUw86yIW/yy/fGql8iwo6RK0wQ22UpWTdEve1AsPHGsjLr0lqR0rPmkCOlrmS
voa1YUP7gKIk7DWux1O4h9Qu5LCLXtvijF24QZd254MymUKoIlyQgttj5Ta8rD+BQrhV2PH7dCfX
QD8vMHnsvET5H5xW/FXlaDI2ZCLlthws45kVbbtWuiRH2p06VsU2NjIL6L6xgVagRwJl0KUugTeO
6btd5Fdm3tTiPaPp5zV6y82X05hS+yxEwvUz5rkTGLw/Dlv7kb3n+afzx5L+8Zv5QhPSa+rrnnUs
WbJz8euRBhNCtxYGuI51Y7z4tzccCTZoPOQ1lFkdHuyUoHRo5E+pq858K0PT8f+W2XjOhxobpo+q
dXzpdvv9a8D6UeHDHmNY9EZfCFTnRN9oXzo/YqZquNeYplnTVI8PY8gglWl9Sbg3mKhpZSwdf2D+
ZfIoQa/up2mM5kzjkNwu8T1RVaIbHq3ag7JomGX6uVruRB23veinqrDqB9YEH15DBeaIRfVakbYQ
i1p9qaqqzxQTviBUIav/OZAwLjsdzs0LgO87NtMNBvHChlWvt/cMsaJlEFrveRv5Z+zJsf4jdlWF
OIjyIrEQ47ymZcy12nJc0vHiSKWct5jyEXj0vOxC8zisPoPkBpTRDzOJ964O6IdeRRdsYK5/raSS
4Pfeau+korkL5T7PU9PD/9Xw43yy9IwNE9I6yZZp0l5hTGnfKvyODRt0iY5uu6Qd60a/8zacblwF
o6npWe7Yo6aANAXf7nRCDLrROz9sAhc9l+HugnOenvxN+YrJBVP+qrQlx5D/5FcuBGG4euzuJJB8
+RzPTl6hqi1dQ0Ib0BmZ0SLJeN4xWhpoda1A6tuM8SbXjLloKx4KQdwbqjqtc2KDJ61FRfXyBnS+
YXTs8qgrlggtojLY0LPZFfjJmCAGtO6sw7/ZTwPMrocyV6aa4h2YB76vV1p/8HZuui4yOpH0/jGC
F6FmQmuCOXGxkmzYYbKbth4PI88yI7wYvTt8Vfv1gwnBzP/CHovwl1KGP1hdogFEKVNPXdPG8UZ0
uJdtJPcOb1B5yENRvvoTdgq5jbADhR1ukd2YSP3hGWO3ETgKCCeyVWjcOaZp7a2OGcXUaf6bgCbT
Wp4DWO6a/E7rP151xCz+pYaTWMZsB7uEpbiDSey3DS9YbBYQu5x4VPxm6yGSxq6wL4c9/T6Lf7X6
6eUsUW4HVWT8BOUUz6sLrQJmDUjlENSDy1x7VZbxjS9hQCMWvuJkbWURisHjiV8JEh7PUy3t152S
1Bo5lj//Xbu41C+IF6t8WbIOOn/U+QgO01o6tbdYq01cMme9lGUBBCA0UQM/qwH5JjAAqQ3yhOpp
cJLdmBPAxoyUo1N/JCws7gB5PL7dcUs3mooqG/vm4miOi7uy4uLalfy0bg/LiI42jAo1/Ayf0nKZ
AdKyomz6Z5KlL3E3p0aT4Gv8/P9fhHPyjehAbQaWcJDgPbuyEpH2Qv+2MSjU4+o2lSlx8uOqviAR
ue0Eb9PX0AxPXUbzisgEFlcYepU7UCJmo+X8DWgBJebSUvpdeaD77tkOFpSazXv3SSCxU1Y4Vvqj
UqU4klhWCtgy0VWycnUb26pHt7FKwHMNXLSsbzQ2NRcwDzPxFSSyuETf0iW2eJIA3mBTU68V2h/E
aoCRCPlSrR+6O7c85AbW6y9KyPO7ybRBn3jBgE74Kro0J5Cr7pG899vah9g/e8w86rbKttrRPQNN
+AVqy6SXTV5444B0le/fz69YRJ9uW9mF4VqFGRo6yXyjwFwb/jWCSBHxIVeMhTdiSk9kvE5ez/Jw
y7LSJm5f8Qj0fLHOJVl/2fZ5WP3uauT7hvCwOJ27ow0DI5RFcPf3B8OvKaeMrvBslR8JBeiPCiQM
b8DXxPIgowLLoODxseipijlfACWtLvWUg2+3kt0utqLYKHNT7UKqLdjsDa/+9rhnWnYS66KOiKcR
xaOw+85EKrl7AIP1lZxgVxAyq955AlShkUdGsm+GtNPMZbwFIb6j2FWzTTnqj+ExfScVERShUAM8
A2zVuI5y9hzlTjKqNd7UlwFZ5AtRYaW+Zo78WjSV6d3KLYagtRuySaQQAna2TEMWKbhuyru0tWf9
JlAO0K8DBp2s+rdtD0O0Udr56tOmFF6P/M2DNqQrhyYOj/OMNG33+dteTKyj0/HtCYvV2pxoifg8
DAP93ipH8EYzhxChr01Gh72iI8F/Tfv9KuQJEvnt9Fq9siWQ9HxtPtxz0+rH8zpDibA8d5pjfz+S
Uehlx9uw9nvFeh5T3Lt3XAG64n5bjxN+CBaJSBiZa9dfzO7kI34mSFTA0ppGjIYWandfwt5YxFxl
snzLccH1FBY9OOyhsZsR9jBvl73kHcgOHJ/kPF0cFzncVhvwzoHErVyRfR3bvYE7LPTEJp4/wuos
d/Mt53W344ttDsTmRfCuK/Pvk+ClWYH0kfJKdrKqcm8oH9GYE0oDqFV953+Ye7IRzHcr0If48dQL
IGEJhbeQyhh2s7Y/anlHc8M2rz3s2W7dzNfCEAXIeP69e3rUhwcxLahgkS4yAjd1FASPsBb7Sjl5
VGQd4PiSvk7b6qtyTySKmTklixc65E3hh71dVsEIA+pVhalziokC+90Y36QjSk4Rzog7OLSPuofs
xs35sduxmdUsw3rGHwenlDIfYygJW4jNHaPMz9r85XVmnwfrfUje2G1P2rdgFPK7mmr0kG3Zjgip
DbI7GFMj3rrJyD1skPb5P1IHZmferGHKMEs82z80juCOv+qN+Y1GdOypZIua/ySQFk3AkT/ImUNo
7D7zw3n1FX34XM74tH5BFVeT0YMuaOj01SLoPy4DCDz9MWGvpoYz/UFuEWcwoSZS921HzAihItFa
X33xjv0DY9yje0q7rjz3ZeW81suN7FYgUzfeBWUWzr5Z7mcLbteuq5JCYZ6uWu8x9hkWZ0iwOqq+
iil1YReZvUO00NzOxcR0z9zmbgLy9hD1sSkIsWH/YSsRBeNTEGlbUidEpJWWlrq+J5Id4ZUNlJUp
zcIQ8Wm2TM0U+FNXT0yPE8jf/2V5iGDOh8w4XQa6Q4wJPngk08qVbk90+nQ04Dp4gxx/WYfbBBVh
E7y3fxzNplLEDIS6A14m3J4mxPUwYwTsukeDjvgc1igKpxQniHaqssP1/LWprsS8fUg28WA9qLMs
uYPDrvyxYBXZtSgGbc5jzy4FOC1rS5qktFoH8ao5b5uxhupZnbM57vmYMGkaiEYT3mGfJD/YcgHH
dn+KzXXsV/pTQ2AxwC6lZOpt0ba6pIprMGT4d05su40ttoS1/7u0g8ETdp3Qn9lt2dFYgqf1r5SD
4P5bWW+WOKDGhbUife41tFxyN+T+HfzEOYudFOC1i8RZOU7hj96V6rMKjhIqSoUZq3/8bJsNTCwI
ns4XzadjcHY8Obe3wmt0v6yCT7gPvu9kWC0QWn13dy//C7GX1byoZo7G+RaPondHcNmEnAEfZbPG
jeDbajIulK1P5pagzF0pLFkvwCIMy5K1Ka8Y+1Z/XnCVhhkwn/Jux+7KiVfb0a/qqTG3fAaCkWPj
UvE0WjHcvb0PeJzIfQjdRgVCFYiXqRcqYBSo+VpnYr6eV3N3jLnkTYrqREj7z70D5TckHt0zfE79
nHrHe3UEGdHkFSaF9CbG72cmse3pAgLs5OtzPYT2EnLKKIBbk7QiyzToSLg5J5xjkD7Z/d2wEo88
3rjImQ7GZxm36sZWEhE3u4EG4yQXGqQqrTY8sXsq335CyCSRVMe8lb/xJBT1xiN5rMoTqlJGN8IG
UsvdhbD+zf6KJkGqVgxKF5QL0LUJcmRnrufjIJIwjb1ZOe3sUc2XVKfsqZ5gCWS8s1OVPRqATySB
v4VeLZ3aeQQuWe5RujBzsSP+duBizuz8UalTlDWhoBMWDo+22ob6L9j2+R+RlpVAy2Es7TbA5DyO
+zqIDdp2yvJ72SfSE+uyG1v+rkrBtUOaEZ9HzKIe5VLzKf5CopDS4hM3XJNHR9GbzGZbxdV0qgEe
I87byfPZ3ynUFE6c4woWZ8v9bMePOHW3qIHv/uiiyL6JEna8gzQvvzsiZwE1ktkLrVdbwxNxHb0f
xxIW6WerpSIc7cjkvuyvgL9Ad5aFLeERs/aL8J7xX/FO7eAXU5OOMyGvgcUrZZ7KkzHJUr0hz8AU
uIFLoH9+wXBk62qAIUhpgnQ16759aLwyQjKKyn7Js3hjNHaa9byCCAgHF2SdcVzZMZK7I/SeRtQX
15aEuYxwzmlJoLddMcbSxUSYx2mcUQor/DBzrc1/VvpLcmDtghAk6FOzbTOkEvi+3P7kqa8Ebrip
b37JUqRDC0BxJ2VKZ4xD49vTpyEpxsDeLFuYMWTbFet+r5mSmNMBXdhr9VhTGcY5ui3aLmQOZcjr
MFbqPOKdC3meXAtUPG6uQejwfcFrSZJ3vx1Q1MdvDgZx+griwJTlWuBgiHR17pBiol5iCB1lV8bz
L01vZuOTOz6IMM+ckOy/XWW17gpmBInBEtt331z/ecnaSo3hzXLNVMX2SDPKV31XAxUB+iHnxkUS
Ww8AM4ynKbafwOSjP/U9rCMiDzxHBPANITa66iUMKOzppV47xUpEgwKoAoUqlB3H05yk/qL8Rlo+
6bnGWZ1wDY2QtMVyd1Q9ACVUuzexIisQ1YYLQ8NegB5+gnJpVQFXGsXsyQmyxk/pTrLougslrzc0
dwTHmajm1wxkvqP+hunciWZldccePqBtqK98fRx1gg26ZV9zbGUEj/NCfswFlwzW6IJOEGZIqN1h
48TfpkD4EmFTpnR/uptmGuMKo0401HrvM87nQpSPTaXtJYikEjJHYqhx24jHlukOOPTjlMVlH0kg
nsUUThBfBusfPMkKtfwcSO9qgbDVSTuvGBFiG7KZu2Mijc10uTvhfjq0FQoHDzLi2NcNjVRSncOF
yNVjV3e90D1M6jL7I3W+KLbxRVvE4oY14TstCmtt8/Co6WLOIjcmkdIx1sooAiv0FplYwBs7kPsa
tCJYQzdbu9eWlfq+t1TnhfwfeRNiToCgJDb1V2Tk6r1v2Kwir6iwQezM/ovXnuREYM7qCWxHHSVy
17vJxhc2nBPqlkzdlzcQmGSxpms8uBYgWwJC5fE8wahV98LDEnhkoC21GeofhMJD55HhNfrsyQmX
4m/NFFSQYYKUwCBgMwkiudcUsydrhVDZiQm6KPQjI7oqkqK6DSduYvFPKX5YsjFiUG0XDBPL6Uya
bpAPyRBsZp8gujioSpGZSbwKHa/tcYDtnwl3kbSAVjTWAiX+b8sJORJRhVbS26jfGhUdyzCCUGLn
5+qbhnZmB6HGFSqpEYxduwaKfn4mRYL/Ekuw7XiYBOBxQ5f382fb+fHwMQPLqLn/hSeZ/h2v2Aur
vojqAxNZ607dxmjy1VmZ/wlBHKZv4xd+mueiEoIz7yIQ+ZszQbY+LnJ5fID31j9b7OHxEdzq808H
6E9uIps83rU2A8jp1tsJAeFoEvEqDJK/odm+2M5iCUfQE/xmsSqxnZiakJ2e9SCDoZLZVuAthqU+
aanxPMJzwQ4nxko19bp0Jy2JLZxC1H9K0Uwnn1hHD5GAomb1XfNuyvGRDTNdI4Q0X3sjVt3oDft0
fZwMbnusIZgQNIONRWinfxRsr1ERm3tc+vOG/HCdQCSyF0Y6EpwB99RNExyfVxTSueUv3athwzPE
JpuN7vheSbhXZKgsvrcyZEzo0eByIvKUHFJAn7OWE38T8PKhJ+85nVSJhnLilFijT96wmQmt2kEl
htLtklgdB7AEKcE38u8tvdqzfLi+q1pe7qcZOWOIZoelSCXU4xWAuXMtjR7XBG95b5Wwtc+yGjBs
UPnB5AkftJkmsy2EspauvUKDh+6JdX/0YV0wewRM/T66MDlHStxkkMkIUUfNBxweCjFBhNCo4lxc
ak/Sri5YpZn3BNAofgb1CiS9pcQrAO1fbH3pThL6EN0fnRMvfI81MoF1MOVgY1M/cN7/LDE8e6mY
fiykSEvEIYqiKdOLb4q6b4VJqhVyC0lm7BBCMKk6GQtEMwvLG5L4whGoWrdypZa5jt67+izNbKs8
dZZMOWLRYZfEPdVvTjkYg2tp2wMjoNlgYJiv+X6/6VGMt5GxjAegxXLAN4KH+MjT+qqiZyXScaJG
+745vET3AWE7H2xlcggLJF3mdarh3KvIM/iqDD5gx+f1lIGfNeQqMl9mto6WCuwWDG9Tua0Kk+FT
gJ+jcihlKyNm5yLSb3yeAwKmu/nAp0YKP+pileo9LF87FdgzcbmiX9EOwIMOMuyXyJxnDPt2EmGh
kMBVzeApo1SPF/3ZU/TNoGJWLCmseLc53FZgAwWtHWlTamN25UrFcq+FDSAWYg3U9HXXCh9PwKR9
obz989AA+dA245zyRg3ny1VlHtd00BmnhL6UXsYfP+nxfvhpjJ4aQCeomlCHawDyuoDkN8z5cURq
qreVm1W81NEmccsRKteGXMSK+1h5nqVn9ds+Nf3p3fxOb9dlNFaHD+zeYXw8bC3BuZdiQgFFIEPG
1D8e/4vnv3/llt21PDfvxvtheenEH1RPMuXrl20E0Hk6EDn8OTnU+II9oOq8JvXYYrur3NG8K299
nw3wZqrdoDhYR1DIlgWO6rz2WDMqXp/rWF991DgBo3HDgA4AmMpoqMOTxsmxYapgTyTsWpLNE0RB
UAoY1/r9g0lBwlyPi+wUUfQLBN72YjKLPpJcXlvBhCfLbxXNOjyPt3mMqiaRYu1Y2foRDoluN3HW
aVNIBC/MSxDzJ7oDAnSGlWvlOzZBMIyvrbG4hqF+dbGZ89LZpQqa2dgrKn0Al95kDwvFOhW2yJye
kxpQMWg+XMBA3bgaRxi/M3dylqzAuSwI+yCeyemU7E7P5WySFmbu85MH70zLajlHkYDajhgl7OFH
YH0vJPKLbH923aEqI3qh/fLh5xQ725xqRMBl04lhFDS9tP0N5a1J4lY3rRNs9tNbnkmfzFL8qe+8
C4BOi/1Rjh6L7W1Z3dmidSvEbKxmC6psfurSygbjmjiKLmnzo+TKS58/F/b/NRu9bqKxazQzRJ6y
jgUdeF0gQDBiD2mvc443eXysChWLZF2s6QuIhNFtWbB7k9RyBDO0R9CmMPUq8gYHQNmFXC6e734B
zcIyR0mCkgcVBBJRLnnHlgR+1Jyxy7T3wtKktqFTN7dKU/tqhtZVWF53mndzFJOLtOHG/OJGh9c7
tNr06kv3PgQtEC+Hp179OggdhD7RNpguMNCue0kUiMZKn3blEcR7njsYXWoAE4CVtmIi0SZxZjOr
phN76pYOrtILj9mZDgEgNruy/N+nl+jbWz88WfO4FNuQfZZjcrpOGTqnO0fAe3NWh93uFhMBrnkH
A06WKAtEBuxwmQzP+AfIQ7NynH7BHhBp9nD5dSHYYYo8pY//eZwRGFLPie49F0DusD/oMdK14Yg8
X8UvD+2X9L+6W2iTVdV8JrUfadExo93E9JUIb8vcdYU0+ESiXulCoudddXkWe6wjAcPe1LazZ8Lt
Q8MFokJHeBrZ8GIRgG4SvIma3vN9GM1CBZC+fsOSVJyKdIPAczgJPfxUQxhB23xi0wQOM3KubRXM
6iT7MLzz3Y53JjMYNkbhdtMM4O+qECph9o5HvBZSA2Yb9Cj3OhFcn69fqo2h8XIFV/6nCTbS+rAD
EQ2mXQ4cFIkD74Lc4jJvgeO73blMRUjvmGgSj+So+VXrk7yQVo2ndpNfMxHUfoB16s8s5w7HKBtm
cV1QrpM72x/ILUdgsrbzPyv+0/jjC1UeUDxH2ZGt+hWyoF059ITAI7qmA5IiqPt4OksqPpdOueJz
Q/WZVkUx08BziXUrM89/X0cfgwGV8gcQ5cXErj8b2R9l64t9rQ/p0HJRJSjN7Gl6DrbeRK0TgJTz
kdQm4dUJfNfx+w+qBpWoky/AblPSj6cDafkbMWrMgUOuAAZoVvPVH3LbDymefpXvIoFRqu96+VpF
Y6P0lQFVIl4G7cadsfO7uHT9fUtcTxDzDbcx0t1q/YqH4E10m8d8yDcw8zJqXP2hrM1eLM+o18Lr
+fz7SjfPYeChQnqxhllRJWYumo7ia2ZAFJ93E2pffchgwGKDn5GY4Y70bBSCNotn5PBOc8U/GYIG
LT0MMObGQOMxuiOTsAYNtHeb0XFUpzO8mkfTJToVS6Ru+gVMrnTLqZ+uHyicFBIxuVSKq824FTTR
rlocoW6KehYRhnRNmQa+SCMv0Ew4TqqQQjIbFM/NIHUerhMs6H+m+cw6r3pT7thexAC1EctNVUHE
p5bLUircYkN4a+3qKxQdA3gPtQkeHOoV/WuEzSn9SrWZCZQwxJt33RlVe2SODMBGVyQseQbTJ8a3
iq35DHkAQEnjrBxYtYwi78twYC/UQHOu2mTaglsyXCOjRbCUEhIhxMnkC4y4OEqypIZ6/v+24Op5
hiu2hOhk+PRaVVTULWwqVi38oOzPEFXJcY+kXUKzvMdUt9bu5L7qqKs/tLN84N+wgyVL5FECN9nA
RsN+XnMkMXbeABWOEYoHsXT0klsWkU1ywN4mnQlr4WoJR+yfclfh+SFvyy9C8V5Bo3lTRg+60bl+
0150p9Ju4G/idMFze7nv14TtuDTekErzIc0lnXkq1HE336/mSHpqNjcilx6oMbei3CoRFgrTzB7W
i7qB9FSLxSUJWhqOm7WNjv6qiYjtMxj4xwAVHNn5xHDjTRc/Rsez/OeqUUza+pZMv/oPTUhIGsu5
6xfztd5vD451S1/nFVBWLRiWUEH/x6UiIWbsIyNv55nuWqa6kYvIO2XI5FPiVGuBkT3PtxjpNH+/
topKIHjzJ76Hz5s0rd35fVa2SfN9npPr2lra+ICR582m1ddCKLYr/eDMcVkbV659Kuc6a150qgMp
xJNXeuueNy4tCwi4o5wsXQolXGjT50al7cISPSKF5ueWX70kozE52T8c8LgvgBawcoUldaCUXBew
WgzSYUt/8sinhAKy2rJ8cWqWs5LgGnu9o+9tW5FbnGNYeszis4tzdJ0Gi6SiBp+Y+3plrf9+pT9D
LiwwSB42Qot8NRgYU4cwrGINqaT3UDaAUjoDLk3Yxh0sdbP8ywDcPqed0uqaYLP9cfJX3FcxCDub
dFW3Aae4Ax8UB2Lh7Wqi+FGmSoDIF2RP/Chu5g7dg10vTSQDA0ci4R0ZcEzkHC2nebtinKpOl5i9
qf2JKsLJuwm4d3pC6CDpqeLITrm1mMFc8w5FOcfFpbsxmtbmicCTDnw9XhkcT6qKYYNDm91wb6x2
EG+3PYYkL8uftCBlxfXzULfcOjwVo7J+fdA7Fo8h+n2WobH/THBcJg9vEWl5eoxszHYzRquEQyiY
gHLsL484XHtSB2h4qjB+yqV5RNlKu1JaHT47JrggnDzq5ldQAMhOzIyV5QznKZKjJWmZqGWPLQPr
t+1L+HQG6pm612ZVuRx9grVbCfUogjSj1q89XUmCc0FIleJihE2t0pQ5FLtf3OvD6Yi4OmX8RkYE
RDDgqHxQai9eNksLcEiKpiA4PDA51dnDeUuGzgm8HcbYEDcKHdFdJphwHEgVd9X2bmeVYDDrOixv
o7Hh5gbayaz9QenfgHD42S2m0AuAIFz5p7FXP1AZBm3lajhScY5H6y+uYlyYgCZ5/E9mFJgfJhMd
cTVMigub9k/oiL1cRAIwPhb4oiGJg8MWVwHeyvdZqtZPxxNeKU8b13BebNzpWJ4nOcU3dHXTjwTf
0RsuSMNLqCGOfTWX2DayFSRvLw8Si0H4sHMnMqtF0JF1OKhjPK0kB89RUaN8rhihGTys3PypFU8P
yojsYmYO01iOCdSIgMK/wynPchEjrj2cZNd538S2dxQIq7nqAvxoPRnwFBoePI9FGPWBERCrERFn
MC6kLNNXrT+i7cVQ3rfrhdXJNauznOwj5iPflCLLt09WGmvtQuQgId1TrUqdaD1hUZBth2sH8FH6
xQEOxSyEYO4bAX/6eCo/vtgby5IKW8sxzoLHGW+ip88ZdzYEFoPq8lsHs0391zvx6C0hX+zsbZe+
0YoyNdIQcjKmCkAQ8aKHXOZ73km4HW048QU02zb2Rlq8pp318AGrXsXwQD3WhkqPpuoHqvbphP2X
0DVgFVvq4WEJ01C4F9zymylWXyObgSZHUTEYVuXHG0Xf1q5PR91ZzZcl+LjszM3E05L7Dh8E0RBr
F/CMWPnK1Ru17w03t0YhMLIimnBPlFbseKxml67kyn5BUWQ/WTFO57b8+vaBRvdOLlBJ2oaCzXx9
kZqJNQVKEjQkC0UK/2BHMLNtUIYbTvN+T/Jf89DRA5WhOVWuFYcOhywul5l7tSVySa50sf7+0AZf
6FZ6KGOX6JD1X33nowF7fqNx/uXMc6kSgkl5TfmyAvQ+bTAu6YfVkIW3j6ZJs3ECDqcVvbOILH7b
obWJcjG+ISeXEhsqEiAiOist4MMlxL6avzZSUF+PQm27y/3lOPZNNJaEAkSbTjhpX+H0w3C+nzBY
/Q1zkjclEUsMMZktXyTRAYcE7vCDidTML6TpmLX1jwqozeVBMjijbBgf6a/puADtTMjuT6fm8W8G
SWpSUNvQqk6DpxboIsc8MIpm+zwIuQJJGJz8+qpZCa/tVRqZhFmInpqlowKyzFLeVStjVFKhdkuc
KZVjDJ0qQYWb3qBUtwFFIwd42Hk1QYBy393PAR1ZuGAqSchujKnSsx2n7AVMgVLCWNKCEJTapDWJ
AABRveVPRXoM+2KMoxJGuM8VdoZKOrme4lxNIB9ehHEsW0NrxP/c/NnL0fU7abXLLDsXbUu999Xv
qVOzhyaQp+U4DDB/A3U7IChoOTks3Oip6b6h1fOdVZ6vy4D56hATBBx5FhzDShu2yk1Ok8OQkt7z
dKL4S8JR28nBWm7D9ebUMvVgr882U+boZ8OcjmxKuwrR7lggrZtbuBmqer/Gu5nH7tstPStHkUaZ
DJQ1Ro3tn9SHTPpHKL2dzuii/B27LntZuMSB87HGBbqi5J2PCgDc1gPIM476ZPjf6gTYOv0lzDl1
UUxmEvJi1vklNIe+YAHsVYQGm4zH4KB9vFvCLS5b0UFfWHT3yXihfaa4J1RASkV1Gc4CXq5cQ8jX
ENyGOWcx4J9doi2r3mVbaKTXDd4TU982i4GU+7zZo7/v4/BL9ovfc/fss1YlRk8/NO/Ono1s9Sh9
JjBK6/AsiMsQUWzWgAKPzGDg/mKurw+5WCsibGDG7ndk8/NJMbsgZ/kzXvYoGk7O4954GThpqtD8
9RhXW028jSliB5fMbbQbVZFSbdzwd4bGbACdaUGPY3lMidGAiCqpElrAYPAymp6H1Nw3QUicS77x
QYmJgsk1SQOBF6Kqtmy1CfJZcwEdCHOqmDkyw4sdO4VqVZUSTqhkGt+MxeCTDHQAPnaZQUMMQpEG
rCxhB8n/qHk/BUrQn1C8hrZOhpXRKKdKWUi8HYetUGJSfjR5DkrAGgddM6oiyckx7GHvQO9kVypg
TPjYY3jkczMhkcUSLr99gwrTRuUomXe0+5ayeZI2e/IERmUeRNeZ8mT3Br4ZG3j6u8BQncg8ZQbR
y9Nk8FBfPQ4AePCwQ45Qxu0ZbPsElmoIY6VOEECpJkERcJlpCR0mCPa+4Z3ZKiUhzHrRRYRwbSRX
odZyYYUfnO2b6XeviVvmvl1e1Qo/ch8ta7ToZk0PYPToy5EIs1ncXFbRVYtx4b+TGTJIRNPlkIyI
eskQ80eurq5bkEW+xCdu8nTYo5i41HTWNTCb7aC7YV4xr3IEXPiq+KIcuvb+QNCG18/bWCtd/Bbi
QNSWlxuiVPOdm1myraWG+8Oe3faebfqlZFyIX3fLNM7DgB8z7ZlUKFXKeYpURfGOwuIee4TShujH
GI8fkE0BxItIGJ/b4FEhQA0AaJ84Gq8x2fmcp3YXtcesj5cR67mXviSczFIpsKEMa3187p7htBx1
woVFBUBG9nC6BemThb+1lPttDktzwjWrbziY0JhncCwY8lm5WcaHjLrcc++mtg6FyyXri9MBW0x/
XKAzm6n5qERFM0qXE36W8mdmoqRWKy/o10IRZEih7vPI2CKh/+vrOGrY00S3OIVbrInxqIqWt5nT
HtgZ6mQI4G7FGWqhzH8Zx9t22ZC0Pg3sG43C7GBnGCyj+9o+mrzoGdgo89qrfZeVJpCvajQLAxsY
rrpRZL5W7ySwgphz6PP+XLXaqbNohKGYPg7aLKkUrF5+9iW2vWWPZSJbiEVzRipkFBLxwGizNt9o
agoTl0Zz3hDm86UGNq8Fg7BihIOy/Al5TbkmhdI95mewEM2CzEcWLl71tNx0ySEwMaqqTIrEjWhA
rUc1lBdsu8xG3a/zV/t96xYFMwBxMQNK7LSsifFoHbPlUduNbRr0ofBoACYTTGuKtRe0GGVPhRzF
LG/K+dOz4Vqvnt8O5IumCJhPO/j7kw0oCrkHyiXqt5WeWQNpsqJxqHzo71edCVXxEDRBfrIQ8ZpG
aF5IINmg/MbLISLOs+8iAfzv8MtonTYz4cBaGehA8Q+WdgAd58IXqzK4RD7J48Xl3SKpSFvFxZA/
OWVIGcVhOAaIr7E4EswjbbwVCCkqy9u0ZXRht658/EQrCdhoa0KFAj2cYFRQgWfulB+qHZ8rVDeG
yD4P9d4Azv+ihGYkZ2P94/uM7cHl7bjJ0jWNjV7KO//4YwezkB7g/muTTwXuWkiHKr4yNqSGZZ7e
fabrufQT3j67gb8osX4ew4nhujfzDIerak3rQOeZamJ7g4AmavPtsA7qjpIu7q7bd2wcPp4F3BuS
itFD0UnzUSJE7W4N4T7x2cpYs67d4TgFJZQj7wiDWOgFaVxkRiqHi0ydTVmWLg7DIg6V+/XbM5x8
oKqhY2v9ccLza19LNRmvjYjg9Z6ylLWjTHlmPxyFPhdU5KaZAJuI1oMNcbNZsducnEHc6MDqh7Ep
2h4yyr5u+vYJCLmBOlJWaZugI13d9BKNjsO3u8ha/vQOArSv4pXKRYSKsOPBn9QBxyaECGlGZw5R
sLPly09twyWg0O8j6UyM2HggponPTthf6I8WreqOZITHnNEH5Ax6gu6bnOBuvnaQyHERam8+o496
kCEf5aeihCITzUlSwJkxfdSbrN0rOQh8cjABpZv7KJZFEGlbsqrGl5miZZm9uR0yvWTfGkrbK0dy
1ILYjodD1LydSempsV1GqzL3TUUU+b2tWVdRL0Ws2v5MCXiZjC0qABPO9hYK0cYmxShIKZicjGjd
JX8WolwV2AssHo99pMEExP+ZCHgo3LhHYQcz/BS7DrRjICPkpyAVUkknx1wvEn74lRoeLeBukT9a
aorJIZzMt8y795vhVcRDap6gLG92b0ViE8RH5F7OL5jKgUM7xZH0xbPTvBpy+cPd8TevYaxpHvhF
LZslFE03t/RVQDHK7B89pd6ph6xPY9Sx8YWmdeI9okvgIJZEkPQLI2luk+xBY4+M1/1Yay9ubwDU
Xf/1atJKpyO+umHr/aecy7DBA0YNYCOu+PA6mfnHp3Z5yaqMoWBG5FcNMWw7sbbZVth7B+/IOoqG
3N+k9j1GWWMyH4VKopO+Mj0t7KxbejxFxtBcDyZDZxh5wzNS+RiOz6QCWPdML551ZXg+X6Gq9D6n
XgENjPlBLA3xX2/UQXZG7zCcXZGwtm6x0jKrivzGPdshnfmaHPWM5UBG0dr+AGL7LdL6NWSk8Y3L
QHF8InIR6zv1khFuumN1koCTr6JN3aW61dv0hUKFgwBfzUN+9NF2dCfR2cgFDeHxBhRbVySxWCAW
yIEYDpJKvw659IU6BavWsKntpyVac7vGgxe+DpIPJreOi0Xru5SE1szss4LN1g2WtVuf7Hhkr8i4
q1UESyC5pJM2G0Y5EhHMnbBcc42o4fbaTBMdzzgajeii8PVt8wer6W+wXOv1m6DCmoXz1vLJb8c+
pvpb31LXo+O/zEJggftvZQNnism7y45yJ+nQwhHuo+F4GSXoGYpsb9J9M3M0x/VdSAAzWnwYhZjl
dZWqo5FtpnI282DnyisAKEWp6Hrx+bn4L2JKR+gNvz3mJQPqkRGBZgPfrinDIB5XBgDQ9o/xoYdL
kszQNqj/p66c+QjKPK+CLct8jMOYP9lUqQfFn8RIPHrAzd9ctFuUww0OldZfB1fy9x2SAM5otrWS
0QNUec87oMO9DKN3IzOm6bVksCPHR77lLFWdYM9XQCb/7KdwJFDgXhA+q3zPibWjAoGPyJEOpK4T
T4CNUog7S0Ni0da4son9j1NGtYYUoICWVSI+LZu4pdUkVRwSG7v2gwkH00tDCFFtvUNBK7NUNtTG
AOZHxPk7TZkIJXHFJi5rL2BV9YqZFE8oTmy7S3aCDGVMrpdqZZp1NLgSzMP6laGqGkOQczlmPvt0
+u6TeiA6xUBFc3yE/gZk3aeIqWvDB16Q2zx9da/HDZKCZLYMkUpmeRKB+hK300+bQf1BLELFlljM
NemZoEKy47m6o27oeT/sBxNZVk5qWNnRfeq1yO1NGLbZ2dfDf2ZMsCu2r8OFF4ubLgk3DmadUfo3
qAewp1+gZ6znWWekoA+WVKdAHyGRsfhaCqffqNW6iJyzRQU5dVraGEepLbdd4icQHVVd82IsL/5U
tRvnIVD77MQ0o+iSbDr4Ts/40DAIhj8dTXfYqv/ynR+yVL9RUhXse2JH5pHVOqrhhDhFnPh3Idj5
1e+JQQ2dcufavAEQuk9ENc7U4TZo6DNDWj0S98iVSCqkO50al+mKtlaCnT2J7celiXj4YaIhTFDE
qWeaF8dXjr9pyHuJDg4/yBteyr1KTOW3iJ22THnSfCPF8q29XpFC4NyFwx9m3Q5yHn+TjU95vxgJ
d7xj3e9qb1pJEHe62PLrkmVg2GX5h46Lyd9VIfpfbiUStDEQBRgq331PDlFKq1qXRvJlGpsSNk2Q
rvW0R11mt9uAfQIa64r3HSqhWWlF7KdMtTdCWkqJ8C5EpW2WaeLXIP2fT1CFbAV63zR5jslpIiau
QGGb41RKMcf3CGLLXrI8lc4rJXK5u8lftLqlE+TM6Nv3lNl729aKy202MlN6tuWnOTdadswoCLUE
fh+Bhs6kCTsGk89fQnYxPP4l/BefSYX05plRnooe22P//5BxenGfAA96By6i4bAiJ6XGgZYYD8IB
xUs+cuWy5gJrFxrLJcj6VZRsD3ZDbaEtwoh4NhJdA0Sk+UhEUcomNMjdhsM46zjAWSmQLJKr7zGH
7Mc3uNTlSc0MCkTH4MKrcg0DKiWaxtGfAk5umAZMjOeoxkMKKXLBzTEyAm4KZopGI9Yor0Qbe0Bl
1FRWqRY9UNvhOVOYqhw86Ev11s0reoaeAC29XGvEyJAMtk3qFWOAMabSWXDavNm81qrgu7UhlM1L
s8ohSsGDJkO0lJge845pHBhgCVWzOiVP+mXabRXqW5ShlbR4yzMeB/8+jcT8XTo8vp6SBwgzCGdL
vVNudPNzrGmxaufrb89AVC+VVs1bl3Qww8LJuJC6xXG0DlkksRA8wh/NPAjxb6YxCv7KpeySA5rS
oACQdRdUjS/RN7OhzwH6UQmNi6yrvbpodq2AgS6mEaVxQUmLkXILtIXcR30LeeOEU844gazUI+Kt
7q4+cETyBYkc8lNU62aOy/tQSrmTldDMrETnlUZvmc0nqGT+VgOMGrH8dwu+0ojE2qYDOI3PY5ZA
Qyb+91aeL0E1Sz9rkC4PpqKzJkIKtyhTFabI76VT+AoQNcnn9udCXiaE64ZXs4YA6ZmEOD47lVyg
L9RB8WfFuoOvOuplryiiwVo+rdXmAn/A+wciiRd9WI7FLWHm/64adP9ktGk/CXEChThgcrr1bPP9
UF84aiB/qxlInjTDrXhrMYhn5l/Xb/Gz38DKc3tneS2APFTTJWnS5iqLM65ymv7hEG66+/VH9ANj
+CdshcDAprA0JGGYuJxK1cg7unFbMiMSb9pAFjMq2ibrT0W2y1be6gmcZuJ8VUaliIsYLs4E8lfX
SiHiPVR79qOa58Dpl1vYb1idvDXMN/qn4pXFvnl0wYcNHzKyICMv0TqU8qyqtljbkPZY21jR90xq
fLyoANwbVQwsIqVAI73/q5W778mk0LGzepgLRtY43SVNqu9stHr2pmKS1/Xk9kVUbQuG9CQlchTE
23qAnFJvsIza+P+5KBGJFajgXDAuyonAwuJMmGAFFuquIXNuDFRclrs+Fk4oirabqb2DwHe3kGKG
utpOIj5ydg2IR+L16Z7PaY7/qbTUXwACMS69SlX3II4L+Z3xLSfGv/2EnwYg7H6ealA9mjaWfRiP
lA6QvPwWS2n1BdfGfww+0cAj2Q/T4WMx6y5epFCUnye956cfu467E/m6qjKhLvccghDKenhWh6rt
+WEgmmYDl9ssfAtWD+8aeoesFEa5Gqw2M5zpic+geOm1xxg+KuN5YmR+VerpC92Lm26RguBjhNjK
7JljI/JKnTKnWEz4sR5nnbbqo0X/0QhUAJMzibjpzf/7n8ZbYM0E7HoHz3o2QK5yzIFx7Iuet0zC
Q58kBdx4QAo1kc2JsAx6q4GLXhKUuWiLw+GvbZjV1iGREccCNhiOHdz5qmJjze5ZUHyVO2qHP8kW
h2MaCLXMt2Vw7OSNm0UYz6vTvUNxO6wfKqRVufWDnLgLvfI6XcUmcnbZUdf0YEiH0lQ8rVN05gZb
DMLqtkRThX2JM1mXwPQkSo1eBt/FRMkV0V1G1bo2AtyKUPph8bOd5DkQ7tSENlxMCyCBf4RrUv4K
eBPIz6ZMmxulFDvQ+R8NWWaRdWtx3loplYgWtqfEUEhOVSO20GujSljJiFagOJzMRshUv1VDGlQZ
rCTs1ouE4tMUcE1F4FvX3E2wEyE7NlzNjUXAEgNhCqPBV7Dxncf/w1mNC9rL87UAMhKhELd23QBd
XDgG8XmjYvJEvIOKQdbYktYNN10ghQ1ZBjKklsnrSpm/oHhvHaNhyghTyj4W0NSKdfwnYpgh0uy2
o8/HRlmF4y6ihAeoaWokol/B6tapdAWqG7e5YqmCDaa94DOv/+Zm27v/1tKr26samaZEJZn/vcnU
bkRvmJAaSGQL3BlJ5t62I8gmNgKT59BE8/+pU6a/ea3XS7dxGqYj3OljxAEL4IsSDOJLAVE58CIx
vXnRLRzxewJqA2umi+TH4AvM+C8JN386fAeu41iGhq8wBxnqfwDMyEohN7bYcJJ6WKhjk1KDehKC
SXbJzWM9OdPHRUQZ5JZyO0fE3x4BT0/IcVRwl+B/DgriX6LDCk/HjAGZIPUXRxh4Dg9/4yyjq0KV
0FZ1N2gM34qgKPYJEYnUulD4Wlw6erh/lQOkt9P9DlgI7HjDgc0Sj9NF+d8hlrOx9ha80Auip67L
XEKQofERFadfjF2e3KL11clZhqSoF4Fu8y4NK0L2oyI9AYAEQX2STprPUgmzQnYixm+20kPwXAr9
5Gf03ONPd3tvAhNWNjbOyAumXcWM6vvxw539hdTUUk28D9S+usABFigCdoaQB/5B963fWI2PAwlm
pxW6r9GngL2Jbh0oIw9+uhTVvgN2jwL+G8h03Jn3NAgw/0znn3SJYGoLYMIHDSkekgSR1ktlh6ZB
3R/RgUScMpa7ts+ABDShdfg6ivebB6TI1ClA+Y66D/n4FTONBd+WVZkDFMlL4PhjMKf9ECngkopu
vA0uRFBxv+x8zE+UaWnsS1jEGKCcxZoJlZrCgIzrroMwzQHbEbOyTrFwdCZ6Hx+JG3WZv9kCZbnv
odWJPboC/dSdNpoR25QnPWwp6T6ABMkgc0HqdSM71dVnnNR4RV/bRvOBPUVgntYse9nYVF850Dit
zOkF90D2fMxYXEjB2xFS9hF/0vNPgkiSovVZRDudYbpFYu4Ivle95s9mfA+5UDStHOnegy084RGs
hAcr0O5oUZB6dmL67Y6z2oVUgNnm5rG+DxHhXkAMI2Jtw1EXbUqKI0jnwJLinNg7x1M22GVeooIU
QgeGzQAHexy1D71iezsasvN7/rXTIvKbhSBMV56osj2LepUX2OAXSe7DKKr3PSN6tKo3hQ2+6flU
Jo9dgBOhGZAYom8xCpQZOGXdi7hDlyUjyrhaEoQeyVSQBRRwQhmxY0HG4o+Qa7kbV3ojF2r3PT5F
cOkIXTLNleUZAHfTwzXumoHmJI4bfoDZl2a5ZDzWVgMGwgwZF3wON/1MNnRUXjF7FLSrXYpZ2dNu
Xs45QtvZaMIK2Jc8N9yxgrmt6W0PAGaaedlZo1aEMcEvWCs1zgi5Bqr8ZgMPWZg+sv/PAoHc4f+D
Jh4vIRT/wOwyuMXW8IjfIoSmXJxnT1MbgKqYiMzwEKCG4nAMOMG7o1ikLDa92srVIn5BlFCa8eD6
qMRpZrTsxs3tNaiG9NHSfoPNv9Q7rm//eMLAagtHm6HkaRu26rIiO/GjNGFQP1CQf4tUfDQzo2es
soUgFf5ONDlm5BYAsNSH8sQ0/X8HpUwTroos+ya/xWp4KKiNeoTX8jZxtSbfJAMR18d2yfY5YXKx
RzqqendW11OcT0JQCPOayDSzdtjMsUoMJENQS/M1Csk8ACRcZb0nyxwa1ll0pOMZBcYeVfn9eFLF
U6Lf/p2Wn4Rir7JtoghTREfpblDLhFq1XDR/8J+YfHuoCOkBkqZU8Y+THNWJ8oshR/N0xZuHEIRs
qIrvuJIYygG/oJHRo8ZtD1g+UNWgXIrd8gzB2HdsUrDHYA9T8QOc+tn7Q+hZXFBzXTPDjYloLuPj
DqMrioLIu4nJBOodz8IERtZSRm+Gc576O+6erS3gbTeOS11qVpx6kshCgagQy299AyqVE6RqhCje
5zkxblDdOEZZ9XHRvhZ2zA7KnB0kiTLl73IFGL5WmoXHtTD3sIAYcGOgfDWSbuKJ4+ncqgNc2DQe
5PfrpcUQDdOpP2hjU/eyrfRPEq/rpZrrSx6IzckMVL5BQ/2ed1tEvx/7iVSoDZsWISm190m56vVg
LQDrpE9RyyfplCOBIihBOaQVUE7Edmq+LKm+xc5m9syVsysy1CpJke8uXFl47g6lHqiFqGm3Rfu4
0G09lyPohkIdghk+Tr6BDR+s3FWqF8TytH+7EoPaBF35Y50up5Vap6sCfZQLqdxnYPsu7BbNUeqc
0xfU21FJBKWGZrU8Lq+t5YuaO8EyiD9ebjU6aZrBLYJMtTovv8VZO9PkUQofRfdhW8f1bCWezmII
AlYi9dMIYTNEUF7SAUHRjQHnXcaDdHGRM36VFPa1a8in/xsGO7gk3rw4gdecsTeUTRaS1Ulq3gR6
d4V5a3n1RzCEBmAZ8H107Mqf8NnbGcHcT/27+8YYR/moQ1qRYSFdbqcAxVfv29dRahEQlM9Lxs/8
j5puDaeOhTE00m5yucdBDvIxosRcr0UfuiiWs0lXJ3NSEz7lYMo/WDCVBGAwG68vurUiercxt6dY
2sxpRwWmol0zKx73DPMsQ3qM9eKXmRv8l5lMs5higJvbs8Dt0uwV5I0Dzg/ueYHBpV/1rugo0P5P
mAerK9bJMToeLHnZJK+LhNkIrK9+z3wV9V9M+MQPn+QUdJI1mpBb3eYql8nU3e8QuoPq8nkYejhA
tWzVLw8+j0Vda3gFs1DsfJds/cbYERMWFBn71lwDQU42Knc4EkCP3XXM0Z4dllMkAzdSpbgWeT5Z
wpDfpcw14oHa8lU5zAvrZvI4EL1JdQcmKL3VC+VfENr42ZdO9J2sOclq2dNMitw/9/PG9sKaif6l
mXxSGH9h9J7VIYKgdn1ejy3EdPrJGnmfyKG5Ql2ypkRdFumJfGGYSdGbH6QPnCjcJjoaCnxz4gzI
u8+O/9gGBhKde2gI3QLwwUqBlr4tgGUJdq7j6lJw+/Y4lDaWhzNouL7Vv0JJ/I43mugI5QCJ8CTT
zKQRyyXHoKxG35n2gjXO2sDLTNhaMiR3cS2L0QBr4j6V7Wtjws42sCQpDykbKIEx4D43esYHpdt9
u/KTWWEmlSDhV+myINKD4aAwY1mS6KELE9XbdzSR9Wa6kZAOrybePSZGnf+UU9zCY8eOUvfc68b3
hapetyP197ppe52pSjeHlXuVNDagoGgEGg7bF+/ICdA+O0NTV4KY0V3Lf/3J2Kkh/CYxXTZLG6+y
9inotahnIsnaHH7Q31l9kUl/8/jQvsK7BREFCSzT4hsaVzDO29cwDmfQFELvUEEifZ6QIC6RaWon
gdeIcYbTC87EEv9VRc1knCKMWb+U3uW9Kv62nF4DVP/vtHLY4+F9YuTUYs/2ymgmq8C7XQVaOzck
2vM3oqjQaIAAc/ty7f0jQJUJCvXXuOhiJRZKXTj7kZhciCS09o5BtyM+i+An1+D1/9ua6KdOw6Gj
kqAf47wjay4ZB8iERZtOpIpaB4hP0AB1oROaRsuVk2Civd2um1BGoSnjNSWbg/KTK6JFkKlc74uT
NAp2OBUeKxugVHaX5VBn552tfM1dvPow9rdgVUygNurD1d4wmviJN9T1EPlRgzP8YW7zrIuF5X9A
rdsK5KOSOKzpug9HP0vqrWRwynuEP3uyAFTtEAlcFY8ZxkEd1NqPThWQHWUi7MELBTaiiouTzyA0
jKC2Znznj/PQnG7RvLmo+ngBsxbhOONLFZeux9/9bc9JdomUpejxTO2ORYAAjhQYXPUF2uY6bMLX
90lOBf7uh9Ei918+7Z5PhQQ96f49q+BeCFKoFNcch273tnTbAFCYLm5Clrve5RgblgdpmVZm56gb
CX9Wk9xhkLzHKVkii/QkWdwiNd0RUDwMLnZqrvygBHiYLo5cF5CTVadu9WTtybLwbqfbNL5CZgTb
xbaGgId/wUmgHss1Ks++anxnXAkSSQ0nIVw+PRrU3RSgK947+2MNhFmFY9dDATpFziUvQz73e9t6
GiMTz1FAGdgIt3sPamv6uVa6XfrlRmJDt6OmViqC+HheBuE+S7+R+rZcxHBhg8YECYhcxWDyJ3K+
dvCoP6Rut0MwgHkqOBq3VftBDfoYLfZWjy+Ww9NpiJYSAcdr9sNWlU/4uAPTXKqeFRl36elomYAK
agz/UN7+Y5IB3LyRyzOhZiu+2lOqI3aUKcfsCioums7dvcDBcVDlk3wJhwkTjFL64emOb6PR0scG
SuOdsevusry8B5w8oTW65JNfPFptcWLe7d6pZRtFL05xUTCsT5Hkl48CCSmE7hGlYiiiYyq2ZRAg
u8Dzg2HIxmaRNZehKylK6soOxvr+hhGtaQH+RtrEOmWl/gSnV5r7b+j1CcfZhSEG1XvPVT3y5kgD
lfI70yKFQHoX8V4UoA85T7xqF/jWa84KlxNf4zMacMOLBWolxtv9pCQqHPz1ODIoCnbrNJkfZKwP
WzVrK2c1TzIZnuXts1Rhb4rgUryTkHA0eu5HrePg8D6nbfrdfGW5eVJQ6KSxA8/Mgi2Z/UbPpXRI
OezjyCfM6Q+gE/jsMupNuGOWLBbsyocT74sAfeBsk/UEMUPOTfFvz+4pwyQDl0v3koCm8R70Vafq
u346QSd06Z0y4bvJa5ZBGoQSIJHJWXfzpm/HaP8crGYu1Q2osiRnj65KCxgwCqVQRd3LHCTGvCfa
2ikPU+FxCNyZoeVsT9n/Ud2bjmQCH1wHe27zHoFWsqgn9DMFGQPbSUrxd4bu3YAVZvWB53lxF0Yg
Y+hX7/2+HgR7X93o9GX47wfGJ8QQOCbo4PLn7QFAN2NWp6YCGDegYXKm2UyjU2iU6VxUvgvPZK96
/VfHEPvMZEEXUNF5qSjJDUfsZ8iaUuLpxKwueEpuvUysjd7H2oVmxppkciaDskfeh19pmYLuapT5
AP4ndUo8mWh4uahPYd6QuEF/FcYiX+b2ZQ6KASGpPnaTsbytvoqkREhyErXDYJsoFOo2LdBtjMd7
k0I+oDCtKrdMGOFyUkk28wh6FdplTHV6lVBl6cZbCk3WifrWBJFwRMl935C+4xuU2Q/NvaQu5psU
dizr/+U3CWcJFuvmChDfohg36xBaC0yh90CPOaTCFPaCdi7VmfEJCyFIrmrdr7Ir724tnJvhBnxo
MgL4UddUWcAdnBb7RejUs7x4cDgop5pCTPzGlZI6L9KBH8bgoVqJY5E3Qmz9kkIQU/+TaAQh//GG
WOMiXCOi+Klbq2mhQnc6Sf3uH0VNW0ifcfgUauBVQ2L6v7e8RHlEX8JK4gJFukCnsIaVoFTlYKFb
mNpkeo64X7+09cF4Ni6ngR9B5zbv2sW90DaxQEaxNVwUL85eBEhds5xKmLY3wpijH+yLv5BlAcib
SF8oeihCIzsL14KBeg4jKfeLYVPP/k4tx2q6OVJ03f79S7ZH7nXxtX4k15XFJTiwGymLaWxVf4LI
Krx0wT+WaWT37Ya2LXnG5H88X5ksD/c/f+pndmC1HfT0vLV1lhNVtyoWSNpf/oMFgqyY7Wom9zZj
d3P9FXf1LryotKlC5OBMvFpg+UjzerVHrSGDdgu5NoMwUM9yR4DzEK47Q4+TGTBM2JGTABwRFf3B
Fsw+hQ0loTljifkeBX3dA0mLt2dISExLQeSI04fBbL0Ap0D24bB5u2aeGrBYch2VZZ3/xHFXY1Jy
6ZI91r8EPRgNqntVTJVhdsTSOGP4CNi1DwBLljPBqLz9CLjIP5D+bfoJ/sX0shf0DyvL9wCH69n/
D8iXrjnFdPJMXi5KtZhAhw+e06p4PTwtvlQdOiogX8jVnRZ8qJVxSFx9q+pdGrzURGRIBG8uFlG4
Fziw/tWduhfCVsFp/kji82zV9+QZfKiY99sQ4zJP4mlyh/t7F1MDXqSVXGzR5hfc6PZt5AklhDSP
oDr+EmXYMcCAGI6spYvXNcQVRnWl7PpmK4eILXakig8KmwecswplX6PeZ1esPzju3/vR5rx7o1/r
SdlUGdHSInlwG7u/RhZAISMXGdu+Hou6DRXA88AHUArW18AkKdZY85yXSNHIWLjKYZuoFYiWDTMv
iUsQ2eBZl26QYmy+Uef7tn4vEUxffz8eXYr5+ShY0unT0h80UGma2jq+J4oZ6Rvkv/Dfn8HT13UU
S0pyxpYU+F1M2nVs0MP9JTy+P8KMMx9zbmvxylSSnmjeBEqgxIkwzHlzR+qaMudI9WtNGHzRflEv
45TzRJpX5evenupI4Uayko5zICDkQFmUeWHTFmHs9NlI8s+cOxNA9pymEMJk95rAJGeE7io+TfN3
AYLD+Ff7pDFxDK3vIyGCnOWmQlz1qTqkzqGpxONrPHQpn5Z9yEw855ZrDnOUf+o9
+okWj4cP5Q==
`protect end_protected
| gpl-3.0 |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth.vhd | 9 | 160200 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iGPsLtgTSI7pVMrKgneAoT8YveNtFrw/lJAnluLChzkCGz//BJJKatN6NzsyMG9Nv0I/0aGD7eLP
UTWrPIqKYg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gO8L9EDBtZCXByM8Hhy0TWVYSBPubEqLehyupsUZEQJRC+IVk1SYdw2SEX/wui/FN//K7qGgGLMB
KE9XV4F61jzlo4vrHP6CCQPb00WFGc3DlmwN1GzdPIdHEF2uJ/Nt8PDiabU6YCLiwEah8Ij46d3J
tPfCU5Lgxo1eSkTyG8o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wDCq8tOvpXJ01noWmu235TI4m0Wm381/kg/8RtROJ5GJtNd3HKH7+G5/KXfN+eFwVAakA4ujB8hT
3HeQO7OyP2vHnEbRTwVtuPTTYHGRX06M9djjlYHStmkQ2/L2XdK6YRaVbHS8bYEnRk6SDHrkNlOE
e2TYXzG5KpclxcysQATnloKFv9eI1JbyfFsTpRNVESueqfuZBtEdkwmqOKUmwQEboKnh9Z1llo+I
sd7ZTgPceh3cVIRjsjrBWf61YwoJQRQKr4es6HTrEshW+pm+YxyHdVOrUh5cWaO8KXNUpJXCCxtv
wPHQqoAUT3uMqn3MzQ2/NJrQet1xonEUjwt9Vw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
t2YH2LZ+ZhPFIEC2hM2ZSIBILNr8/dwboZaX2HBYpCANtYE1Zd0ToFQOIgVjkm6oqOywlGEnSol1
s3i0T1BqGKni2JoTXQVkOFidukask1QxO5gXZi0ODLMfIBx2ho4SEFFKmuhFEe/khBqB8PjyKEmN
VkVbLDJIW8e5aqMGnEo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nrE6nneqtrxQtPfQ9dvV/j50AUj1beeQszJZuQy8iqiEK8E2UTI+vv3F+dsA6cOQuNkas2dF1AIY
yxsvLkteaqpZFs93q57NeIDahAYvkbMsDFCuxjwmdnZWYj7NpDb/0Jq3X7eDhCxKVLiZMispYguz
vJWH1IaohxHE4bTpfPFo6dJIenplADJZl6B/sYPaMlYuOgL3HibMNh85OidZ0dVL37nAzFQKJ8ep
U0dS8Gwu5N2ZEA9z08gYVQPzMviQMA+2Qf0RFZaJe6voE55l+FPCjaMRJTlwD6iQNnQQfW3+VVZ8
N6yhlR9dHA1rYK8RS1VVMi5orKYEv7pXwfKvsQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 116848)
`protect data_block
Shy1H7WBblgNwZKEhZ8gi7mSSu4OB0a4ZKoRAxopAcJp8upg2GJ4uhFLw0NvnTfUyhconUPsNCuU
R9pzXkYP4SAqMc5wyxlf8n9LghYRf0KOEsQ4zT38MfL9ClCV/PknOpy4ZG4I9gEnaNpny/kLNSn7
STd1tDmpLATg4xq76pXkjHlIpxwXxdbG2CxEoHGXL10Ktjvdls20s0+QEyZUAzwZyxMMojXL5lSm
VOVy9oDV8575zLcX4nvzaM/sANVC4Eo/P6g4qpVhOxV71RmOlk5WspT2NtL7siBcmcCIXz9VMEAk
7f+gE8wEY+lEyBGqLvbX684rIWdSI5N8t89dkXY6q2jhEermxP7ipyBALleBuxn//h5g56Ks61mJ
S9bWyVhO/WzJzSyykpjzxSjsK4P7EMzFruOkuVdctPx+b0HE0tM6rZzHdZ2fGz2qyCXSygNbgQPt
2WvjA6dnZHYulikyi34Cf5jo6F9Y4bE9FGOmx67s0fLv4IuWi8oeVkzBBKRSpGml6GqF5Li6dh2N
t4UKIFR3auJv39B+FIYasiM5H5UEZsUaCT+2iIS8bzIDwGCNgXvNdUbMki1CRfzKB5/1gtUSVXpU
3ENuCQBht3BU8VK4+gYmoQ4HaBKOVlwXcpRtkfuIXUuSi53gP5JuNYsajIhThSjDWEXLMq3S9vUj
kWHhMUjiDzHZ60Jsu1ROXbAY5VR/dC2MvgN9331I5kTJHSpLzKm1punIv5QuRWZ9sY9YAwUkJtG6
tU0gU60HmdMeWvnRhZb1HNZiwHZuqE4ruwRw87PdNiJYottnyeQYog71ka9uv5+MVNrZ1glxMYP1
SvY4BFzftIkSoe1Ma5Ptx4V0We9DYOMPXdIPSX84WwE72OiVbZTdCLCXcKQpwoSh+LdWlhKpzCT2
JvmS7bs6Z/bV9dYJfzl1h1DZMWyGcCn3oA5UPQqUT+SyNAbXe8obU7cciIHKQ8VlcY6x3LuscQkf
QzBtwd3kSpWxAXwQL8UAaBiZ0gmJe34uY1z4zOKxMQaw3xoXcyC8/aAVjyY+FF0JolS81ilo8rxx
5MESr5ki72qNPY2lBC4bb9eYeuxbXBF9frFI1N6mZ4WnedFfD5LekSyzy/rAsTBlg+sOutLupDDC
qAkGL79K07fkHcmTRBt90difLRFQ++WXa4asm16q3jl/fq1zBMY46+g5soWF0h7UMqZd2fC9zksf
z5GIf89TJD6c973xv3I4hTvC6Wu4J9BnsFkH494p468li5o0fYTqt3r4GKhJLS2xswQsKxslFHBT
sQ0FU0Z4Dn+VB76+SrsQDHfrx5Po86Kp40aXOIfJIwhJsN9+wyr4kkaYuParKI8I03ch02D8ntWx
TtPLeixFOCcKQ6yH5WmDDNhw4IDlalSxSrQecpP+KtNsuWVLS2+qQ/HefXl5rgODAFo52tBdPJj7
PS329RhIjg71Unod2GwwJCsBg5emdTNYazBFhRBo7MYTxBGjGGBeEK4UdaDlOZPiBPRBi7UpveoZ
Pd3xmnwoONqYxf0u/D8RxrdRBY2wcnQLFZO1qdNDmMbhmrMc9Mdw5G8hXizrPdFjqWUTg25VXXHC
LG+6ietuKX9HN/vjurE9Z/fWEvIedZClB9CYRfSxmAd4Owx+/s1ciUXtvm1H8DWaxZlxTixIr2bd
mYPmtdDOTgx19Rwfh0oaHxFC1Yktx1ux+ZO0dAsE6CqaoGy0b2D4D0YN1IwB4/9F7dZdTmWMN8w4
gDuv7mD0H00BuKLkAjEgu7/MaU6ze5n4/6XM8AxSuD6F5XDHZZvBCLVvWywgb4rQqXyCcxtIWIQY
ZGz0B1ZKJJ5OV0cWsn8NIz0TYoSDNciGl/VfzZqcpsQIRFoRlDs6ZomdIpqbbi0Ei843U3I6tzLh
2LXlhs8aQTGCrlhTbFKJl+713IMhVa3H6Hde+gH8JeliusWSz/Kz3PtVqPZEYbHI1LMd9bJq3xGa
E+ldAFE0OWKDX3eVn6sQP5ViBeWKpCnpzixWMmJ/Dp5+b2YU6fibkjL5i6PuVflyfOkw/4ZiS3xQ
cPCrSHzM4r8J079sr/lnVbWLnfjAkKyeUbYbOB7mn9Uke7xNhuvY4cY7sECfNzIOAmTnjHA8eGBF
xwoeQJ5wlaAOPnTw7hvN1rX9IFHvWJAnyTamZEY/EJVt4IsTHetqpXqVU+bwRyRwjvoUsygdLycB
0EO/FcL69h202HYAVz6t8kLnrI0ze5gFTWuCGUXvFfRUGPx/j6TVXIMXem/aYh96QQccCRYYR61c
8EE11N+XYthKKWmR62jvYphDn87KXO6QjudprHbZ3AFOpHT7JLNGdMevDl/LSL0Z4fp0bhDLNhcH
hAeH6sLw/V7ObrDiHV5dpsjdR+VYY9F6bhClyVp/dYh+mqnb+m4/HZoN8c42c8l+wH3AJ5GemOkn
svAkDnNKSyC6LHfsVzOwaLHIrTpNHfgNvngfr/Dj8UZGHqHejH80CKvPBA4f690aRvXh5YdtwwV2
kfugdCzhf4OLoitTPf0cOWha3zohK3mDbL94dQ2+/x94vRu8AS9yIhj3VAxHtNX150RZMibIapE/
EG4SjIzsM1LKtLIEJbIQjIb4fTSLJglCu9E0jbBw2yT4CUwLwaCsq4rvrLsWsK4BbJt3Xik47xCj
FyKD3fgbwibnJf4m4qcc4ZXcbSGoq2tAmaaY6//wm2jKESWbYNx2/7x6cIE1j8gkI52mZNqwxnIn
u3E/lf1zp0OjNGPQPPDgAxOBkt+XBvEHzR9MP9EV0Rar16N/uCc1WPL/o2P0H6rGuwkhmaxhX+2u
9FlZPnfXpd0AzY2Fn8rzTlnnw+2Dit9xL0L/lsV6SoHBI9XhPWB0ZdzAZ1DQpbXXSObDzUUqyict
DRHJMxru7YvTsdLEY7KUY2NXGUVv/Mw8S8etOO/l3ctryDvXjLfKG4f9HTz2Vk/ni5lHVjEjoHrp
enfkkFybyVtjoIA8NO66aInsWiRzm1xhFEyqdWmTMgLxzq7NNiiM2APrNhzp+YMQuid61R757T3I
sye6OpLSifhtk2Krw94AU2a3UbL1uxM1AuvEViThAD8SX7by8k++IO/cl2DE0XarJ1tB773nA9zH
xhgn8Q2dmWbbmv+a8+Ka+BYH634F7Di6iasQgL1iWt6s2MDqIZlKmRXdjzQOSys6dfzjwB5/IqNV
BmWsq1S2/seNUBB1DC+88KSzVJAucP/iF2KYw5NhzAFoyvGwmluiwSO7RZowo34rzq8dvXJNloec
Gg08JOUw8KM9B4C8gaSyZJHwPu6STRSIUC+TYtkCfLQIq3KdKuNViJTCmZMI1403EJvNuB+K8atp
JophBVV2Z0/iVqhy7+vg1kFcTIyC6MHw7NFCFlMLtPUGQS/axbJJpADJXOoDSMYmVzs834A4GKgU
73fTYfje4Nk78mDdzIHl5L0lpfFl7uOelpjJlH+E0UeRV2Edl1Mgofg+i7krznwehRTmfmoiljIs
glvZXk9YGvJNTUFkUEgReTJR+hY6NMjMvFgcc7RkvUh0NMuU9/bgvDz4l8LhHmpWFz4Ju93vyZCc
7U6mxP4FllOwzsqyyPWQShum3h3WRYRNBrfXEe4PYnhlUbQx+GrC65NvA+JUh8oqEy8HHnWz64Yh
mLUDTJl6hmnsInLX0W0DkHg9BpGo9RmpDarrJZoQX3baqIiUikEwyYQZOrsKwTeMngceqCirEVmY
4s7qpRa+ax6jzYDIbgEyktj9VAXqxl6wki7ufz2PaOGSmwXd8M231VsFbT/93oimzidHo+XIymRv
Np2RYiJzcgXfwXkbn26UfkLahyesJhMlNmJpWm0643vk7ynlC6FLjyedOUSUCXSFWLsJJVIloBRx
kwQ5d4xy6KFMA7w4rIXDsgWoG/GTg0OEN4s/bLyz44LzMEwV/6NGEKqucaLl/FNvH3a8NIG+rXKO
vzbsLZUd6fCTH8mE3VWiLeEYzRUZdRVG5GIFsErOi6JeP24G+8G/IG7XaD/wPAxIzwX19IS66Ero
9ajOdBGK6TkhWn6ZErkcepe3iEfi1ZlBlN1jdKekyNVB1iPRz9C2PltEx+3JbfT5S4IArwLRuGS5
cLpmQhtcHvhXkwNr7x9/YhXdmpCvbd55arl8NV87j0xzXikh+6xXphMtj+teURpUtNH7hsfqV2Qk
2RgCNZg5TE/ajJRLk5Ze1Wpd/y61KLCn7tx5ZgowHV60eMQNXPIZ5g7PfvMu2EiBCyYWOR52lz3o
qqH1fGzRL/VtdwG8WNGXlOazgWO6RQmytP1BAzYbTsxu8djhSxGuRQ4jNJaDFXFi2hxK2CwIGreh
YMIlfGRqf6VvO7waPpXyBNaUP5S0BvKcXq38sThi7h7LrjSHhDoilrncW+PxLN5wxs71jIrMx2HB
T808OvBDqz9tT1b91Jy6refNpe/+51tk3tkw6QmNRaU73zrjkKbBBPXzke8Nt9sL8P91aTONnt+8
akr1B5FZMa9PfzFQl1FJuhIUNjYG0aLjIR/JVqvkgEhp4NLe5aM+QBhdb6RT4tWOy+PeFp4pFnXx
Twfqm/K4lMVbTCh+An0t5S4IZ5e4V71mFzFN4u+RYUMSW/hFIQRWM5BV4+TYP6LDb0t0+rhCNWYp
SmtAWwgucfJtwOqI9Hvsg3EsAPOjFjUV9BGMmr7U/JYe3qgpoqX0Hy2j9u0oM26L+T1tnwvLyMIZ
1G+v2P7kr2DGsV/Mtq9y+0w2uehHp/9cJzBDEQfxSVzKsTWzjwjGcC2mxFLk///PD/2gxZzxY4+/
gZ4vWj4JtjKPLPGtueuiGd47cZYSB61rFPNBeQUY3U7A67p1W12acOOITT5RVGoSaxqhtP6MOVQZ
4wXLFq6Vyk2nqdlezmjAOQ8l7i/cFX66bYSGRlNKXaaywZ0aVaWS1dcGLGSlJqsbf+/oFTYN09IY
7SiaMrxKU6wRjnk+zqn52MlNAXiUyhwQGFDeu3nhcK7cMoXs/svceNsxGFu4jeVwi6jSoBiNyG2F
XExR5I9Fqc2OSitmNyiocGA9J/Cr+jNOzcUlPZDiR4LDxt2g7X5P/1l/ryCx9fmcVFEY3XMe7pSr
Xjr28ArAoMFChcFQ+xGug7SK1/Am+SpNfta3xVz6oGywgYPeQ5wgkzjMeLf9vsJgxWCabLoD8PPm
jbCfxYae7w8rFebtRNWE8HrIxxhpz1VbY8nMOJkwrnkf543rkTDteUQ8htAuu64KwU45tVfYOV8b
+f9DzTGRrb3IQCHk5QuN37T//L+LaCXqGoEkFjX32LOkJ7ZPIUCW7gPSc8Us4YPPugk/NerjyA2Z
+1+R4+nN2sIy078aqrBJEfPZWvOSBzVUETdWsXUNphVjXalDg5D9Sgh7C16042yBRdIE+iYvNa1X
xoU5N3KGSkKwG4eilsvHFtQmEIOJgcZZztfPCrRX/5lxPbfyLiYiqyg2PaEjRO2pLHkcIVWs/iPN
cNbTTsXsYEetI8sCVP7ZsHpTqgNKLobpTmRGnHdh85QzbOMLcrsCHJw2WWYuLGcN/dCixm3Iaw/r
UvD3chsGiOZ9TBVCnwZmi/UAIVRDrVoWOtIIL/SkNfAKynHe/yYVzh9/v52uvmY+c1D8hHnY9OD3
5oLwyYqWVw4U9gQA0V+5WQo/zP/5FTbTgVHdvOnHfhYK1keayx9CUwwGRsScawITCPj7N/4lBTG2
MD+OPwzBXaJsTlmu6A1X0dZq0O6+DCqy0kzSWNc7CvPzhJFl8VC2dJ4eZoyuRPJaqvYQe9PoQAFK
tKqpjT0HMUqJJmOe32Y8DLuDVydW5n51hx0c8TvVVfQO0yNY1CLwJrYdf9DQnUY9kJKoxK7VoFeN
+Sb/R0Uxt+hWN0yHwnyPTnZ+J2yKCG9kcE26yk9AefJHotvat52MhpjhQ/xAx2HNM3M8SLNTG/+O
0ajDPSNSgfvvbE0bVXnLSolInNfCFZliYFfq+AaD7FTFNbSzvl0S0skRJ+W6CKJ+VaJ9wnL7pDPS
8uljdK6tuyKYfj9IxsOmHrpk8jCg8B8xjU/0PHYOgXOmbFqfaF8inHcOx2FSaLsQrgVGCelBhd54
ORZIfGzlbsvkTY6Cnynh7Qhz5h4LYxWmxkuRW44P6YoXSxFjOSkOBQHdRS2MTbNHN5hNEWKp/Q4f
jUg7v+yxtran8WE4IglNDNI+1yCqnAhrvZu18+TTBk7VKkUdN6IEp5IrW5kYykfqLw4mTxoT2r9s
CfCbw3KV+bEodLYbHRnLsm7VCA+JhM+VfbUSg5Xqg6vvbVQGeXfVMDE+gQegggPwaQP1ZD4Ai1cJ
zrhHqYyUaMMbTaUMqHEAImchfBUKZgW+ovn6Hliui81nKEPLuambQIKwMPwNRWgeD2AFHnAHWghP
AGrmKY8CTimsw+5abPNmLia+UOxIGzhqJF7SnH3SRzqIy0I+5/H0s55WdG7xfY+1hqUpPUFzitMw
YuI5Y1R41bkzsPQimSsS4oJ/r4BT2IJ+D+Pr6480E0GjAOQP8UOe7DYZ5pWLpvwBtgw0NEexCqXF
+3HCTMIqUaqn7hsHVOV9Uu3U0GsNp59A2CfOZne02olR/tOM/rD5CVAw4DbLc0bxw1aV0Pae1lef
PVmCA5uQfqYQwk3JHmsr85Acl/AUfhTO3TkCPqFbpbJ+pe6+QWO6lTHgG5otQql7OeMbaU0WI2Gu
XDihPk5hGHF8nNXO0GButBWiJPKipkXsa+9lmNC9wAnQjv+C36ZNCmA8yCx08VwX92oHQWoAOYN6
xA3SnwVAsHy0Qb/nZauO44MiNsBQkMZZwxXijMNK3hiNyUBLaV2pTNXs4gv52EqIn6V/JXjoabAX
AmYQIgZ1u2kY20/s/uNSq0QcHxfqsqwIBYUoTWJIXprkwrV9UoE+4+lv3vz34tydu485v9zl5Wjy
WXVXcZL0hd2H89u56W676Z+VDA+sJcVN+wb5Py9Rc03CD2H44ROdid9FcAgzwX40DzuBFdOehCxX
bWC1MmCnhLOnYpizq0p551WkA7qX/ui1v3s2D7cURC3fPBRor5LvVrN756jhmNIS/+3qkAVjRD1b
KBg22Z2NlnGxGpm8NfjkHFj0ebdi0JHsD5q0Lv77gegdnSDAbFDee+p4eBYZ+8iSqcLfLJc/7K3E
aRU4ezHj4AOKkneYxHLSmk0v1lPoPD9DxTvzXIoWNc9TOB+O5ZpB6S/zWHGAHX0g7uydEge/cp3W
I2q9u/thPAHu08yRxTpI3ZmCq/VJm5Y74EprPX3IwARcmzQmlEbwaly+NMoZnqLGAlBmX2S29q+T
ClhrqSKZUHqw+gPaMZmXNs2z0RHPihCAddAkmaHKvPkQi0ykog0cq7QqObY0JtrN7kgTatHmq84r
JZuNPevvXns/8vk7kRuGcacAUrIEx4vkONagXWSy4Erexm8raqcH6wNQOyR9SxQ73oKLrFMlWgng
8AEjO+miJrnXmeI9uVX+rS0Eup7HDhsOUHfGEHb8Nedvcr8bIreBCTywn1tuB/ZE8bJxyi5NWpIf
7Ir7rGAoRl/07eWEzB1l9K1073067mBPCB/quCib/5AD8pHaFNjIELURnAstHXx9o1dmociXAfK1
FS2tJdxmOIxK22Ksu7ZwU47C1fdjtQGUCh9So+gCeEDi2RL2mq9SQ9W4WiqpiTqUaq+LrX/igSo5
dBhxpKgjXULUEZzGIxtcVA8VtSy3LnWgDYCnunCTishzlHonMULJgz1bUHCbbWTt5BiJm96VB3xn
IJBVW4uN0nhXVq14jCyPrAnLcFMsrwNOL5sMu5mJ0Ehcm1RBy3Lw+JxTn9mfuUtbzEeIgQnVLMGV
sdW2s7YVaC9j6fX7ng7mygldS6IG8wdo/VUD/Q1lEplZI3a/RsWbG5Q81ULChJafJSQ25TViy3M9
7HBcyhNrkBcIaKn6V5MJp9SLjVMzBf1Kw6ZnYOxH5x72rgY4WCvbnidh1+4CLG9QGe3SH7yawhS0
s2KCucRXa4IwSB3JpRhC10HybMnREHTDKF4mIOxq4G/YuxLB3HrXMTZPFC3sLsTJuR7oK8rQEZuX
qNZ6+xv60IvnZPXYlyBmghN1SgRZwpbas0OMDEYHlCS8WxKyhld5itPbCcALdxiRnQLuZZH4BDVy
KA0M2kGA9NiCKicywmeOtF1Sr9nyULOJmdLahtpK8HaKOgg+f7mXDer7uKtdzWX62TJNpilpYMJ6
TSD2vGeQSVME/n6x9qa1QSGkLRKYLk8eU78s3Janam0E3ddfnOea54Vi6I8Snf2sn6bgRYAw4d9l
rM5FPYITBnN5ziKbBIIeeLoifPpIW7GmH7s/xSn3wkUTVpJpysmKyGfS+sHaDfDsQKRxUYiJhOd6
VtvD/Ala7TuIMOBB7VtCa+A3yOuXyZL/jYZfx0AqVb1OZtNTQsHRl5eLIDkH/CDfVjn4Xdsm/ylR
WDEOq6KP52wuoavUr3KjTCoLUqmuKQgV7DteJL59I6skF0A5oXID4vdSNtH8QdgnvfWxSogsXZDa
7UgcasAnL7RzZbnbBzR+23QDaWmyM4o0vL2IfijOK/sIvZa3lnFcFgK9YUH0ZtHTW/DofasZl3ZF
PIeDL2BJFEkrFvI18hbwVUHHck8oZHWdl39C3MZcZxdjIabsATAC1QRcxqTIbHxex13NiPHQbhdx
bk7j98n7ioxvVbX8TjMvO30IZne7FKOhYmu3mvGMLYZHmgWd7drHgKZzqcHFdqguLIqQua20uiA3
gbF8BDMV5xEcGBc+hx3ofDLSmuM1BglewiIrjwppipJV61Y8A3mhDOPApZUokveC7CpUs9k/8h/x
MlmzVfzB5Re39sOcfWsWp+giCaXQRMmQPtza2oQdXibe0bLPjoLOeYPEb0cMf1hynU42zjlTcdVH
baL8soRRmNmwWg1r0x/ULP+wh/XuzrEL3EqWVe3JK0LLxWEEirg8NGDoCqAWd5vQg5IKj75TnsNC
UzDg28VpqAE4WOorLgnOuNUe1rNrohZ73mFXg7DuFrm0H68EHq0+3tBcADn0cAJsNPiHiXeWlfpD
j7Qzpq46Pp7ZfSolO5z7Cz2GZpcB4i9NYB7/JEGha2ZG0MZ72TAe1i3/LKNvl13MVh5FsCmUfs3Z
VsdWVk5s33N5g9QpPBgGvgjw0gtFGLytlg+H3D4UrufghZsp5bq+6MWlJAMbyC3MBEhkkaPhdAyJ
hAB8tGsgMyet2nA0J8y1qQwFchPJKJOipgFqeiBdLn3tqqcCAqf3BNodhCuWpKjlSbhJL7wshoRM
2EjRmmt5SVmZ73zu1/NTFmQT8k4o/LHgcbETP8UdV2s7PswuxHYiLjCEONAIwoxGL43B1H6CDFS+
+/0U5FWALva08ROs2inPnSlnWIwklnrJws7bEqCY15Htd5KPW17SuB3dM1jN+GVSc8X7eHOL2NQD
HodLRh2kcx0h86OXjj3s5QmfnWO8Hi8J4n0/38EFafvrDKoRh9P2GWiTLS0dNZL5YXovzs498kut
T9qQPvEie3DiDHdx4xIbbmWmuTwTzWTZQ6DIAlDshPhIgO14gx/brfHipjdxb4f76H9wHqpR1Sp+
yayVS45ZmjHiFTiQMxFyZZOY1Zpk0O3NqpNnIXno8ibv1c2329IJLZ/1FcQ0GVuTEHqq0Ezmp7hw
SgYdBx6iOx3o+gRsb4l03m9mYSnh2vRJ24QhvYAyQzqGpv+mUTeJWnP+ii9GQNGRGxU6PRtCCnvY
9RxXw4eUaU4VsClemF1OiKGQCbaafgKlhmRpgYACcYREZdikMI/19PKneFo1/fFmRavnFw/kDlF4
KQDzpiXSxz/5hpmo2R/5TF7O100mIdR3lOd855T5TJ4xBBSiWBu7jVOy++2dokjlqsAhnh7tAwYC
HgpDnEdBaBX5OB7+4GTD2Ay+vodOyq78OFmKeoQuPzWYLR1OqZqxDfN10nSB0IRk99l7K4nvRZiw
3T/TU5q4o3j52mFp6KlHOxRnWwOKdiKFb9rTEmyYfT9RCoG/0OQowNNhpLq+0QLsguA+0FtUWrJ+
BCgqr7wjWW2eQlvSZ+uUqXoBGDbqW+5qwsMStzmD8WD8mUgPb0bo7R2F1PgYHpuZ7sHlZmNVAgF8
4M5vyuePpTwDyajt6oPfHGj+p+dcjVdxNNvB3LJiYyMMSYA8KP116k3loBPo+q6a6aH3NBqByZON
9KmBUk6pNTzk4lRkYVFpW6V+11Xvqwv/6rwPPu3Kws2/lVCDBaaTeZtr8vriLbedUPoJgs/ch42Y
70zFupZ4cvzLbm9JM9/4rgvXkuigVuV0VnVLINWo9GBt1gDwD7ingCE6wxjmHwBHkrmDaFEKMe2q
iFNbMeYUhnNCGMIX7bY65h+QlniH5PUudw6FtZU13Wljj/eYFoqOszr9HzYcz9GiHtlpDJxSGBSu
/qFAC8/QvVqoXhi48DUiq4Qzl+T52HC79vvkBSimec0NXzaKjJth/4ad1fcVVySGpd4K3YGVuGVW
Uo+o9R19vwlQQx6sZ9Q6wR6Wk5gSIu9yiCJS2m+Hfry7yz3kl1oorM4CCgC4ToUNgY1Qry/VVUsI
m4BnlU92tVv1LVrQfAcySlcOiXYokTEOm4nEa3NaEYGQMm6T4G5SMk+WrlrnN3hwH1Qa/bqt19z7
CVJQ/OdWFNb0SQnUU3AklIX8kHwsf1dQaJG2xvdsFRupqQwrXnOtM857SGmnKsRBeQg8GQUvu2mu
C8MiIkhUlvGye1Rd3kAtJzrKcTuaM+lDBNuTQyfv/mDDaxqiZCFKb4DeqtoFsMeL+Em420Wd148w
sRTEsqOBuPbKxdv2+EM+GSVkdDEAcY+YIz735xhIqAZZjVB/wLoj9OI9y81ZaL2m+qQoFO455S64
hhHYd9Ar5QX67J01sEkFWQ0YM30y6uU76bYU178eSZWmvQwZTcMcyHc1d+wXJvGmeDYHsrX4ujC7
lpoHYO41Z8i/eOA70uoJRQ1tWrTTsktJczIC0pEYlDnJkLO13MfS17Wk7+G3sq+I6JBi1CN511uI
mjKXTi0f1pLd8NH1ee6RyHMxHuwpwQ9Elp/Bngc7UZ2LN0VhtL09HkWtm8jihL8XXE/PxuQPhW7H
08Z+evo03SzfLhvV3dPGyqQZJkRQO9BjsDxtUVDkR3H5WwwJLE8aU9omYhmyMn2IVNtOtKiFWYlY
R75iz+ME+lQ/+7D7svXNNKvVw/rTUJFbBFHL+XK7tmjrz7zKEFrAhh55tPkErMIFEpTVkgkaElOO
nnQycP9laAQPzsrJXDN1aI34wPQYAw76HeT5ahorKtJBQxY5HIvuZ0grmtpgx2UF2usg4kzAe8dn
QiVqQRFLaex/svSF1bqHcfpcZtZK7WsyNyyeOD1XOqWGmk7PtoC6+zRRt/C+w5x/ybT3o7FKFkYr
+/a8NJy9j91+f+FjsLdYojNr+T0sLnBwmRG25Z54tOtbvdg6ayBV4iEb1LKH2+NzpRC/shukDVBm
f9sSUk2CoUhJ+M4k3WCDRo5NUbsUarJ7scrlzTeI/u+s1DyAUvNp4hUhUbqUWd1l2E9PQyQovWa3
KS0cGjJk91y7qHerF0ODsEEwbDZfBa1SJPuQP5c8//vq28wcBJiETGrXOrYpWR8P6Swc4/Hr2uz4
/fe65yCMxCU0zIwZZ2W/YwmU0aVwWqyd94ZiSY9R42jLP9I5ou5j7LX4V7vlXCGWrr3GfEi4XnJA
5xVVeW54ji63he3iU81mH2ggM1Rjn52kVbcWAX0oNa8TUPN5k9PkB0F/bd+iMM/hIsH4AVABTYz4
EjXaTjW7noa1W2kjJ8koePugZY0KHJwIHkr8AH3tOW46EvIiuoigaaJVLTaFayNwUtyh8stUtIzo
AkB+1/m6G18EgYWZWeOWgTk861hQGV6GZ7AqAufzVCBqb8oeZHJcLyuHFmWLn+shtigy8bDwENAx
rE+RlHKM3cJcWoDcJqrFB0mZq3Mmi73Ev0je/TwQSTxH/sZp5cx+0yRAIksNsyMharZpB9Z54iqV
GQfaLMpuY4nZtxDVLF648Igf0JjTIYIg8h+RBiKRw5EbbYVjxAQd/Uqg72MnMJafr471IKiVkg4u
Km7zykR05TX1BpWuu2RzTc+loAmh9LJ8Wd58uBPluXa/kdTGEZjliOOTob8l8QRtSyr1r4DlnaBB
6mT1gf3PnMwGfRE3LVMjf6Ba6oJskjvGe7BX/AX09ywtAv+nzVaeR4gOs9Gig4vzllQ41VpRqVtC
NXv5Jq17f/yZBVwIcrkGuXLNZdTl3KgX8kL9I0o6TS1Qoli+lHL6RIYMoEAnm9+Db03WzJ5O33QB
m9FH7RM6EA8NI81aQWoPTsVqrrua0nRGuxBqVi95AXWN/EVQi6IhvPhlcfOHJbphDOomgnBcmdic
IaR2ybg8/Z1qMQ9uZ085sgfZI2/zBXFiLB4V/KEIo2v5v4xyxp7HMwMheIDth5RlV8XtXId+1vVD
wMzxogqRbSFcQlDRlXp3aINfZm5UC6U5vrlTgTwsfuyM+5KtwG1PMn7M9UuHhj5eHfshE6eH7mOu
CUR5Ft60dGft9tEJHXyIwETx8NsAQvp2DfWnmItZ9jMMzbcMEge4eiCPnWbG9LnWaWIubDtILPrF
p5N5xUlYJujnt9DhJA0ucPcjzRP2uxF11i2gOpn34FINWbJm9L1dVf3YuKR6vXkHveY3kUr+syW3
6Fmr8aC/ZekuQm0pGKCAofphiLl2xh2XQ5sJkstioOXcT7IQvt/f/BPE3IydmuIqiaJ4SkRmgYNc
ITj5kvBTIwP01QCPI4Y391CBZW75qC21HNxL7OVZCSF6/VJUCOU7to6M243hb9RSJnK0S+Pu+D6E
keEeGb6hU1fBfKRCh/OVtfpCb/P4pUchAUxed0peyc1OoZv6yHLTp7oApteHeP+of9s98XiQxu/o
CkqDexgLPJU7Jyi/h8/Mj3X3VpRp/CNq0W2ZiBl1PVpZIMo893aP22Ql+QwP4hUrAtSril3wupHq
jez9HvBFwToxw9I7NdEFJcACMYs9m2YfIlVWmAMHXhUjNvyeVR8WqQUlqPktYqCiKwDZSvZQP6rl
rMpGzJjpHS2apsmBxvxbqfGb9b7Jh2lYIj6yB/k0bfmEOOwO/MXA8Gi72HjXS0oB3OwHlRYIbOvt
3b19K8aBBcc5BnvvmgNAOCyHD9SJDi4+eZNNJH+QqUWEHnpD5LdhT7S35DBUfkUAycgYaz37XXpY
2qwFw+VAwyfup3Mc4XErzdTm2zQzoml3OxTcqXgfEvBuF8Fy+TFnI/LmkX1PUavQsogBD0KWgrxi
msuehbdJfcD/UFhabApmRSmy0qlwLipyzAcaY33i73oRZtmRSB8sEfOJhU6Mirs+CxN1dm6gduXR
aLuS0/rQYOzAjfrOyCj/F1krF58bQlUbKqZ4uCe6K9TytmJMB4GO8brgtViJ9Fj5NnBhbZc/IXqB
E3rvvsNZjIzwNNdcIhRvb4Kt5QTCIk4kea55JMGZzo7ZgIaEhYumnOYsFlPRbxpc0zLSrxpS6wbg
1TNEeTVlsV+2r6mF1TTg4JSi/3DieidbeBTPyju/+TA4shRuDcXRWQkEg2dgdPhZhi3zm+/F+XFG
lFuRc8v6UJnmKGgZLdVcISxM1XOeCf/AQ/oB/ArR09UoHaywn0ktvsdDccafpcSh88GhcIBN3inT
qtFkiwIvqpd8RwyMgtAso4OPa2rqRlmz6gIf31VAcd4yJJADNl/sLOkaFMtL1zoG8BB4SqT5BC7K
NGMdJbcwTIXrRRVB0UYrg7xXMdh/q2VfHSluE6zMdYDFt7Ol9YhyuVwuGltr0XqvxVqGpeu9CDxE
xd8h0Gbi5u7Gh4O95F/+KzutLE3rf/qHC5aVNEyQLojbt9pZ8zWsME21YXCOWd375eSUaw88fiWt
rYXNeJm1xmrPblnvzsLJ6lhajERgMbGFqNYEX6Vo98CupEq29qIvxwYED9Q/X4Xb8FA5c+Fa5g7A
BUztjDN8ynE3JKx52HbvMLaaUs9ErOh+UHJ4uBsvgL4WjvC/X40fMGId0dmbUq30W8jVPAri8/yX
pVqbXNcya/GkLe/FElQYHbmVAgzes/PI7geP8NglqWpRvUbg6bNf1gYweDmL1bAjpDiYkovwMZLY
C+ICEBnXD+w+nnf2dvZGyGFQJrDa6ktwxMIbJbFBCa9KAZD0ax4xxDCBICnTyE4SD7gWzV096xgB
Ta4FWEOJPb90YUnrglCjO/oUCXDNJmL9Ggk6aMj83FTSvBseEpUO1XvHcdq6SUciX8JrTzLaPykR
PxFSa0wE483nmYsleW4euQkf0dY9WhQh+zg6Icw1h/9O12c/fBsXqSSPUe0MSNnUtIieNuUqeRJO
GG6JYWBkLedYSrovOceH7luIffssK/yxWYDBYVKIyTHZ1BYpHaxjPPQSDLbiAOQc+FXcN2myW9KV
5tIBCnZFNG/WYBm5F4eaRANxq5AURC5CXAR7cQ6+HnrF3MgA8d7yvwyxgtQ7TDFqKD/il4REI0X4
V0kKixtkSly+7s0RtAAd6T9S3A3SbaHWagReUg/z5IBsNu6U+CcSU8GCbI04vZxQJaM1B9Edph8U
t4jibprbbXgg7iokJroNsLV8x+J9TUTIprJwJXhFmh4pI1xaH5TPkp8pGNqRimzogvpUgz0CmDlw
x71SI0dZnM1MhYBTG6wBaYPzaBv2EiVgoBjxo/0evpdddwCuydpYAfQ9e7XHeFeLkU4xMe/bZbCc
qZZeS2s7EZ+7IfuP+/KgTCIDhLa/VooHnF3OHHVnLNo9+nmUNGUIz4oMcM3TNL4B+VAhSJGquW7n
8+w8WfglwqR3jfpu2I6CfKSD26ZZnKHh/jZ1fjwlMlkQzw4OTm8uHIkLovrKY2JLiGYmutrHQaLj
ES91kV2ne6D+tGdmQoagZgwg6bbykrr2EgDm9Bl5vuvcKVTGgueZPgkGHSl7sf2hXd4apWmPKpOA
sV99Ufwo6d0Iag1HpQVFc6PbcthgeMyjsXLilylr1iJsCfcKzaz4qfoPhCrjmDCp25zkTqxIy7d5
swsZufs91BYAAjQciHA0AFKZXla5E1zOaaiDJrDtlCR79Vgqhtj6mrYInoP+qAA0mhY0/Qo3hZ2r
BWyW7Zz8MZJSq0TgnyAc43BSFYwr3+J9Ua+3oqz1Tvz9JQzqtOXhFAp38IqiwJUpTmD9QHoumeGH
dweagup2SDRsEh+aV3Nymx1qqWpmqag/4sUwFgArAgSGpFjf0MLBdOw2uhBbvoZhHCoXm9Pbybvr
vD+6uh30U6AqsVNQ0XXlO5GnIKaE8PWoJg4/2Vrho3Y3HO8FebHAn56Vvn3IbiClxTWe8MelpxH8
nnpxjePWPeDqBfX2Fy/CXBy2mRtRrZzUFItSBhYlQ51KITa9frQRFglh0t6/BOVPJWQjXCWwr7Bs
WqmiLOXjIL19KTVmJlWaq4nZvA4cg7D81syk4O9qaltkTcSdeKo6+JacUXgyuNW1S+4NFS2Pz6FP
uWG3l0BJShIqccb0S2vFlrWPjU5g7EkXPEWl6C/0IDfPG2nWcDs2TK4upSc4g9uo+nAGPPL8sLJN
yV8tXu8i0AFUFxve0K3fsaN0AkdgvjmLTN5XseJL1o7kNJtQ8HT5imAKNFAmK3ADcsH3RdqRSlhN
soFK54L0IaIkYBqv7P8Qsce08OIwrx9DdzuOW0j4woIelasAl/8n7fNAPhXaSKj9AtyiW9MiL8n6
e2h/FJP3V3S3MGlIr8LI88ThPRWa6AZkW6a/D+FxG33QQEq0MJrp+6g1uhmRUvRC3jg3mpdQ8eMX
ORy7kPjRt/FuFSVjQVBfQwdcAEdiMEmEw9jYz6JLC1ioXhMcJRzduMsL8is5j7inVBjUouOWUK+Q
q6Ujjp1iRfxVKWkn3nf2tELFnKmayJf8Sj4mKTR+9B6NTkemiXn2V/AZDt4+1Q/Lt84/LumpxDfX
gUgP50D1vkEq6Gp5Eja8VW0A8q/LTOZjJv7Eb13tNdIAXvsY5zfu75pwbaUkjylpe7NelfGlQm9A
dOJ46sujtXmIcVp69h0VBAftWObPfzWaVyKY+3o4i+u/cg55byuK/4VMmyDLUkzLUdNo3+FaJEE+
54av/Q3NZACM4UxqoyJlcvaGRv0ZNoaRnbp+mv99CNkb0XAHEDVws/RJ9rXCzjAoAAOeFQaHdELx
xKKurKDKDXcZGltNbqvGdLv8KCNSLd8i8DgsWSfbg9WwkWJaepO17Y1VPQgMd30lzakmfSwJP+LT
EKS1T0ZGXyiiE/cJBEz95hGpNBPyo7TJ7hIRPmkvSKl4FfqBv/hjxUZgc44lNhDovEsUahaf109L
DWGl+pNx++ipf0UASYojGIZBnqqxankfEnedIisci/bjZ3WQsvEB+RzEAl54vIutdSoSLOJ1kHmu
PGVuzx2RQtNsnljMIt0VU6RS5fCuCCl0v+4Zg8N5uY3Qnf/tADxkJviaJXK7elxt/gvZyc2eujur
uUivATg/iqravYmF/IizpkLK8vuN7quMC9rjreAEzo4u5j6lk7EJOKfkC4+w9IcQfeG+oxo5WUOH
reTX0Outb9/sN7OwuNgOS3VkG+2MFR3c4gKeQr2wdd9cRVl0cq2x5MF2ZuxEbsTRDYIJgtz/aqvl
SWwm4KLe9R3cuGVq1F5xDyyNEsPipu+cd10VNwQUrM3hVB77DkhjnOgIqtgiA6VpPsCsM2/PYna7
obY8qnlr1HmUvOEQqX1imHgi6Tpn9Z7xRunACfbyFZiqDblgT0k8KycgUW/qUFss49IMRtpMMM1F
LRf4ERp2u3wn5VxX9TleZNs1+OGIv0agu1wpJ53pfjnxLpXuK78bY0FiCaN40KknIpecJz4WSgML
7dJ4xrlTUH4NU9WNSzyKBe1EMqp7CpXof3VXUtITRRzLuXP1jS5B7s9EgjkBhXWvyU8B7jvHozdT
VcbVhbGDkat0YknfzX4vPRGLUSSk8uDFDksnhtB77KiEFURmbuonScUOFXY10MLesb2ZLr+9tMZg
JS/m34lJQjWhO7TuyHsA3Nazd9PNQuCZc/wRAac8A0X97HPOk8ilkxvtFddeOm4ChBOGAHI+I0vw
1OZ8sFG+GP9Mbw0Tqx0YyjI9nNw0V+BEE9QL7FRreEimy+grbD3+uWjD4wdlLq3DElzC1f3W5d74
IOIV92qJovvs2baYr4GeMPw/M3LyPf+E53J5o7Ys/WRiQXNDSUsY4vEGS5lUixXwXEMgu9IyRLMn
94lFFWJ1cJ+58UOoMOYADzzEWluAbK2YXAl1G711SIUi4c4PXnp+D5oVNMkGOV81XFSD5VS5Xvdl
w7jpIltH+ZHWsCNQlB2XR6bpmXS+ZUO6Poak6Fjcz5U4b7Gl7fseYtBCzSdjZyx6D6DaOLHDshLp
Rr1V+KxMe6BrT/o1UaYT5K9dxwtZuCuODzrfKpXmeIFhw5ktMcntgna9F/bhaSif/htuoMY7apdD
rbmFONporYm9mHTasljhd2KGzt0LVGV4lnO3/7b8mXd7udX09IxSJeosOgvk70PsiQEyXszuv7ZC
s6mpJmMB2XJZFfi0WiM/CStlKtmY2XRnQqXHbijuOZp/ki1QOqowb2MTQIqSaUJaQxYrSAnWzwUP
0rmnB3DZAtzYm/anNTUNAOM9TkNQZb4qoFlieiVhaRRxY5Cst+QoM4IhuD6UP8jEvrzPfRWFQeus
n8eIHHBuax624mwaqP1/Detcgb9XUGHvvBXQ7p1Ym/mLN77IBBS2InchNqEBO92Hdw1FjuF2XUqC
O/Zq38AIzpoqmiLngIsfn7JwnCN867sWPPH7bigAATjteBc8MgFLg85VEAWtBiSqEssQ6TJPL2x3
QBmdUBXbqPQI5nbzimeFlNXi0Hk2RjnzwCXsaL9zcKnhITsCYFu02XKOhd9X5lQgo3SZXPZ3ouox
SVT4ov2Ur6cllULaxiwi2qGCfPkRyEpk6oqHIPeb9OrGFB1DnpkA9XnfOmXITExin8EFkWlqA+2B
QPvxVnrGgLeHxkVUzobc+8CNNzCIn4b/tN5FsM866aTsouDmxPKkpLLKxCBCHUEIxc9oQGUtIjfd
1bhqlwM6usCg0SzFCLIZtRn4bq5rVn7g74iufcvPWJUbBBs2yjdeazqJvxwO8rm6ZAhWP4+qyQs7
OYPDnanEv1cSF+vLX5sNpanWnbIuNGu+leKfYA8diRjU9xzcKFs9xUvbItSs/O6bedCQSn/OQf2u
cMEHLEQVolWmuao1b/9S1MJ0/dvIJePglBmqT45sVMgz57A68q0WssAzf6N5Tnm+kC927SBsgQi5
imXVJLZhICOn4BMDLSU4pKC92FmeFp6ToVcC8P1bZvFYMtQ/ilPUsUx32xarflC+YFBnk5P013Ui
SQYFog+NRgLMidMp8oqgjP6eNt+JKKY3HRuwqwg+/BZFNhVDPe7vG35fdSFXV/sfykQEHSirlKV9
h6apPjGH/cxUUTnEw117Pqu8CkMpawiDFpI+PXih2jeaL0DahrEu1sJ6Ulkg4NXEpIEwRWEELQkN
6BkhWKIpmAe26uHtQz+3G8mRgXZfulsl6g/o26trWOwHxe73EUJDym/UGrYhWTarSKfJKhIyRE1a
mX4dNiimP2TGk0GdYC8fQvzmYztPidBMRUvc62MIdGvEUWdXTGETjWCEDRlMYkeAGFIahQKeMfzf
8yt4aC97qKNiVNV/g3NpL0JPl3iLqeS3LL5Z23UvlyOzVZNB1n0dFXGaNALE2VT1G/0i/drFdVYD
iTY3wmZ2LgzQE9iaV02/Gl9ig4qlJgvYtIOdD5JqGTqm+MhVuVID2t2gUxByf2cLjfNq/nU2D9fC
GQyGqwy5daBTCDEhZ+XYk5+YjSnTFbXOaQwVnY2n/4Wk241KyxFm7q/V0i5iT3YBjm0hnsf0Mu1v
+lWJth0uyxxDz1OLZqc7tV8wEX3TEc+w/5mu9l5EsT6NGPIueZLoxeeYbLCxnjSNOPaOA2Cb2kNN
IC1bFwC4o/gAIdVYbIL2LSHiLCWwcTk6c7iX/PHBgpKxNdw5Sl/uV0K9V2nUQ3wz6r4dwiusYtfs
JG3IhRE4ehBtu2NRBL4QINdpti4gLM0XfH6lu0uVHpChRege1ZZ1u2K8xD7B72dwlzO3Lksv7Juq
rc2C5D79XArvLsB6FB0VXWdzk4s75ckYc5CzHpyJeKdnKeZF9BDDPk1BY8mw23pOC+Wma8coYRwq
gvuV6ucwH8FSXcjBSPbTcbr7gwgpxMNHCzhAvLctXs2/c9R0nI6z1z5LS75FCadX72Jz3KmwDI/h
o6fUKtwfZBbpRMW7kUtbLY/4B/tEotLtlYwaZwsGIv2gkeI7k1Pd5UljVAqxo24SPOP3h9C5xxjb
nY1xmn4bQwVEpCHYw+QEfAPZaEXgKdFAg4oy8rqAYOooiJLitqgWU0aFwjHmQ1pddx0QAjjf00Od
1p0hb3m5bSm8KNN0mhIOMwgzUpPsYwCKw4bHfU1XLP4SvRH/eeI9mJIHpH1zH/dsgw/e6rAvYaQC
aPTwWg3ggv4Y8+4upon4mGeMs/4/re9G8ywyw8XwGEJr3+UQShq9fNA20nQCM1rYgG9r/gnHJcJ8
Q07jcFTMPCWlkdEjPCkW1HA0Aba43E1vIFc7nDV5GLxwYh6RaQ5/03Wi2ERf/ekJ7Y4sIA0DqNvz
iaaDkGrdf8JfAdeutMIpglZASvSEa9njFIfm8CMTrm5ZF02xUVIvKPzB3+3TzYo4hERgGTH3eZsc
LYK7R+VTE42fL5hdb+6Tt6T8zWlV0uHhjftPw8dCqOF6aGUhlSf+FoL6XO1WLtT4Tb29V1ikP1Qp
NnxOE7VD2TzkOpZRPduzswcLAAygrT6P2HZps4J53jNjZUNQex2aOAlMbThbtcCwQ3l0ecBb5Hmw
8CjZaL19dP01FCdGJXsDH0ZL06DMlWGUn4UQSAiqtpAWwUA6z9UuVBaxgPzQdZrHysHZehbfzEPL
MbnKLZ3oDZCnvCI3Y6OIOIWMln33DRFXg8m/aYs89jfWJfD1fmIMZiC4qHcl6WGniMJcsshiQ4Lx
GApGXxEp0VQIEqglxUME/tnaIYLDVBZBfCUg47iccbYDKvtjb1lon6NVcrUle++d6Kad/E/w+nif
WgBZ0L+HfPNNdHt822YxQrwvPCorURH6eqDQDV3F22+JshciAUULU22WVaSKkq9t6zdXwx/FyETJ
qLMA4PHCHy+IKdRCV4GhVO0hE3JTa+xxn46DDYoxV+zaYzUiGlJObeZzXysTtZg8hRyKotQxRk+2
jY9F79kS9Tgbt3Fipbh1wSFcM+9cUYL+JZW8EVxH0bPqxL2xH0LqHr4upR7xJt71UiCC4S+K215J
09cewzB6WWv6oci576dr19j8RITsItuu/NB7AyKAMR2HZx2Eshh5OrT5DCHikDHNA2rlXwNaMJXT
Y7z8guZ5Iy1xGkqZtJUcMPCXOVEtxtN9Z4TNlLJKITJCTn3E/f4IoI92tcgToqQ6XcprpaIIf5yc
r19kUHnaTSb0lHbSfwX9HBTKEBxobKnnqPjLgTd7vbVbqAnaDfGGyTyEqFH7sQNc4nA+ZeoXK8iu
heoB0vNUXSNvKF+UP/QDyjlxrL0FBtp005HXpyxHkiEHpv/Mf7N8+35kPZOwCHOnXDA+pNxaxo4e
uXqE9fNypUMy587cjdh1TUbKbEO08IUfKc03rTQpxXfgHF54MOli8WxFdHgIHaLo3pp1oiwOJYws
iPMpjBXO1XSdVQlusSbck9BvAgrLikHqTpm4K54dkU+JcVoLxKlu11qn1PMmgbb6D3c4jmJUTt2S
iwFuEqquxcAR8E5jqgGzovaNw7BQSoQjMsdBnSO6Je6hT6Kq+kvrjCARjt+G9Hgznv40m4JE6LN5
KO2OhxoWmcaM34wKZoUh75LiQdrixxknaNb1LcB+gXbE0JSSEsgP5IBJ4ei8cHTDuF1d10icaCoK
7v+P1vFsXb+ro1xugMBBzZ+uQzN4lDZILOUK4H//iZZyRD824kWztikc1p22/aikUAmf2IdmmBnF
ecv1fkyA8hNFFAe+xMbRKMqAH66Ug/E8p6+G9A1Mr74VF+Hh7/6+f5X9ya+tbHToLuGXsFMBxI2p
4r4wDcQL/mkkB2fN7HDZPdKlEh+bz0YhC6Eg31FbHnL7KN/bdcTYNQ63ENREcB8NO+3kD1U9mxmY
C83UNkRgWTuG5sa2qBPQgwNhsez0SePSMtGMBXxwdv4053KTXBWEF5hin79RrQ5NMc75EkSmfT1h
FJuWA6Tj9WBt6sNW8ZXE/s+X44BpxsyPBMbfCU/nNsUSbHcqC5faF85ZTMN88JF7zegTuD8LiMA0
VO2hPt00h3dIVVQzSQuhgIriNJSORsgDmEG8wz4PmlPZEi7lglqctUJHOpNEi/LFcpI832zqdwvU
T6uW0EqZ9APcPR7pxtXEoo/rrcYMZ9eVKlOOHrWP+y+aV3ztXwZFEuU5AN/Vk6uI8il2XB9ex0/1
azeuPUw8w85BSU+NnPJCFTPuUVTmvb/ZR6vzWOc+oo9CqVU0fsAFLAs/k2bLhpOKphuUQEboVDqW
Xj+aYLjEWLpQtmqMAMIwk7NTtUecuvXSXPF/twscQoXn0LtIRLxwCeu6rEY51lAO6lNnkd0ryal+
KQLCwUN4nAtiuDJIlTMNe+TUjK8wDkJ47GXi4yFj13d9omUsWW+/dqUJCKwpOUT6NyrscDDc61ZB
3hpPTobsubJ6WccdajZsK2CnupAVZw2ppK2/zYV6QJEpE0GYEvuxthvK0HrpzdpEaPKVcK77ymZN
7p52H+VVEWGWI9DlKklrgeAdopWRrQfMCFN4WqcjFIe8S56Sfobmr8JxQF2ptxhtJF7bNw2fvILO
zwmDqEPke2uBFbY/w2UCDu3DSSJR8dekvrz5FFoFvecRGGyntLUgh4iQAYNR1/lT8z8B7/+d7qBy
5h7urlk7F5FnrYxj3SjSl98Kqbnv4R7y9n75cQTypsBHcWxQ2tyjA+M022vA7z/hF0KatsRW/nYn
LdkLOE/rDki155/o7wHa6EYbk6t68niKqsgcW10OhuwBtQeu8t/qltc6cJzQx0UEl3+n5ocNTJmj
V8VBpDvkmWT9kqD2I5nXmJ9YSFAH3v2sfY3INFHYn6bGfNfojK4DUjph3tmHARDqdcco9bFwtF07
jUo2tl+LfHblIyWaIyluz+dgHxpFzu3r4ZNizqxCi67uAsvXVSddANJk1lSRb9DY4gjlLRp1OIV3
QCbhO/XJ4Ge1teeJT5ktoR/Pr5fR4Ew0qbN/4qPDPmljn9k7uFMxyPWvCqJr3JMirCMGZMHCUpeg
Us3sigNWeBB462p6XgyXYHSkjbnDm53ztXISQB7mYaBQwQmWT+aGLn+uPbM+lVGtFYr5v3WR26Ry
DEBszTyH9+VYPXsfUjlwVYoZ0l3MT3JshanAB7swhomAGEaKywpjwaTMolgv+rjGqk6eExTuErTW
w8xzqXMn2/OQUN3X5Kmnt30C66smjfzM/Ypv7COUxZ/urlLmHz2fwWUz3gbilj63JGgchrriv6Wl
uio2qwzcS6+M239H30O8fB1iroVrgUItQiD7W5ggBu9rY1TvIaPfG8nd40XTAMVen+/C2fsDqzOo
Y7E5O75U3mgIbVkc9zczvdwGmE9j5bCSUUr6eZ/EDs0184DpD+VBXB94Me1wOsEGDVn7EdVWBEr5
35FsAB6gS5VNhr5xtCTwpTZ1ywKWBTYenHmFVDPNBtvOmRK9GFI3/9OVJjbb+yDDWtAqK4bFONXv
YCJdvKq8kP1X0YbY+5z2/hm64ekBZ8qHaSbCjDq60pXVzmeLpu/Fv2F2a34Zk0IeraRFEbhq0fWT
eUXCVCWVSg5s1y94Vt3/oWHIF7ycsoNo4+m72NoJzVoxkb69FFiICG6RR5C+0zJxRkVqAZ/O1R+q
xBn05tzQ5RSKtTPkdlQ+R3NWv4k0376Mi94Z/jyZmwNGpd9mwsnJO0xSF0AN6QVq574hfVQyaTOg
EthjEjhPPECYk1wiEFXEMZM5I67ptauyxFWBqeFZees/v1oR1iHy/9SC5GLzJGq+8B9Iy4/VlzKL
wgOe5CXiFmMb554HBosPpln/RNjcQpmXopg32JVekhegIqjZVpI5B1uziUT56weofnAtzVnfNnCh
zLK2BDjNreGQFKmUL0e5N48iamDA2hhFXCAlKFi6Iwhdy4ol1IcWB532x9exf6CB3CzFKc+Lo050
KsQTUngu2p2h9ZsIcSGo2DbVsYRd3PBJVwjYCOwJ876qol5xbiEnI6Ladw518h2YIDjbo7rAIW6q
2KVXqatpsSaM9RLHfIfoDkn7GMTqbr75icZah+DhQPYBgs/ql2p7YvZ9l1RuLVYrxRpmf4g+NqD4
ye8miGkznxUokhHFdkmpkCxIgq6Slx9JkjgHafb3vwp9hhBu3AZPvS6ewWl5GFRud884eSYoiZUF
mJcukqy5VibP+tvZRVX9Dmd1SpY5iMMt7xjCJRhX6yJM7HLZkowpwdVhvsWMdx80LoD9NsyXAEQD
2XnV+rp/woalZ2ICkkGC86bd83PsR+C4wH89KzW+p5tFPmh9swXtwqpfJSjTL5twzGh6aYhwo0yM
lWpKrUMJoQBNpWVY9LGI51IEfXbjPeqZJSXRR1jtlrbpqDcan50i0vNfkUXrX3TjR2nyssKrXVUW
3AJolqhua0sVZw7uDBDIOIFuadI8uaRRcIsuoYIrTwX3RNXZ0JzuzVZUSMti+O7Jv+Y2fSCnjxdy
RIE+WWFs5DSFn+ytVYOHrxuYxa3PK8t+r2UYMfNmph9F2f5S7d6os9WNwLs8+VYHFeJ28FoLzlzm
vEOPeH9nhWH89TA9Q7pFbP7rrE8Y11xnZv6x2Xi544uqMla6MST4Zg17GCsttkCyvnOQhFfsi4VR
+fO1Z5vkLrEnRbBtHIhvppYIFv3+lfpoe5OimWJFxC7779qM7FPh4ZC6nT0/4U9oyG0ggAtvigEw
y2pj4v6i8xan0QTFKUupHr9jGsMcOeJAs5Du7jqihlAWXrvcxgNieotWS9v+wcbDLPxqboHhUCPg
2g2YsV5+Q4NCy5E8MQcwRyTTmN/Cv30HylXPwdrGI9vYNTS6SWhOeCRz2dyJeKJXzhhDUAliF6Py
D1Va8GRHmh/xJGaX8q+16IMEyLFikQaX4GQJNUTt1BGOdpJb8lSDOLPnPQpoCUYHou8y2G3/UpLD
9IX064kSlfULEtuHbatMB2CnrKAiJrOgpWnBcofLgdgLlKOrPBA73A46aiSWcYsZK5x/B+WaZnOW
blR6vx/lQKMyvGyNilQbgpZVbiYvDR0CA2TH6jR97rGHHBd/DEpWmMqAO48VnsGkRS7EUe4TfUt7
uIbRumHhhjo4C26tq5gujlIWYzKeKFuVu5LBGwG6anqPHqSiRgZNouGIha8KVqCnFr070UHnv68C
rA/Nc+B02BJ3eWCDQVY81wmHCJQI44MMTGNbB4ktKV/EaQJm2ZnYG0Nj3HcAuBJzCxR1v2ZheTGb
QfdCOn/FdxsX9H0TI2PkvHYbvD3jbKoxhuYgIju4Aogql8LRZQjcF8+E7WI2qf/5kNUG2PKk2l6J
TXGS4Tz/QCxA7n2IN1Awmp6JJXzc85YcaFH4KZB5UhVDv/SZ39aldQetX0BzIazoN0uzQ0Or/S/u
/a0ErXmF9hwFOcktc3pNg1OdGcegW2DPhMCu51fHiEaiWyoV9EOl5DKA8f+pE5u51skOxBNIa1D5
bgaWYIyjqgiX7JcMtA/d0yGcncBdOqLTA+5NntJcpBhWmkcl+6kGXHW/CjJPiXuBfYhsjDR1PkB7
7/kyMTTG5TOiLbXOAxGp8nu3fNCjx1idQNlEsmdsp+9LLh/+otPckRk4sN/REIiTVPG1CrUrzcwE
Pot1n/ZaOq1ealYmyIOCiMMoMfZe5xZwfl2PloQN/tSzmCpl12T7TaWo7gYIe8bsyzU/VNXARQ+T
tKjA8rVvXIeqPhNm8KOi1hGapzfc+8ExzItHjs6PwovQyO3MyQtmZPz5D8Y03V35Rz126KCDuByL
GABGOsoqMTlVBY2W9ySGW7ekEuxIvlEMWzV4oZ0JOGN78TZUNyxI80Qlgoq2ivInd+qykJl8xla5
cr7Qi+YqVzwzWa034upQB4lH4ZqRTC03Gcbk6V9HdYsZNsVZotePEpLcyrPvRM/EzKSFSICqtiq1
/Xai45qhPYkJZ/woTTKQ5zPahu4Eci9XGB9DSGRN7VPiKP4PDIq+/VHXuCSe6AlYPubIoMp/OnBJ
H0mxopPwuP1wHIcaie9bqpkLrCcbzLkDxZeD0t5sy286Xhq9zm0ThxaOmodqHkSVX4HT87N6wB0+
2UI4ELl62+9Pw4XfHUdarmDvg4n3vD26wIZWkafvvoTAZRXh8zo2Dh9p6vXztoANSBiMoXQKjU5K
7Hk/RrIWkQeyIEnjP/d6PHBQnhdijmJDNsXDag0peoMEDX9d5FH/8h05KDScALdGQ4UzM6BqKmsr
B/iIyhR5g+++pqoW3b5OiSmkL3+pGuU9Ka3XF8e4XaMckn/Akqx5eLcZNKtpiCIQiwNdlle9xZ6w
qJRCHDh7PqQx8Eg5JfQigNMxq0teoPftO3LEkncobmczf3ZuLX2YpSH3It+ySZrvp/a9T99MDGKi
DM37Ld84hdq5q7/8sTFlpGO/LFaoB1kcx/xxxg/5D2Fypey8Yhd1aQXKROoKkLz2BSWfwSSR+NuR
/cpKRen790bIuIVFw0HYK9oQJzHTRU9eJU9+0/oz1PVkyIPVHrX3LZ5YSGwFb4AaC8Gz6vNsHMcT
Dw1QOmIQrcEBQVM41SxK1dCYLB1hFUjBoOvJTl88zM+LiTpcUQqXKCGB5DOM9ZNHo0oVT32d02SK
Ml4IcHkJL3NpaoNNtDUyO90xpuCxx3fePUsn09fxZHFKBGuGeXDzdRXDuITOuGLeoNNc8JdGs28l
iTWOKfjEkk9uZryyGm+iOIBj5rv7+EGbFENCX1IdtVyGVvc7uPpl5ZQjB4a1SBa50W/9ylpFEv+V
BQ5UmqWlD6LcaGU60Z0pggdW3xYkuJdMoroGJwqUXXoNrws5SoEUMrDCHcCD4BbmdJSzGzZEHndg
DEnGFUcPXG7aIvI9GR3oA641wNaRd95FoYxBDAvHhF65bBGmz6AnzR3XOpwQxv9OSKac4/PIdozz
yEWBgghenrvefiPD+nDgNDLGKpc8LzHt+IUWHp6qUXDAwKXPsA+xiKuhm0Wqijz+rm3A/n9Dn9bI
z3KsisrPc95m9TCRrQpwJ3/6+lUToQBjfvakWtRPmY9fkm39WhpisHlNRSqXjqOxmjyKpvtWCxsI
kAgrt4tEULGOg2zYkfq/fPZrtoyGDsLY4ZWYsvBvq/S0SYNnBpzZzSfUnjlpNfgbvusWLbn2dKgX
8MILoVTsMTtfxu53PcuNv813ohhBSHReFREOv3TPP9qV35v4WT7fSkfgJ25yLKdyIjJPtdm0j+s1
I9HHOqhXZmVz/PugY+XQGsx8f1mm02IBO/KYmiKtV6g6+jZwbauL5VYXFIuQipe0f6sW9uq3ljd4
cffhs6pevmOJmsx0w1mOnT5DhOAhxG8diRCTa/j44sq2gf6e1kzhIV5LrPopJd7K3F8QQ0J1KGVf
asSKRpavX1gsKRRsrKzjvf23+Z+2Hh9FNoQGUHNEmXHSZM+qu75II91//Bj6shHf5P4V1jTIWaZc
zl0IT9HK7JSLTmtCbGiqGce47k8B9V9Ye0PMOUqW/4ngBxLeBQxUOTD5pbSEquBPGH0jhmsv6+SE
ezrWCfLhkDp4CBzkEjllDojv59TULv47AuTxenihdwf4T0REKiKArAnpL/A2h9HFHr8o6hAT+CKY
ZgpSI1rePyRbe2WxjUtd3n+YhdoJvOOsWwAA5o7L3tMNFBHnDAEt9BSGhHnbAkcQNmxydXKgOH4a
cAqFbjRs0xgDPPvOosguCLI1uxwvmxb3QbwHPZUFs594XXSO26vwwCBjdsJYlwaM1MbiNkkdLBjI
6Bo3w23lh/bja51jY3rVg+gmO8SITKZAspW6Wdoge13pAk5EzVjsdeAimkiQw3GVoIYFmzuB7iTC
+C3tWNMD38+7F6xRopo4xKdwzu7CN9vbcGMzcagwJb+GGx7z/eR5BG1l6fep0TwPtFDXp3Gji/KJ
kK/cG+FGVfbCJNZMrjGFueCUb7PHKEXhcN/M3peeKwaYHoiQUiVoNcU/DZweTdYFNDaXLOQErHBL
g5R8ehGE1YnE22sdfPO+JUP0YlNUQmaXMOuVTovJuuw7SBHQOYZEMNscfdPhJNDayEIR3y9mRpSx
TLns5PgIDEtcCnhZd8XhkdIYHrfgsnUUPQRKdMUs7F1dZ3xi0EfDkKT5xGxnl1FoiBrHmgzusu+O
x+o8pAYN0yMiYBLOxs6byHeiEKjrApeSRfwoV1gNsYi1n+Iizfqmgiurz7buIRiqpiWyome5hHAr
3EJPcEU21PWolOZsmYue+W4sCfWu6b55oGqP+YDhCcgEDPJeiV6FYiDnq9Q9fPcJQmvD1fJd+1yS
1wekJ7U8Oz+kiZ/8Jfywma2nKU2LxcVT9Cenq5DKz90+OmKEmNUDoc7MjdtjR4QdxsFTbrD8VsuN
LOhSTyqz/O9w7uBP0r7iFw4WwSKkBS8JwGSHDrvyyvDnpUIJfqqcbFVidjPWsQfksn6n6T2TWHwJ
ZIXve9+4QjGOJ80H73VQj7Ly+dHH6sjR4ye1NoAQnjFRhXCadofxOuapZcSanJl4+spLOVZ8c6Yn
kR0cvajZEoMKap8l5wxgcvY2CtZL2krCjV3i7a+xG0I5fB/xQsPkfleL3AG1eoNAD83GnJKCdwYT
NaUfQO6GfvQXCkdo9KDObB7ZEvDILXDgxf3DpGhQ3e+5WC0plPDRaS0Nw89z77i5JvZkyeV71nQC
qPrh9kbhbSjLWrtADyPnDPa0s9BoyZgUgkEzpZ+MNs0ddaO/mzHQwJxYpceDeQsBnzyhTBdu8eRg
wiiEPEN2UM5wm9eSIfGF4MI9XHLW0XYlpcMbctKfllACYPOjx83+O8JtNaosGOSFglDu050GZqd9
T/+UFvz76gNglIwZfulAjcVpjTn59HFW6Z6grfpGPI+LGO/8P3ZnJJxjrmXFBOr1iBfiPjGMRo53
4SbZdNQnfdP7Y6e7ZgFpiPCTkyO2uJ4Map1ZKB76xlQCeov/WNSAArGy6tAZXUDk36KRd0WkFMEi
ZWsc2u6MWyWBKqSinXzrSW34wf7nqKt+0EboygWiDMLKvUF0uo5sEw8QAyJMjbcXAP20afaQI2eN
StT9e8/5zNMxuEevN/eKvwVkLRKozg6+JytN+mqYGcBYz9+mbi5CbZ8hS1zin1NXoFSD1mGGGORm
TvIXP8lhx8MLu4OdfGa8+tUC4ivBV0XdNmXRqnXzvtoOm8UWYEqK223lD4QYnAQ7dVxAiMoHJTCG
6PS/q8rbxdyyHE4WISlpiRzZlFefUkiYHkQ9potMgC4aD3hroAbDki5RlmR+ivfY8XKEoVCTl0p3
LMIBHfY6+H+Tfx2dnW+xAACpuqbgx4bIQII0fjis0vZXTR2Sd4Lzl4meuDjCoJ9KdbVopmj/00lb
XvF1MprkxaD7Re4c3A9QemK+q/EbG7ouDROAdiF2s50RtMsJWGDDVgIM2B1fz+x78sT2tRiW09Xs
0ABXX8lD5DdFNtkrXHYhbNvJ3fHcVDGeGLQj9n6/PryiOtSORtJkAkbL/g2h3hdPN+gKV21Ui+D6
dLHH65vhMOJDogRWmMumiCgznL+Ns8rEhptUu6saF1fYZI14UrvnwkqvMNIugY/ANhmr6vk5IGQs
jC6Wc0JjmBymR7JPwfV1z312PD9tFFfyLHR2fytDLqybrytRfapojQfzZRgsO+gNUJfqrQwXw10h
/P+UVIOyVlpKBZl4FEK5Tg9aLXE3h+dJCW1wJz4Xe2wk5TIs4SiNjy6msw3eIMfBrJN6bSSlNGPw
GQHsmrgOL9nHvl4+x+CXDrNA7UhAjZE+tvn6bKkCY8UqBrDD53Em2eCF+FbESeV6hwz4Org42QnV
P9pMDEWk1YDr2dYorJGrYHDy3RCiir1dtm/QwXwHfIRIWTONYtRn08vB59HspM4hFM1IweCp9hSe
FBrauWXCfgidaEIoo8X3/T9Kle6KZ0aUJC3gsVlhIqHs4PILCYZvX7QeJEL6GgekbfPnrKDSMQKI
SFnp6B9jXKYrxHKzihtHzZ0oOIBS1lVnr5AvRlW3e0AH8B+9ZjvCPCWDxlBduNzEdlzjMXynzO9f
ult4jXcjeRXLFPWSlCpIa6CV0L+83v8WzVyQJMAps/rEoCAITVvjZr1ntL0Px6Ydyu9G95GXHvxD
yT2HYL6cmLA+weaM4Hnrpr2ruZ/APBcXn08D8YXaaz3q4DO7b17VYAPBHjee8m+WFAsi7SDg62JS
b0zjfVdoGH5lb6fY/l6ERtyExXp4NAfySnrfLnHQ3L6xX8GVwrxrmBYDpRyRIQsOf46fR8KSTJ1j
DjuNzq2tkL15yDGZdD2BciYMedCvcoKN3F9uPIFxJTFJRAmCQz5V2PYBRq61NR6/FSskYUOzBG/7
7E04/9WppkFAYL1i5j3JzH9x5zokvrksb2tk3FYAqmTZcXI1FQvP50LhTJOi9KY+st0y+iO5WWif
xEnrozg0J/AmtGnK5G5tiWEAHfXJtMAG5KHP00yasZmTJcNl+BYor4dqaiestuyDudBJVh8GZyK5
5nrYA77dfw9Ixg7KCKLyDVAKMoPyOiKgBpvJO9UBOGUJ1OXX8EK3UlbJESVMqBdmr3NWFItQG7ev
w5mfgWztoqZn4M39E564sTuPHqX6GGQgviwX3K2dLV0iLMhstryiunog2S68ogLHc6drQSzebGEV
3BnE7TRpTUVSjuvhqAPhgByFmSzqSfAGCdouzpz5bTlxPL2AVTgysF5Liil7Y13OKHKSi1j0ntU/
p3Wua6n7KGoMZmPWohVwAsC4RbpB5uzpBdV9K8rXTg7hfWC7crokq1wm4NaOebrdmAuRekiKf4oN
nwmp5dEKKLUzsRz2aQkZBbYUAH678M9XNVU0rfCM/eAMl+tBeB+RTOJWTZIfh9af2/ZSJca80OuX
5JzbShfFDa93kK0hKL6+i8QoUGqipoGBF1kO/69DhRM+OjEpcrXBQWh0e7sG4TWUJS31UeNQUWe3
8GyKwtPPTSPCO0y+FIeG2mS4aMKeI0zdwL0ovPSTLm75TTkkV+Nh8hRJWS+NkiuGM2KrFqr8xVs5
8Jhm7wi4woh270HKPjPf17Rkqd5xaTBqW6Y897vZdzu11zBRCELEni0KuC0exRz2lfxfxKXshCJZ
lqDI0+J8XkxaL0G0IZVR0pMIzAG2Cz5Inl64ivsyfjDXF6m/M+y2L+EXG+/fcX9rbC9hX8KIn8NH
XzN5IPe4aBr2fVl4zFjuGg2ur1PgDZXrTfi2odCO3ZzvZ7m7Ru3FuqMqaNQk+IN2YRWk3lVOgeHL
QNktsv2BrwdxvXw8o+UEd2t/bmBewLMQiw6tqKjFrDftMj/aQUdtdpo1UpWFnXzsgmuFekE8iUUA
EAbzEJ8NtCbS1m3OOvox8fdnXPGuA1Ou7BjLwasopK9hDjpaEkR0RawhxlJSjOTmmUb6taoaR3MQ
ehH+LvND4PDCYl9tD6UjqzkZDCJpFBC3aFXwxA9aNd0U9K7Th7grZYA6iyp4i+DDSCaTkKTO0XHq
kTMNom8S3ZaANQ8mBuOjIH1775Z4rJU6kMmhw/gO4yxsRJjOvqigRaE/jzKfGcVct/XRHzjV3c6u
97ge6GuTOk/ykwytubHgtGwfZe7u1DoddiYOasK4nwlUJ46MxdnDbUYg7m9dMyXfHrF7pswoqlea
ThgRyWVFvg3LEfQEUdz2T5Gn4+7bkSPHt9o2qWdFTMEeVm7FPdc8U7Zx85OlCr3fEszljRmmFh9k
3ZOHjvKBluO4wR1VBxIBG66RbwM69ZQH9muwVLcxHJeEysbsqcz7Etz+2UtRXhDOw9AqFC8PBYD4
kxl0gqSaIZPdwkNmH1YTrZAygBLBt94eUVbp93+kPkjkobBJUM2Ek/v1DTl8rO1EvtBnluOda4cn
p9/iTtdjXXgCXw9JnHZJZ9M05EYrrFmjhqiqkA8Iu4GqnJyZON9CLcdrHXQwsr/I3HY80VDSIfQo
I4wZfhPFRbEwyyI2bMHK3oiDfwaoeGZc9Wapq/SKbiE+ujNg8tgjBfRADzfIV21aupWPvRXEHTYt
YDd0zxp7LujU33C0dABlD6Ic13viy0NiyZQgvOj2S7W6dJFqoEu0B7KLG1Gdu2o03g+YAr6nYSnW
q4hBH5xudjSSKjKmVx2SV/0G8X87BiIEr37Zf/LvBtVjG9I09Tterd+PfuYef2CKSY4p/oPNcSn2
4p17Dtt+SM3St+TnhfXScyrXCayTy48lrT+qsIoUO8mycqPfGBoAP/+ETzIINCwdz4ZeL2ySjHls
Da8XSA38BiOVrkNSvMCLuV2WjGBOEy9+JxptzGoUyyFK3TxCXViM4z566+0Adg4e78AGKj+3R5Ja
3AZv+19Jo8jfn3zIMnHin7J0z2K6I6GUYJsWCMYlzuiJjIXwS4pG0HxVwYta/GR94Ta1GWIBEED1
4wMdLkVW3ZolTgcLHh7+bynSYiQ5hXJCQci5KjSaDgZXKIRYAS6RsjR1XVP7Cp8P1JGzEBEpkoMo
onDckWW/b/8ob3JpxaFKV31dtNT4Dlx6wsZpikTGJgutEV90Z4KHny32cQRNIb6LgWxqeHGai3cG
ip+SnAncd4F6XcwxoU8JAoTBai6kCo0XNw8ZXRpQrc4fa1zfATrfYfjs4I3aNgcuz3Huj5lm+vTi
yaJAb6rlZILk/RiVvlcjZHpaeq5SZSvHJNdwxEdkwOGunvEV0PDM8LnLJALVU3Fezgb/Hbz9TOsy
PkbOofRDkRHf7pihIi9TolHhuvj3/7sqWEiMxAY0zoLRSMIIraFxr4DM5Ok3gA+z1iBovKLpedA2
gRayQ7C2FvPXKY71S6kM6li2CXI3gMm7rXHYjCJL6VdNZua3JCKYaRMCQZylwIKlQA1o+YxBXi4J
6IfA3A7KajoSRu84cedna/qBupdCHb6KHARfTWKLP9YFhIqTKvhbjxIJYMvX9AtEEr4lNGYFZtnX
9RZDiKeZha8qd/mSyzgRtpqYPj0+HROL4UmPwvhokqul1TEd6K7rWkoP+e1GYKwjGD41TGZnDLnQ
laxgS+S+6Vd64dlktLs93ATI1bQSvJo21NqzHV1H/jZWNoRT0LFIMdwBz2smxiEuq19eJl4ZUj80
jUTo7rbQeIrUzxH3fqciqrYkoZpY54LE6M11k/CdIQZcVSZS/uObfkLut0tkosnaFwP7PxiOPH6s
cvL7mjeu6zVyHtqz8CiGQJdHw5KbeGjUhnm+raCE+aNCWcsaAnTTHeiS0AreJN5Z1F1Fr/vSBPql
d5uMJPm4SKO1ngP7FJYEgNYFRgxHxyQifj4S/pGTUypx0acO5u0qdYq6RLOzcipKOwsfRKBwrXPY
qMmO9dNVS8D3O3qO2kfqNd0MR1D4FGsAMNLDpUaZi/Kog4sWpEpxDS3ier5+3/rFT1ledrCGd4Xz
avRNQlfEHa2fjFP6SY8XaUWlm0oVneue/RjN46B15y+r4HEdOdyZXcgThU1gD9oTpYdGm0+vR6LH
swCa2fThQbbm8f+LXo0vceXZFYDCMj0ix5n1v+6smlN2QuxOpwVV0drZGCtFESou+EszAsIeWU6y
4n4J5ym801UEoqXS0z3DEmHDamNXuwnajIQNTucrGkztK51f8HGr/0Fq5ssDltjRSPYJlsjOZKGm
Bl4hJHX8Q2ekNPWY0J+etQVfdPEkA8+v/4PK2QCSCUMC6DLklnWjjwbgEcCuVb6XTRO87fFLeT8w
YWF5CIrl/RToaPRXZ33fb1CYWf31dxHt+6YLqv24O/H6XLSx/uaRrLO05akRAyJZgFmgzRXIiED/
1GJ8y1uLwAfotyNNjbSsWBP1PUwJ7rqQrSeX6l9RNmclLJZAgRI9Q4eCK5pdQ5ajNgggfo2Bskjb
2GvvoGyXuH0I69CvOakfT1VmrmGpHdcXxP3GzbM77o1dErZ/ONWtLonqJyp0b4N47ZZmNRD8yvPd
5s8IIHCQ7gkfLxsN02oOrFeV12vJy0Vvt3Kpa9fc0dpE/pP7+x513uz7KB9bQptKcSjFA/+kwhWq
/+GlFWS1wZ3aL3aXOL/txtMXrL2OxxE2kiup28mvCIwULKzkfmLUQHB7nOGaq2j29V9UjkT7Hzwj
iztLcWdjkeTzTKqc+3S5iCMk/j6W3QNn3pbf2czfL7PQiddLCXCAtCnyqm7JZ2FbAinSATylUG2L
rQ3Es0V77IQXMIb4BbqkjMjdPalIudrTPN/miPdsRH2CrPrSFXEQsj8SlGjeQxkTQyrIw5U9P3YN
yoc3KIBOvElSwygHaLLzlvsmlphdH+Yc2QjvLsFbnHSmLVnYdJqpaOD1sGUjbGaBibmEQp1PkR/I
tXJAtpPRuSmYQIj3JikvJ3myMP3eSrnlwEYKudhJZY5k/HYUSz3Jgn9i1Gx8Pp/fZzp2Kli2/B6B
vmOB6sw3rYN103wvcpQEr4O1ktsCxjRCY+JcBIXn62xP4hpoQDjUA8rlQrXnyQnmQkMxWNeJlRyh
uDC9sm5Qn1apVKOaEx6viNdo6lCklhOIqbDhHMRUVhcIId1nljZV5ZDwzR/DasuZqSPbNRjDd+5j
ADqGh4Im8t6TeOTbZAtT3MN4QQms/BVhTqXdLel5ysotRnpvgqNABK4GDoA14G+u1clRnfFfuSOL
bkZ0qnBZqn5D1/Da6e3hRqJK9WKFC0ftV8j9wrYZ1KbqAkb0tKxWpMHFdLg9vAPInE0p7ev51G5s
oXDRIsdbIRYPXeQUsMqT2IdvgMltRZhtZ5NchiE411ohH/8ELpg7XHTy35MitFTvB+HkFEyWQBop
obWkr1V6hoEbRb5gVPMFpnN/9RrnYAHBIOg+3Jkh9+SXPX1qLNXPB47DQHz91uwEryAHfZ/bv8yk
x27SngJmYY+xvUVwya2lXjorsk88fABLeu4A/mbsI/sSyY12qj8KWiAmZkdb7xDEz68xnfg6IPlX
lqjsRKgiLzPk9Im1i62d2rXOeGOfpDgw7dqPUcVkYosOzLruHI9HVfEPY724ooAbsNGealg/l3Jp
NTA1042WxVTm9jSMFZsGSkBubBynVCAY833VVwy/nQ+MdqtXBxgcGAfy5QtsoernXhfXgwST/Fix
5GQ2LqwMIfNlZ/D8aHmS0k/zGRGdt1j4HkKetsFESPiiqT7dIEKpOcCVrISP6IHyuHFzEMGBNKmy
O5DvZg8VQF5Fnr95LqowKz3dO8BTJhij36MdnlnSHzO9o+hsLWw8zCODjoca6GrqRAmqh4OWzNvD
DSYWYe/v1JSqNh4ZuRazQiVcgioEIsHaFfFTon5S0PQ7tDGsttQtnUgOvdKjiqJ20LFDHOzQ9/jz
jIlXAJl4EA2iNpH4o9u1g0aGxXOnTKdmn3HuXfLmU7EZMD61DbjKClHyUm6KZ9dN5MHEK56fYQb7
bnL3Kc0tNVp0enpUKtlXB+ebJOO3PctSWed6gnz4fEhh61RPADlXmDKkL2p/QG5IMsKmKFGHu+iL
d+geaO4mAWNMpj645KfJlbHIBE6+4Ag5ejvmhN/bgihazUDzVRIJ6U0VaQ/lfn7ZJYxR1BiAWj85
0wUfv61xmODYddEf1u0s66FNFsxzY7VNCskN5rnggsO1KLcsPsiw+dw1EYbMlyl+XWRsxvv6zeoW
Q45APy/GRq+/lQzvMbzBUrVoCdNKDIS3k6DF1gvKa1JbCij+ElfSLOV9RlTCf1qrTYLFxhB4pK9+
gLywoTb9uEszkdcWtx91efH9awPXQqUwQ105C3QGNVPJSmKWbZJRKz0e0sGXaeXQ2Fv+DAp7Qv17
2j/QWlmPRZzndWIbyPbeahoa+lkhPhx2lwQ6KEGlYSM/vMCbPwJLZ7FF9v5pZkzbGZK7dThfnNPR
OpPKkHgyjqTNgRiP+KV6K1n/LTWK1ndteFtG0nt0CNgqN2Hrm2LxvfiJfjakufz8MuHqPMghom/Z
IbXXs85b0VFpqzXwmAtb3B02Ha+kuMFhr2oL76CWb8qiRPBoRjpeCgNQpJX/FVg7wa0DnPG1RPIU
8oixNPJX1rRfls934OO9kIZLAXCOEKIYmahK/Hb085aMvUg5DFX4oIZWWMXmvSUvQM/vdznV2zUH
ikT1F2bJ/7vAaHLx4ZpnMVzLL4Z8tatv/8XVr5h0/JBpW3ftD3VUJB8QICyJsV4Y9aY4VQpQeQ+j
asGhf4ApcRIEZIUMZxhEmyF8iYxdWl6Pn7MOajoDfjeqnli8FaYpjOdVwSMRIvU6C2fRDi6Z5nAi
vhtjlBC4XLShQRbPzbmc9DdRz++gRQAwyObLDoNFTV5Fi/o3p2LxUrT4GpGqxgcxkWk2vy84y2lB
ZsQuypnEMjo/kaYpmm6SySoidsbhdRF3tTmBXjPzBYxaSHFAWYlDu3DGBGb6Q5igkY1eQphnsuUY
nq8WPf8ncZjHxPgH3axpAY5v/77RI2dPdpq8QkCPZJpQpIHv3x1v069tMDib5IkVqKlpFB5l7lhh
M5KlERRDN3Qul/Fnl8dmU3V96Cn5j0gondsSVkJiDJggqIxlg9aQiG0gvTVLRhda6+TiHNuqw3W5
pILkuDQfzM2X8VrKAQszjCHEq2GFPHNFXyo57Fm0yAe2C1rVDXjvX5FmZMUfLeIqPxz2Qm5Vkr96
fgHxOXJYHLE0q1apqhD6WHjcvXLLPHx8h/3S2Qtvdk/oq6PmnqrQX6nZ87D2P6o2TD2km31Qz5qU
Zp4OzGgo2OqYm0qtbO5m05yyMy6kooFurfrVNPThGOr0pVRMKS/xQlBCd5UYRZhnXzwyTHkS++1z
SKNNMFrgAJZR4bt9fVkhwiykzJC2QHIVMkUZtKBJA8BWw7gWo/OYXOVlOnkTj5JVttCY2Xw/ZshB
9ZepfaQy8ERXixZyN3uCT5Qe4emFrtlSKzk/9POpYbPHXOlXF+diH+hLkuwJuFSvGD0x84hXX2xg
NMjWajO1b8dGUD/L0KWaneAn93IeVM6p/552CJaZrWGD/qjjDrFbuddXEmnmpBqlGiLTmMlar7fD
XJVvFcp4SDZM63Hhn58rp2IXGO7m4IFw3AjfGuZJjlAuEHDhTlSwZoLY9lcTfAkJ+bcr/wgWSnAs
z3ZyNP0l9nPnviRbqJKIMXJ5tEctXQHb2aDRNbyFgSEB8YRbuopJ0aLEjtK+5CjrKCSsr55lUMoj
s87SE4thxyScoWU8E/s+d7RTnmJZ+JIOdJeCbitB7Wu1T0OqNCiXX85a5T2vRecHsgyY9kV2YfSd
nnvyQkq2fW+yVr//u2bLFBeRABMeipysLxexxDmee4tZ5mc6RaQ6c4ZyAjv3pAaEcOlmpR+Z9GN7
HJ5JtJeKWK9igj1iHAB3EEQR7PYKl1WXZKyw9k9lBdLqZWEKiuOQudfU77sLNEISGKmaOotjxJqu
Bt9x9wQ03mTnEM8Btn86sr6SsehXSa/YvnTzME7O5bkSS4XAiTTFxT8c3tr8LX2LubPyuoASeP8D
WG9gYgFioK9SWnYh2bacYM+lP4OmClG2Vc33JOweBYBJ5qKdxB6drtib1j2g/EE55I1KKdR4Ygrx
9jwj6oOWNXuGughmjlLOS3CL9wTtVB4EMXsv31VAfNkRnsOR9LqkwrZivVhyJnCiRsIgejbf/Jok
tiQXwKU/TkClApRbG4PxsssvvUeH4NXZV4+VtiBM7qChIfdKwjnAAU67dzTonNX4U+wSJGFEcApp
AMzs3Mu+uoBT7/NOW/Nkqn/o0Dit+739eaIWOuM8RGXEfM1UGcBIiB4y0vhMsny1mkKhkmPO25a1
UF2/85J7ODaItmXvqqZLjK8Z65TTMVoLtOA95K8M9V9tr51ViTD6Z8g9tsMVo/PlYN0/+M4PVSR+
KUmicG/f0iCwBp/7Jl/VoGvQvVmesSFcfSfYUVNxEagBYbhdN86jMYvqSIQ13z3TQWSiuaNxKOza
JIiFq/FOTzPWzpsLz6EqXFP/NBP/ilU4ObVSn2io8HUhn/IwgrWRWHNp7eB+B5yUqsQhJVPW0E2E
R5V7FO7Q9YEP4LTqxTbjrqNzTGVUYfLm0fBv+y1kM9QluxMroKh8S5omztje48no0Q2EmMw9sFhK
5aKRhcjR2OmptiZpV3ZSrSS8aStDW9ffQYIxoIRRQGz//E66zIL0Dc+a6EXgt7hDV0v7/3WYLDoL
tI3ZRTzHAVcjFGk1gK/vCcxxjgXmy3oOtlo+gRC0Uf/rIX7XMLqItpqg21MWjo2eyAtqe3gXfCbA
fa6G7VpcenURuXSbwhgQnutydLRq2QvcfTWz+DbaeG/Sqy6nEfUIWOGRU8Hxve4j73ice1Ec7nIn
2wEKskAobFi8kPSrFwnXoM6ykBxK3Bn658zkgAA19rSA/s+fQCWMoTHgZ3v8koA+72msHgHgreIJ
EIq9hfo8Cu+8sVnV8j/coPpVBudNmVHPNBF6HdXCLE4JXA1hdbqDvql7ZBZaOhn0nk8U031LPfT0
41vtauBaPtdRc/GpUJDz21yikzRDVJH9kxkOHVk6MvfH/kl+PnhpwGn28TiWvwL1sDCsy0P07Efj
WxVd6v0NgQlPaJfqeNBlkZAAYLWinztNB1b+jxzCuKj8cFLoxQaBWibqTd2LiqENBmEcH3wxrNYk
GgDAu4VnwRrULg6bRUk44aJ3mTUKosXAgiDuygsRkmx3AiT5jlcWLDMjsMQ7PhX6CYUimdsV/DjX
D9XbCOROCGS9ZDOvv7lMUDSWz+AasARXDejgXj9aCggx4QKPHp6Ruk93eXrGE0+FGjcmquUz9imy
0xGvuf0jGw0JudyIcptcUZWoUDinuL2jQe2Y5/J1QxHpsa48WDww3fCZtOA7NvCW8jubs6EyQnk1
jDlQxuYYZRNglBX1+5VsmTheiBLdGgurVxjaHGz6EBlKGEIii83wOSPidguag2kdTAR/0S61V90W
WGG743eOtNJjY+vtumTcU7GJoC2dAm/dCJcjwg361uD64KFANIG+3ohKp8of7fltwkpL7pjvsgcg
ToUOYIPRES4Artnjf660z8aG6sV3SB7LIsY6bAnkgdx9jBRbYsK7kecJIjyw++T0OGQSlpWMG+oU
uYQk3IqyUkm/q+ilXcwpToH+hQUTq+gJ+tDb4a6B8qQ9SZjv6A03gZ5YzgppVmz9YpvzpAanQVE1
GGK6MPzN3cVkTVzTmOuGzLWv7+v51ypPdtsTzaJNQJF4/hy/Xrix1pwxv3rDFhCJrHxQLoNJ247/
S6eZU7M0vz59lReHyTGUap6OvUK/ydcoZXClEw8Ggi54iQOgRDHycQ+mD28olmsaV8Gfa9LzAPjW
EAydniF0tCLUJz+XrWkV5V5fbYlHfcP/UFdVIaGwSbTO3G7qiY+Yo6zdMa/8rsm+fhEBuqxYx4ov
EuwtSqS/hbgechFhXFieuzRbF7M8Me/+nhleaTHVX5MXnabo+JaZdlZVkXx8BedpiPmbH8tDSYen
CGXdLqsIW+ep+bJ11FDTY1bLT5NMSDbTHag+6TNwzHpQb3rbzfHX83/6lytjknZPS8bIgWiyRpad
UA2aqe04JxaNqB4RLMAm3KcxBq2zqpZUO2v/m5gPNeI/qcfBszn6vzKZ2yL6b/o08en4Qvm3q33B
5IIOCJPMIqzVyFXFh93shq9ztxGo8rzIR8oGQmKNBQiFZbkr6h5tdCpKmxKZy/CuyGzspYkMw2cK
/AtGUqGZO7aOlFE4GecFfQReG6UqkkLiL9lP5hP64UWpHlLMc+lKVX4xbqaGV0oY8OaK84ghv65h
N3OMCa7hqoFeeH69iCnPZQIpYU8JMKay20f9AZEEo80I28x4UmVmoa6tBQQkB3opF8R9SIn1IPJ3
rQ2uFGD3I4FE3TmxdvJPVoLNLlnqn1LCLn3m3dyM08F7KM3UmquIxLP7OLyJ2cH9DRfG43tDOV2N
JNRpCp7H2e1qpvlew4g/Z6OZACVQNbDtaS++Ahozb/Qlo/6t0pghCrDlwMqT/6u2zMbEZQyhcCms
MamYdopc2I/C5ojoPzdzNQCWOOtywK3k/ODKq7BezL+VUc8nRqXBXwqL6aN+gxu8K9c8zOoZZITA
ZO5k5drZ/48wN1ZQ2DK+phoqUQ5lZRcOdsd1532XxWbTWEH8vdDqRYPhoKV04RjEY2/azTwa2EcD
n3koiq7xyB1g+gGSeMtWastjq7zyw62TYbvjZn64whonQuMLDGArdFzcPDcfPEPgOFpXDj/OYDAc
EpTQi7d0VKch9XZCtbrmGe6b1BGRQpYhg/pgvyGseRfBXPQRz8BVF1gYXISHcD1/zyJ8m1OZiXED
Rev0kZPDe4idw1Lt/PKUiRMpHCKG9OLzMFCERraI+I3J+vhfdCLj5DOLvJWkAxuRw5uzTwn2xGP3
enCKSlAFhZDiacJNMeTosHXq1MdvzrZMpzblQ3/qqPrBNWkvXR0tbbMnRavk1ZJ9vb9JugfcgK1l
AksHA0Qpk6s3fwCvR9h8Cn29acHnGuT3kgeGYVOLz28XukKH0pOmUvdVVFwuhMiMgjTxtUSSfvWC
dTFig8lCzG0TKKk283fZnpzdx9e+0kg8MwSs2bYEGmYgqWU7ybif4Kngr5Qoa0b7MW8HF/xjlkeD
RYo0eq6msMxrkXPIgKVVbl+13FAz7j8FI9zpbKjqBVM0fUPluCm6iDV4fUiYhfdFVDsCufCxg1Fg
H2BvRKHvpxPIEI4GuLUND/jBM4w6SoCnx5WJUY8lU2mQaHkY1h+JgOCdj4ksxwHT8+WwpQFmrIqx
kzNp5XQojeJcQF5z1eT9iPBN/egty7FTxn9LKV/FshFoR+BYMesbTGZV/VE8DK5bw6MTDYiDTySZ
D2RTzebiye5DDSU9hdw62oFTJccF2ZjARQqZuHQR8DbFlcfFwM10FUIrjLSBNaWYhHn8cz8t9Fso
+Wl3Ronzylq203V+VXTu90fGNysLEOOc4yrC+xolTnLvYXB9iZIqrT26gXLdqASIg1Jg+2krJKGp
Fzf/j7rFz2YsZYoCnq1ZkEFgBJjaeqW2OQYT4IPuOqnr+WYvw2OxmoGdJ64R9q9APz9PHq4CKKz7
a9pDaLhDRv6fpRuX/BXNcP0HuUOh8Gw3NhVZ963r08Dxo5OLGbWBftWeoaeRF2Se3GlhQyZtCRSW
qa/k7vlcLn8Hydzpg4CWC9Pikm6znXzZz67EnsseGXOPQcE8qHlYayapjwWNtmJ2ddUgUl2gbqPq
aGPBTi7CJZNj9gCzlBDkEwaZUEdvMTZMO0LNRdv9t6O0ulcon7wae9I4LLKMgrowyVkPKaodiyRA
P1L65QRhLeE0Cy+BTk+EulLcFTismfgUfLdCc25Bh5bGnb8tyAzfTFiQEPhdGRQfyAJKI7qu41Jq
C7pxHgP/7peHO1Ljkx5kDehVdlKVFioYlpLm6oX1HR8lhKOpzf8yz2dzCKgNwF4MGBDrk8qrHYjg
NvViQaAzJB1y2VZG1axN7nsRT8zc+vicaZ/WbBa3ekFiWkAYb+saFl77tkjIf95lsP0xD2J47COt
JmKbDFeoDjmO91xQs0mYK78MIgRak94IJsJsIqPeQPLmSqORMBxx4fZjjoyQwEwWVJPmrtfwVAKc
WRr0VfZxeRPx+uGZSR9XS5RD4VjrpKrN9jEMt0NWFS5o5tzkDzX9KmOxzw6n8KfhnjOpTLJDcXwi
RpIGmdU8fng+4sqHS2qeRV3qGUqTDIqjk+IW/AJN2nxdEu2lwluPRXbMrVApu34BwxeicPmJBPxG
krmYeaCyrLRIQAnxARMQW7yIhdps3xxwKgLTwIBavQCL9p74oStMVYV1BD38yMITSrRoTs5bTLsj
rTKNlQBR90m0kIkqkhcQED6q3iStRX3Lsj1NwYAl6v060KXKm7EIvhx7LziTOexoAwepx0J/gB3R
avNSGU1FAMuDqX0adYR7UJdwtzCoLGzRAr52VUUcWG5n8CTIUmz1jevKd18vkVV04Zn5yTAwP4dQ
HqSKSNKI5ihKE96nnreUaHiSv/ZxmQo4liAccq7ETa+1+cT2L0QZRoooD1zL/rn4Og/UkDuMHAMp
2wzIn+GlKaITgc01iaPJdOj8H8IxJyIh/Z3PJWqzol3q+KYnIYj5Hruyiu8d032xSNv/QW/XqVAU
1gQoIcINn8U33WyAvxzRFPNhii8gt8rFvOodtz7UPHiaU/8Q4NYsmu/szYBLJ09YzfNi8QPiSq6A
+rjTHg8Ih84QYZFmB86Is4Vhwo2+up6+XcsskpZQCbsDKVUTLr/DHndEDNnDxQ/M0uW98ol8Omna
l4WSOQZhvxV516fM6nkya0J6PmYCfYEvqC8FlIk14E0bWeraKiFaZRADvD687qE+Xutj2J4J85To
61AxIXihgX/OjRYgRGoWul7f5u0F8V6CJaGzKZZIQV2895U5zkyrZgZYML5WihCzE/hD9PMZUxB6
gInF0QKqrRDZoQrtmE9HN/OKqcue4gCIruho39Dcw6oBa2oym1vImHbKk4EjbWFMTn9n7YiihkRZ
8sRY5pmMthjIyxdImiYjnJQBG+w737MdAf1dtAeEasqsZb+KFRdlbuU+GQNIX21meei0K8Efqfrn
TMwoMrDCiHQy2XJj11CJ+sNsvGa14sl8adn7aNQFTj5zv8NQC6N2LSO2vToaXRHMTVkwCL6zUg8y
dtVURduG+hD59SDgHo2tPgZIgwryh3fv82bAH/bFH3rNceujn9y+/Ay24oc+1lZpydLM+pvLKaUf
1tejxkDFFuDW39Gy3/iUL9lNIt90ut2NUus+iuVn+S5sntNIlb/gU0XsrLTvEbbuwPGc6FyRQ3IC
3OF9ANy5ZAGNM1pdB5WIt3caC8mb8z6IE2ZP205rNr543Qt+iCOO2RrlWaJXE0y57TE7Hd6mZfAW
FwqxcHdAtMahOwT6CElFy3HPDlVBpvQpHU7x80JRNK58warLGogr3p/p4rYZGdbciIBw91bsT4jf
DzAPSb54nijHkj7YPjn4yst7X17SWqXhnlss7XP/+z3d8xp6gE2jji+AB3y15LGDGkqnThccjOSE
oGe17eh2YvVoWVKzPws7mmJg6+voop7rhkHltb5sEozNvH2NQyi4puPLm6sKw1GORrelp/puvkHL
k2fmXmG4kELsi6k9/Q+rZJcaHLYT5Gvs1xCTJHco5uEER5nZmfGN6Hx83OfCiSDXVVGhEhgealZv
8tgXRu9f10hDXAVSGtMDo6QjXMS+PhRvx1WZcEymXcm2UUDK4366PfuJNQmytVPhXP5xUfKkUNdM
slr0j6xeYgev9M4rA8g/se/G2fCQAq6GkVCS1noXuoWKngKOyh3qzO+xRTL/9wtUqtyKBJ9nsMfk
QxRohz2/KvzDSoBAJW18v13cTLxf0FqOe/2kVOgLVqxogUDh+nWJeqRb3u3gonYLqLAiN79xk6Dg
dTVVPegRfgBM7r1Phx2wZkHqOCTNr8+RNBJTH3jf9e40FR5xpyKAMhh5xVDHhXFdvVq3xD0jXd6X
SPXbFSY2dzA8jHSbrcMV3AkyC1yq2Rb59U/XSlt2dIz10qIgsrxcRpT+QwV0M3m6lizrntWaLucx
KZpMNAYew47bM8fGujAk4TGobIYjWImMwzz11PsZzlvUVQXcQNx5J5ZDwjkeK2Cf94wPKDHg5l3U
Uk0T9mEETCkdFGubB/s6gYMEm3p3EpsPPCE5YSNOEODErDpvu+UGCzcV+lDmQOhSMiBm1IKuYXnv
Z1dee9NaKFMyCMk+98C5TLZuWld2HCGpc4aCm4Gcc71S6840ilZerEuJp+T1GK+vGnQZQFVKRNDi
2kWFCKB7+Igpoje0ae6fgOKpcVYwxNZU9hj2KVj102f0ToYFMGgcYHt+k7NmT64PbwgZgJ5BLOxD
UMJKRIwgOU9mjfoECqAw7FBq+16MyxZVqPJVRJqHPllhmwiJ+/Cj50knCVx9y8m10UpXnCvha58t
Z1Llo2kxgsErxmXXtssoShW6i8CA7l262Ip6eLQO+Rlgo+Kr0MEPzqLqypLXBnFj9p0JjO6D/+WD
5uEYHN068h62qJzMUeOWrPKpTLw2MhjpFDReRsdBNvTMwhRAdU1QA9DD9K4SGeFBsNn8+cpgi4rI
I+8fUHuFrNdleOGFg/jlHTV+CdMDSphbZuKebQwbiEyNMUN5rnY2hthYJJY9YP7NEg7hXbMCumz7
ECSCY5eeNKoKALT4PGonv6O2Sl7Nkx7Urd8J2Ncku8QqYm06iF2NyOP76HzLOuw618a9WM3Wvzhv
OVA+DcpbvBI5bOaTVLgMMeoZCykvNGTyG7qfPoFhPAnxwxQ6kU0jpsub2/+eY0B41Wgdg/ALBj5K
wG/8UTg5iOXDvpyIuXoXgNtkp7ODgU14ZKxoyrM+RRBTUBErlMKjGRcOS11YTLScm5ew3xmMkr1d
Qo3d84e2miu+a2YZUEbdHYeWEtbioP7HNO5UkxwcWISWNhMEwvDrwEbILzzhwqS5WbLEm/r6CWXo
XtzeY/P86QLGoSSYJBnuucSVUEPQZJLTKWzyyXJl+7a/q7p5tKa4A3w4ZpBjskBVyo5VbMn6l0sY
TGnJx2xCo/QDNqlSp51uFIP6q0O6R4e+7Vh3kSJZhVQrOrrqRILEKS7HDTWam0YL/OrOgNYWKUDr
4WVJA6Am4dZm49jtCctBFgnV0gTNoiZvBZJEg1D/jc9qFeMMYyHNywtk7UYXEBRMFylFFDlsemoc
nM1pTDCh/mHOYqSdWI+gj6HumsgBAQVUlZnfYldXFvJ7qrpBRGZOGBXaFzSf2GxQTJKK5gNrI3Sz
TF3ryfpLIILREgs6x9GUrxz0NQkgiY6ZzvE3uEjh9Ru4X1URBvQabyIiine8+IyfKvBd7v7m2GVl
YWdN6UkXWdcaxqzxQQAMBScq1OXXYhZL6OkojbOlq9rtyPRKMDYWKQguvIb+DOIh+lf/9t2HejA0
FaE9JdMsTOZmaMeS/gAXKgPVaWUC3HhhhJsFAQjNwV8Gc1g2pQYFLwIgA3Hb7VsEU78X9FFPMKPq
kSGdSvtmkI8ZPxTWTA1pmIKEJaAV9XR4RIYexI4lgOWNHN5YDKATH82wyGtIXYWGaXYZovkVUiUi
TRnakqn+C2nyrIIx3TXnaOjXpAGiqNG71Cewr9+7KNdruj6/UY+QFSK6Lf5Z5MPlUdST7kXWWWvm
Nz/gysayR7+fp95LfWGGPc4gVxIKI7Dc8+4VIbyMA9JLJnhKp4jlLEgPiB9TN3D06c2AeUvDyP/N
KjgP6dB5OknH1x1DQ9+vW9yWKqb7r49chNsSQXlJXfWDbmk6hv1QknEcDH+u7FVCqO0LKugCHSFF
FhHhKEUm034eh/xjzpR/DPG7F2hVip4/RMauZW9gyMtv2TouU4247+Oel3PSL2/4g2Hbe9r41zDH
b5lvYyP6d27r4U0gsHrBvfgy/Y8OHkPoJTYL0vdOYXe89SIIVKQ6w2r+clzS3Y3uHbq26XC6vJIU
tVKcxnvxGJsIGhIWfsBaMVgcb0Fv5C75q3gbi3vEY6AyC6QexohMXjBAVHSSkHG+UUzJirD8ofuD
Fvlumbrj4DwD2pyzd93edvylux6fixp3Ws3qns4QwVISc/WOb08/cb/sYq5gwrvHSAeLMG0hyMSD
qbUpd5ubpetigfDX5UIYkkwhC6gwTG5m2eJr366VatvexwIwFC+2gVH+1nAAkSsl4mMiGlSjLodg
7NfC+poH/+Hxna8knVPUD87vCj+fJmP3+SzDb92382KA3upQh1G5LDhPmGdXyUF0UBtFlPcB1hpq
wCsTNW4Y4plL62wdDkUCverecgt2WrH9iV5KxFDMxQn57Muh+KI3Y3n8zPxR8kSin0WU/v//pCCz
5lKOnUL03cOT0zNV9delLLgFOPvCgHZnA/gZMbvg5KsMWAwG6r+bH0MffOhDxmlKFME8+318pGH+
u3d2PIxH+SOoVqpQQed+gHSVXdXxbVhNSy06hN3GLJqjtmk0dS9zwmekwu/DTOkLx7AJHlJJMYIe
W5YvqNFmOrt6fKiFCNkseHpHWEJxRRrCRX7TA3RaJCk+i1uc58VZQ+WpErsciBb2SSzADm0aezUx
iNHPP6wkjyWXulBTj0sXiRyFXlXz/S9FHzGNQfSjDX7yaOewO195N1KkNjWtXdS4j554Iofc394r
Jk5c31gIPGRLGdFUFlnlGeX1NzBFtom1kOF06LfjjGFN7pOMkOojqHBdebuxI5NjzmhJ3V1NLdF0
EVbObLU2Wtoh7DNb0agXkqlK2n7wOnOhRcPP9bt5LQBa2LEJExderwxz3RM3M+4MMHk5kBWowBXd
SuLjgutNiaeM6E7h0v/+y+I+Nvz87S6IcMWFLQgaqvlJuTYv1J6KzTfjeV98WkyBikkBVnyK0D1x
gU7m9YJ9T0Kitoiw1vtkr5X114ueuYXCaDzBg6d1jJ2HNPd3nBZfDeGTexr+VabK/CuFOr3W25Ms
C+x+MQ1iOUY/e8yZYSc7FcOkGkFHbczE+q/JEDSkrkU9yOBtVza70sULWQpvCMKGnCIFaQuYcHgr
deqAdsUYlDbq2J37hFHOmEmxR769Pic68OKF0of8WrNAKkAAugn6ReiKkrsINjzF3a3VTp7VlHsr
8O25S4KJDbNVRRrnte9K9yPyyzXdQ3hgqxcbZjW37a9/NKdm7BjdIThUgNQOjyX17jtMuiq0bM7Y
Z92Lr2UQCHMKuensWzjpZmaehxrUOfltvdvJYLb7PrvyKbcba62a6DhJUwLVfz0AlHELDycRHwXq
Co2YXYnNfifXwlP+45aVAjse9O1VgBpLWXmJbO6//uld7cvS8q9UqXea8ABxQze3aWRr5JMtG5OV
aDxcYEt/P+DWjRQaL1ogycx+vB+2utGR5qqsReu6Cet0wxSxewiI9fzUEeICDg+/VZKWpmtiri4Z
bqVgKhdpdq/R8r8j0tQxwzTqrwfkE1vC0l8Vz2cYLWpGE8hpPYE5oKq6X6+Irlo8I1ovxjQg80Tb
xNAJmZ2Wgp9T0cJjC/RnkAW0QTEhsYWtH6XvKFTWpEBZPv/FHKAX4Bude3OO18zYKdR8DmNqLycr
JP6ho6ydw/KgZiIhXvVtx3fm00JaBVbyNbb9eGX8bVp4LHEonbzU8H0scasx80rjNc2D9Z+OZo47
s3lRIiIXUbPlya790dhwjn5QHn4IKg71PXIydA60RozKUNpQIwXEbYcnNBycCZ0azZPUNnw4VD6O
3EG/qtw5hQD9F27zzZEU51d1JJcxM0t46qyreTalCyn+YNAlz+twzIuftckfyNiS1+hUj7VZzaFr
R9hDCyQNAz86rZ7104rea2D8Cmh5UxygCJhLRM4EKNULcsF02wngTOwR2vjJuu2Vu3MHfs6b+WqS
FjcsKruKoPQbeSb+8crOv0xbnamUvb6Anc4XROILd0P7H6ZxWoZtODa3Kh7pcYGljxuKMVtjx0ht
za/Wf/AI9Wr59wVyhXqm2eqpQpZugvWQfakqameW6uKJSuoBRoWKaWimoKaSpLlOraApwj1Hae6D
qvQRgco4JoJonrNS/Uh1xtNxAXStiQtlrXCgQOU2LNwPR/prR/44WI8tLhgs9oY7Mnn3mrOzRVi6
mVMXyk4kDksoYbtkR8EG6XWMCXnmo5Rp61ZqrHyRYacTqATmq0JhdC+pgnOAok52GwAts4QVAjgb
vxe9cLU98S8Iyei8kE/u8J34gtDCWli2KUuSr1B82x49cjN/fwejFraZTpySmErYZu/xhwDwd6H3
2h2hmKPsu6yv7xfo2CtRKB+CtqQD5zcoc2a5y0GzMWfJRc0hQe/zWL57GjtRarxhq3OVzWLDIc8o
mVkQMdtfdzY/wdOxDK+HQwcOJbNf4FIitHGukL9gt+nXX6Rg3SDr14mNssPYQ67pkDU5OikH3nx1
l7ZL9pG9n4aG6Ct6Ug9pViVqxp6bp0GTq4F7catj22OnPCjJ31gA0TaN5QnDY3DbPISRJxa37j0k
IWOUy2w0d3vQrShnkQKMnzTNX9uE6yMqvG9EVxdfwH82TpCCxBnQVV5B4tzr4kJZxi9Ar4iyiiff
vIcVP9rQxVZOwo+pYEFIji6eSVXOJ3ydGqkt/z5c4DeGjArtX29g6dVYUSMEmRJ5NJuLNwQdmaRr
UTqm1e0+rsizXH0hpTVf+nIFwsZ84Ui5NNtyrbOhup3Ylzw3s9HPxGo7npnKOQfWudpuPEt2X1WL
WIx9jCfs6c7Pk7gN+DtRNjFN4znFZ6iww72p/5y73pbJj9PYoHiw6DJuOuFK9hrzPn6ytMvjiVs0
Jis6k4RxTmfHQdIKnrghJvp+XYL57Y5YQqbsrvDDMk2Xpgu7lyZBhcVmhO1nN2n2U0BHLNNCIqdH
yneIr5kZAqZ6IGo2HHoNySMct9wqWacQYWvyMRyvEFPH/mRQa4yWq5cr4quIk3FWhQwd75D9LzRm
kWFREBuK69LN/ne6P9606xqDQmbOgS05TPhrk40YVyKhcW0C7TR+aM7mKlh+U57gGik/OVGwvt0/
mrdPOIGTJcpQGUVHQyxwIYO+VZUiQV+w72Ly56lTMdLgHQuNykBJ6P9DSKQsuJKpgTk231UspIDR
P992XwwnqNQZeje5OqreBRm6ABz8bcZt6Uc5CNhalgQC0ZrjnVv7vBVV6D6V0t3ZgbZPgNK9OQMA
ngWWmo36yMtXaWgRiRYz9AIBqAgaaMewb2ZhcjFqlWgedJFKyu+gBAQhQ/UCFP9E4yWDiWmQMadX
vZw8xkf3wuP4pmvad3xwyjIXlkl8aBsZv+woRqKv1s+28zuAQufCGcT6aseIugU8ivuRrieFdxS8
L6HJj69LteXc1MdmN0tYMHxON/TYnniqm0H0uiccY77thFZahTmhPyo+Saw7rQJuJDxNJpZr7Op1
F2abxfnuL8RgIbipds+bg2sPZf4FEWfg2VU+NpXHit6guH35Bq6RUEarnOMHuWXZzJloMBtfVMh4
qFa1re5+Vm55/EwYR6Nd6QKnoXALZJ2VrWa4wy2nce0uRAu1qfVnEB5DjNTBR4dG5GM/T1bp/Qmk
sAlMjZ/yfctiKQ/U3FaSlSv90SlicS/17kCyD67lSR8cC6k+2M9lGcMBlc+q4FP3kuHCdzpPwqv5
6z5C6avBIZF+KT5jbeLzT9NzzHmnPZvRlwHWPy45xJwPm62k14TnfqjlYoKKxO7KuMzDnBE/HS5Q
qARU2jpgDSr3Hq06iZRg4pVPjGjtA8luQ7hyX06qMTkkFGhpcc2c7gvarMTc2Qrrs0cEfFqg5JNG
WaXK/duZxwX8a/MNQusT5ESAAZe43QC/YgsFiCwLykw6Oa5Po0rEoenqLdNiMh2RcxKwnkNgydH8
gIpscK4Qpmz/YrXbKza2BFNIVXEN1PPDkdK7cmM5st0Llrf3BN2z5PT/JFNuS4Yu+0tRSDOOPFoK
sj+RbWGRkojyaIVvDYev8Ba8twp19IEnvfwwGJ8nLnS14kZp1TMGu8lZP2r8bRHqdTMqOV7Lsj2e
4jdTOFQ6xDOxkMNywyZ40JC3EZvrna35rsO8qVqLKBxoEEqUBUl9jyzQulnpgSy03YUwcokPZzaM
Dl5Pa3rTv1O4LPThEW7xs4W999eVDLzyhzMzGu4QiVcD2Z4Rfn9LdOV4UuelYKyjsji84/FcJAxk
Cb6CbsEyD1gp+b7HIN+aU1j0J9NeFJd5sgvXlKuztkp4Hh8AyOvFSJmJoi0UrXXdddpEHa0bUuH2
q4dQI+jCFdKpiF6xGxe+9Rd4Ct2smsaGimWZprR4ZreM3pEPLSyYtJaZ6qyiNIgdmwEXeOYXigaa
RYn/+qLyQFpESBmy8Gi7hoCrNF9XmRrI+W5SFlaylpXD+Jt/ztWuS3OTk6B3TEbwrLp/pNo+iopd
Re0xyCG/PsmKhflNBhuuKHQqZIsSNlggqAeMSLRmTH5/TtIbewlah8O9fMckTgZSVvAgSvHhusZT
l5kWzKehWjY/rWQdfOdsFP1p94CUxn1MqXRz0KeZX994fcaHuBQepZay8qb+PvrXTwKHjKd6bEM/
ieKDuUvBS1Oe11wLUKMWo+TQudH+YMqCYOC/g+wOrJ7fmyrzXZpD+an92+Xd7SJI0vhbKzW4M+JB
1MER5sAH/KZ0BGV6dJ5pAgx5h3P9abrAAWNNoPGmJ+wnCjwggoR962MHSkY1PSdytjtPYOeGWsCq
nRSbTTHTc8nGodU5/AN8mV4oYHJi62ITDYFKKLi7LycTBK1ZDz2vzU5EomHojj8W9zYi7fpJcusH
2J+NTNOf8TYOgZRKpei0xD41aCbAPMHrPI3ZBIod/eN0dgDrslvhHhe/t/Ml2+biv5ysDo2VvpPX
oMVkjjjcYsJfEwoaog1RGQXD7ZjZVtdgcQH/SmMLoH4thLPjlTEWJeEJFkKylJ+TTYm65gtEUCS4
NVrI9lcYlyvaZuYKBx6/fs6gE9Ctk3+xyu7Bi56wlUtjpYBygxLsa6nA25btYtuVgSxWHazY0Xf7
BzdmWkCoyhJg3enHHOQiJoqO2CqQR8Z2xwERzTedteeP/TYMSbIbf/GBkPLpU1E7pPbVLB2iADIG
DHpsKHwZl6faVl/0lZAmGy+BP5cCj5JGblXXasp7d01+fcr3Cbabjv1LO4iTCzKUwblpH/WsM1/R
mtCP7igXcyRPvgcaBjs52zUO89E4BNanHEMrZDJM/q0QESRV/T4obSIYtPU+OQ0kTyYGdCi83MQG
ChRKn9soYWkhcfuGgUW5Vys7I4YgbKfPktV+bh6uonuHG1C8mRYMe1RzgRjmvcgv39U1ccIU+9pg
SR/yMX8FmakucP/LyOYE3zmque8a4vzBARh3m0hFOVz1IkFdQUZ4om1m/ez52nd2kWg5jHZRhgVG
jWpx2hKtvNUPRdmAZ1OJg5ptAI9LR9YMEdXTxzvSSaEC0bvvELctm8H6YOts8FXFOPTmrKQwICqi
kbzO20KkWRg8GtthA1jPjhIesutg89BCMRBSluI2ZV4KA3OA8eTHp6o7EPuCyXyIAi3PphqHWlWw
7NCDIZOpgPVK+SkdWOlvIYWV6aWR02y7r/EomkeOBohcaSfZ6DGM0IesAYt3ryCXeT84RDov/skc
vP9SPsaXCbq8lrP/LczRIyQ6+NERa40IULeag9DUKQFNUWaQmq/HZSEAP364vhZo+MeKE9P21WR7
jXIY0N8nhpt4EUOWKaDUoygAccPDz1atbz9qSuV9WsuGw26BQZuB7MH7zUqIHkIy8boGNPKd3Vfb
BfXYa9KdbNAGQDIQgq0dnYxQzjAfut4OeXqkdzrSp5MFPHD0ANByNOCgD/PoVT4HvpftZ2lBbTH5
9RkIjzLKccdmFro10coBU5828X/bJJfIPBXrd0t+NdK+u65daySOo5pCkD2WB5ArP8AeqlA2ONfq
QYP9WTibuN9oxIMLWQ+pkJqtEa5DwKRV7CcYEAGDyLNFvD1FRlEwExT6ENtJdalGaimmutVv5YS9
V+Tqt14Aat5br51GDvw/mffHtiXKr0hbSDIqmLzjp4fUgFHI+z2aasxAssNxrPS4bQtpuQTGn4/L
MsbrOtmxozU9OouSfDWnNCc/LQkn0QZUBbjRpUHaPtQPRPXCwTETZ0MamVQ7Upf8QMvdjQO5H+pm
wr1c3+LMFgfC9NVaqFw0tJuEvDscx4PEtQQZW5JMbo6jfTEIn0xkD/t3BQ22EawswGvXyXE1tpD4
heDTjm9oDnHrP5NbkmaiioQahP6l/HP6em7xpYIX86U1AcZSc1cev0ukTgZrbhDZ/O4cRXRCuWIJ
Yug8sfwQrzZjVWmDm5/jEUCACI1ogs8kdPjmdP8Vn54BX/Fr5PXpg1g+pvdrUQDtX2kRwPjKpaxf
XueYvB2YafMeIwKGIA++mZHiHv1VVRaJ5GvMMiPRnxtrD426HQRuiBMGdotqL12sMFttZLRF+f+m
G5TRFYcejJnHnJ3uFCC6Bi4esxPesYVrkzMCv1XP3z292H/UidkQaVrbzC8Z1rjvIAC1EV5v6T2G
XEVRAn1ii1mi9PT4Sh8DjcAVXxg1ytrVF2CFLJVsOD7N6mOadYPGOFgohRVAaGvMNHjOTID3a5t0
qLc/7Sp+MqGvE5Y8p/zuQVyVXFlZSfxRvERwbyAvoifiel4GgErc2/e738I5vjSD8kkMGSn5WZKx
brY6D7nZisBTkoBzFRQM5nWsNbcbAFlhfsxRAbxVr2LPunaXRMVSe64nyG6NIDKn5304SVyPk61J
h7Cq/OS5txluLKV2uufoR2pqapgzinLqTsY7kvcpl6OYGcHrzZ5RP/u525zWIHU05VRi5ZIAJHzo
RvgLHdyKyJlByzwYlWCoUs1r/xdlaTL6g3noTyM8JaaWouZDTbwsHnBQf/i6TRGqMkqGin8G9Yq6
jAImNO9XffLQk+SYJmqs5Ef7PpJCM2cQFY1+/LTyCgmn/c2PG25G6IWK3oC2hDlXk/7I+o3jhX8S
JX0MYYtfHmzrDu14pG+0H56S/G/jxbGpfDizaPUB9E36OlMvd9D76K+qDh6R38BA97QVCV3unKjx
BW2tHnK5DBYpAsD9kD6ni99SwenqHUXQfq2vQZI18u8yDjDDaameQAL1/sAxNBvw0uWEJDqM5Jok
DnI2zQlwduZkqjL6t84VQd8iqq06KvpxmoweLJ24fsbFfPPgYM9z7cXSHVBYXdYLEDF6D2uP9urT
1Wjs06ZtQ1pFicPPOjkMmttjE5y/ZUMsA+W+x1Jkx30Gbc3N2gp9tps+Dt1PLBNqX41+XlcK7oE4
tOpsJICxx3Vui4f0UVU1Fj1h8qYz1p3ZX11RVnlu4w+Y1SmZIlZyzfDwp4S9Mq0n0pQWhtr8R678
kDe/46P6Uukw1MUPttOiT7nXouC51C8C34gX2j/1MqLnroRhDG93+Y/7Vlcsj1gPfISdLMctT53y
RuzqJhABTwX9Paswy6FGQ2dh4IAG+8p8KQbC7OqNaPTaNcmErZMhAXQ0fdJtaUNWhH1us91RLCTM
2BVX56lfkkhc8GwpCczizDm0OhbPXDk+wWW0i2H5wdq9jh8OU9A4BloBeeQ2AlBjK94+U3zzbPfU
PG7hB18kDFJijdP/izzEZxKMKX9Wtr4NmesQ78L9xWqlRjd/U3WWtTaYvloye6lqlXH0kutCXtET
zrBBxJm37dcrz8RxO3XlCrMPllAQIqg7DfM5aJQP+WKeIwbVfDhuBqJtY5oOB75pDdM2m0uiNndd
WK3w6BfurJRCRBBu3Ty5pgIa8AMMOiMhciv5z4/baOmBQhkTPkNDwCA++sUnq2xOUQPq3uVj6uk6
ucDwuS12e9/G0znlUoxTH0RqheeimMKzcyeb9KUQ6DxJD7+y7r5jiauTGt7MYjJOgIPTNj85gZ8J
A5SLqu7YFsWcq9zfUmTep+AsX1B7fWCF1HlX3BDRf/IsmzdXxcGw7BqVNpRfFbpuVLdh2AXAYMP4
tad7gnL1WxmvUhsh1CmtaT2SRo9BGHE2JoYw2WDez2iSLNqKTyPk7NiafVEB0i+VSwnOvN/l043f
8YJncDElSW4jx2DH7vGZcg+aqRCttT8AyzxJl+umbgptefZxfBcDXznyeuzoYMH/4EBAjUqVAPDd
0Df/zqoJBGRIv5o5NAHCqNhtcorT0CoOgREolomgBDN/1lpkDi/zI8mdFQ0wJW8xRJTm8J6WXxPq
T8xCEEIBGOQhpGXyTUT5tHobRZonX46Elx5MsWyzhtFC2dhs0AgQKIgpNxotIlsucb0N+JL+ylK5
xnKeNmrBa38d9MIu0shfNye+UR4XBRZUhflV++3wW8VxlC6ZvU1xCQWrEEVV8RRw0HTBVjNNXtun
UMxY+uNloZzPTvWriO54QvhMgNpsgHt8FC1PuQjiRCKi8D7NXlmfgrYJxEGGAvtGUnaUZYWj1ORO
E9kxpSlW4i876hCzci9CQIjYUgf3JAX+wqpbELxuKUN7U3A/sgLP3NQ5omFc2YNI3pkrtWoMLzgG
anjGB9EpH91rhM5an8Lhva6hbu3VNPTinf4RHL+5h+KqUGxSbO366KlVpct7R5j0yHVHjp+qyX2p
4I+uzsAHNQMsLT+HeF0x3Y/cZW4L9k7ct/4xPlZBqilkCOJeMHKSMtA2aZV/A6tIoCsHJXURuQiB
TfGQf0+h1dHxptTg+M6mUdJO8oHQz4kxeBYY+xTC94ApdvKavhpXGdAwtSeze/4CDbkjAt8+cXYx
ssPnrLP0IxUlbD8jyEBfxv9Jg8GrRQqUAqSJ8cBS0uuQJb5dgLkAFQWej9a9sXjWhHj/JZJqVVx6
7hphTtu9i8vPTHNyvfA1Wlgyed//LNipvkffqWbpZ0ZHLprjjq4+cI1pGb0JA/XQES7tkWQr5Gus
FRtl893Gv2WCmNSKlmTXLuDz8HdIcKTjZfRYUTIV0p74sIcNVC0pAZxU0uOWWGu+w9C926f5D8wT
g7On/J1BieHve71l/O5CwNrtNfoxSkuayzPqs0miY28lMk0ngNoM+I8YxPDdNEuJ5VSOYbblzW35
8UwkTk86Gnl6SgXYQ5nEPWfyetn8BLyeKFBHvR/Bz88GWjWDbTACpWloCGWmArYMvqD7ReLsaxHy
lXS11cG8KpW1/KFGQfniYmM8Dmbx+7C7f5nRhTNCkQGN0TK8LjZcNTHaNPZPVKJmgmBUJWlMC/LW
QfxeKTFa4MzCC9vVI7h5klj1ovNU2EX2wAnYVzy2ttAeUY9535/9UkpqfA2APJn4HOgEh1/K8dXs
9CrNieyp1SO/pwob5CFhrVdbQyzkmBf2Am/hLGptEv7aMgvtNxycuXWRJ16E2yeUXqZ9LKl6qRUp
peMNG1qHgPhTl2QtpSQN1NoR4EowIDoHYm48ryxcNSusbdU1qgE5ZifusfeLQHGKZQmuzlwvIeNQ
wWmWKd8OHJ90wSIFnnQcY/ViHoeR2f5UPfGlqpJp/L6LzQWXTnR9ZCzlSr8t92JBiS21SySHnUGx
2TCYrzSFVgynWKlU5BvZzrObdtmJd83jKFki/3I6A+bYx9587fjKBJaIjSbxKe5tR0JfcQtd4Lmg
EObIr4P9dusTQi60IgDPE1GZ6p0ZVIctHdWisKZX8P9mjPq8Eu6KmfCIZy2UHx0lU9w4CMdYXEf3
F+QOO0ZPPPE6qRfDWt/y1bkddztkXduTZr5jmpqiBI86OUQtINoK4xmnA10pgpCWsKey9CLnpQOL
+pOgggUKi9T1WmJPpARNhPm/IUtHxqm5IqSGkNF13rUDCF2WAUviiddqc5xwJMuoLVp7EuAKvthB
nbwWAm0Lk/LE7MxHx/IwnZ6RCe94f4XLJ88BKaZoqn0QyU1ZapXRGX+4Bt8wwdBGEELRbwnpP0nB
UjVpdKwQRFwYAYP1LeVkCvTNaSBAjybM3l0DqhYgwsW860hPKEe5iJo31q20nGmPeitKFdIASxLl
tdHsBAzJ2q2DTX4ZWPZIs8B3fL2quAp3K4VSyeGC4eN5cu4M/COrKfIeNF19/GrZQZqyZL9mO8bD
5Y/zjzhuXCiyEAzXjGDIwKMWHJ65bMMFugCaOJKqxBJbtO+/z3XCdbkHWIEf0LoOcSIT9IFceckS
5yI1uIUENgTwbAXZ1HQYqalK2Fr2MGA8uJJpm/NkE+o+tZY5ADEG68QrgcJ+PYV9SeTXOmxNAREl
7n3dtWctB1SHBfB0sYEv1c59Gu44Gz98dwlXYdylFyqOXhTMCnBzVTdzmRp3wIJePQfp3Z5zfc8q
VG/kgV2UAVTiB+pavYZQVaqWBX22dOBXo77wsKIBeutP//1Ov2yJX9k1t0+kcu5CBGa4ON8byIOX
g9Tz+E5rfFnu3Av6GNshU9uY4ezE7IxH2spIZ/uga0mj5iKsYXdNIdeBjQ/4lCdBvsxH4jEvRAe6
bauEGyvUVxauu2evis/u0PP5ZSTbb8Lf5uV/QI6lIgv8ownh0JLsaX83dZzGzJWBsLmLdYE5jCAa
BoopqKQII68Zl4ELqjng+N9/97jkbVt/OK23nDcaWnO5wtm5VXXb4HNmqMI5qI+lNvbOTb0l9e6T
8tQCELS5qnI3lAfAUu5rgdTHuuxBUjXfWtm/4dgiwt6CYoePnwLT64Q8okri6sbeM5gnMk5haYd0
s+C0dnWZbFVU7v/3IsNFJJrTLXKU1GHr6KkHpkas50b5ewxQyFmB+mc0xs3c2/r0PWJJT6DkTape
BXQawAczgNJXhDs6FWYg3UXaFH9yP8y0Za/nSrSlRwMisre48jPl7qR1l3V+erH2NA9ZcSWnnikr
E+K+3vTuzYr1Ff7f4X0Ob1l9BlnwMLWGGQi1fjcXElx1GOOzBLDwEwJHZEJR9Wi6uK7MfW4owYg3
QlL0AkqJkbp+Yv/DUZ8HeZQEbRyHbV1xoK4YzFAUAxKPWJn6RK3XJt0TMJqoat+UXzNKafBvJ1XZ
BSPQIZ5JTb75qKjKQ46Jj7ZEqb8MWbJjfgPz46jAucXtiuTBI/QhruQ8LVxW4aPEAWOhoaid0xRh
mFpy1NpgN+K1k/C8Fw53PIL9hcemFV9kHAamyBTPWD//AhoJWffdBWaTj7oVkp7xsosRwfQFqkEu
eM1Tu17FtgDMLCZD94vRYcBGzKAbt8T8uH4LEi9WWMel8F+JrKHXIkJzerf8ZIXrqlDZUhNaVpif
XXH3GRx1+ZbA83YqqBbUnutiRlXiJev9PjkPJ7xbqQBXS7+uk8BuI4eCt1nLGgmypiJhoXAJTnpd
uh1KBdw/J6OlgXtKcGmtMDRfKuucJSfRin7KLjdFsArSgQ+ZWeU4CTMPVrOVf6Sup5qo/wYh8IBK
0x5/JNahtMK5iKr/5/Kq4qUrkYDhIamLZWf1PN3wZvMVnd65lON8ekijUfRMtPDxn47NSjSk4rE4
wDISYAUfRmi7xkVLQTHtNiY0BDWd7S3bYjFnYxw9BhIYV1kOMSFZWN8lWTxqyB0vlWewAdj58T5V
kXgmTMzn7IfZBIdY6/p5jJ/1WUNWBaAzgUGcs2ECTJ1pfukd2chrQ3laYwa0fWwh/oUrO/ip4kd+
JbUNlfylp1a8lnXSzDb8JpazinEHQ8Cq9xLXkCuOvl4c2fppAlEwTXkgHQNlLO+p71QXmWZ3YrDE
PMRDpCaNoJDqioc8W/LWU3irM92Fq7FMUxLemdaoh+OZR1c6qbMIvkvcQh4d9Mxb9q4K5klGSPbJ
rlPoSh3VIHjzjTqLcOK6LzeztsAGiSKqoGKSn6nhyQBUoNbOh47O/krvZQ/ljh2HFLj1qOPmLDTV
xb4g1EAbmtIXEOXWy10NiwxCozkDRqak3xbUEJ4/AtsKyScGlT4NVaFAg9AX9WZIy2EOMEMb8x9L
1aqloBKvkkhSHA3gVsE4h1Acegy8bnD970uw0Q7ZuClxzOWylnViuPHjrpThjnftRl5ZNP08z8JK
c/wzr22XnK2MhVlFQpKbc86tB+igXk6kP3irW27e84xKE2BiN9erPyu8BdNq6PIVSR+ltyNhCege
5E/EANy9tZ9Gghgmn0at3+PmYaJIse6RbASmHT4dLTQ1DqUiPpFvOEBl9xjLsoGT26RSsmwJxmkx
fk/ZMTlSx3JIRvbaaHdIZIBEWaVJddTCS7n8fG/JQ25y1dWc5B5LfSNrLgpxA2jfRYt2hYfDUh/Z
D5nzixaTCHvWmmtP6oZQP4UcJf/HReI8cDHmyrYrvPIX+qfEZVoHHUiqit+TcPHuuEX6IXz5gTHb
lmHOHLJvn/6/l8nm7X2j6sP4tyEOgKXvHmycGl6mC1Fm3TU1ztGkO6TGWyfUSDk6/3wCuWLMoxKF
BX/Euq0/3N6KU7vkNEdKT2DMCB3i01NrvhcRsrJk/wG1s7+Ha6S+5AofZHX29XUfXGZoBsc+1Ba0
W8l4hpcA8gvBQbENFrCd2jI3hNAndSmxayUGPaDyEFVcO7xNo3pPvYjffvRCRaQy+RvmgpjVJ1WX
QSH13lTCBtNUMjopj1ilCCQ9JTeN+jMHy2AwufpZwWxiHh0s8AYHIQDNQlQADT9UrrozX4M9O0Do
HeJEnmzyPMMuyjRHev+9bzJ0ydiVHDTFR8Wa/5MzsTIFyahNU5G0Dz2LYjo/mJDUPkyEfgcU5i1D
AOI5vCGqHaJ7wY+bF7fYsbrpmEZTfqhrdeytcGIfbFg/bHSfCkIhcbyvxDORkesrBdfonVKO0pM1
QSc9x4p83sXhpb1m6YU4cjZSyyB61k5/+Si1XduLQI3x82Rg7hgFQND+GpvObrNqz6Ub5eOErwzC
jO+njAGQPYUO5kx398yugMU4sCQdUsjiiO0eCu6PsNB8hC8ElKbvw0lhW+h3mH8nmNE4QuemoqoW
chMDeow5GgE0Hnjx69yjeGzyw7LPhPUbWvaZNPYYuf75da0/YvCZRZNE8ymIvTJuT74v45Y0NPF7
N/lq2/eHHtzjIk9cz2JyBhGDLaIu/rKHR+P/kWNvOr3oO+qEZI2YlCu9xhgdODy4ynGkvHlZ38sG
zixlp8J1b0XuMYDf3LcY8G8qzruVf5INqcgHto3bG1a2+hPfEqzwStaEop6KpuaWuA1HL1JQqjIX
lBpEAe+KNWaXINLxxDLJJR0IQmvfua9cA+iVS6xP0xFErOmws7NVbRu0olYE1O2h2vg9rRmAaIYE
Ilo1HmWePG6xKJ+gXUh2ihG2RfSkBWslBugpADzupilHZoTjMxysavXzK2HXihfh+WW6yt+4LCTP
Rf2ADwxWVINbk/wSuYHHZLb3i6HXvZQoKnYw/3QkTOrYt3pAIsHauZtXxt7noaB59/dL9NbUR3mE
ewynDd5KW0FMza9tq2++QG0vE6gxY5z+QlUAbhWQFRe4L363O4zsIZwBl7DAxK9ty7Bwrx7inXNk
USmrhCqw//yCrea0NTyXi7VmzqFi8PlSIzWjxeWBSdDmP4KAh42rqLZbVGOdhTuPkVv60nQG4dOL
Ay2C3XDAqNzAvYR1z2kE2cpqe0T2zGcv6EQBXIMVnlv7tPyCQyEUgDRte/P+z1HISsrI92dOALKM
YYCQv+7f1twNTm5sBTLRqYHmI8iN5ZVRdtlt54q0/9TpBPc3SqSUBFsE1bYl/uDVpD6eVrJ7LBpi
MMLfjj8P4elvslhXLv4otuenX60LRRuZc4K+HZvGNYTvo4RLj5JP+ZpKXnqYX8UnqB/VueNp+Zza
tyVZWT5gPVBSnaAEAWIDG85r7iYoQbDH8yWCJX1/W7tCF/J8t9F5hSTg86ynJnifSB5p94vofccj
6ekaBf2ERsWQjGZhfVgVx5JCDy7TDCQ/btlsvI+QmvFKB1WmtSY75RTL6t9Euh7/bt4EpYzbVWSR
MMk1QvlL4+ejPnHTCreCdrMxDOL1RdwfHe2c/wQfg2OJiFFMV7P6eX+/m2oCgthmTC/BrB9lSJUs
jRTat9h1UWWrHw9eBwxvlAgdLbO1IbmVFN3UW/9Nv2F4bh+IN/8X5cK5v+zgoVEmmgcKm7NR8RxA
RRebTj/cVBGudk7VwWqjwECJ25lxApTWIHr3mr3+LVaXyN225LWNLepDkpH3w0Q5rZ+RNqSXL5t+
fGeKwsB8a8+X9YoKV7Mmon7TK7iPJLpZJcp2T23FezomvlSmXD+T1EwddyUpe4leuqwB0S+AxGj+
D+lI/5jL5zzDeDP+392v4s4xpzfLBfh62jQc9m2ivzjOl7VOYpSHtnNdmUtRNyn9brYWr31HkUc7
L65TjYshgHr71S2eYsOqNNkD24OXX47KLPrvdrNSzrFcTSnZk2ZQD9R81/2jBdtbEwPpF1noDU2D
MQUKNv6nU4B5bObwFWIeWn2w2nkApw1HAFsaEDHjznHHj/P3lC0cbj1BOGIVMuuu7yBCwXhG5gLT
HPVuyax40zntcce5vgjQWLDWXzOUHaUId1VFWMg/etosoWSH7+PVqX4p2JK1MNd715XySNPp5ATT
gkV0iV8L8OmsdZQUQwHUdFWYWSLbCKLlayO0pqcTvLOCCvy1k8UHT2I4VF48hv6fMeWwstiCjo6X
EU3/Gg2FyoPwAcPRbWrBL2EYjq/nzY/z9gX0OVrc8oMmb+ZWZBioKxe8gB2CwppYD3VFfiK75u+m
OU4J/YiqfYawGMfOx/jg7W9DTlBPlp0MQhFEQ3Ody0kNdA3olATTE/tBSQSGS1kStCVZuy3XlRP1
IiUBQVvn/tXAv8UTOrBaBR/NvbhCfTm5xmilDMDf/JDf5E8uIqEtu5Hdo34hK98EUFvKbuDmHhCo
X9FsW3RpN9cDJXFmAj/4h0D2v1bNJmWlwCfbjjVYRM5tXGeuWl4zFAS4HHGgGsFjGOgmD9daWFsq
YQd1/mO8xgS5898ItxicasgGdSLeIFBb3qzU5RTo/7basv8UMGEFdAzGAGHAOat60RJp3d2ZBxQq
OvUzjTlfaWjPVlXx9EbB00HmKAcehypPRgUxPxib9+Uf49853MQEvc+pD//cD5F8upDYw/J87fpE
ZuR3YyaspZ7JOGYakQ5K4zXglgi5NRqMm5Cpj43HBd+rz8LE4U6DtA98sK8E0Ev4PzaOCzvfZIf4
L5SHO89N2pv726Hgoci1jzW/Ii6aomGtG84J7N11xUL2lsRSd+Jrkhh7JjxJ5Di4C3FzOOmVeiMz
FYENe6kHrmwHAqP4iiAjoiOCGcapWq2y0o/RnX1YYw8PnS4XHsnQd73/LwmTgl53R7DVhKslCxXT
LYmlYhcyR1wyKMW+FmKUJMHh6X5IB1p9U5WGdOyGw1WWIF7qwhKZ5/YXp1zDNp2g1BqzHCVjRYEh
BtFZktPEFNkHSZ9X7DLv1xWw38p2wBgRMKDcaRS+jNx95ktXA7XCFG/xxTVrPxX7XZ9jjF+lI4c3
BpPD8mDzCrtEUL/bi5ibTOq8vsjeskbXgOlgkwD0NKgeBSLzoIfMQAXaTrEkrkHr9n3vHL2Yp+X/
umL+t3ZZuilYvBMiyIXHuZ+QLeGqP56GU+xt0swxm9BxYzS5lI7XLpQ8GNM6IoXzUcm59TN2cUBi
kBclv8GbQUIo7Vy2rEaJB2RoZdAv9WAwu8GtvHQT3R5mtFzBYrSKAMs3/eEwa/hRbivX14b2kSQj
rKF+e/xie0etNbN1WzP2eY5CV/D2wpdMomrDSJBvbnGnNDxeHr7qjRb0dnWh02lIe4kvr2bIipUb
JVjpfKPLA7Boe9eKISksuKAa47Zpn+x/W3eGT3JPXesyXl8mfoQv3pNtFCH45WGaQbZiS/zbz16G
I1ShtZbtcqomxEH5c30N/XhT+Ol2VieYfXNinVM1hUvRcCDbvahxjorYXgS/ltkGJ0+a5nAP3qvZ
4HAhCN68pEQiqnq0P3PrLMggO7v6YGJzU6knfTrLjKFr/J7UQaQaqGNZOQwV4xLbXsRkkGS1IfwD
wtZo6pL+81u5dKLK9t87YSvdoG6KTNEekT2yojJR/YU7BbAA+8LKaXDu6aUgYNB9v6Ph4jqumFIe
MZPStGtnri7Oz8lCmr1CT9O3LG14o7lHOI3e1uC1lZtprw4eb9TNhAtmiFtkq97Zbx7MB51w2N+l
Wcb7t2FT4CrWTo0kZG8lbwfDLez3OvXlDKkV9PqtKAfklEYKsIC2bz9poMQKrixlT3cJjFxfW0ix
VaodK9284tp7SQtfP8ha5ZKXo7p3wweKErElVVwvPVU3aNP5XGi7AlMqwXNQARAsV9PiQktyGPex
vxJ65WYe252UI57Pv4ryEbh5l+NszeFQRsV1M6nx7Cx+wJGyElDtJzDQhOLX1ZzvNsLnXakpNwb/
GKXI6E8SbkonkfRpJX369cstoTrwLDj1bLJ05ooGSC7+jsrySZkX1gHKuJG8FVMvTym0JeOFFP4N
UU+fwlhuS5us1YQWsyBkbJxNhpSvhrKH9j6FkiQx3ENiE87J5ZN50vcET8+ayt0zWX/JreDeXj84
12/S6chNzSlWgr9DFKRszcjipm2XZ6EaZx/4b+wwOt3UWbdph+n/jMeRCDyJKiYftJ4uOyZKDWii
qzO1+8iQzYnFAP8DIqfXypmwkGHnahMK+LCmuzy01O+UOKtHwnr709n2dX3BVrrLdSEGxOshB3IW
4Q/0/bug+epp50r2jxVBHMfjs+OcoKMN/NTGpnFl+Comi5bIyPjxkdwQZc4LWW6dE+BbTpsNpd28
70AlFomIF2fDECzmzf1QjlH7M0975lQT29yAhjl7O6bSzDBPzoxsYTcbl5LLKC5pRQGu3fNjv63L
VA5HVFQDt9MOuPz+T8StZ5deCTsBgPFV9LagWyD1T7MwuGIeCDUkqxClBN0/AWABVTI93ecHslaS
ARsdiOnimcTGANYuilOiGx8uYfKK90iF6dznqwuYF0Bpj2HI1j9kIT7WrFkqBzpswQAYP+6WPbzZ
+9scpTVLdCDt7j1kvraLW+UyyIyvMq9Jq0gbOG9OHSpT01nbe6Egq7ngWmckXtHtBdrONe4+N9Ay
Y+YeCgRUiE6bjSk5KsoLwy0qPNwl1hdn+cUSsAuH4Al+IabTlgMwj8D6ovA4GXlPu1uoY781sQCN
/E19I/KUk205KRrEEFEzSMsN0tjy55utxoFgv1l9Tisp28Ys0HGQMA/5+34RoW3AxmteRy3X1Li+
od1ct2TAd44YYig4o4bA8+LmEmN1gQSnHBS4Qa7j50xhWRaWFqRwk7DqgCKQaADkq6CZBm2cD4Qb
ziIzHjG7HgSgJ/X0g9DIEyX6JQA40tJVSxvtQiG3JtQLZ/A61kaVIHIMWiPYz8BpJssyz6AQmfiR
8dj1LOJMSygIdE2MlwpYvpKMLWlKGVdtce2BqId6chzTiUbVhL8GLIKNApu8cvkNL06SwmZc3dzz
GTfYXCc6/JKXgycnhJvLd4rc1WdkiGI+5wHypGafU6x6v87ZGbO/H8W6mX/mQfYUgAtNrAFfTxWr
FW70w+/Y0TPbg9lcDebR0UePnq6/eileocdeNy7UQAODWqnmy2yHfIi+stQuggPoK+rkU95z1/fW
1Ngk/Rauo4xNaXNx+6vNpZnfSr77zl1C6WQ2zRxrjmvHJp1WGJwPntTIJxQjDNBQLQU/MUHUoR4d
+U15/VRNn+gtxbmBzWoN01GOCSp5OH2bSWvC64ucDKP/70vIseMQ8qPwFFDz/ucn06CgunHhRuqm
Z2aixDYvEjDrV6GBKwOR6A6JxhIBVznn9KUOP/4Sm0x6OpxEy21zSF7J4HsqBnH+XvACIw2pBsB4
ibcWolgozR7sB/bbf4/o4o57JnbeKlttO8wWRSQUZj5lPC/kHzUaHfc2igCGW6vwuUr9T0X0kPzM
MzHkbJQqWZ8TKPRryX5Vb/7CgqNgh3/Ya9Pn9dOzeYCg1fTpFqoc+wgggTyHJiebU32dpyRM7+B4
6pn41+izU4WlC7qKj2TT3UtT3Te9aFmmzEfVzY+J/iH/25egxDvrxA9YA6BeMTcH2Fh10j0k47Jx
VOu5/O1AgmwqqRA0fXnImcFSlw5RuKbFW5/O603pdSaY80qTdrXPR/R44hyBhmreKUaRropqDbJ8
f5/wbRj5YCg267sTOee1vTNYbcg09Sw9uFGpuIzYixTqFO+JTLbLI1olAVsaa6RYdmmRsqIyyDIh
MgEVBkNKyP4sbxW6mP+ysVwEhQLQu1PNod4DQEJ7tXVhYFECDHsChvFjyJaPf2ou9rfv/SlZwMfJ
YBR/FWcu0S7Brh1w6ZlzJbvQUwXyvbudW8mjs8lB0ywIba83m+u+e5/B4LlMae/vGCCU6zrviuk1
AsWBsUMUhdtkmgrDgnLtrfvDIMomO+BSfRPGFi52Cly09c3yrZ4ohZcaekIG1HC6vMrIjIj8f/0q
NZjUvhNwCKBWJz/oI0mHKBJXsPEJjTE+IzcBwiSUr0ovPCaItPWh9gc+oy0/VgFRaY8ms4CZYODF
1QpQpA4aTxdufepe5iACnGZdJb+wXn+iqv+4GuMNpctmdPirTjnyoX6EDZSDBbicLCDYR7Efjy5Z
G+bhUeCurEKFupi7qRDtVmuC+zPrJePKx36SL4mtBE7JYmlmIOuDZ6bjj1Xhk7Ww/Z3f1dCbb+rX
6TxCksYK4Ny64rYJFKZ9V5D6zRuJZtHGs6v5PbZQMSu/awUrj01sUlGQsaNKoJEL5UxTb5LpIh3z
WKsYwl37z6CsFvKpRMtU8pYPUS8P47YBss771rx3Ao7xkyMqC6Qv9IqKkiRY/IR+gUVgJyR+tExg
VTdxZxreJD1W85nbqHAeQDduLaG9+Ux8hgu+ItuUVL4ejUNgjaunEPonh5SoxnljOULSWCwCs/Wb
cO0MgONd1B4tsgIMa0L1dzThSgeJYzCx2jBqW06zv2HGHS//tclLYV5rcnPXa/tjSq689ABj5gBj
BEHF6lYo1Erp7QFrS4nzWnd0m0tzSSWtRzd9lZp203mZ2rEEBC9iT1JF5E5RHth9D2vJbf4zfJ7r
e/ak6ffvVtkEbXf2IgmvdyFaU0UwCe6UgXUqxoBphUpUS1xkgcwbkdRNeAG+bIyxlOvEuB1wDdfK
TaoXrnESFaTpHfyInqjmRB3Zpq243tt46l0DfUblZkFyAlTK92e9CRP9NfLWXKcseyZV8Pl5XKCU
DpoyrMtTx2cLU4j3pO9aG5PSJ1/feG+pSvFN2p/wjCXDFhaRnBhKCFP26nCMtryt1nR5hpI4tq+t
0nrPulCmy72OF1gWwz5NRMnJz57kwmg64jlwrqf0ON2K/Rl7QbvExEjtyShIo3xvxitR59QdZuiZ
7DewGvQ5wb4EQxCwwBhvbmzpnZGCMwAGXtRfcH4+7CkGbJiFuBRJRUVxMgOrJ6bq2zBoy2fTCHx0
lmQBdO2KjmeWypnt/p+afMtUDr82odGd5yszH/0HAYSu9Db8hFnzDKIJ1u8LJKGNKL6Cz7dFP6ag
imU5K9TNE2FcZeaMKscnh5vpXCPqztQWt3w7/qxS36nTuwGuYM2egvxe0v6BCVi1BU7BZnU5e1ML
LGlKvVPGH9E/f3pZQU+Dy5XN05IkF9HBaxFAvnnaMa/FG2l+Pmj9g3hB/fP28gPXSzyj8kFUZgPB
YF0Vrx9SSkLodCCZUKf3Lq9HTX8quYrvMj21JwMHqppnOIIFnph14LLUu7Yjqt7Dx4nBA65OCnpP
ogi3vNAaTVMCmgJP5jyIjO/qeA5P8gdxMYbghsnWDJLdr1uQXFZTOi7iUIeG4CM06jixMp+dEEMX
GuhjRUcHLlTt+lMW0O1vIX6dAapjj9uNP+k2YPKtQieMJJvcouPWPORDMcBvCxySqJzIfS9+8gfc
kaABmrjEb3YdWohflqVsf8fKVeX1QhTE8HRZvDaXM7HVkQ3YRg9/AaygGEkSUesEXlDp+JFW0s1s
mIKcDAJbCLf/trUaTLaL6/whoyRLe8GiS3LjfterQbNyS/KLver64OxZOWMrrVMde913VGpMjIF+
rUOCUQRMdg2Y/s/ko/dvyCsyIwLl3EPrnSBSkQAiQjdIUB3NqiP2+xE4SlX6TkkxxRh+2SbbCWG4
+uOMbaqI3Erk4s7GopmyF42kwxFTZGUvBrIhCi6MQTQBKOg7Un5ntbT7ENe7Cm3VOZBcSJcEJXKm
PWFmZ/YUYtX+5Lzfn9HXVCmoT7Wa2ToHDB5cUMVSULM5Koa+pdzocNgEadw77Lb10329abSdW6dW
jaMkbL2pgxcQGYBoW4sNCPJh8cs579zXRjTh+vbhQzX26bQK7YeyWfBq/ulhLq9NerJP7BeNSQG3
X+aY2Xo/+GMBZ2IcT5tH6I6H0CtYUCIwvbTp6fZ5KKx0hYDZemMQofofmVRp5cA+cMtW0JI8VCVR
ElEX4yat4q+ZdDozpSxnk3aWA0s6NjlPg4lx+R6X2QP7hudP6yc6zZo3t2Fl0vUF974gxtERdQDx
MOIm1FJy+IPEEXQ/3uVWai3hnX3m5bsWExWxkaDcV8byBrZQCzkXmq+KUPGkxrLxj9EsQn963oRZ
dRSu1DTAi0qsKDDr7AaTLcNp4qksPT5muE/YkL+kCBgYSSiNNUxuNtV8QJIg4+4WcI2lS7zK0Q5P
XC3qhifLTinbbRH07ZVB9j7UqvB/eBr5Ll72ThbIlUVDV7UOtmAFzIETUH+8yrb35eP3QTkJpHW7
QyTm9W84HhwdH5cpgjy3QLh3BfMnFX5oTrHgXUCAssQnxjocwAGh1X1RQjpLSWv698bnhVao1QVG
ASaWQZJgRe3JRC+FtuCXRvRlYoWEZog/x0SgqoiNsSf2gte7e7czBnPa2XY53M4u9qiU+PSgbGkR
We+ZDQyYFM1aY9blYjNURX2WCM4pApI9IWdZKKPbnN7gg7EkJy9SVnYo5LZI1Rgl6TNjOSNP3LCB
7yaoHefGKmsc+jqMy/uEiN0FPsxzUdHW9nLY/RITA7Otnhe0sEIKg1H7Q8ys860irK6Sgj3ecSzN
N/gWJwCL4txHvaresetbANtSAj0OWEP7+Dso2tFkyHSEoi/59nraR/EuO9m5HzEDiLf69bc0zeeG
6piEO9f9oY8nxSqxdnPQ/DT9SFH82Ui52vPDZ/lal6n23M+ZWI7PS2/wsyNbpPSSCpUCotxBZf25
MMFf5Y9akgrREOo3TiAjVOSBOIiUZvlcqYRGj0ckn32hQCTYrxFwBfu/e5K2Lj+STcAz9aulkUIT
leLOmRe+kjMm2P2fSj+ZY72LkpLnKYkxGsVjyjMFzFloxbOd8NtP3rwf20P9umRrlHRf6IU1vY49
bmUnRmIzummHEKqhCI8fEACQsy2adeRoi5nA7FqRLjyshfqM7EbBi7ica3jkAoe2FwuZ+31yga30
fhdwhuRW6bt8VIGiT4xvSDARiJpYd+PsMoJaQf5IkQQv/6kves1zs+R0FT7NbczWq5CDC1x5XlvC
vJL6s1457/Uh/8YNi+qsUfRrsQKksyvK9ToLZnHyIYrLKSTebYuafII0hL1YkVoigYgq2KhH5Wdh
BtuEuD3rLINxnPEUdsuY9yXqmjft5bwrWDq3Agc6fRreEPhmM8N36L5DoAdb4hgA59osjc1i+ujC
pwQ7JXEaUy4q9recWgau9mEHnOyOTDowFc0PzpsA47tbMwIIcowFSvCFJS1nt15jX7D/6bmvLemN
XtRUllbDSKJV7CNv4NxriXxqJ+7Phl8FCx4ChWdp6VRlm35IaB0vMoWdjIVn/wM6G5ON7A2mzjrq
kjjlV3txXMOEpiifsIY8flFZXrWfRPtdXSf+ivO/WH61o5Fl7vnJrLa2Ig04s7NLo+XfxV1fnGRW
Fol8PZXTSYKLIzFXU7YJUoEb0wB1tXdY7NP3MYuA3hxE2XT4EtzfZdsyliS7fyKmUXpcpYagToZf
fTym7YtDGAVQT1kpHMmXJmxaQ+/JQHn4KBhra5qA9+s/O+51zJmwYRs/8vYTLx86EcV3cYl++fGH
o+8zxM/zFKllHOJJ/YRYEip7ZjImtx+Rjl0zyK4MpYW5gfXLVQL5TR2aP3cL7t8odnO+BBMLFIJX
VT6a+7m4cpBGv4WJQAA026vNiHkmYHzYiupzUOaEZ2/8Uh1nSL8RLc44Jn6cJfVJzui8VjzZOlIl
JRdN/Gw9wKWtp0QRzWaSKxi3an+lgz4AWt4i/eR1RpomnKYE+dxfpNfa5wj86nk8kSqOoupWFLPQ
ZHKC5W0l85ut0rG9VV+sf/3qz0JybPtnGVH6zg1jVlrL1/qxRUyBYmpY7qKjncRTdTAszMLwngKd
aUL/1dVbESaQhcDdAThMgI5Li13hzWA/zSyqMdZvyxW5sNnb3uIsca3i/cyqEzt4jUAfemW6Wmxi
4LVaCg2lbDlkLz2CYnSvR31FJr5VOmecbjPp7Q0v36DU5fy1Hdvwsjy+KMeqUeGVTgbwX0F3+/Y9
qj4UiwYBGSdyFKpZBJuOt1/JCDFDVrEQv9IlRFmdbJkn3SzpYUTkgg2zHLlktgh/+SJx5IvRUS9b
LphnqssmEKQB8IP+78bJXGmlrLOd3Th3cXD+PDPyU81hguq9r1F6C2b9zNmc0PQFUu/LerVY4DwM
rU/hkf7yqVoSHKwe7xJRsjH4hMfQxNgRW7PyBvECAb59ZBHypsDLSjaWxGZhYp008iEEYGVnbcY3
dQfm6MIX/JHRHSnDFYyRhXL6IqZtll/mZ94qOerADbId/HNePE5jADWRRQvUHfYIuOdiaCOc+U6p
dxk5Y45RaENCnmZkXXkcYKyExEoJ1LA9zeipC/eJhBkgpn9Lbcsj5Eg+bDLkb+O+0iSgA3hDL+Zo
07UPBoRJXOtKcTzCoG2pp7mZHRYaj1qqmb21hKlW3vbJkHEpNZlH18+SqjbP+qAmZqYbQ4Nr4Xw3
Lwi/L9134/CPqXLmGoU7KQgjSC5obGoi+P50883l+seWTiw/J1u2c81Ib1jfAhpPIhg9pZaXYgWT
lSDBtuSaArwh4tMxGtynVJFftZKwb3CocjeJrLVkpgCT4bGEpZWcNiNV2aRKQCMiJeFrhpcv5Y5E
wFEh8AEaUM3nOJXi1fKI4CeRyn4Nc74BuoNOalIkMZPYXxeS/SZCM4PUlnPEXJiLPAMPsBBWkoMP
w7zVK9iVLSjqkiEI+TojGp11zikj+8tOreVKP/EDSVUn8TSuBxTRtANUFHqJ1a+AyZ903GtxoS7g
UfuHkTfuN+n+rTnpCwq+QWUYB1Vn5vZRE/+NXn1rCg3FsdLbAdP2ZrN2i8FkWd4wysd+9RvmCVF0
nu5o8qRONTBH8ePhjPjGKWxh1IZKhbGYC5AcQy+bLfO12Q0F7HbZDGL8AE5u1X/4uGp5UPKT8/Jg
iL5q/FwTUlwM3hmS6A/6vJVMtqiVg60QeCL+VThuxgbskODugaJsVTMv9kGasNTYPWezGSuauOhQ
esPCdoCj8RopXD4cbPAjLW1kgMn4eHGrhWhXDM7qLI6/IlVTfSA0xKpXEsLm30eR5nkU5YjLQwJp
mpShgc4+86l3b09jlnzu5S2HEiGNFyuydTRQ3BuDoT4qbAQk/yBr/ajjXJbVTpMnhUNsOENE0V8E
v2Sr4JgW4ge6XxJq9Pn3Vlh7D7XxwFZhB/dxzyuwJyJz6c3Y3vLgpe2G8syT5RkAydrjKYzgdpgt
wUC0PMW1+T1DLe3UhGXTgphnOOed8dSaOH9mwROKNqlqQwYxNSXvkfdBCj8+V5yM7flOlAIGTXcw
CN0ZliNb9kW5ZBiQQAUGEyntLxrCuqegDVKcbS8kOnmllMAw221pzGMJFpvDaMiffdo91Aor1otC
fKBpTpsV+7RWtF/PO89BrLaBoTCYczIZ6D7VCzMLguo3W5/pStrxfSnj2VI/8hP524QKE5KJdnM5
me7s5e4Y6cY3/Pbu9l5pxj3qnCZPzy+ctCknol3VWYl69c3vTEZgPPGV8vBTsOo4Y0hn8vuotPUt
yWzNOBYpyabqVOvHV0RFrcprO8pKBVRgNPFVF3NEJJWN59JuNL0z0O5146Lf5UxLHYcn/D8tPjyJ
6s81Ym5oh+b7nlRaaeheJiwX5V6DAyP5WTm+pWHSgd961YcQdvD2Ub74nrwceFikdFqjj8B2oksk
qnsVX0dCewkRZVj40BvouOHCBCSwgcqthbU/Z/7YFVIy9jOmFRFY2XG8dtNBSQxiqoLx2CsfHo0V
rwC5TfQiwh7duSDW6ClXMLDShCU2YcNJWvI6ixxNL7URJ8QOX0o9bIgy4RVES9RD2z9zx2ayYFoe
XCCSh8fJCD8T+fxdRY/sFfz03Kzp+DqV+j10qG3L/B4CVb4fEzGEVD+V6IGpZmRiMZXtMoDmrnUE
hXLCwU8G+AmkGa0VLpZXZgFCqtyP7xoR/kNMPvJ3g2OELp8X3lzpu8b/NZHebFnjAfkqs+YCJV59
4qgHAL4taOmlCnZNwpG8xjbvSsgzwKqvQZTyA1MIsmLjK2Bcohspf6HbPcFSXH1RroWR8h1WK0mA
kSBuPnhUxRT36e+cFFQQhb7TPfAlnfVUgCyqIh1EOkfb9mqi1RVB+5xKvctZgcg1OKY8SLFP6iIY
dNY4uuXMn8AEGFR9jHpXjyUZPcPBp8++vhpWUz7PJZFkW3d9Cp3lOiqM4zpy/FHMwYqqttAleJ9/
Nwe7+yxuU0l30fPwZ+vV0RDrES4IHSR2VDbgTLgWzsGxTiYaiPmft95zL/NT9+FpRK1pSHuusP6G
LIwW1GBHb7wSYg0YjjMZm38R3CumbWc1wTAxJjdTq4XVUIJrtClCJylm9jm9oRC3amViL78LZtgs
Idl1sUEwqGFNjafFsQcTueUA6SMncP7fLVgaATOlCw1yLzXEUaGVrhDvMNaMzOVHrojjUvFnvOFD
D2sscF8yLY6cwBY8coBUuHxqD1plshojuOyv9g+tIcEBRxwG7RdqK9Ry2RFFv1CPl+SHZA3FriyM
7TKXOtyvJbBrWlqUCANhZm9MjiGuZrrpefT7P7wyUu6cxvISnY6nUkZyRlRB9FDXFPNhuxD65y+9
/D7/pqthvmpePJ5cGQhWFsOnv+DebOSwq4vuGT3bD1hULj2ePz9jB+pj+dBDKUUa8xrvnyk96fbT
JFODxuSJ8wSzQbtkxZjsAIuLObMLPgwZh15L6b7FiwK9py2uGmGztKI1oyM0ZO7xd52OKP5EGUPl
XHqSmQAe1HhEt497pyCw/XihAFX4zXpoZectk/sJ89c7v9bLpeJPGYUV9wkMLIDysFzKRb7KXuvk
ofKDW3o2eIqVAPRdlPvltAVH199cRCvEi5ANLWugrJDVDeTeJ0UE2wEhrMtA2QCDRUYyKB0rtBm9
l2chRhqs1MlZq20kCSMSGN3o8ilOmKO6Ie3K1ofCaugAr52Rl7L1mKDBjevu2mkxajjCzUmt6jbj
CULQqbo+CWdFFGrjaAKFGCqCzK2dbnXsyzBnqcJjQkp4XN01uRtFKs7mysjJKonRCYtlGD91c8Xx
/bNbsj/IGhL6ucywX32jzmeSLs5HjFuj5wE0MMlRDcadp4HMj5ZkzkCAX6Xxxpu7sZ0gYqLXKXlZ
WkZhxQiR27UG6Xfx2W79EazxDnwp6Rmr62up3BPPBY+NFTKonAVPoQjHVylDje4trl4KZwNcGFOn
ZGCRekVFy1C3Awtw15zAD8Fw3h4tMWrxbrvyuHFuRJWJaFySR/nySviIgwIZrRGM2K38N8jiv7k9
fcxJMTkKG5cokyzymDgs97O2qMbMQaDGKJ44U8tKNFfnxxQ9offrltwm8ZAi3aTRKvcc3HobzXsT
lzCgQmmHoq1P/E6NY07/sjIlr24OMbr/l4w34+36h81Vin7H1M4KLfWTqCaoI62GpsdKpL5TmiCH
1h81KZifAhBY33uTdSXL4KClWQ0FgOS+mqRKaQoZ56etDW+K2tEfC6WlnYdUh5EfWLXzoaBoCLXV
O1U95FmaT3PVtW/21zjVOkLA8TbyRXL/PQklMLjkwIj/+ztH7/grxIvtfD5eLPmTKM879ZNp+Hzo
61TnluQXLNgGxj66Z0PKMzjJWKE9oEH97PQsV1UXbXev4RIvoflqWpeAFoW503DQM1JbNHdwHwS0
qimQlAny7F8v/t5y9SfNPU+13BSBLS782mHGhnsnGT0DMcBG/4SX9e/L//64UjxoBjNHuUiWj0Dn
VUoG2yQMMr5MuISAQ+L703ibeERXssCyWL7BSxSaOboLC57w2m1sWSCyoZH9MzWxbWZ3KPbx6NBd
Ek4eLopvYLRGybUCIlMyxIPY4qtiC/qc2jcowEGLSr4R6QoOv1lZ3IsoOlEIbLKRJ5MHvxjHrBFl
lJrNT+rSUFcIxR5e/xCeoVOzm8t1pluwzAgEZRXWHwV7tM22N2hrg18q6FtVxDAEVYsmHv2E9eFQ
OB+vYxTr0K2NIrZcYDiisDeLDyUL3E3sxFCwolhAasmD8DhDkAySSgbQAHSWWCN6hToUjZmyfIPA
NhzD+bvOSYWShYqGaKknnsMQ7qlOLGgZAvO5mBYMdiUII3LsS/+J83meBekgqh4Qjm3GiJXHwyZQ
v4jmeVebrQNiHySexKjcLs8CBocGtSj8WIkD+NMCc45IKoyhUbM9JgO1IKCNBhUKzPneQskneoTX
zu43F7zySR5EfF63HlJbiJ/JnWuqxwglrbp4X6xLQWTT0jnreel9U7DuNC7dpxE6I6YrPZ534RmX
yYa2PnKTZ3ExUxDyMFjPoPHgCYAviZ1M8iyaacAXPuG7axXg5iPoB/g16tqyNnQOfdxNyXefVlcW
5nTgyx5Iq5JujccacCN8cD3fRPH5a6TfRWtdT3qUPNKR5QcT0PRJsT1ruNdxb6oDwwkNd03f72N0
5A2g/1pF/YxBBalcP0ZTZkVq9iG9p0Nu7GpnrY93EsRb8sRsCJV2R8bBdumVrvOtANlHKEJJDFUc
pReUta1Kd++07cBBT0IyNOkUmzZeQq4e4aOng3qgRBM6W1/3tfQyGZ2hYoivXPs0oqnSunrXLHOV
v+W7PBkst/P4hnRnb/taFa1xcM7tYvglRKcOgvueQQ37RLLssuFy3fxEZPdNNOIjkz0BQaKoHVOm
p0fEl94tYJ9rmpOF29R8pYpbPvdLPdqgRnuZHpj4S1fvtW1sTK2gqYQERQpu61EtGLqIyuzXhln+
+wwbvMER1Bqa0AOWr0RQzC5udRltzjONlhX0kl6Bf4heZSmJmH1qDou5jJZwcOViyvugAl3kawL9
JPAroeVOK22KxU2xKvtiHXEJdi4RdUl8CgLqv83jA4gD1OxfXPwId9aJngZNTAwCss8jsBjT3ZLB
N1QWfijdKD0Sry1e0SGHZL30tS1puq3VnGAbEnJ5DeMWiGWdktHz/nMVtvRyi5GgP4BHeqaN5OZR
Ndz6B+enVJyIjafZgu2RnX3zbOFuHNYXZa+AhEtjqNP3WMeKKi1nn2wjwiy4v0CMwt1KQn80cSC7
msf3AJVxmNrxoBW4rqAZJpaDcOl3GPGrIw7IhWvNTZBxDjgE1uvwFrlotkYdzyDR7i7RbgRJMINL
KMSiyOCL9GASRYIID0vpzV3xLdv11SfushRlNNoaUHKfWTOND2/e3lnZICSmaQuWcE7/bLoZec6W
0UJfVV4veUDO7+A/q2YtjVUlmiH34+FbfP0l/+irUjzTB179q+K7i2XarLhmO5bOr7EoOUhQch6O
sY6JABkOiYWr0/H/tH39BxLyR5uQlzp6ryhYqMq4/5hp+uAI95HLNilrHeoxgbZIVgdn64XdB6jO
d/1UM9rj+7eEXIhR4zMtW21Nb0H4zOc3pFlm7+Nl24uM907pVT9j4YMYVI47IABedc1+sC9fLxWQ
Aw29VEsCc2Gp5T51L7jVPmT78XMShIsj8c22aInpIbUt7bqAQy8bWkcRbfnCMpcJdd6N2aU7xAGK
R/ESEp794tq0zq55saYgJPi3mb/iIIAwfQOTiLjmlzJ5PDNQ2XKEY04n535f6AB0Y68wJUfWl+gr
zmkkAWiyhR3Wp+HHXrEylfjJoRXJCPjOU315QYyliBqhJCXDhsiMrxyeWc2TtNWixqFx6ohtza32
voFfL0zirJQrQ0tElAHskqGA2G5VV2KGw3nsDvvIaRahrQ7mHIZ4C1tO/fOPoN/U+V/EPnsMtuHR
UJdRq0XCYlPLQ7ZEeYG4uCMvrBtbenf6rBK3zmP1vMpOHnuaTUEFqEQlir61tRs3BcjJK5dkuY7Q
6JYXMKuyIVcKwcY0p05n4S0nm3a3Zi4TZzVGkhKAx+MW+KOYKAXtkjZNVCK6+GX6dtEMPLlTBxQk
2mLFLISvgeDX9WMlVKytcQJ3dNr4empFTiylKxJTblxIuSCSBBwSdtmaIf+f0tZW1Dr02/T7MU1X
gy+waFzrNk9pg6TDE7/HVEJMv+WeRi/cPqkKLJrGE3pI81XKQdkWnK/pYl141LXZ/v18xIKn/DwP
BMBPCzEa5FqKXfRjg89VKwG3fUJNL4Nt1TuDHvjN1YBaGNhvRy+OaSa32fkPDeYz2GIUQBZObbec
BgZNvKtRzqOJf4tscCFnTwl42PK+U3kz+WqDt6wMFfDO/XR7IE9Lc8EbA2w/OgiBUjRI8keXvB1t
4VGr81xR0EiBYLGa62Zne8PJZCWH8ctzcbofm876VEkMImtvrOAxjGe8ULM9j7GH4dPrLbMIk7lG
EftmfZtz9GuRZ+HUR7sSa0gYSA2yRc1v7ucTvHuJSOgIsbiZtEnsaB2fHRI7p43m/Dfq3tPCe89d
3ev/IHXAbR3Yp6YgNVMNL3BV/+avX2YYQzPexHXyCQzpcRBuBfyJ65cEinBhs7CM5OUQMu08ZP0t
3mqTnpoj+ZqWbeBqf5N66DghS8stVNj1pJIW98vSENu9ve0k3t8XaregBxzaaXmIhP1YrQsVUPrp
3yVq4Nsj9SIzP1wmtpIvAxWh0VQBxzYNQrp8nlnzYxbkEt5YmP1RDSVEeWpwW6CFxs83/LwUMSJj
FPEkG21vza13C4fpbkr3+zxrZ/qcCfYOtcBnZkyVt/IlLbShEAWDbjtB+JA7EXUzpytaxVT1236C
Xb307acYnaBF6cGtdI6MyiCMZLzsSHXM+bHl3Ym6AqjqIWs3LhSn2vsTZl8M6K5f1QsVhJAiUWfE
9lkFVNjvP2VSTl/5o9IgUOhQfAdxpKwgkAkq6+lU/r2PZH0jD+QE5Cn7Zw3mUhCJzDbREwvljPRD
anTd0Yf5DzZhU72aJJgug7yGLfiJ7sZTVODNW+o0AmObPNV+47GQeDc81FVx9UYNgf9W+e9tCv6w
/lU+HHioarpnby75UAVuCIzL/9PLXnqzWguifkXpmo4gv4iSChDCxsaS1ZnSer13mv3vOZ5d77hb
koYKNWdds/hvI7eX5EQ/TUTFLVP1CL0CPJZBKMyLTpgXFS02GvzMqSwM/HFW65rsddxLIglumMGL
TLSaoiG/E81nyBY1DIFtbFfVMOsvXoPhOWqiNDyf6HHEOvgr+YXEWJpevYGTpzs48M5ow03Z8sYJ
oqR4xujbcia47K7kCIWqV3GtRS3kPPeTFM1z5UKmiLdzSBmuRApzLMTJlz3ZPSTSW5t92gtaFejH
zSo6f8CdQJuBvBuJFF6wSCAoPEW+ls6vqfeBemgl6rMrVSdkrO6drW7385GlwiCsnMOHtCWzwVXz
9sEU/wCXJPsYJo0dumNBpr9y3MfFeByUZVx5HiUuS5VmX2AIInedrHBBc9mCafS8Fi6q8G5rTcie
KyG2FCa2pM726bYyNCV0l8Y6Y+hKcUlHkoPpz7W9PO2hdz0k6h4k+iyFyODQNf4jqCZ4gQ5DxP3x
Sb+B+uzckpc0YhxkXurUNSs9tLtQ8o7YvbXRa83kbIScPTOuOqim17GOWowpxljVFbjAPJdAyoDM
sMik+wnfFxYEPW+ENSz5I0RmykIUR28JwbU84cA82uU86CYwg5idKckuCbVjeBzLEH32I04jGPnv
K0qM+Mk+LEvxmFWoTybZNeGo7FxcpfKcaN80rg/XbSmyKgAyaCZT1ULNoHTqCVm5Lolb0E01kaRF
WXRc1xiP0CWx33aPvKKk2ZwVIyvccCn3bsByDrnW7XWvKQ1vDWF5DyDXRGTOQ2H2AUxD7HpdC2CN
/KTSLNeFPKqgMfE8JcdQ95AcEZlXIimlT7uB2P8zH8Uipxh0ORXiuL1VDn3sLL9vF25WErfCa+4f
XUjmO4P+CGBtQe5XQPGeNM3exvq3lkBUxEYIptAHJJHndrqJhb6TOF6q7FljGgI9S81R4UcOge+3
7FYdSjw0Bn981MVg3HkPWIKpHHbS0UBwbgl4XTMatjA5FRyyJHlb5aF47H7WOGd7iYqFnWOv1Y3l
o3pQhJsOQ0xFw5zbBqeLOe1q2HYDev6rWY8+mNBPiUBZGj2M02441IS0oIwles37acnVorueAH7k
7TVF8dXYpicy7vhbFcKAd+xueKYf26Ft9SJGAFmj4nXmhfqdQ3QXrVX1Xb67LhQPL+tsD31UIWOl
BYwhci1Ajr6wSi/faRZSE9u++848hJWUBE3Rpqtk0FIv8W4nNMqF7jk6Al28LuJBDQbscK2mAg3b
fRCWqv35b7RA7XWy6Hsx5ZneyEf+LYh/gXVqv6BJciT6PRvtYe4IEt39EZXBXiYM71O4FyfaDi2t
O9Kmrp4hjH7cjd8TlNrt3H1uCGSQCyxXNyfE7TsawCavlEsXDWEMn0RdDY8WTOKuJJTga0Q13QY4
VjhmuOytBKOgx/jRZZXpwrI1AoFJmB0FFk6LB0oAZCDzfiTSiAOQih6VSXL8wKzrPAQT2cHjjGwD
5Jg5W5ApM6vx6ixZVX/bNP6ve+rEuUIV8hC8FCxSSpib9xArLKut4Q+zPlMk1N0v1WbDRTwRrFME
DMYXxvc6GDf5e5lMA+pPAn4rlzP7SpYPYBKMWUx16xzsEAjy3AaLkjPb/F9q9NTRSK3EWlrTQGm4
uADvFL0cG6hxlRjJNRZ8DEt4HdK/gvH33V4oiFEDHslXuaaeAdoBjMTuqQBoa6B6zB+R6GKKm5Bv
+94fSS3u0RM6Iq9OqggRWOghQT6YQnk1Ir4TbuougTwtLjkq7ftNNYxslAdVOSCfBrpOBG6qLiIR
JMEXM/MJngqFpOoWvfUIH66MEOHvahLREUAYXfnLo0k8yg7LqfIaw0DliMdlopmqdFMMAbkIHz8d
IurYLDyBU95Dq7Y5hK16JNhN359QlBc8boadqbAA4csj+mRm3Laagis1Et7nThxW6oBWuWPK9AER
xieVJ9RY3EjbA3icq39FPhQcjIplXJpXD6Ofv6C6AvSrN3VQ3RbfQwwXQHCS5SHpuW0wzx+y22lk
0kvyC5HIXkC/SBu8dI9BRgsBUXu2PSqa/zL0v1dtTbKCRsEd3fTRvNK5zzRcCM8vvwkNsZUjh457
vlDeekVmQU+E2wvjJ2m/80uAPnnIzj8Bq4RoCoQ5JXxE1JDeOT+B+ufr/3MjPC3ddJd1C1buVBEY
bRgKnxkOOnhEBik2/wqY8t5Efu40m7BYLo5n9JXNdgWJ0QDDfKk4nFyJiKvB2GA0grCbPnaS/EVb
J20TVOVz4PtWLLaFxxt94jJkRmF6CXibClciiLIxFo5A0qN1tdo1pmVLOK0wKJomWIZ9O56S1Po4
2Tl76ZPAlTnpbcl4+tbvNVjIMRP+kTofR/2L1vw/uWDJmaE/xmWuRIyQ8tmbYKRZPkl2ju9zd36t
unRu1ZZKNXETXZqNkNLSQV/RMAyBvm5jRooeBJcgN9tFxYyVD4sz3YVKk3Ub23cQV/GEqNGgMLLE
k3Jy+7u5250m4imdkoIk6ue25O3OTwNq3uBJxbBUvPdz8qOt/bQ1dopOiToBQyOsRTH+QmGx5MPZ
vgDDQAZaYTTjDNBVIQos+w3A0EW0CN05wJ/GXaVYYFMwco/WaGV+TPiyIgXVNJgfqNPvwJKbVHb9
2aDs8KXBkBZIBOcqJO4lF30Bv3GYzluYUnaibnmg3+8DMLlU7Fs+qb1ypBBd0jImXvjCSVOQUfm9
khd5DecXCMLhjEcDtFUd3k4Pime8Hf8OkN7SyUri5PNVTDia65rhmrdJuqF4z++XrwEqH6NFqDCV
V3ByZxNgMoXw5yPnSribBEi9EBjWRmPIWUCOrMMRt1s8jbk2o1LqyBNqaesO3qTY0IqgoTx9MltH
6HrwEHoSBs6YSpXwK6xgof5ZYdT0uRv3WezNnEZBefV61txO/B7mEXAsQMp2MbnIIv5DkSsS2QLb
IvGNBz9UV3o47t0eDWTLuQ17Lr20/a13Y1oaoZmyo7s23hTlJweSpxqTF0h3ifCHNfHQQir/5FkO
z6USsoGReL9CO/WKzjNZIOYbFTv98ARVTtylRII96tXybvfg0RMmmFMJBzgr15LbGyNNHlrwSArb
y8jeWdtKOc9Rn4+crGYPeL46VdBzei5z4s2KWCpeIg1Z8z0kg1zlINoCambv08ptNqpRYJU6Nl5c
IdEdeTt5eAhG7bySW/xUrRmrE93zdT8ya0XxrQ2e2P9nwMHb8uNbhLQmnqGGI4hq6RPjF4fEea4L
4yiembXcAPPFDzYP2IvcCtvbzwuC+YZ0PI52huaISd9Gqxrlk6TrqerFa8YuDf/AAyEtFqK9rczg
JSqeDlszxNBUXndFTgu8b+GIIGZYtbrjqjGZBRBlKGFZBeRHx3yu5p6MatG0XyX23KFiUt/XT4l/
qwFYTGxIU4p6lezIxkBEwtLtXMmPWnKO2WciYRmRPUiIUBsK7HnU48BNnTPrcGgIkuYjKgwhrd4I
m9Y0sWS8+SkiwhawQuOplc8cLS5QNR2Ft0WNrXRdT5/IOg2F74QvmpKybfd80IkFCZrKJFDAS3SV
WGGk2Qq9e4q1HgbnTAgrG9khhzLE+QKdMpAjCE/MaZrosafb49ViGPSv0X3P738fCduqQMAFH/n2
8xZYharsbH7itq724cH3PAjFMhi4WYzIgSd89GTmS7WZxu38cSdguCbhlbHXug/+xDXkD+eHUoeO
45Nv9HpotYgNKRvV0XaHtTzi0IqHi6RDrSV/3csFzIDn7eitwX6vwivEN183FtK3M2jpDWOy4G4w
wvBBihaKy6YWbD65wFGA7fbn9I3TxUiNq0ir1CaU6SlJ2wHyrOr1111fUn7V+TsuMkj6/Y4PcsNp
T3viIQYNY/QCVBDha0uQm6CVFisJRZzsGOAC+53SGMr/NzA/n4FxxjLC7yMtdEsOvvyykNos9FJ8
SCgqwEjuFILV9vaH3j1Z4ifFmdvd06LmQTpJy5IWt77B0EV4qkN9EMalxH0enUQuK+vWFFLoBPEx
cwwzw1jqvWNzw9EOo83uNJQQJAv/hU5qhXD61cUF9M9q4JR0GapDEeJxryKAIcVl6Pnaw1rziRyc
FM+UOgMt/Or7L2miRaqGjaMgEMWd8WTKHQIGLEVAZle4iaEYrE8EIjtFaIDJEmQY5gShxfnjSZ/A
yUV+D4LwkolU0DR68REw3bmpOuBm+pimwnRbjP19Uy+LgKyAOg3ED8WEszEhQ1uxP9PwlPHeaDoH
70EOXDfr+4T9soVhXNbQEA61aIt1vEz0/KPreZCiOXSG3rSXywqV5nUXmtE/IKHiwykf7i2AWX1C
gaTsGD3L3ng9kplWYowN+esZ7pdAi/YWOkfrVcY5Kx1ngqFfL/JwI+cvsxSCha8yq3ruMYbyypZx
8V0QPaYUrhsUs8mk6Jrt3mVrxtFq68GtXHhpZvnSKJEtkc7mGrajaNZXXUDsy1Xfcg/1UE4QpP92
BDHCFjy2ngq+zUrNYAzSZ8rvKe+FLWQY3RILgK7yWqW3SvVtRuSAg5AVulcbPxl7lGdwFB7zNBsa
P8f2om0GQKVZqy9H4RfsRRrA5NdlWZEyxuNb7WW45YETg+rVBMVhCITYozTXwljXC3jLPSMMFsjs
yKFoN6H0cPJEzhBW2gY3W49KSnprAaSpv6PCPafVoo9yonQV2fpEGOIBSD2HricH6VncWz1SDsjp
mKJ9e+Nem01sSWHBqtfnnbAJ+DL0tksX1cewWuvVIWPnkekJJE7AkGXxCS6QdArtXp34jvOgX3l9
Np6tB7AKtw4JMAhBnzhhwm8iwZOOOgmmlULmO66JALiIpp+CpRMB2mwX472Hpy8jNW3nHBHS5b+L
2QFZz4jvyWoV9UFK5JvA+khYbRl9ge4HtDaJTXr57bBvcf+v7vfhQdS5ejdE+MN0hfL7l9ggoTPP
7jf07Z9JqC5jYs2pZbKTGNULNlEtkSGV2tPCF5l0pQYPQjncnW3S/Q9PrNx6jU5aBq+jLezZvEGe
jcPDI/B40+RDfUjGoXoqyFHdXnqo2YO4CXY2pUiSUEUmwPbaU+bHjMfnmXy1V9Joj6c8t831yBWH
P4lR10gokNoq4wX13J7bajCRlon/M1vmcyAZlLwVajcNB8bFXTzpHvm222kDiNqTQ4qZVbkySFIP
pKWyD9cYytpYip9S5Z1/rqikx5JDflE3ddbat9+jqB5Z6bSQSoYnau/HdOpTEtpmlnzx8hOBM7Jq
h1S9a4RdJWJqozI3jIfR/acHdlELrbvlxavPpucgd9Uq6NAaRCXqiDZw/GtPRi7hUbsNWYVWVVeF
epAMg07QZz55ouRsC7GcwMwMxYEdQJtgJvWnSjk0d+oYQi0TyIBRL2tMR1Cd2WWx1jB/pWcGRBEp
gi0EhIgtwm3uTUFmX9pulonbtrY0MntV1n3D4kEPEvzPmeBqb2N5qSV9wDZiES6463XSLnpZKyUi
5QlpQGe1p9OIXm+wnAOefnVjHJL9ZfUPLvYUXddAn6nA2hEtUpotA0v0jWhF4SrV5QiX1fIpE9Sz
qSc7XLAAoHJiNTiEdTDMlhj4fkLQ3AqI+uwVFGDyawQm51BWnJDmCxZ8+KWL1eIDZdIaa7msP4AJ
IZoHdjWGy8QQkTYfbnBcZeoHMJ3szSH1CyofKSv8PT0VVYaUI0DcnXc0kubhW7UUIuZpSNYr8aTd
+2H1LxRo8vHgk2ge4Aw9kHQbdS39ZtrUqzw9adOsJgCs9UIY8fItdEcHsSlQJtUxqGg28tccsUSp
6jSoFhxodta4SQvsa5aI3rGh/Vw6J8/1eGF+r2C7aYhDdZ9sftpClrWHBlHNPx7n8aF2pW1AVvM5
5pEB+R0Bas70e2M/aZy4dmPT6t4bnKrZKyZcX1GaZcAvvE0U/ezS5kSF3YFKP1Uf5bFACIYNmY9B
Hmi5+hzMt46Mnxz7B9NhjbGiZA7C73Ah+2UPYAITKr+mymLL46SRQHN/M2hQ5RTJviYLQfwOd78w
rreiZvAJMiHSjQJaxFccfyGXbOzZJS8HYPYy1/JbmYfW/Tx2wRC0+PT9/NoITl1xDf94zCEzsJC9
TTYc/++XiFFcbTibqYUbUOKHMiVRgyy9pJ+ZiyM84Wkwc+MxmlyL3/mzpjUNJXGXMJQHRiU7nUhd
Ig4Fq7AWLU/44QSQ/LTAFcVK8G0zXaPpEQ4WsZt+meTa0AaYTah3FbQap3Ntd6Xo7dxY1a2AEf4A
Yp5TizuFkyMW7Pxc5EB+fwkJYcmCkLRbNXKozWCa+4fjunFdMkIJ44cG7peYsj81yRWbyp3vLIM+
KleflgSq1J1CLxmbBY8cg7P8mK19G0WS0WPk2YxbB3nhlCM9ky9I+8+CwmO9yNcVelibzxLiz5lg
Lp2yHs57WbUU0B1BcZBOTOubrlA9HP3yFGvmhurw0y0REXW3ifa60ncIrO1FN7uS4Wg+1zAIFR5C
UrsJgQmM+/2V0uuOrcbHti6E//PhaviC/7VXhm/7Ws6cV/hVm+A61kA+oYNonxNhJEUEDv5LDODk
a9w42EAnizX5FNo/V7GrY5+bCt8ywnXGn6z6+cxdIQQ97ZRGkzktsnkrH8hRFpDi2XR5FmJtbUgl
+tVcFmyo7JTjrJx7pJIgObz4FpoAX+gkcaByNZ9YznGdaNh4IF1SN5N0GaiqheOmXgELnLkeCQR4
DNQBSTgwHsX0G03AJO09rhW57SqE1jcuhZZg+Oe4//YDyrh8y+ac8bbkx8k+tmNetqFtNg8cALly
2r+MpFQNsNex+DkPHVnn/JIDR/Vfu9XHNv5AvZvFwkusmSiPEpECoW/vgho64ix+D/LuTFbqb2jp
Ulv6lFl2JTr4oSCoIaeZWaIQqMR8fmEOJGXgdjZw59quvUabrHhX+wPxdvr4h4qF97GeKx+2vm5v
AzQpqlTe8r08esOiihX10y9euJIpAkz9fndxoUFFNJRDsrc5/wBSQIbTxkfmvLnip8J/icvf2UOt
gm1ZX603sCpH6LBtEfJ2NsIpfhOuFXsK66ATsZU79j0N6HYD60HwRE72Wl/dIdQ5/6DyJ4A69kys
rCtk7bodX+pY/IPuQSfmUAYEozDAPxC63jdlQ0aIrvmuvI9j4qhIUDNZyCY+UVHQWzf1C49XCYuL
6u8O5Uo9MKlLfIblXnP8ncZfkyDZTHW5xy3SAwAjeTvbze9a/uTOi3I5YjsjttAowZZPpRzXbvx6
GkwqpdJkn4ONNuO08Z2rhSDhZSJIxEZ8pEuSleuHq6w2y6AmApd3CZqFaGEvMyMmM43v8PZSnn8x
IMKquuLAo8/uWizPfWCXuLLWuW2HwIqL4NYIh9tlN/8jcW9Dgz3vaDuWskIjcaD+MbvtkzHD7ciz
ZqCqJvX3h/KPF7TmXe2ugvsQL8IbBIhZv4mWEmUg+wgkvNp/pNk/OKzUWsRaKqfVandnRXaTidk7
QXS2K94hqkZl7Bv97m3inYtP8bIa+XCdgvIng4Lzw2Gl+qIY0Odg3mY6L3aEfiEEtGmkvw2hRmyV
4BpzY6lCe57ScplWfs2QZLSNxL8AKg2xR7gPIL3RbDfHPxfN2Gf8eRwDzA0OlndgQkY/nxKj0otV
lN/TV4O5a38lyaIDt5K+F3tij69oWyiQQbfoes9NuAAXF35SY5jLA3FF8UIdqhTQRMnrtMZsgMtT
1bFrEeNNpktS2iYAaU6yW6Q0VTS45LSarg/WWbG6Mbyu5y7u9iuzcnjCCZIg6G5frHwbvDpJ+i4l
i6HxtqHcDC1PqxA+yoziqc72y3LOvlHpsah9NxxumXYH8QY4A3ko+k1hZlnqovXQSPNmERICMStk
2VgF+VwHSEUQqQAG03vajDWUGqiHVAf1vdm7g+lzX03pQzYJDSSHq64fbNZiM8IYoOJE1/EFqrEK
8xMQi28UxWjkqHlP8sQeKDhHAwj/dQpzQTiEOkMEmg3O1j8e0FN1f9SEk9SEqtpkUf0ElRC/cUd0
lDPgSP4ju1Vy0DlmQ6jIctHY3aEPB6iJ+2LF/nBmdbUgS30tMB9/WMh/BtqmiupJmJR0DMe9ta4F
OKe6U+P5ncMAru9BZxLNX+RNxQGrK6tBwCvpSbeP9R4jNOZOS7k3bhw4wYE4wtRhY0Ghd17NXCGv
7AWmua716WHeAijOsItBN4NzlO/xN7th0BXEUdbCyNyjJCPHNRacxnPDepywidnL8VpsbSRZtZ8G
GhVwUWYHPuHkBwWTWcgNW1m/zopRdtYuS281Nvw7R8EH7tu0KbHrE509TQXkqOpAumvGpUlVZGHM
4/UG3Lk75XFbBdRXNycomKnMLXStpMqJuz/Dk9G4LG5pQO/WPMZog2x9jve9QiXG5+MwKpPuZj6w
HQMnqLoE288axBkiN5IE/qOd7RhycQdeWTQU3q/Ge1wgob9HvRAnVoDg8gcHyJTOjY91CgJIxIrD
pKinipV9K7LRodsWvq5UENjlkzs88xW3OPz1yT1xQ15T/Nu6Oy69VkIqVs57v3IIy07/S/kumPql
myLl8vmtV4i/+UFZcvXVoX3wgtPyjITfkDHeeb86ex7rosMG6rYJTqmWlac+dMbLohk/HSH7HyNA
R4Y0Y58TMEXV32sYuhRnoL/Vg2AiFmaVF4kKsKak0WsJ3ABEjpC2l/GI9SbXvBJmUbw/xA0N19hF
VHAfUsG+fKQ2k7KGNsczisEMBNwWXnXiL1LmnWLp50CO/fn9rT30wM5ndj8cNudjlk6DcHMf/hhQ
+8PyTHpOJ3vCpI83p32dx+vU+U4ZiscGH7SrsZZpOmTn2vXcK/QzXhMxqvARV0FpoJ6574mTu8KM
3G7iCTw88kabG7MZSLVAP896xGvGBynK7UB7GBUTGvAtgdf9qmGzJX7pIek96DnvFkJ4TgWfls+o
QZh9UQv+zmbwMuW9rvl+OtmBAxqBfsjC3/Ou7EOvqyErW3R8Twvz2EQWRrUjTqycYIT9p406UsON
Aeppj2qHGdwyMFJkH514DLAoQveodDHnaxwYC3LfOuPaAfKZsr+wRNmiSCp68YL7IOenqhr5D+rj
Luo/NPVPhXP21ma1qSf33d9HzKiPTFxCaBo/c5RuwaE1jofGpUq9EDeeMA6ItJix1NoDbkxAL9SV
gzb8JA7KJJFEJ4Cc/9rEEvQaS+Aqg3KJKahhnJhJmwagzQ/9GLTCKxUHpj3n5au5+e9bVMzYe7Fn
/GOM8sXBPTelh742cqohrs2Hq9/1yCkpRegVD3eC6vmoOeW77n5DTJXfMsc388xJ/maJpNYtNZEH
MiDahDByDu3xrzeE67c9zDHzxOoa2i7uE/z+Cz6rNII2axE8Udfols3d4K+E2qxfImEiXI1LFM1u
iYS+gz8O/+FTqkUQfgBCdX3AUzjMjhRZUsZ1L5LBiMERqcHiBY+1tnaZwBpnfOmxwQ6mun7g2n/q
6Ew1rmLviRSDLpAmAJaQDnWLfzFIhU1Bb0WSvFSg0SWPTwao94AY1GGTMBCdJq44nwbdelvHeufr
qBP/rw8bDVHS5VgDBQDi6f7J/d9JyQraTi/Q26zsIHzAtm1PmaJ+hqYW1hpfTG2vvAnkbGRz4908
7SSTND3u/1N0dPI/NQlhhv3d3CsGhKF0oHxcysLW7SfGyS83nIpmd/qrbz8d8EVGNPaYqsSDsQga
5ZFISJglwfvz2CeYwftNngwpmM4XDXk1pxD1HCBu+mQx25xBN7KA7ljzf9+YReDTD8dPHOMTrliH
XYjm/RBi3MZr/g88c7e1htHUu9lfBuLaGZ0arCCwVthkyrsjWX8Q2I36XG265aS67Ku1qBV24ozC
+rZa3X7qRUjLpZdQnMC18BlsACpnObNJMV2HDg95ocHUxwd84Q440gd2wYLkjcNdccSpfu0XsG9L
9I6YAZhzooigHjPqV1u22Ph4EmJ8bf8WlIsadiggGHwXNBRbqOvNwkdgCd5aE8S7AmBJwzaXEjnE
mjxJlaV6luH40vQ6WkQ87Hyu5bFrIRULWOvThI+8PtZSbt1lmXrnrMZE8c28Nrq1pb+OPfXpm7FC
4NOZYniF4GmCvfBPOhOLimzlsw3+xjzssUEtaNhEgk2ILkQT9HmCAEMSgP6DwJcpspZjCk0+DmJw
DyTqhkZveuRPc1MculqcqS4b+cVLjF9aadjamukQ0HARjw4ANpxZKkVHOiVDYK5q8sKHbOqxRbxs
tudp1HGUYGWV3NWTndvsY9fjZQ2DRqOsT5Lb8pTQEdc4vYsjCYvI1jyuF6KKmVV2GXzqVg1IBy1C
4Bb2/Cuw9DXyYBL383ZH+1HjVTjG6Z6IO8rEaDB0eD4Sk4UUJMfbPxT6HC5NLW8tpgU1kqiOmcb3
8TDR21iGpakKIuVhB+nUFk6vRUOowhwnv+lXZQ2eCuuYkzbtFKpCFjlBL6rJEDB349Fj7m3PSc9e
ppeP/ffMPAn1IpfsKCjg0dzX/Di2BI62bLo+qYxLPr7mpfB4i/LiD3CA2UsMHrOZ8bITuZgrGGRx
QChMV9KUWJ4bI8zoCDTr5VtyoMBEiecSL1v12bBdSKzulrejwsYXw1f1xByoVO63OzrueFAcGKfv
SykB4XN4MisKkVLoC1gI3WSythYEdwn8QvFtP4FAynGZUvj+bk/0HkTIZCUYslqqkJUrQYRfsOE1
NRSQYEap1lEh+BKP9t2AtwCLVRjR3gBv+S+4c1rERbUt5ktdV2cGA8aZLs6U6OzZr4SxFN1t71k7
7Mt4H4haUiR/8lFl5kTnrcXMzOj2KYTvF8j77ZYucED3qtrmG1eAys+YBlG/80ay78IL3/YTajIi
q2qGq3RHx+8+4kXD1gOrnCKdupAbiRz1a3Q7keanwLtE+xtee072KEBNNKfmYiPYDq3eDjhjI7QK
nr+ykWe5fnLd8V1Xd9r3aFDOgr3NCCG3USTygETOWotLuXG9umYu9uxkwVkJiBY/aTz9oSyP7Ctg
qurCNeYgRjkN7wmPTkPSfO4U9Oy/75McHUEYTSyF6Sv+5nu4di1vvlihAf0Wty1EOzFEaOA6CMAi
bvHknAZMGbXJqfhbYVKldW3GRFb+I/LHQ0i+MLeWz1vCyole3y8oZjoZl2zInprs1HHnMIa4zrWo
dOOCxQdFQFpVnrjKQtYR3nZzc1AWO5Ba6nHpkw8L8054sTSH3Zd1Uxe2xnS1b7hgJEda0z8He3OV
YQwdjU/T9TyCoAP6gJeqCXZKiUcDqBD4Y1Ugs9YFE5epNCJ0UvKAfYezjbnb1JnaJLrYaNSTksep
KXU+s9xvAebxxvBMN5OB/+m86cl7CAODBQ3ORWv8dEo6kezzbSGnepDsWtJmlJQHyrxLkyPM0zy2
yK5WP+tVL3dCZDwAuJY3lcdNxG8XkOR9tflRQmGVVyHwLnypx0OLPbVYKuOWXiXwLog/HxZOYOc4
v5JnmnTfHc7eKA2bcaWam6ofmfsysvVrfitAhBr8JCpCJBHjXlj0l/DmR+T6cppGwXKNtZupWFMJ
wMFWi+He2uSuLTmaXZvY2CnJHg0zbliuWN105/nX6YhxdL59ZpN/9uLddYwWBjFTTAuJjQyJmKVe
X+64KOq7JhwEr35xXtR+GixxwOmtKidL1soGcWmHcFG+yqhi8l96bhVbHCrt8A84rBZ3FJfN3enL
/ZL6+GfSbFlxXggknX16tu5yIwPEVXye5wBbFYHCcTcVnjsW8r661XgwCyI5KOObzKjviwZPC6Iq
LMVUZQQEN4gYJBFwllHI5WYVFU/3x8AO8tsTIdzS8Y2E3rAzc1ezyy6I/PHxsZii7sPkwig8XTXL
CjNJkYM3cOtcG/3/PN2bdLGgfSdo/USts4oDILHWUqRfEC2lPEPSxY0GBoyxvUkGZEJjMKJ0RbQM
yEItIDpQPBEFuwuKKbN0bTDxz8/FfKzxPRwbm+gUQaAE2PRpMzhX3+ZFk012n/lnTfzMFs50ZZ4+
btwlsQRxwrgdtaWQGrM0daizQh3n2PvBxMsbqnJp9DaJataj8r59RusuKqpsA4OBqUwu/Z93UNUP
8SMI+c/21ePMioKor2aJGRI9mjOGZKJeuf/yqBRLgaLQYbkkCpXNgll5KbtCicsrs4Yo6wPSTo5I
CCK378elS3zCKeRZvKMH5Lz8SCenbViGbdsee4JTjuwPmQfWfImB1svzlCEMOXjHkZ3cDyDCHoOi
rAPuPsi6lIE6ClcWzKBtADMtQp0ESAGB4sZVGOyLecuDck9G+19shtZ6+jLxlUZBum8QvUii/XaA
PwSM+mmOEc8EWXsxr28nxuL7GEntf/p9yIPMRQ7mHwLiq1acsxpTcORU1xucX1KhtGHKX4QMIHw0
bMgkmQgIWq33LdOJCHtewAZZidstxMaV4i3pa83QCU0gKuRmwqGsmzGDYgnJGaC4Si7oQwvpCmyd
CDvMne3A867a0nHcTqNXYqRfNlYOxKe/bI5C3ABK/fUpM7Yv9W4tqFhtAt2GxKN6biVQ0bIsGXpS
kQ0LHooRdfmBMBuJ6xqTU+FLUbIRG4z+j2VYlKBhLnJnG5ztwWexxDTGvOf6eQv2emqgvF7tJ+jT
N2IccKBpdmuSc5h64OlPileyRnRyJLwy4IwR8HgwulV7LU/bMEYob9KWnnBhSMsYbBjP2I2bZXhi
RqMsfj5bDnCGsYjsIq8UIU3iO7/7lSvxC90xOaMf6xxG/+TWWY2abgT4rW6wfmtoUIz0TVU4NiGw
DoJCJVLb4v4e6aVftDt87tebgDZKk8qSVT+ZoWE3f+mz2+M1DIoC7ackkFCl0nDPAcMKrli/CSMQ
saE+Sap9iYhpKzXHdSUdrvSQecY2L0FXrlYDbYNdaLcZQEHnwuo8ZeutxFCYD3vI5mzVZh4DWumk
V7/1OPZfz64WN4+rGA+YHofSloUZbyPama6YU+ZIWoFhjevdFo5GHfvvzp/tYpF8/WlGiX+PTtot
aFKO8SfjrOSwW1hh5fIj/qgRgGMzufD3VU7fiZ39CVQ1k9RQe11XbcBlV+TQN66HpPBI3Dnv26de
sRkoIAVyBmz9vtFDrdb37equjx2PaFtMsnQtfSQ1O0x/kQWCLROSK0TcfY8vUwKuPSTPwJAl+YPI
LXfwS9Cmso0H2JCDESeD+1wrgx7C7bNN+bCDKMZH53Yqr0EbFgHVakWvE1WxTYg47nlno4MjAmUs
c82yYn54b3tkEDIlo9K9GuZdAA0srU45gZJ6hIyF5h9gG9d7bCaSAgfdF1TeINXU/rG0bMxojRsW
KD2mpDdNUpn89n2ehUjGOC7Ct3gJKAJGbRO521g0rhHdrutBDQ8694cZzCmXBLhGP9ku4r62LYpZ
k0vCdxE6ale+9iKzMboTZPTtDUZfetUWr3rNrKRygU4E9jp7sML++ff23z507TJSMf0IeS/7iKoI
WamxfaHioz+nePlq3p7V4PailP3h9NBw0iUGkT010OOOprCR1WmWEZLym0EuFFCKWGG78taGy7D7
lC1N2ITcgj594h0y1FDBGYV9lCuuCI57MJGYtOrtgSrFAyE47i2qJUZBuNzbPDWI96RMVcKx2bhk
dUx0KPPLj2k0BUwHKwSiR02P3PawC63FY0Dc/r245Hb4xfbN3U9K4fT4rEFCrcd3WxQZiDlsKB77
fuuPs65UXUcGZ85Zu81nJ2Jp2w7+SxbWS4Lz5rtdZv0+tOEgach05/ChiUJ5As8VTcFQbw2NysXo
9uvoh2mYBh1/zBn+ooHf5A1fExlkCCFOZiTvt4ClrLE1T/E1wxeK3NmDeXweiiAeoi7REv85pu7v
PVJAuxi1LvOpkXk2CfE30oLSjSMYlxj6Czu+oXccDHT9X5phmjm4IKxP7F5oMMrYwwIANqeSQLlL
9R+oaYoJ3OflanNwJnJBwFU005qTxTKj2amk6MS+0ZQYh2HCl86J848vhcY914LKs+77jGljCDMA
0lCFbmqxN5gt0mpxd9ec3741MQnZiRljbrSafWLLBjJ3+yv+v5u+l1z+H5L8pkV22Se97ZXyDNFS
2tXPem3bIsWJVO0VDjA2wpFD0qDvngwxJO9xJtoZHUCbpcpxzGik7oe5NG6p0e+iFJkiyOsZlpLj
UpU3W7sGFvfYHTCMhaoa+71/30Akqig204gsHHDgEIm5eFGzBzzbhQgTG0AVddZxiUtn3GxpZq6R
kXH/h9qXLqcm5I9qRQ1xflKNcV8sEPVl8jZzWW7Aaz74PcxQEYpXLetHCDGvcYHmsy7H53BLR243
Nj7Cqvz7cJt9TZKO3KyZCrpn6XRRGj645PdqSZ5uEPRISfF2Xswszga0uuHHv5wMrREVpr20l4ls
q2gL+WxiCYtfrGgtW/xTDggFJQ8ns9cFRkJ0LVNN7JuMJPSWl46SepkifFust7pnslglmn0d/cwk
esVZGBDO3QaCjaFeqYMPJneuUDZFFENvDKEPcmWIejJYnJby1/W/Exs63spo0gy0grLMiUUPgvtL
mol5cibTmStIcjxfJcdKYCANbWx9dxkKsSn2MFK60pDEDefjuVCPNGM8jxYhB5hO3x4KnMjNgQzY
4kiOrIkOx2sIBxUTqor+PRIwW6szo70iToNrlYAJz5HnAl1ph4xF+xkrVgTMLP1jI6O0gIUN1idh
4ReieqV/CspUcy4oFiqZh6TBLMw8fl5/mFz5A4PROL1K3r/tOvptvJz/q/9Ik6YXVn3YWeeNH0or
+Iwl97ep0J7TbdacET4Tkd/gIghJcLBfiLeFBigEduLh6UzMWsGoGPIDR7b0YH+QOm5b5lSu3wkk
UItxgvQeHVMgu95LpFWUwkpITfH6o7iOjNffp8KvYOjHN6ZUpZ8Fx6n2ZDuwalgy9bTXI3c0PH9f
8O+GbS2sfH2xyEjXIxoaUCInRaHsrSgc3paDJz5cXsJWTErMuCanKyjcgAEm2b55y779F5OdVhPo
goJheWvynhubEfSfbpMfDd1uzgLdNLYEVgZqcZPOxakIV6Kw88wGZxj6cbxxIq7+u1e2Nyv+CJL2
ixYKNSMqsIF2L8asVXb5jMTDlD2P2jOND9SfJ3WLq+9wWsXOKMpmKArhcIpoDK5BMB7jnaxDZLQQ
tbyeVkXpcXlw6Gn1/aol0Vasa6PisrJOVGGddCEAjwSjL1tfTGMm9AvHIagSUk2hneos8nMMdxvm
Be3mOrt0kb3sKe7WbGsNJfeh0Ajp3FGL5O9EroqCaa9Yrbxbr+VpaNFq/5pqbsWVNUj6oJm6hQ27
iCa/EQ/OF+GW+3RKU0WCZJF1RJfM1v6V/n4iFTkdtlu0Jyo2w0Tc0vpxLAtel3osjtzy8oD2XWAx
NhC0OSMVCO1ROxMXXxC1eXdhrDxPCgUcK5NGL5fyF6ZRlZ1RjWnmQwudLImfBVuXUnACxqqLuA+L
Lk7DGNxqom1SaUag36+04LFAMAfEOBKM2ftuPJv3rL811LV1xFYEdvrhJEMHs6f/Ab00JwMKrnvv
d5z9JFL5c+benAoZBahSpyrdKmO2EjKzbWzmEl/3TaQmT74KKPdIMAwyccxQplZvDDExR7QYbzBd
fnzaE64INpxzpKvQ9WyobzGzOjFx+fqb3E+KfH01e05xFF9Vpix8rN1iTc7wIHAW47xTs32791LD
ZsYaGNEOHrhbVJ0PV/RC8Zg3xTy3kzHdZUbTdMJ8gWG0+CpYExzBddZ+yyQOiJ6NphpW1PvG/adI
JyhzEte/uOlA+qRXdFuh6IZtPZg+SOk9+UhYqFupin1n0329BcCzVga+4fHzuNlwbunIHylr9kea
aBofrXm2DHsgW1aW9fqhgTNFx73e+RBytl/z6VbAcBpL9NCLnQVL28bB/pJCV9oDU9KvCSB1f/7+
Tn4qye0CrFb2n3uykL1zXYC60wBYAcm65FkkDiHOwkk8WPRweeSgd6SjZrwcDRAsunOLWzTLSiDs
255aEQQFfu++pSQ8pWx309jIXnK80v3DZHGSEIdFqr+bJbtWMjJH4+EJ6Brv50eBfbisurxxWv27
M65iexmEg3+eHzHEAmp5S2ZI5H6/kLkiy7Lri7oN0YIIVbvcg7nnglUSJ4Zbq4O6cQNeT8TcWs8X
QhWg25zEp7FyWSRI1XZCXhYX8n+xni0VV315K7yA9iFTnklntblWy/UXyPahd3sgZtLySeaENRJx
ZDKxL5FgBTQS2uZ5ij7CKVpS6TxjxZvcpzlXI9jza/iCaT+N1p76bS9LHA1n6VUxPKShCFvPHEjC
GAK9T91kbNuWcVoXVfhiCCXESgmZH+8miHbdMawhQHUGVdmEa6ISwRX2gEZYgvdQA/vHEyvBjfT1
QoYvG8vgUsMkl7Eo2GaqX4NinQoCoZULyOKiJAbxt5VdBFTJ9WPtoY147gU7lPIqD1Pkr66nxNvE
5Frx3gRUUYGBONSxTYUm0u7AU/S/gsIX3qaKGfBrNHsZ/d0C0j5khOw8w1DZSbKHFryM9+6g7mMp
0fsOKcRGvyY2Jk681fwfMwEXV1G0gTzX7MVnDNymt2LQpqXWLsdr2a+frfxA21sfCK3H9IpuMpnU
d3TOCXAQM48mTSSnVnV2st1B7ktk02KYoNMpvAUxikT9ooV6/qt1l22CQRZRQ+zU/VTRnCTY1jv1
xhCGA6G7+/CuEV5B49ggBfPv1nj9PHFLuvNs8x8t0+67oBsZlbEd0QFH0PEmKfUf9wdVGYwKWEcg
LI71OhP63G3QbQzIEsFBsVCvc8XQ8TbKixKXPyE3o9gZCectD/5d/Lnh0OLJuFI363yW2JHjT6FM
/qp7vJnkhfkWJu2sDtt+puOmeVfK5kdrwF+VAf8F/yH1VtKLQxB+JxdKFBj+yvFElr6qst8vJdtm
5IBdZJsnOMB8aeQ458H7l3gx4R/lXUlDs5Ya7FRXFR1PZ+WnPnCUiKCK0zQjo95ApgOzH+ZOBx/9
sTwyeZl4LZZYdr2rKwCERDIRF1mXvfHG+M2F6mHuM2ic1qOH/C4VbauAF0nY5jl9ou7Z8a0VFp24
3WeeeHNFugjQV6+m/Mk1g+wiXpu34ea+E30k6TvEbjox3PWYwQ3Gp/zZzfO5FlVH8bZmTA6EmYAs
7u5TfX6j3Sqzd4SXVFVjuiA5TpA7wnjvOf+rpZGGMp48whxjOWTpqA9g3IeUQnSuyCasbL01o/ry
rDwt6dWX1RfmuG70hpR4BJyGHxjPSQcr/SWpa7RC74xlSTRNgNJbE/pLjImHdGlPUK2GzXkZxf2L
lHu7LNiGAP0YTETcQCeQH9tcI4AsY0k4k1x6VLc1IGV+oomhuMuzn4liyrf8PphpEFmxI65HqNJC
8ZayDHXjRzvtyZHq2Rejogvp/Gkr9WSqI8n+35PW3WF+e7CMMA2ZtJ9VmlIuHiJT+ln7I34ep0Hl
02bpw97t4a3F30+Ensw/Bsr+5IDqec+pcJcEEGoCumTWdTnHhmj5uweyeUy7d4IMdxM2yAfdVUSG
yzKgfuz4pcMhzPmgcxLCZKAGStKA1+eNF87ffqOpraMIDjX+XWaaH7wJc7H2TXHn8yPtZ7wRFjA+
a9q6ZXkLCufdNlVDSIj1HFLw6fRXW/DhMPj2NWqOAqtbHCwI8x+33LwAj/HOYMFTwFIescvqkuWA
9oxdoHgNyYoMkdj4mijYFCeOUQsODneaEIdjT2M2BxZDTap9UgYc0fQ2YdqSkXFRNC0mTwhTPTQj
GrJOWLYZ2O9xuKLnC0FVtbA9H8g8xB1NDJseNDed3x49Mrbyl4gQmzixFm6rSnb0o9GXPoZoxxVD
5FcMn3tRcjzM+B0EqkZqDZIJiJguf9a9ThAtO7V36q16CBsXV2NpF3yX8kz6MbdAqO+6yFyFWBCz
7uVYW/W0wU6XdEkTVKOSVfK0Dz/koIoClNiiIBZPLCMsNqVxeZvMunOzqwahUK4qALFXn5AXClFW
FzLXzbR+FfeNp1Vk7Gv600RQu2HiJePKjoQkEE+vQ3EowfmDHsVthkDP/QWqXBVyL4Mn7rcs9Kda
x8Z0UhMW+oJl9Q4liEXGJ8bsCyjnPFyerdlZZsjYmkrb2zkniuzUSc7qjH18i1bBcw9RPvFSpw0M
va9cp4oEQ2y+Np7dh7Jk7PQpFvDNwD2I39Nd3RQG6OAu019YJAj5o6XFKzccihjALfTTKRHSkOMx
+1dgpB9laKfob/b59vFSi/3qceludJ+k2TVWnJE1iXHgtFNFs6j1nTMxi2s5lyddOOIAoVI3b5pP
tFRCBXE1QNRH95eVhp+8gMRgcxTrv4nMT67TTnsTZSCkE+lLoL9yoG+vwjTa8ppcOJW4ufrvdgBN
hs8WJKkfi7lfA3/MZUvfwWojamYoKFrcgXFgsexDxmQ5PA8vaUgHd+weVEQNOFsgpQmJmMzwsy+O
FoqPwhn+6NsMVAReol9MG7ATVZccxiEaWv1GBKJB75YmxIQ1bRwGHoa/Z/9+o5TY3gp7DnSK1OWf
NlurVO56Shh9t3dmqEJoTGohKMw4j933q8fXOYMCubZKC7zPmxSNRWNVrOfzdUNegWG7RAxcolOp
Gch767WjLCPBQK4wwUOsctiDd1+aQ2a0yBfelUN8jbzLFNXU9RxS/E+08rtHXqiFkM6Q1FRMpjB+
35x1v1adG3kDDqyAlQdATkvtqA20m9dhtQVgUFTmmxwQmv+UyPMvhVrv20FUqyXoTyXIFlNUS1kl
pO8YKGAcMMMm/acocotsuc+udV5dou9aS5GNffJYZdg2McjvBoAa6U5vKZRzQGvP15eaLu6HWDOM
SaPm8/Zne5fimo0oRmVGbi4842SwLQFDFl7podVloMrVapk2JvBLuO9H7x0dEhqBwCcOCL4Ve3Kv
KEGLCo7OS/ZxFPmCmVZSuIbdPoE3BSPS2S7+cHFcLuH+GxvdcsuL7hmxkggj1XYJW3HHzicXipBs
U7tFBvcXi0tTEubJiEc6VqZre8g4Jdk9NARSSnEjCqX/uMniE9GN1WGWzR801xKatYJpJ7fkB324
k5TrmH3mPZwICrM/oe3TjQfa7dRfRnr3GMEUwSEPguxd492pV3oXSzLpzZpoCkIGevCWL3bIWSev
+DZWzDOkfHb5lg+PBCRkC4XXdREx6xNx0qnlUHjepYXisPmdROfsZnjISUf+qnfwiIkTIC3PtQCb
SrkM+DjpCW0GnI5gNchKIricKcETqG1Jr6YCRQ5uLBAQo2vd8pkHwYIS2c0y5XtkbkefuIIwIwd0
WVcknju7olbxt09BExs27/tYD5Ajv2DqlppdnWCQYK2TfoqydXPg7fesGTAW4wgo1riqOMlg8Z+7
QJNkBX9VR6CCVQGipmKk39b4hmTmHc9yom0kV7JBmJnPQmrtJlwgDOyl77hm0TU8+eKaEo6AqNqv
6h/fHk9ZfX+534BucufjKaUma0XlazlkFhRT6kYX5EeIT2AdLXmnacZ+TWZgzuzrl+CF6IeLzV0O
b4DyMBiA45d0cPL0XJGzweg/2Ra7nJzGrQB7qhhF5/Hme5njQG/HKXaQE506yjdKpjdxJWu9rTJN
A9No75q7knP2bQ5wyd0nD/22LpC62+2tWh3REGe7A05qUIYvOoOhzpL2orvINKq3PaTBzOaPITxA
ynQ3Nv5ZC/7/XJ6XeaEFsMlMfljKfta4xBrwH1/6Fcnm/q0wlGfy3g2oFsheZs2uofCoB0Qaw3TE
if4jOoxReMcWNOKsebUbPBNe5/QEQiM/Gbwx6ICXYKMNDTTZhNB8SH+yupArQO15AYVsf64eCtYH
E02Gkh7H1qorjehE345l+5vwhdCzKLDpBe/yOTGt95MqljSZVdpi4r3B3gyROsXbuUY7jxC128uK
dt9Lffq1CTFZbzn9sDFgIFsDMoZ0XcdtaohGMuJUAjHTeuaHKgucK6L1KNFj8RnVFViNLvzr66wm
c23Ci+4T5qA8l5ov/EnhxdKnmFCjnmUcGEAySJI7a/iUjIAYT2zopjQ3eRX56ssMnq0kg5bdEzjZ
DakR0RscOBuTFmxcG83IJ+QEV8bKnhcZ/cG1KRc69Tjd/2lWnvc50socYbzpZ7vpNcq04ItE77mN
vvY0Xjnvu+CNxEXGZ9lE/8wUsmrBDT2TKSgCYT+pBh64w7RGeq9nutN7UKI2tJFBGkvmvgNY1Isf
hEbgqqG5MeoLPts7xtS62tMf9e0O08sFk8T6EQv8eX+474h4+4vbCuWDTYrd7k2q74Ief/xGCa0t
Sv5D6DUA8L+e2+VAOU67SQxghCgs4aPmXvcFBeEsI4ZkzZXL4ZzQNAReeBxq1WH/Xm/9DCgQpIqR
FJn6n5L/uAY0NqJiAxk5k71zEdbagt0j6C87HQ1WauYNODkjKIplb+5x0H8WGbXf4sJIooRcrSrf
hxEkS+ZCL6jQvXz3znKQEJDfMmeUr72fHmnExuJlimt6BoR93pR70tmSIbmhcdBn0fSY9Jwb9FHC
z3Bor2Ys/xuZrkZ0082R8SWaMiyo/+9aKBsHK7rfJ7RE7ABHDMW2dreSByespTw6D8MNV0XHtD0r
Fa/C+1AUEEJba1pxfJLADik2N7Qtbb6YfvY2QFWrziuRDjkQLKd9J/3k6Rx/6e3FBZ91yyhY4ZDN
r2tETFVUDgtqpp3NVGAOlMJsMJ7HogSm1ztglLyqqh8eYDJvj5wvIWYeiPmVP/vl0Jo/hnZPeZqF
hiFnbo/SxtmR0Atgh+Xi6+RHNpYWiyNNJU5PGuTQCfOKCXiXaq2l3rNPTvdlxEix8nXYwRnn0N/K
CDm7jvVvPQE8I4tfB1tiNgpS37VY03Uk1lYVvjk+P92oGhhM2FttHqKiA3Jp3GFgbeWaEvhmgWpo
PtHWCmqDkL7aywLekxClQr36Lsxola84ZZb0Gsd5Jo5TOB2AHvHHkn1XXuicbf4S5ZcZ0bJeSzjy
t5+MYzQebYSWKtXogopUN5ZyeiD1HlCEJO5c60f115HEX3oQyu4Xnc9qefBqlhDmV8uYO177XTc1
8vgwNC9/AnmSnPbGaxBK65n88ltdSGj9HgE7SxQHGUGI2nFgqonEO+3zLEOldi+/8gNNIsDP+3JI
yD91lYfhceA5SUP/J40zxf6oPGYb4yN5AxfvyK7f9cFdzLYUt+dFX7l25bZe4djsuk7WyUMuPIZE
6n3fuYQqVhEEbqolSroQcZgYB4AweL090/Q3BW0ZnMy/DycCn2F9uGL2A/0kg8Mvi51mj13WMe5P
2G7AIq7VZpHTM3Oj1kPoQC+eBOCNfG/wC6PsUE+4+28/4MnvYE8XCIh0dBR7BZxgNJhg7anMGIf1
oPvYMPkrlWU69jSsfkYwE//YNfSCWUwDQMYhDWeEP64ZqfSRuuha+jcvFLCFdcbesN0zboDdZTbP
MVjSoSzKz09jFV+1bmgwpttx86PHIZKylzgvHt5cz9CZvUoH4tvivQNiVQAnPYn6kIu0sMBQxoB9
rcVs12rS8ie7sTrGW9sJPhGJZKwM9LQbVYDAao8Mieirah383bxXvGmqAr8pNFP6r8DRlf+Z+vv6
LSTgPLtLoyRqetgG4vMughBop9sJkoK0y4SorU3H4BM78oozmMLnOSgnsSYG0jS538oDq/7DU1ls
QPvvlz9aMBlB2uYuXlP/pgqzkLwZYyjLJ4jcWugtY88v5ddgXVoedzbVuVEZF5N9M2syfTqDT8YU
t1Yq32Ipqss4H18zgH2ArX4gIswDv3us2z4BBRapcm/sYhoBiVLdiTGAPKIEV3ra4m8rSrRQtQ5r
A0gmMAN0biRZ+RP8sofZcrS705R71eNmgBlf4SBOOMAOkN8RHbKyzlrDkknAUEpwsY/wT5S4nbuI
fC/U8fEe1HOSyqEeRL4jA9rym1nKY2YX3xuLPvs8HGsosbSRyLkQi6C4pYknMrwtpyFyXZCQuoZ8
QkzSQLmoPDJI3cFLiuRFO/UjWI+Bk0tH1p3KMlzZOt4rPBdAFGl3Us26a6Kma4yxTfDbq/P797Nv
F/X7WFKfu4/VlZkBTCXr24tdEtMUYxgyJMfYOnTeeCZtB8+gLOTpgSGtJBo9uQEH0a51YJW3ZR3M
kAIXXsHhhoOdrOBDzhRYLo0IQT3e5UFN3zwNSizeBKr5hhv8Kdw2DCugofe5lVbXt/k4Lf7NiZpV
2TvMkN+m0+6gVxho7hMvHPYt7KvfLGpf1PZZ8UZZRihSf1lk4ngeYGSKcq3TtcMimG3oaZnKWIgB
UCY4jGK8hKVGV9xhBQP5YIXxnEQPxMM5sl5RAcqVtmER/VkXFvt9CFrtMSUeazWq2ga6ajdyFOQk
nwI58qzN3my+kuXOwmE9zgYdjhbnX1I5jW/sH0vQK/2WP6GTik9+9Ll065DX/gMYtmTXGkYVVJh7
Mzk5VUCm/b/gVEU3jtj5n2NcGfPamFaUIB+9voHZe2gH7xrO96LEs1BR2lxtaDGZDUFBhWQGL5ym
AeuGDUoe9qG0JOkTJAYrzZYGrGOSrZuwAaRgoZHMVBhmmRnHoT5Jtm4ogJU2fFPvA+15qYKjeQYC
ikBNeeTEnhbnF2K7S0mSvXyuno8TzrsmbhaeSr80Bz5Hl1ve3VFpJJ35anpfzxPaokRGawKTT+8G
o04vx3n0W7y8wzgbqx+/khIU25PhKVgsgT1CowVvvIrfl+F9I6WoJqrxrAh5jql/XruRVuVSUmLa
vs1mj12P6UVOB6vS54gNwUtKrETMB9Lj/69RdCoWaqIJpucijh03Z3z81N6k6LNbZT73GsHH5c3W
+qWUKAWDKht+EBuhS2sy1VSr/nyFBC7zGc7/Xlxc5d2wMS92FCvz4Yp0VsoDhduZoFGAML+wtJ6T
VlWmPM4nHeEslWxFx52LTQTclA0A/tLfzwBA+wvTf+5+UCMA7asCbsEbb1PgwBkHH3xGok7a55Qu
nXn4Zi34VWOFtwZ+VCwWMciEXJpk+Nq/F6jU55zqTvI84PvJiszISSKtwGu8S1YIeZY2q5HhfZo1
5wpDgOfI4tsaXV+pqKlPA21tsWL3RSYc8f6gxaqPiCQgurZuL+A6Zn5G3/UJ/i26+S6iKsda2/9i
RSw225Nf6ibhCHAHmLreEvI9c1ThHEtDi6Lgj7HMu1VEuphqzMCERuMatMxvLWN0bHTPNIIYs+Wk
9uH5uIO0leQz9oDQYTxhzjOkRC1gJhXFKP1We1GYIWj9fo04Ugw7H/gzlz8JHXGuME6X6gnHzGU+
sDy4uN6nGGVc/YTPCEui3pwrZQIcwYpWuTxjWqcQ3jGjHz/STxbYt03kUhjXHu1xt9khMaoaVTUf
L5buZ9a4lEhfiUp4eVPIovUCtDoamB1W7H6ihAsfu2jVaG1gf9Shm2autsUGCt+yP5qcUJ5Ln8rX
C4WJkFsrmitMT02/PLUn4+uYbMZTq2VUv4qJlZb9cbfoMhE3A56F9aACZAVYm4a4WaxlQ/e9xIYa
EGImmAyfuEyIAkICq0HjZ2ZaTHHYH7zFYPTzDaAnMtZal4wQyUm3b1zhBGILyGGexvtfDmROdeNG
KBBiDxF/sEoLO0uZPik7PmT95mKMdnJ3fgnuq60xnls55UNYVh2HhRCxFK7e+hmcJfiovO2y3qX5
Oi08EpxL/sXlMZD+CXWpcDdn+3Bn1Lv7v5n+a9kzk/Qby7MRQ5svo+CdTju6B7WNiIsCx1dORJ3f
9EdWh7XUdLB1enMSgOYHLNGlIZkRiPnUHLdleZ8VGJ+PnfzhqahIQs/2P4esVRs+LU91iP7YXBrc
5hAA5QhreadPlITaBxaerGoTkX+K3kGtu+qLNpkM+z4XnO9d8XdY7UQyAvpKA+8cBhT6uvJkS85a
ppEiBmVi5yUMa9WYN1l27+0JXfAnktGofdiQA0sko7H1Uid0bz0Z6XCKVGz88KTJAsk9+Se+Z0Xl
WZPnta8p0DLL90O00DIe41qS6Uf1oSyvQ2srtZTVvJBtfAWmNnN5lueX1rPusljaNjFsezNTQ3aY
9RSXiAhuJWh2F9Go96lxcgDZubaQXY0jP9X9mWe5d1Ye6UkcKBcBXgKzQJ4ronm6q1rwfvOVEvcX
3ZvamuTDNcaeQ/xr/LYC/RKrUKxLB1KiBxLLHbKdSIG3CNFnWWDPNhLDvQWVrFOdGKdq349GrX1l
MKKXO8MKSpB2wnbQNMg1LCHtFyYZLHtrkTbDisxubykBFbZj6RkEovXyOTQydr7+UUFgAqDFS1yT
Du6xNISOU+fJlNd5/45GWozvZJvJIKPkeidKPzPshvJ9eGmeB0anUyvVgooYMMa3BYAVD+0TysCX
7Rn6DLE0gdv3jQ8igiwOgaNUKZX+PJbs45iXWt0cJvdhGRx3Gdc0yPOTwqpYxTElLjtOgVZ/Ry3+
g/b5uD4MVTr2jwK3X8aC9eR4B5aQcD7x0s1ua4O4OJ56NB7oTNoV1PpfaAFyPagUeTvMsLB16n+a
Ryyb5ekomXpomIgCgxlsLK5PGo3LmsjG6V+61OHQHSh8Zhdpf1kwk0WrNUoPUOAV7Fdynj47oc/I
xuFAnUQWwEf6bytiZCf+kESMPeMw5IriwAlGqE9F0fPq2iU0YGCpwLr5YJBGfOqAmpZvWHiZaXvQ
6yLq9nzdpK6M+LSmLE137dJ4uK05D+7xowcOT02oQ+hoYvhF930qNyQ7jVgLeeqsfQ/8JMVQ5c9p
G2IXoQS8QQu6TDCi5pYVgvp7PU7QY29l/ocx7Q7Z0tNm4M+OFf/mN+dYkVImQsukj4WMtv9AUeus
Y62LSv8QmGXJ/HmFx8mJb7hzDzeWvmM2RsTtAvyRADc9ZQD7tajdj75zAv7SbECFWs9jvKor1bY1
I5DRYzJacEgvjXqdyORcugk4E73sA22NO35UHbbYC8ULo1/LKiFMWtB4I0m0gi0p1BQaiDEWhJOy
fEaPlfD8g133Tvo9ecgBbRWdV1ljdRUvSyPdZgJcOg8iFX1fqdCTT0dv0lJjunBHOXvGiMKObPDB
y0YtYlQVwYrSJS0ZjDWlyeFwgfni70wSLyltPdoENLy77g7T2PwhanrMDMzs9r9//3KbtzcyCJqF
d/ohWhn9bOPeYscLNf4ai3TgJVCqkcJVXpW5BGYk13uTXQo6pseMV0m40tlXOkDedliqeQw4ofKM
JY+KyYCGoRvglHGucmTwKaZmZxR17s75T9ehH9+9aa/hFaIUBg8uBTDyRqNN8zmAD0wD21tK8Yh9
Cdjo4W0wVzyfypqOUl/UygBGNGM64ZzTZC53HfOu41ta4QdXfgmHtFoM8eQ6G4VO7siE/P3tX9T4
NjamaJnSRsKNrvaB6gAnu7ZQOHBHnMuwiPnNKTx84M4VPfhhwPwxdELhWkqmgbNqM1+SmcSkDRGv
h91bR+hSjlVGomlb7GacIQvHlsdTqZSJT1mTNQO0GANL7I5AGN4nxXmbmAV/1E5IbxCtB4jUt5Ai
NDnU1enEa4fmRVGnugabqck11jhCSwNPKfwM9q8rddzduw1YV4a8v6LMV10f1PufBrDlnD2MYp7t
2dact4zAIN89AHIUSAftnqOvAZUyH8OxwVGu5CnT5KsrBbuudsjnL34NKlzE1PVByi5rKMFPcprw
zwiY63Hj0ZCs6Xz2rGTZ6p8D71/yJj8IedWE58MXEJBmWB2O48WE0L0C0Eia6NVw1rak99HVVfPV
cM1vBlbhsL2PnnuLdHKmSDeBoRYU/IIwCygQsE4/t00EgUe3xMRz0LBV09oZB23HWR6TqIBuhmiK
cdj12+m3Vk/yQeP1gOaRhehJaWrg0Cw1WeZPzzAW7YDG1D+KAweNgsyz2S2G7fDAo6MhJjT4LjW5
9p0ShfUfN3gEqC55+glMPp42pMobT2VyFlUZFLqkFyUjso4h+waJfvW4U5PFdMiinh0KLMqSDhuu
QLpMaJVLCaSeMZxTETbw1lBph6UHyaV4tv1JT2CpK6BgHOFKjkhfdg8mfLhkSdcG3vQLjaUvqtzO
BtEH9HtE0+2bHKVkdVSx4upa044+7s/sMhQB6ps4jTEzT4FdxNQDnMfqFZxVOArL+nn5qYqbt3l+
hGv+09/F75gByIhBCc9Ewyd6Gu8tx/I/vSxxCqNffrHfB7Kg2eivpjSojPSzbCkJNAY39p6h1AKx
eM3j57yykOESVz23Ucd9VxbqyHVmBpGbBc1DY0d2BqzSoD93bnS0xWX3ZC1sVS5EIUIDYAQ7rC1D
89LRK+Ph6+ACKyKn9DmADcq7/6+0P8LLamerZT+6p3BcXOxhEGrWoVZzWBLK8HJ0xn/3U0lZQZ8d
8EVqNU1WF85Z07HdE8Xh5VtW4/9Oh7aIEs9w7vpCkQwjqhRzZkZr2kkaauh9ae2j8Zf857JJasJS
BqKNRrXA9XVBnOoCjsYJYNKzVA9/dezP9Wkdse+cuegGoW5QQ7VWHBkdPkS1fcB9r7ZdhMYYo8/P
Sf27MwgieUPp7WGee2lKClRd85Off2JsHNVYATiK5KiYK1yOgHYEaod+6MzByO+abWOI3kOJplxb
tHsFRL/QNuVmHOZ2vsfleaIIVFlh1M+nDKuShTeRXSU3fWDge1q+LTB/UXBU9GFpm/VFYqjsK/5c
mp7bolBjLhyao+F7Z3GA/9/OGDhPckAfQrm5dDcB6Nu6U5wKNLcQ2xdb0pU9+owtKjhb+DAPb5ww
fRXFeu38Zrz1tu+Xgx++zkfmFZLJxQnRexPYn5GeQ88eEPbbZXUqyOOALTkMUoXr7ycEqhRj3lE5
+a/GIecgLdE+E8hvlTYTeTWN+WgKx73fJe7dohQioFvp8fO1uD326SXXnPZoZJFQUrMRm0GnJgeh
MyyevaU4inC1CLTlhR5Xunnk+dchuNZ/F4SYTmXoAXJYRUT955BLODhgUMErLOhS8Lh1ajxmvWpu
7F//YVqZwPtN/ku75C/mnhpyZseXsidIBFwGlqQ2Hc434GNg11OzPZWe3B5k2DkAMBX2WLrjZT91
CEie9XjpRAvVakFhnANjL09Vh/0NFLGb1ZXRAREQKTms6SfUER3b1iTpxEqwniq6yHMKLG207KxO
Rh+oBPte7mL6+IAikH8Tzk/h9+wLEudZ/W8X2MeBO0GFPef5/5LSKPlga3VsdUyT3zem/uLzY+Yi
Mx6qfVT/9Al0U0NHAuloASBenrFq/REzJMUOzmHRvLrigZeoXyLpE7Pi9Fai1Dg+EyhzzEANDVdx
D4UxjbDu81yYX9fqKY7PxjiXIiVYlMEkpVk4K60Z6OD/yZywaJMfK7It/ojURi+5yC0gOvwGVQo2
PyjIjkkaFPv+vQ4yYlZStPz/a//wnrpyJgpm/Rt3L3B9yArTHmECROmoHnroPKgwWrL6lKQUFRVV
QnbwYDen0as3cZoMwosCYlSfoS6K+fCfBw5xAfwAIgkKaiF6V+m0Sjtunv3Vs51VfTsGPQNpIVCn
ydBqfnXzp7UOI9lpTuTeO8wdFZ0kj89NYes2a12dnp3Zzy1q8QANFUDgkIvOS12+Ndp1JfpK+Vac
Pw58Zvj3EDFZRrxgO+AF4d6ON+sJXKrHT7BmrZkqRqlcHCLcCYLn+E9pwjZpRMBQDk94UmM9ycIa
cnk2X9FzQGiez8YEJa6w9rExMkx1l6ltzUH3YB6l7xtbSBwa76ddAe8pRNP52h8gcGWYzB4mSK4/
uUrIxw5VsmDRLT9tkrUMPSQsCIbu6WK6Q4WC8a5vZ95o3n/vFOUwtr14h7IsZ9RAvNGsig15n8Rk
E2heeD3Q59Cmu13d1d6k2Dvuw5IY5nrIYZhktVvKeiAD7dmTJv0CoFHqh4rFkv+7eqSERhuI2eIQ
VW7QFjTjtMixK6m9Udhhkw7B8fPB7Kd2YqcugnvL8O0m21eP+c90ICG8T2wiw9wdJhYshBS4oRbw
HyZ6NGUtPIZ1TpDR3IKzR75AvyAIEVhCUfL6Razhmna+dtuZaBpt978KgHeakt0xEcT8I2hguqsF
pqLRcGWKWB+ZB3T5K+Le8F3X6prrZtCsPBU12K5O8GDmXMe830y1XKxNA6L/h4HXSFbXVLz+dVd7
BtMLaZu6xUrCT7kqyRislnltHJNE1LR2E7l1q+6XtSPKVmXO74W7X+BqrzElmfPBwYAHFABQz3GP
UTtz9cxaXCsjglKnLKGuyvdG37kS6GJ9yRvldqch8i6lSCKOC2+heS1ilqpFII8f+1CDsxeJ+F67
UMpKBOoNMeV5hIqhlmv+nCrHRdWUULghK/JelqJcMUipGHlvUrPMwEBeeeCPpSM+F2Ks0Nkr9ZGx
guW2etJEBxDqTJx2eRDNSp2fY2FJQFZ47PeY7NuSGhmTSCQ5Fz05wdX4b5TQWegMxqZl/nPIGj1x
Eo2ug9iKpgLGswKtZ5M3LO6eqt6Sej0P8P2jS8h4vRNtbhU6dCHavafW9tuPA/JeoLj48nDdIo8R
Fp7afc+5xn6YWkRoS5D/JAisX0Y+rsQeSVPvy1Nv7TpHzaAfzTi1D3qB0QM9yaDjJn1QTarHGJ6u
RFj6xcq//SnuFWCeh2GFQs76aERC33Wr8/KB2VsqBS76i+I+Ro5G7KAHfIjocUztyMDqjaqwF+UT
4nTJQee96dOcSORMj+98pC1UEJvPPNQf5fjyDTranNwsSWc1lxnpQ4tDPCgTiMHZNcsYTuEcOytX
c+w7hWCnTUNEbu6kBpgMrkVQ48KSuZzIfGxuya5q/7JtKgxnSwpekwngZEHZHI+kbii4tQivM58L
8+x5TqNx/pF0/ftJxJjBvKrOg+HifTziet7McHX3aoAz6wwwvHHLtLapfVtYu1OK1nyUX/5BRvVk
uG0bQvUpaPMbJhhUFxnWA8QXDRSJ4+rXpyUMuGUeV1ItoZvAmWaD3zKIqSdjIbExyOR6A+NkTbmW
HRiJ6+89agMbN013edrtHUvCdvH9RoDwYkLmng2MaNZ3UYHwe3whCAA0LHlma7Xaf53DPBRuujG5
6Xgd0Y/RHauJBpdvbUv0RFf9DjDFNn7QiCXiP4zFH+0SBrOTcr04H83/vQfmXzfr7gRIFu1oMhra
74S1CSx66CGfbkX24xkowD18kpH5n0hFfPKKb3FrovfcONx7n8gUdyhCV7XOVccbtDz+xZV77YVw
pPn9mrvoK1Mre8dXLuhV9RYeeSxNxGOCR3OYBgg5/bvf6/EBtTBe/LaUWzaQW9KtP4P+NGJlCjhv
qp9rXIJw2ZQNKbDHV0fkDREB2ZM3lm2QSwPbvN6mjVsutPDe92eyyCB+A94VYJTC7JGbjXkeIPSs
AKWG1A2kcdwflHv//cUrPeIXg9utf5iqEEKss84hNxcgk7sJ7IO+HKzou+Q08etYw5G1DYhIFxIt
uQOeV/gpkT4hthZuP96G3ejl0IWgAvXwgCcCxImL3S/4xpWJmuIres8mfzh2808wpMZT0TtNKvQc
Nz0gd3rd+W4TP/BOfoyWLBHpWxPm4U7zdGJmQsemKe5QizlVmNsbdkin0nha1zdvO9RVLbZSnJUR
1GOZZmbY+Y7dJ1oQVZja7nt1U0oYxIY/tr1By+X9/xLRmd+X/daLUNjWV1CcURRP2R7wXNLwWuNe
wLsoYV2CyE3kH2Glpwr0ldRJWG8o2In4WEMQPqkLgTbqhpi/mQWxSZyPzeZzVqASEjfXD12uVnol
oVU31rBn8QAht02mAuT4iqvko5z1yEoTm5eap/8NkAC40+lXtNjSHvbku1QtGduMx+HTazkvDX3+
2ljIwSdg56qVSqppqXzjGUVyGcKfJ0uu/SGgX8H+i1Uipj+GCKwoVahbY3rojdjXjh1E0SHgQmxy
XwxjQI1iFebfaH5kN0MaiauiyMGX9QiyUSG6kguU+33v5RSex541bdQAif9zx/xekkdqWBZl3wnx
wP7aK0O1IvJaSBwfNarX+j4QA5J5fl9qoUZpm2vkqdg+nRNCg5V1jLIpdV2ldNfiKJcH/T3TLB/9
HEsilcxMia2xSf/n2gkktdqBuVIM4M7H/MJZbEJzWDHwIW3w61d/aNkvEkoaUwemkYM7ah+H7NGo
H6deNfPAyw93nX8kuzSuw1G4DWQgIokurOOPzA7E0bx2iUQi1b6km9VzOxza5GmuzEKKBGk9DpMN
8CcyzZSA+BS2XifVBPg9irNcjlzqoGhL3Zwp0e+RSFDGf/LFzh+eXx2003pcPCUxmROTD2SUx83g
MmN8DCHJ5/VzWSzPbUDIO/N4ySmcKLb1djhhZG+ej0/FmFuXzd+wRbMW2Mu2irlJhPR+us6rN/yL
eexXbu3MaGH3wqY5XK0daBsSAbm48FWvGnTUX6IDhey9wUSBDEVO3LprP4/QUaNl+xM8V7KcoQTm
B/YDq/LwJTji1LoIlC2U2cJ6zddeaLCvNLmoTcCank3QdbC0XGODAopipOVkH9vYcHZ46o4I+Y+d
1dU3hsBn4NeUXXSEwYtpzRpQA0M09tYAA3jIfvqvCneiY5VpbeEtqhAvaVTWC3U1xDnBNR1jC2eD
+UmyoKU8UetTPE34e+A/XoQLBFWf2NxPNq5+2TUOuk5eWkzZd4Atd/cSfME79d3m0TjEZVp0+Z2H
yRc6ICDuWo1EU/+WyGNpfWHc9kT+iCpMy079b7QbrebAMeWRpb+dpc2INuN/57i0Foqjhk0I+kcj
2iunx4suDHLoGXIqswkstf6TAOk5Ine1P4uHsx505afxq58gPfTEs34y1HoBcwlmh4KIYsFm1ZS4
ieZgaWTEsaMyVWt3GV+QlDkbNR3UTHf25vW4bhAXDFi0Zi8wthhoHjDTVZgIh7Q2ZWxvPggUZqO5
FTAcMSk2kY9/Y/rmCI1OylzUWZSmtkTZ7jYYOnEyqMUmYRAT/4kz5xRu9nPwwjgeUchNDC4KcmOl
WcgDRzPkpl+otID96ZmhFmk5yXaXWE1mp1Je/2R2OeDLt37e8obNPHf8bhsW/uSFDibOmF6MidRZ
thczitW/9dVwv62wK67xx0yxsDOX8Ml7ggz6ypgTBnMFFazMS55rV2jxmnb8f42GjkS71RCuJieL
3g3hkAo/06etatTSvbLD0axeKB6t87QZmDsePkdiN+7eTxe/vNsAuszKpq6q2TgAi+Xtf5CGPR79
QELkrMNj514RJcU6GedBKB7+kXFWZqZ2MNNUnFV6Ot4e/snd9uV+t1ADRWTf9/MXf7ZRduLgSBj5
LhaD4aovAeNcIYSEVDjW/vkRB3OfZ0WobTaEsIa3VB6Vla/BoGT/BH0mjMviUNFaEGqNPJDsW/Np
OvHhYKLJm+k+qfBbTclkxHqEIGOHDatx1uuYniFHJ+2mbA61YBfmBwbucwX3F/dgvI4ka3MIEVak
M2L/nHgt9yhs8joXLggcLkyGQnMzy2M1BxZTIhWmIl0HJNy5nYdPOHcK5WQCYKfCTG80FTDMmuDB
wrBS5yVUejPXcEYO2zISK9A2ys8kDJhKOeR0HnYrZjiqWxtAQpg+vZJnaBBEUFfRhRthi9ZkmiNl
BrORi6EI6dkLJZEjv6E3UQX5vRPaFYjlwZmlkqEfQpVN9b9b7mD37xUHMX+PqWgo70+BU901D6Y5
TXMc28Ep2VWsMI2kty2aX6fD0CuKfWe+KXGR17USBtlsECFzj9Ah/NZRzlKBkgif98gvpNea6zH8
ZmQstZGOV2Yk84TEk+4f+cZGqJD1p5HD3VXcZFdQoX1UBS2rIbLjX40+TxSwWVcd+u/HV/Feeac+
UKWZ8Nzwi3Exfm3VkQJ+aEbAx1J9lrRdEjMU0+/BvDgiTlMj/yFhijIX3W64s1NUdrmbZKpqgD/1
lNb6vJwAB0JObIFZneFUdvQBN4kn7EYAu5CSJMqOxyPFYgXa0do0RbXkYFsTYCVM8fbS9st6O6hO
fwG/pq53E4imUoC4rlSXtt3fLpE+0kwv98mQFVME5/o+C5pqErholwJYDfTZP2jnAf/GpYyYtdk/
aDqFcdM3SemfKrp1oY0nXzjr3V9vcHliXOXW4iYk8hXHqE+31x8Y6REXOUuki+U4ZyA+f1k6fAhS
c/Mbhil+2TqHCLsYoGsmtMH8VhmX9vkzrMp4MWRV6qoVJoMqhHv3N93qKL+Pe9669RPkdj7MAs3N
orBKjJmsfLcOYVTXTr60l+5mR8BUDiSYTrNom+S1YttI3w/ZG+hvLScxBKfzn5QPq4rn+dbFw1ZT
Tp2HoyRBCDKZGFHI+qLvw0VZ3pfWj/kDWaVLzanBRP9GVX1o3LUtqPnVncgeGDZBCqI/KjPmWkfh
Ch/U3v6Yy+WtPOUVt3560R2uGrtgG2Q97wEvbbMP5FpnXYpeXjru5a3aWrqR4W3OPoEH+/Nkp7HP
TDHC+O39adzTGEZqkTuyKmI06JG8SGA/iKCWQoILDvb5E3ERwjgm5hDCP6V16v9maoXCFyEpLcsl
5WjytyepNAQmzpwhYXm1EkWw9kYmDhuNbvkfZ1kZuwDWIfbyKN9aSZ1Pg6Qb/hOiFpux3b6KT3JJ
152S7HKeQv1PgHg6khWuOuzeANtxflHh+ndbH3k5OuuRBciLp+TCn+gOfB70V7qAJaX3JaoHHbNm
8Q7KcuT9LnyriTulWW4G6PujydpzLMcZXvoT6apZteOzWHk2Ut8j+3uY9p0fv4lo636nsyR5faPM
6KfI0wbn8KEIigWa9pADtspRv2U609TqjTz5Uq+rPRWjRqf55AAr8IGkieRoDDfUv7WvrGXL0oBD
fd6yuVwG4DTUUjxS3eFoioWFvfa6SBsl95h/zMnvi+pyImtGQF6W4N+ZQM663eJcs6fYK+pi4k+q
bayQ19BrrDJ7aTXVd6AD3iN0NVEtGSg4peBhf/4kM/Asw13qDaSBDSHwHXCKD6znn0Axz2g9bvhp
1T3vKAqJ1ur95s5pQTjKc6+RlX20Yg/gA8Bmb6qv1KukliLUtEGvhYG5t0C9Lxp5zdKDBWRpQIcn
WOnP3r9yabb6uKe5kBMtHOtyhpyOJVWVEjL80E4qRXcHnCxbb3XsM1Ua/B16mPCX/r5vTgRILkRG
q/qD8Iz12jswVbzDJBd+PXTK2KZ1p59KWkR1p92/8TdfQA2XIG+DwQPyu6tOohAeanv9JHbt5D3v
3lS06wheG796HVdstiBY7ayRkIXxziI3KMh0xl+95pIRHkzGcAu85jSbfi8OHZvEHEBUMrdz4xGa
jDzE0RP2A8tN0A2T2mLKMlJVCnW2FWeuCC6ewiQOXwH7dWd7LvONzMyBQhK+xGE7kIqfy7ynpOEF
STMH0nUf7pBaavsRHndAKpIJE95ep+sC96kTnezwUZYFMjjM9Ben95SbxJmSmtJmdN2Uw+W+FkYM
lLn+g7VsQAK3zYvpBst80T49o1NseNgrk24l6EDPegv4GAGt5kwafB/GiqKD7Z11HRVNvN9cpMey
UiWS0GbpFU5OZM5EwKow1de4smFojaSRveQg7jsB1ef0u0X3AEuK/AQzLhFC6SvzyRloynPg+w65
9itn3yy3u1fU84ltYQErbfyUyx7+ifbWqt9/fXGQCCBE56Xp3Pl2Pn73TREQIacV9EiNZQg8OMJV
tM+x8MAUat+BJakSODYbj6IRjJl2SXdDfZSX2vCAY61GvFF4nyxSGjKA+X33zciVgiY6Y//sGUfJ
yxNOVK5Xq1wCsHiHg4wynHhrMtv7zLdnNlFbVF5ZTXIdCFINYW/qLGTnkWtImd9ex+8d/cQanPK4
Pp5ZBOexGh2jXyRjlEXMYUfbivHHYyTmyD7ZcZlM8Xsd2bXfESyYjcodP3XtqyqDSvZnAomZVxyY
dTryT95lNbiMo1z5evfQJknjuE0/eQBoci4nqA+TwAlmnXdVVzkii3dyFFJc575ET6RQGuAPNdrG
2Esw7Y9VKpN0WLIJLYFuyolnjOxpkdHGJeQsJ3fZW8PVNss5aQWNOzo40DWJNhb4EalW9H1q2ulp
D12uRez60hqNiMkOa79/etgnu6l9nzwrEE+OiozFJdIg253A0Wer1nvma98fqOhaPQru5f3h6ray
/FnZ/mLAk4/hLDCZ8q49nsIGXt0LAI8DFQMwg1DjOZAfTsmYcxp7I96O7kqh3P/T2xfbZ3N88EOv
TgiM3oTcAC6ahALxB54bicHfPzoUG9FIebmjxo/e30BXgYZhMm6UuzVCLWEaRkSg+m+lQuoz3ZSd
CbCkz4WOlg5AlmMg+/qf3rpC6Z17oJsT+rKztLvnndUQLCS+R8vrt5uON+QwYz1hBxacAs1EHbdC
424HmEkRLE/BrsJaSoMk3LmuDNYhR/F2raDT5S1wGAVn6z97V4TbuU6ISK0dazwSxtIFqoPTPlxP
2Ajx4MHtkWKEU4Oe659ZjIEbcGJcMgvoPmCOmNxxusbJl6ZCeenQUswBbWWvZZ/x7+PkzqjKnkeB
CwsO0PGFp9D4u624ByiVIDngcjCdjZJ1dwKz1ST2ASooQb96jm9VvVUYZUZuxEys3SiyRaWm63K8
gqd3SOh6RorwvQmefLxX8mItXgOmXva3yqwnxJkNaMUOrpW/3YWEgJ/sZDrvWXTU4CVjEXXLzca3
T0oZXWeD0I5/55RU/wWfJMvk8R7jeV1QngsWlV6q2SEBRYXOrsJygEHgbJjpCsKwyA7UqRo755qi
wSy4Fmd+AS7qc5BaJygaurIYTHUbIfaxaTGPNA4kZzYJ2BQfKle2R41PSnO0TXPVymWfqKlzDIDk
rKqs+i0oypV3VMeCSklQmQyT1U5AMSqLhtwYcJlvbUJFacBcv0bzclAc5tDjTCwe941dioM4u1Ul
9TJv0mXc7EOsgiPwMwzF0XIPBWg9r8TN2LFIcX//CtxISWdpB21ji1fc81iKeanaqpgfS2ghms+E
nLe4OFfztsQOO6zEeGgj7hDpKjNbG1X4KWLExDV+HivffB1mkssRZW66L0hM7MdNm/wehdVrTHqf
gVmc+iiSAfZmD2159S60Q01V/mqwLtU2/GQ1C8ZA/4vomDG0jB6+6AokPwdWC6JVMQCwpRteTCtU
LQ/5JBwc1Y5971ol2j43pzHRkQ/SzTXrk5w0fB3eqL9aEpx1jO63FYguy9pIYIlAI/3fjLo4kbhD
YDhyAAXVfFELMKnTXJRyMEC8IMK76UBKIVeXMlVupXFpKNZyoG8lzYLVvLC4Vj4/WWDDajjvxJTw
DkOrHahJF3rAyjq0Ah5oOpwMRvR9JIFs4qhmCJtMYh90TjPdpFegWlvH9nOU9ffhCjlS9Ejcj/z1
hyASQR6izufhuJmm+jINVAE7FihyRMFtHDz7ccsjs2+wVC07WvuT8qCx3sYOaGwnMWhH9x9eUJKM
i2YLoAsb61DJvHXxvbsAnoXsD5pqoWnAEje+TBE/Fo85hiFuAKeNCriDQXFLfuP0zRXtlOnRm6WW
Q1ca2oPqmQxbR0hgHukqO+Oz2xCXloLMvrmo3/iuEAq73c11gFeYAVd5GrqxQ5qk5xDTiR+E3IhK
U4DaSPIlytq/IekP7f85ob9Wh+jOSpucgNHwml2alShRUBE1PlcXo9JamhZEBr/FRRRNicC+XCFE
RuXYKo6RJrsmLXxUZdb2MHxXdaewRPaWEdqgu/VErRj2GYo18L2niMwXijKId58HTSSFmKvb1lGP
ozW4/Cq/4DgWYrGZTnmZwFFINCooxwlPnr15QZSPlpQFOZP6SnpG/RW0Dt2oeuE0tSrWBOXbzhmQ
A5peIYOMzdR11H1MsP9IXKfdKKE+mBYLWTL2MWXy1kIwEHSRdW54naJQsXKobzjeLYMacPGu2MB0
bDibFsf5wPAAoAqabF+gDBDa/C0lE8XreppZGyUg/MdM7FgKroIaX2Y4LSAa28B73znj4ThKW3PM
ShDGjNVDHlYykrUVrkTeINxfWnb3DrOoV3DntHi34gEtLblUyzF/hxNVTN8zGVNHQQxRCRugk/Gj
6r7ABEKPgNmwpevBYt7ZMYRwBohQf+SOi0pNgzJyjb7hkIeJNAjUJcYsLxX5hTOS/cRf96g5hljb
FEVysWAbdgCez0wuyi15uQnbBKwiTqHbFYOzffxcVWtikBXzPmWVfVshDoPF6PA5PliCzEArhlE8
1LoxEJptHmyPB5SHW5Ik1+blK40rYXwP+0cqXEGeZ7Zoa10YDslkDzHbe2JIb8upaZlMGt5fkBbx
RWkOxr3P5BbfPe0nANfQOfw5yv4y4wonwEA8hCgVV+GAlw39FcEM+mFkgbRinGu0zcUOAzFY1/1q
UHr5BB/jAwoULsvjRx5ZeqamxlgKRhBIdPFXQziPDf5yd9MXC7D5HNhaqqTOpXQxyav21f9ss62p
/jg2c6fFa8aNknT6uZD7Wy8IebTd1RvBGUWUsA/+9zTwi7vdaNLL94Iv9auxdbKHAv2/niy7EL1+
+/iyZB2H0FdDD8DGRBDO11y4Mtdp6O2UplGJ3sxBfo+cFAiMhUZVdqhUTRFw18Ewrf8aM3bW/TE0
yE6/XAPXtuadGLx2YHfXa5ZQ0Whz4L8UaVgexCeZpL7XvggTOeSdrdIvt/ukYQZrO7jMNqP2KRRp
zq3LaDRD9r+JE5tOgB4WoD49+ZY++FvBUkgSb0YbQro2LyGFPQDY5Wk8Cs2wLAISBtbl8/Yn3T3u
Mq+Y8gi1upCgj1VaX9t1oseN+9KMIvAn2T6jE5+dUTHfq9pBJtxC2x2SZyHy+bzr6rMaqJkJl8bX
cHIIbzpRO5Ef2K3Z2tcWHMX/PvfbuJenk7AuR6snRpcGtzxBovog7Ncu4FqdqqTj1NFJa4eLkoIj
RzezZe9/8uoEkdCrTVvHAkkJl9cURogrKFlaCYovNk9ZRyAoWS2MAMEOEYNQNLccCtzvnlh17Fpy
7x+9LkvSaoUF0PeVIWVf0NQKWfssSil3jSGS/a6feTxHuysk/EzubGUjPKn6BNV39z6Ryt2nj0sF
XemEYUXB1YZu9KtckI2a2ZNLQD30ffqqZbAm2s+lzq7iSnIxsyFhaWrNMvue7nHG7JPFWPMS5wFW
S2igM6cM4je1di/PBGLru6Zac/GoKD+r4/DoZUFk9/DMSKjs+/CO8z/XWsidOf07B6Glch7gfjlQ
pCzMS4mx5oe0qLQaN+BiPy8wC0QB3GiVUTCOhhZ6Jbumi6e30U8SE0n1jupBZ41KnvKz2r6Fz7G+
6kpKdaFqvGJglSVmuatx2uyg5BS+lQ/PSJvH6Mf7MoyyAB7+LazKlBzhyCYcTQ9b3dwSgeYxBySN
8ZN/8Vs00TlpUts2i1kBJFrlAWeRcw3AV72D7wo2nNdaAHA18UtRfSG6R0eeI/aBcEc2JOt6X7M1
nq+Jwdy9RBXNynkZ9TucRTxY70BgE7oAcAEbJQUtiWRu3ATY/FSWQoq//fjQl7aZ5tTK5svKMYE8
MhlzcaVvrZae26lyTOyVRfxOl+y85L2AaoEm+vZbFH3vuD/lSm9CTNqpl7ekZ4dPomj+rPzEBZhi
U6gViXoq9AMhi/vRtJiA5jPfWS6zrqA7VMT+N+Ol4F5rWPu7Pzj4JdYXdwT18Hpoap6f03SLoFLM
CRtPxsZi1Wq7INrBvDYqNkU4tcIkTaacUH+2tdA1TYlD6rwDggd5JX/xrs0vY9qELQqcVx0oKAH9
dS1wXQXlAOS+irA//8HWzeBtlv93HfNTQvMhjACqD8AiYMKaHxPBXfmEQ4/K3G7yHpxXn5+rq3pC
tJWD0QYggfRKW4Hsz1w8eZznUO0q8WMDt8Bp+vBV3lnoJfRMBp1H6haJin9rwHzsuclfo+UblVa4
lHxKl5vm0C3Ewtag8+QEwgNJOyr9gp187/5uC0MWlmxSh7ZxCWY/djpDLFnndlVvliSJX/bH5HKY
02q8NzSgXTsf8qVmpWh9DAVn8YbZiBcEE11YYbu1v3/hV93s6rL455jJ6SdfOG7asyWMjeUpPW+A
eWxPWasIS5rK3aw4l/F0igTno0axhDEVze9AdOEW8JW7jfyqVIIo9QYGB0dJvUlOSQJcPZFmTTj/
Y1zYbLjvDFqSNw0ovEa0ULUkYQ2+Zf8kj6lO4lr76wYZdmBK8T9QzisLb5CwZKeKPzGdS9E2Z691
FRsCrlGaQtNUeMHUppj0/V9cKZuxMAJYgXdjwsNQVL8EpETMkrEwI47xvkV/8mAuqWqLUAJ2QMxt
8rdJGkGfme6RYkfHWZYjPEuQdnEcyFXd6F8j4oeHzrX0K4oHSyjPgdhwRZH8KSYE5ukaficZgm6M
gHONGDuXT4a57nLibkPZihXfpNDYFd//0UmZb8QWY+Yx0I/dbXRTBP/MhEGHLt4lBv8CZZuFv2Y4
CoOqK9ZLpikGNBHeft9NAbIyW3/S5DL+eOK5DyyUUGsDJEJiV4vbPwRXMBDPjomwipuIAEe1Ffoh
pkXe5JmzSVN0dXGe1v1pfL+oJD2GPwkYwk1MRKJl8Kr/Gy04RAj2QdU0TSw3szfYjZH1lMnJQZAt
bW/h4o06qBgYhM5xvQN0M5D2qKWOkQNgSlw2KeuY1l73JuXrBEw+rfITkUpjGknMiwxhjTqtbepL
5aoMTVU/zq4lkb1wIGZ4C4LWmFQHYjrmiBa5ze0VZkGMopzLtb6LW90MHJOWzU0RcgU64PhTYoTP
qnSsYAruMvCvG00baFYbT0BUFe66pDQFQ278AAo4tt0S0/X7GsfGhb79qf1c+d/I72P2VDPM3aiC
farLbVwu9Jbl6y3O0+ShcQUZ2hKbwqPTG2+h+MnAzQIdi1oaj7GUwkIz7u/aCW3961EICLVObCl9
SKuJuBDANdESKD3D3jk/QQzPKj9kKUD2icy4BIvegelY+PvdK4O3EV58sTEz9ccvx2eGN6iNwk5T
BXG+Ixbs/XWBSP1kRovuXJGsnMWzLYLGNwuvsdHfcKmAwjy6Z4tocFG+V1Z7VskFX0KkMXvOmJZB
9uNoujuH5gv9YVBOekj1jf/Xz5iJpou2stV631ZohkWxXG6yb8E9+pjnLyXyT7Pj4Hg59ZPf1eB8
0e3SeepImZx7ctp/uxObHA6H1oSxBS5gHFwOHjC0Dl9dVM93nWalZlOBdX1q/tG9Uf2XN4ePBsUL
z58A51XVA2U7yIZcVOxFQd74nmSOccDNuyPmGDkjLYwXr1buOVMEUak1WCKUahkcBtj9STrRQJRH
LXn9HR5wV/TLTpi0E6dAxZDjxWcNkOob4MUEKzm8ptm0yuroA4wnXHvXJ6E5flnxUizpB79+rYrv
fHzYXufnZvDzPG9IGa6yj/PR939jpCWM3rOJFhZCyyBZGsvPAdi11u8mTjE+hNZTo3MHHrL5g/Yv
12h2O1OXSscOMz3xkY2VgP3RtPqBRmGqWQdayM75FVqFSytOT0r/aQT07JTuWcKm+GDY4kbYxc1q
Q8AFK5Fv7EO33ddYrL2wbGlBqKSGCfNYCS+198taxnKaiRpy/fwdk1F7+8TwayC3wmXZaEWcaevW
2AnuNPJrGW9NYYMkw9DyDO/ZloOND5WrO7gnHykM9LigYtdUL6aXYws8G8oSMYCjNqzMLZSCe/m2
4KCv/IqLtJ6LJOa1Gh7FJMbYczVw39dujoco6wNwUwNuUWJRwe8ttrMANK51qCxtQxEIdOFP+I8H
Xz/+H1B9L8UmgvoC97JvqUGUFQbvxBkGBv0rYSRl+LJ3RSOSqTXl/8rdfcb7YfNtbcKkCzraN87B
bOvM6It6fNNiCdFKY1/XL1eTh0GEBa5nzkcOXRKVT1Rup6bxW9xZudmKnF1wCbq2wPBf/uLRg4Wm
a5JNf6xNXYsG1LGJblngM6/TuRrOnRrQCgQFqh0H1TyLeOzDWFfWZl0FqSfaUIA2TJVQ+glZ50Cr
crEokDf6R+HIoVlfrtkTE6muSKFpol4ztok/rgWzhoy5NTGQKXej0qAnwXMw0T+5kzo/Tc+h77ls
F/WENI/rvOc3XGfFt2W2agVMPK5GPFmTCI+/2i4bPaeIJZALVEXJdZIgWeTOlwy34dLMwIF3YdRF
oGF30OPbEYr+FPMzDu20PRQDGd7iD+yjMVOJOaaU9/mufX46xRZnI0YLMM2zeNbi/mKnotZaojOU
rF4RUKjVx+H3SNYzFrMrJmtg7j02xmEeUU229oeqz4HzK6KnCqZy7mvmvkDkdzS0QfU8iihXpkCK
TI97mwuZlAOC+mixRstXRGeg4C6h0aXxGPxyV/QUg/DPQak2c62B+d6UynPPe4bWMBsWeQ9wTJ/e
I/xQW75yX92MX6zgo54JZm9yhlF3Y0+eo2skiH1+qM5Xv0X1La82x7Lhq0TcI2VHSnCE4EP3Uy6h
44dL8Gv+UsMgBWAYIibmLpD/PSDsMO3D1K9oahydQLJKt63gpQQRlrAVoYz30IQf33IQLaIieK7l
x8UIxZD2VJYtVcCYNSnoOzye8EXiVDpeDjy7/3dRezwMqCQNx47trejOS8PMGEfJ0GSUqFl7XQOl
qflXGGJo2dbVx8XNQtzWoK5pHDklHeheTbddpUpfdCOpGChtBSQ+DGxtC/JmD5HQ82DKAWVIk6Y0
AB2cEqUM0bOYzPBPKkyzBE5RpmWoh422+iJzppxLe+v7BPJGj+U5IdTO5AIDj0B1ew4yW4S4LGy2
kHnzr2+60PdITaTBnSV90TsDCFGWQbClIza3cUdijiIFBR8eYwde2XFciJRojalPprN8ODtE3ymK
q4ARhNYJ0oA5VrcADSg0pMG5DdJY6jQt5RpJPhJ0Hf3Owy4ZaTGn6fZbUKrehdEgWhTfKoOUKXMj
1iOhXZgCms8EzVZnyMB4nFCLZHhUCCVPDmRVJotZO75bErqB3t0xv4FzxEZPSHirNp7vajUHzOW9
Ubv0837gDKt3MaSuxMDeXIPRgAIQzx9agcGwSYEnWL5motZR5U1Lxid3nJHNW41f0xQ5lwqUubAI
hwzEIHnsigLANCHDjIPdoi2PMDqMXwpCNThXZ4HVt4WF07KuizqUHaR3KrLWE1L/f4rPdTv1gFND
KQ741Yd4mj773nIYdZ7BmUVJAcJK5HxXHH1thb0H+qnNK4TR64EzN0DWy7mOJy/BOlPTJ5bdGQzD
ttxwCpKwxiOh4+787dWZl3pTJa/3IJlskSm+FrN7xEJNmJvI48+1csFHrdpImPxyU4eHDUIJ4weB
kS8FgjEhKJT4JfT6uYF4LZVY+I5nc4BqlarHGuzhFd0gWwQrgG9JCDAOBCaIoMRgl3AWgUBmq8gO
YSXbVdwYMkHZPtl+pf1s44sXkmDWBClSVDWOJ8e3Tvizl0UQHg6R1Sb3bArFXr45CpwLVEPlyP08
alfy+5jUR3+niQkJZGEOjWT404fWDpyRm/37Ri2XjLURpwxJIjdd/VnwNpSbWyvGDcQg1F2h/wzO
OT02qbvdfvCOflRTnT75zNqNwNwS/fxWce4EFjrKZGZEpnMw1PZ1hCwo5HHrIGeps1Jawq5/LktQ
JvVO19n9D2uh9iO1jx90EHZclIiiO0eNrymatNl8DwjIz2MgjQFW4ju7Pujote5p2TF5Pz5EO68x
1mVzzE8Ti5YGE+LUg7C7HhWh6ANTPltBYMAx5S6fWLKhrWdnwe1tuDeXTPATzMnd6bpuGtDlK4TB
iM75zhQ3+/iYQPmzMw+iYT6MkqtTwRY8OOeZK7TTRevGSv9ly5Dqt3DyVmwTlj1QMP5cIKi0jXlX
JQTZhMTcz5SDcJY3xB8uC8G3OWdhaBkmxdt+ej2/w0z6seInantMPbEUiVx2BJbXM++WlmdOgQCC
o7nq93ECqxwF9w1pBO1xbyFW34uT70KZrmV3BRkj8NUN+l21U7aUC8H5w2HbUA/xfQ7jvHpa/UYb
i0frAC+RzTNNC7NBM61g57f11IVOzqx9GNInNQOn3udJ6joR8qRSyoEkg+hJs2cQvhQYn/cre70u
54TIjCue2cf1U25vdewbFmortFS2Sb73yG5jcVJRYJ4/1CzP0aBhiW8o7ayvMTy0ntkCw2Xk8/nT
TSUyEtS5csrh3DjFsl+zUUASEQBsbvsqfGAXqVUznzeKH0/ekhnE4RsqsZqCZPF4Cbuq0OV3Sf0t
xoaj1DCW87ztqwx3PZHVPB0RiKonnHWGSyLpklkbs4IYmMPPwLjOjdMZHKuksKy2oNImYfgdQ0sI
2FG/Zz4m4YmyAfN2aSm8kb3iu1JtRdS/gpbFynrDHj5dHARn5ijnc862r9Oz4JXGvKuu3qfRrJZM
EmLqCO64V8CadMCs3c/EpQyNkus84ij3kPkDPkKzwV7ZF721cgLBihI88tJN6wpMh6pHe7hf6v5K
SxHYo1olkFQgT7vw5EHXVh5tV4o0JuRTBXXjnY52ilOmB1DFL6EZMJyxGuzTpbz7d2imVU1Bghvp
clyYpS6I1mlXjV5oatJzl8wLoG5PcJQtwmV9122Aq6CYlJFN7vXC4LnZdXmQGbntlxS9S4ifYTFc
OD5FT6+mHneKaSSI1L3DqX22/DZgieXllAJGAUsHZ/AX2tVhqv4Ajc/ACopaRb7RF/UR0R87E5c5
PB3+TyQP+uSGW1zHmoUMawJ4eAFQF/5X5tdMm7HS+Fj6NEVYQcRRu28aaf0WTKkdqJQCsg9/tL4d
fpVFGn0t0VKOmoocpbwj+QWamtB0TO1F7RcCY6nz4+cSbTXca2q3XDaZibwfOMSe8k+IP8WfN28W
g45VD2ZVSMIo/T3o0gf8JrzJmpc5AFyMZYbo0+/d8VVGHR2Z6QDCWynY3TNjFuo6+5pO3G+ZevOx
In6v9oviStydJKKpjCVMHMyZbQQxoMIojNweJajvUFE1GrbGHxtsLuE9UG4oUQ+QIX3Fm+hRGicV
u8hHg2pAz+I3noO+CsnzwU3BNxAlD7F7EDLq79m8vWp3RLWNMKzka791DCNJtUGCp27vuvcC+MOX
0NWpzrTh/VN3OaQ+M5vRnd/alWOG7q55wawDXG1CFSNDbDd6JVlqiqBVfRIhxEZ1h8DAhXUjo3n7
b0kqwXQR9UcSnMauMU4nGU0E+BbHrQ9vRNMuFz7p2nJhhexx2+E5ckEsO4Kuzlu5BeQ9/j+MEVjH
bPAeeyP4eMCEzcRtlNxl9wZX8XezYzL6cDmrHQk33cWS+tjBKxr0LwN6UA9sZ1NBzlQdEa3VTZQt
OrV7yQVMXOBjRwz09LF+JY5Js9bHSQEhGB+6v+Pn9ZOmvq01I1WxsaDnsCoXSRaZFMht/4IHdQC9
X5SDPaCZ8Jppc0JUhllCctCUMfX/ipmUeUDEOeViSkc/wiP+wYjWPoemIwze2C1oUFoP/0mUY88s
MdK2bbfZGIpAA23OhUip5oO73+7C4zdogYYO2GVR5cgx+XqamgCpFEiTQAmmUHcH5XWdU9f6RxnV
J7l5f+lkyZLW5Q1EhdvcQ/k0KRDz+hCvmXzbiTlUSxejnpvY2J87iE5UhGnHxzUe7cwcJE7IFrlr
ea3vKF7mx1Sw156J/akT8wIwei2KBQZahJsifL89U3Xu3YF/XuSk7wJTMxFGjyZLm9Facwn0pAkK
Ta62UWmaKSjNfoiTA6ox8gXitjxL4d2PpxY/OcfSqyN5RkGRmPoG2bjY7/8aNMnpRgDwH3avp3GF
25ZFAVRNGvDAqNrQ6QrvQmFUboki5lZV9beVfeMQjjYMwJYOg2hCiiyb3ax8zxpbLwZPArFRxsV9
StM5thNEeI2/bWm3ZW4BeoiORQ7owL+5lD7GCNuVjuLwVOQV2pKd+BsHd/0YnmzBJSEg48D18WOr
NOgzcxDYRVMJslNYi1QLSxwQbZ2j6zJBBJha/Ml/FA+iWF9lhLQ2SDuPWVL5o0c0eh/24cxVl9SR
/EpK7wWyzd1Vd/gvw7j+dZXyVvG4T+TMPGwvetIVKKLAMPtDCs6sex2Wp5fDXwG/NdHO8/JYQ7vF
wE+Z4DxJwsBbgEfGCSI6yLYijJWVcUnHQaKeMf73NiYgpl28p0Wi0lOBDcx4jegrAM+uLhcxJfIl
9r+S6N3gzTwn5SM6mY1Dkv4CwzFUpDgs+BK+oGRIpgxM9ijulgJzjjtYTM8kSb8GTBHHX3R3AMxs
lRdX544SFGz5xOMMg4eDJYXr4EWgWx71j5//cTWNcp9m9VycOe2AxU35HZCXp3SHh25JzoqsZRMK
X5LOCrDOjBdS0K24LvkmRSrg/yzdAnqhVZMwRElR71pi4vZTthL/978t7r/nik2JfUQRJcNGKaJb
JUTsGWZSuiMD+lHanDDJTkKsbUfqmhwMrpLQ+9/eTPzHCGYx2Xvrtfit00q/1B2R02bCStOunJhZ
YcEw+rO+PUucVVtTh/JguAuNrMtJtIg8PPxX+viIxNHM+YowhJN0xkXOKhFosvwwO5Uz5rPJjHoZ
HPEoR4Erv81Wf7iTX2GjGJy5vSH80jcqSsit65QRQUwrIenjKu9VIr9apziQit0ap9kWnuKLrk4V
Bpgfoi1R108IpmZanggkWUp7nn7m6tEC0FkMJFJ18IOc3VyUfylQC5W+WcMUY6DOcG05zKmFlLKq
nCsTZ8I5RiqyaNQDLD22jU0327S/ggKCkGR2I8zrJtLIl7ysRVMcFCECjrFMEJsgc/CI1MkPjwSJ
tkyln/vZmT7qlaiecSVgS2A15gVEIqF8Bsm3wA7vz/QI4iJ+Ssobi5kv34IQB/M9dHlMryT5qRuf
Ne062QCrHdlVvZ1eVrzluBlqtOLE+bGyPlBr9BdJbAhCRx+NX7ibC9GD0ujXTpWbzpJ/tkc2tuyu
w6LTKr6qH59zXwdCkqfUEC0XFRZfDmZF7PoEvNI5kt8XNJjMB+IfvDCU727pPcWNStC+7h9NrarV
eCral6XPnX+3OVHBKGPTRXGF4GcDubrd23H18glmgVUJ0KanTAQA7z2QN7HKZsj/zv+djwdDls6V
lnG8+1mTFJnKCM6U7KahEdYq6PfCAM2zzoay5SxzufMuuGHUFQQ1PUruNHsABg7a/kVOteMGISnm
++/ibvvV/PAX/jVFBwy7TVrBvL1dX2cISrT+BZZTP3VeveEw8zzlq+DGDRR83d4wpjse5DrrU7J3
iigQfFdvw+dCydBAFon/ENeZf8hW8X1qZlt/pWVYNLCYTzC8MW3icsAwRKEA0PTK3L/DCzgHyHon
SThKfU8XWxqEr6FpM7hz4TC3ozepr3w0ga9QcEj/ZbNqQl9G6nyHgDkulZBgX9XSuL1+T+WC+tXR
YDh8kdSvFmf71oUOWxJqvWTzATGBk+Dvb7HLJBut4GM9gMK4xgaFLM3wurMR6UFN+oXrULrqbf99
t3tmRv0yl7fRVsHdkq6ejwCxBqI6CHFWCcvk2nRHTfWFBFo9w4vQTOZAoJmgg7x0CLENPnlCz4V2
Gi1qohWqJkjNyN6W/a0qljnLbTKaoFlXSCsMFRuajwsTTMCg3AHKS2pol04UTSG6wOwfALw8FDqS
Rm8S7b5U1vxdiXCViu+RBCL5bLFn/5SLyUA6tfZz64SnzbiFlUyeMenTHLTzigMmcl3plnSFefXv
ct/IWmeqPzez7vBr/5u66ppbW77WRxv3tSt1JKB4VcMgznkfDPObCyiOfT3omFJ4noCHIkSzpSbk
lxkpOMASu9XY1wR59SLbCLjLtB4WGgWOihlE/GS70a9/ggK68NCGhw5em4mUxZhqJZYM8wV2hUy7
fYr3uT1meEWPfyn9NSDFd1RlcQdLjbOv5gCVsGuOdhX9akHkkikNgQ9WAo2MbnE59i8dVzTlxZ0F
531rW6IOnKH2p7W2y9AETdHQXUgJFUHNymwWwXJt75nJQ4D5b1RCqgKAtuv+G48ySwwhZIvayXZL
xZz5Z0kzeQ1wj4Mk3rF79xkfeRPL2qIN8+3YQ8vz4VbSzhox7frHz4uA+hpqYg2j/Al0bZNaPi1u
2vdmk9uwAeYjfN17KfqXtL/yzhYCrfDp4x5c0WAj63aam8dhZzkseASq9dCtjySIcQvhXKjGXokR
c58J0vZ8XtNv4WYDZM91QLE+IBaEJWEV7+8DdAooo1tKttkdglYkIVyTJp7z2rCqSp6WZQGqbz5j
rAhnUDtxBjPYCAUNgl/Ilx9pmpKx4pZzcUmqLpiz7XCtb+m3GIDYwvOVKc3EWNCwHmk7NV2PlXWA
PnZ+mZjH+tqAlcZPnTVYchbbXTwrX2+JI7UZw98tJdKr62/+1QnQ+AJbU3N1d8VeybPnx+TcgoRd
s2KDaFbPp/XaJheePqDf1OhTTi8B+uMGjob6ctfLBvL7SsKDZ4EtrAf7eMrDl5yeOlZV32LgPNlp
zZ2GLOgAR6Yx1HSdhbYH43Pi+nNEPOaBSBa+XdkIpCoxftl5EMAdWt5P1uYDBruqnydFhQ8HD1Vu
57eyZNok8/vzhLSIbuKrG+vZfjNq57gn662PSwHFxkxPj6DxYYmlUbYs4O/p3rtK0qfNkIpFralJ
iIqX3jAGUxILnYF+CUfK+ZEh5OS8fL0GQqNLyQNE9/A9bRIBClSS1NABQVoSqTWp1/CAIdwbntC5
/Voj3KLAuhiNJpla3y/0qfnFNkkFNszul1VUTNiaxrdMgj6M7959x6Tu6aJJv+d5wBtcnentsLQ+
AfBXYYRzWz7jn4q5XMTascGO5rvxR6Tm48ing7/3Gcz8cKTn4ciEmwRSV6mk65oofR7j3vp1GpQV
dyaN0fg+btu3LUpW/j6DPZOEfr0GVOuw7vH7GOFzI+MnEZUA5Zfq0FebCkoS6tGYjmYdAO5fhNSi
6xemI9juvU256mrNV8IrKFAWelNvhMm3ddklW94VwIMhXdQzC4pOzcQhHuAixyGE+8BRz+LreMTU
ezRFxgEca/wKgUWo4uF+iWGQ04yTpJQ7SQZv+69j0XxUrFa3EtigN9iN+8Y3hpZi+qI/QWx6gjFe
Z+/til4eEwUZhQYFJW1YDFgCOgW7Clqj62R3vWjnuA/Y8FNkQZqRvSrHVJLKJHxcp0RG4amo0wMh
KjGYcsUoQBHSyB7lY/+NVsF+uXELaJF7Uj+DhYXDPYwUicU9SuhSw/KhAirKQ41E4x5FKD6hcL4F
2KeSmokinueFkCq+urnakt6PxWWpipRBItqNhtHpTd/03Nox3J386ob/cTxE+WCSIvujPdqDqGXd
AqsZ8beNLCSZtNxJeZeYEcRCTw33asSjKfi4RVfoaf9FGjpgwQwI23acmNlRpN+py6m3s9v+g9C7
1Zx6PE6WLlZhB0+I7apBrqizefrdBnWKq2PzopHTShECLoz9hcl3xHv86Vmz0R7b+YViy0BPeucc
uO2hIwePOQBroE2woeIcl8qU7BmyjO+6wzUvsOI0+ZAYLzbt8RCmcU5BkQZG+faHtiwtRqhNAykZ
mJhhVNTY+87ycbduDnQQjJrLn/UP2Xx3NelrnEKC6FOn7JBAvD77+QztV9kTObhejXsgdkVC9ZEE
Vy3+xXHVXTsi0la+PGqbKjtb2SHNMhmJvWhZD07MmmHSJuwf7ohpKgkVXR3MZZOUzUelyjL792WE
GNC6GMXYFyMLpo45DhEZohgE+EfW2BQa35IFVBPmm2xCRXON3oLgWSVUYUuFYAAClY6x3MTQevFO
67rXZWDPvTmAk0cFJ/awUeLPsXfIJkN0VAmpx3JUpzOLDpT7S2ECPRar2DIvm8Gj+DKSYrTYTqWG
hU9Dn1HmlWOhkbTjAg7caQ1G25OKnvAeupkn3ws6Homb8D5L9O3nsral9LNPlwsYoZNyAmTZCA2F
IQVpI+Aaa+ZGz0V0rpb6gpTCyTh6iyM11R5AfZj6P/VGBC/Afx4lNPgq5otuG2jays9O5+AOyZtg
TW4VkIjyGoxKu/Rbxq+tzGhtlJ1bXjbQCT9lwqoTWIWH+6ojePa2EFmmKjdItyF2u5WS+1Eum+Yg
wa9M382+gDyjjYoKmG7C+hq4MTovVdpIvVeCgTvqmd1SBYgPXJ8V0ENHL4Lx6Cr/sWj2J1RGpSMu
b4Nt02QsS1XzW5NTbAC2vZ8fhXkuSilrKvwocmHHBG2JRB7atKxLmqdsuV1pwrvqTdzDae5rKQ9Q
kZE2SO7huG0lz/XpY5psYbEC7Y+IZwg0o9jTPbUpZUNm2csN1G7t2woVsxKxbNdobDVhV2ykT9Cc
iCe6o7vt0ufkwEyvxUEmyk/5NcGu3qGNf87OzuSv8tsmvl2zm2npyKTqNdUBp9qErbP9GM3fuVM2
/d6a51wRME0aQGbIfvi0BCz4Zo4m8421MthJV17frZ9rS1apUlbbK4jeVS9QFRDi97mYi+DQaR9Y
FrGtV8k6Kx+Bod5SXSBd4VDqAnVtpm0kzVCJCozBhYaDnnm+t0aIkiTVmu0NBUFpwiSz3vHGpurD
LVJZtM5aNCLWSS6KsUqgOd7AuTMSRo5x7Tw7O+FukwlUf9G7Ut3kY9DZ3Ef6780gLyBRBGeBAXhe
kn+aVt607oCHbDCrzTop6UxiL61bY4NaXyUAZW7Is6v2wi13PIwBj1bYWLNEbjGg3vWPlEE21Qzz
ciavhfwRhLruKM7nhQN31H4OKizvWNZtVZe2LZ1BtXJneZ0xDs9zma/wtBJoT8FapgndEM23iK8x
tzqidNdwmI3lFp2eZfpYfO9XQ/kRZeYaHMt+nxXzkkKxx1uyXdLvy45VQa9uZEBwDhQ/fUrFq0Tf
Y9jdcDX4MtlHDFgpH/BVLwOaI5CHeTqZVCMONOXwAzhqHNydAzSu8TF94BiWZ2PDXZU9yHirnn61
xSwWjh7K7K6a7zD7z/l5fzC+XKFG9ot6YKAzm+C7QsEbk/VWnr9mKUWf2/HbbvS5C73v8gy7UHFq
uNppuEOxiM361gfa85NleAhVzkxJuOwX5tWcH6tg8MBkXRuDEAkc4GSWpziRngdpQjtBmd379ypA
hF5lELiO1SjZKBKDCkGCM0rK/bKSOnEMpdDrbl3gRKW9ZSmlp4pcEcbShkW8Mg3V5UoqRNI+Ue/D
anVi+ysV1d5aKzO1B5zo/IeKnwGNCscz8Uh2eX9yd6jO8I+dRQiCYb9JmMjeWZt5mPDq/7u9JpaN
xnengGmDgwa6QLmR9yyuWGXtXJiFJRKoOtTWGeI4ohW6nyRpQFNV7i9vA868IFPegjMnibfGVlf0
gLMSiGVNxqF0xW199FpZgTy/eCxfxOXj2LI2ulmAdTI0zjsN0pTs+rn2CdZ3V6SK2K55EJJR/CZL
WHKx6GN0gRK79aIkUBVEOAQ9lqfPoLP2vtNwB93WFC+slfhLpZ4zTdpsCxYqOjZrwHO/DEutZjzF
+5T50RbIoOvFzG+KHrydHZxy440srTvFexXxmQW3EAh9+QrBXbYpUx352ScebzEDC2xmNbCapoZK
HdYQ/oDRojcYKvMGsbl/7WfkukT3/ytMzHO7vgErL/PkZoyUeGC14oL7IwrFCH6VfrN9v4tjdZJK
k0rNb4Vukb01iwQEv97ba7T1xjtEp2psG0sAjEYXZnG5VBDNbLhik//nyIf+YvAzP9JjWKgyYaIh
yExZVDg19cDCtU7vLmJiw3Gci85C/Oan01/5jOKgUdQvnuqokpUxbApCt3GKwEp+ckyKu7teWxg6
sEq1nEqUW0TN+W7cxIT6O9wFZbknbGXOWjeb4LkPaWvxJ5Cm07774skJybKUE0MfvzK7WQTYBIxa
3AQdilUMSDkct+iOrAMtWCsXPoB2I+qV0Lcpqwv9WLg8241/aEfXo271PUcx9kgpiIfSIV4ekmK0
rqCf58goiuuQI9ZlBEQC8yaJO+f8VAKN08ENqz5L+gebiMDM/Ts6BaX/LAcWYG7gwZhuHTVkxhK4
uRQxvhL95a4DJBEr8FKXEKlmbL6eau+UiNZnLqrEtNipeYnhIUWTYYC6Oqgz1R3t+dqYxpKbLUf4
ovoONMn/o+eO3yy7rbgl88isVGCUmj0YBQrAkP7A0emeXeW4C689SPPdv8D8Fa/XxDqI4+GsXz4p
hwxtJQTnLn/5nJ0cUZ+Z8FOsGAUxypoh+hQaCHLzyBMKUmZ7QK5ygdpwph3UgoRrEQSwHiWychz8
PtMLvMMxGmaUws1JLohiz83BCCaFAhwAv4gNUfqGgnLwjBvBpmEn+djHVgDVaYFTJmzLklz0I1xc
s1ZkKnqWGqDL9cwwKyOEe1IF3JdeDooq6cdrxdFtdHjcO29BM54HI5q67B35K1K4plTocRtJzPuN
vEHMRarLK8N6PU9Y/O4d2XrF5Z7eNPN1f/u1EwpqQIkvoQ9s+tvULTse61i5owQgSTkY2y3jzPHk
JXiYXipEPpZ1pRKRopiTkhf6Bj4x4heGGrHkq/597Z/K4pdpUlk5vAGqlE0Z0FM8Ra88zSANujNI
fzP4eTkicg3pdb4vft6Pi1o4deFak00axIgGbF60ZnIsPQjAw6vB/0ROOB7z84aQuehPaZPZsB8y
SAeiR/UmCqFZWK2+4PuqJrZ22E7Ysjk6uFpJG71P9m53DMZ85ciJtDS8T+XWx8MiP1npgnW1OBSg
185hvdHdor71Oe0Ma+7HE2+TAkdqO7BMU91q+5V6AnVZKiQjEJbSPhM1USYIaTWcS5yIJm/CsqYJ
yjSgnt9mgFGF3nno0EDO/kd5QnYHLSwLHKtIWmc39843EbwudqqKfgQrorXNfx4G14Mo1RcA4CQq
2Pcn5JyWHTSVpwlYcLyXC56a4kIg06w+yvNzliHt02YpuOHchorNXtXrf3QwfAaOzPZlwaamaWW9
tOLjlhu2AO9+M+Epo57SPH1l9zIXR7IZ30GqBpvs3zuMZwwz7hEkrrq3k16tGFfq0Xl7mKHR5CVc
JHwcabdatmTm/mHxGhr+nIfTYFLQIguhYybFrvCGUwCP6OtaOoCLHqnszGInVHu3wq81qcHfqSIZ
tzOiB9Y28oIZ7C93VrNoKOJAsTBylZ6kQ6Pc4czqKeDeYzimyFfPzXUpaGG25J3TlE2f1gTa6JB8
1F4kA1sYr3H89LcYGV8sFSlXA1dvWJnllXzahuDsUIEPW8CU4o7ikYZOYDsDARs9k2SZlLA3DNpo
KmI5p+C55CaUwF5PfxTX4T9LcBgGZ7c8ger46gSHo1xkyDkRFhBQ9ck+b0TU4RIE4IL7/Jx3SRl6
P/tg0s19CYDdiuyaFvOY9cfqMVQ+v+ptmSFrDVqole9vZ9vBNndKuPQO65scHuVFDWDhuagQEEd0
tUk8/n1z47O3WFdvSV9QEjifBpTCBsX16+gxMyHuxjPvfcoNX3DnTLmbGJkacZVolNI3J3S/xhDi
NxP0vlDbaTKhywqayQqpeB44Y2o+JIxufrv4ya+ip0m1+X8tWoXfVn0bpd8YjX344L9FXXo2297S
fugUd1SDSGvzSJDR/QzUr/HEw2xNRJdp7eQMEDsRGA2tV3Hqh3e653b3/7kK8Sb4tXtRuLLIWt7I
HgjZ7pRBcNlB1uCBNc1wwuRIsoHGLGNEJAt95EshlDlfSGw5UbeDYgIntD6SPDWDmSkNx0dvTVFR
ugY1dXYcSWp2+vCEscJ0VGk1+YlUFFuc+SMolPm00+VKOKFn67ZOiukaIfMdGYTCXM+aj01FfVn6
8wc+/XVAA7MPbD3E/LDMTn2ytrsMH1P2aORuEMbH6RkmaBhMbPhOetu3M/6Tkf8A7Aml0LUTjRZx
JDX2NFT28X0Q351K2gwdNCooAMiARDd+5RW9Oe3rvwmuTYMLeb8gAaZva1FouJ1N0SjMe4clgFLI
0jzPNjW5yGYO7dlAyx6KYCmTW2o4j9NHarucbfaQyEiHmmHginM3Pduze3pRmzTHRU19hzzd4jv8
D5bDdjDYlLg1LLImIPGx3TqShp7CELff9+j5LjikyMhutEkOJ9PGbEmRdePV1C7mS8rY338tAFGy
XTuGtj5AtpSiGHQHPK1EFIB2l6rShwmnRzqQIu9Xd4UxwiMmL4q8TTPTUJwQVWpgw60u2gXLwwK4
dEzwxPC21q9AGXozEVZXcbg3V+ZNSmyBSF1bfUSGPXl/4j8rU/Wv7StxzXU1vP+7yRrYAI8pItb8
nqBTdQbabkUGl9fbxoudiehKaXZKYs68Rc2kHz4ZL3OTU6oREHTA6ASnfhe/xAuEAsJTL672lMPJ
xyptUscZy9rm3rOWRODNDsWJ8Zj9aGRbqJYI4eE+ivuEA6CLE+N7pQjU54SmFsPWntDDG3UwF9Yw
I4/Cd4WLxw+Vg+wX/fonUsY8851j6cvqR0PeoikdFcLPlK5e79Dj8qdqSwK9pEyGS7+7O/OKrd/s
zpoe/swBeD4BSunxsjKS1dVQH1y0H+rW984OGdQs1jAeP5EoPFaZxCFZRVHWUydPimKQEa4O5GNI
qmMl0o4ReFYFtEklOkHm6LIO4/WdGXBSFcdSj99TuHTn/byGC/gpruBKI2xOR5xW/U7qdzqcvjmu
5y7DMWhC0rEykPLM56v5UIcxkmX8Kwkmxm5L5q9563d+IuHdWgyhAlQ0soIqabKvnDBt7qMooO0n
y3+i27rmYrVB6c2IKqPzg5jgljaoNzJaIOpH3Iqb0Vpaq6+Mo0/EFRgafo9274vpSVDpflLwrIGH
SpZ2OLTZJEEaj7TS8l2BrNZfFnWulHAS+eohC4mPLi8p+3vfbgvaMuWOlIgTVTA2uZ3S0g4c1lgv
NnLsERSWpcvFrUlxJ1xXet7vIuhTlPoh4DFVDSLmYM2OEk61gbi/0uM+PC+DP04tYCPXDpsgAlM9
PMeFdN7JAN2k9Y0BzNRM5QGiIUnqVPJCMN5vYysNo2R39WPvkLr0FsWlpYSr5q+yiq4pS/fJNgo0
QL36cNMCk3NSSzF5FN290XWy7IT6WkbofygMUUTCY2mdbPOXFRm6giIZK2xj5+I7jJIBjE7sGXnO
Q+w/kftjzb/YTl3KAe0Z9MbqoX0L1fX94kBCLngReQDI/KcIZ9Y79NeiAXiBnkhtR1FnqSYikOUj
mflY7cVV21Je9jxE7CqGX3L/5hSEsS0tvsrcUkSpaa+3AAASL0oa2DtoyhVDbNoWcK3cH1jWn2IU
nnQA2G1nauzZlZEGP+79DDPUOJ/qEfhPRfC1baHnzDkTYBuEvz/Y8MhtWFelDhR/QGo1LZJ2pPUk
20tpDTP+ir/iNEGSmTtQ5itOT3jFX7HklVpcM/Vmr5b16/sOX9m6604ZzMqthRc16DcUq9FrDA/i
d85xpFcR/+2FVtzdZK7RsNTiKKbUXAMq5egrPCvnWdZCh0EhjUSvu0yB9Nr1DbRiEhJp0f1EWviz
/Ho6S9KsToQ1h/D5xFAufffuMIlRSOsUlmkgpVFIrtgjX7iu+sSbYxsUCao5CFFM6DEmUO8FIxK4
gQ3PwpOhBdR6YOuZzplDBrQoo29k/jHTGABTc3k+6Er54oz4kembD1ltGmuINZkNJSEeV785Y/QV
yKeghaGQaWLypud0hMSpmyU9rsjkg0Z4Pc6u/4NEEs1XWTINALkZ485UHMPoi7a9FRdpe3AH3v2S
zTQIUfhjPuER3e6xp4vGE9q8Mq6IbRw6CRzJx2q8SChTiHHafPLgZIa9MU2VwtAqsI5DQPvvTCDy
EmuKP2VuYo1nQBICBui5M0jvzZ8uu/VPCGCP65bW4yM2UUcD6iKj/h2DWl5ne6jGEsq7fNNxwm/w
iYGo8q2sWuToNoRGJjHD9CxJt9VapAfZA1aWFCasc6OBvMsxcARGOXDBfxLaJHPBYp2MF9GYKuUA
LpOb6mDKfDcIfikV2amcp29c7t/cWPwsJ1va48fuRriTaNLaWo73MTzqh+9kC8EUs6YBzVddgtF2
0G3WLnbRu6h6dj64XOrrCDrERMq738KUcJ8tMmNnCehUDdoSP0qJkmNvmug0ISXZhrjqo83vR0Lu
zXg4cDGqTn5msZXZvd6p7zVewHmTjS//l8S92XUG2QkveTIs0kNURC/dsxRgdkRmnyqee7XdI9p7
OfZbzfbCes488B1AtKPl5eKnS/xgdWsG7gttssSDREpjkn1Jh0eSWgEY+AXDgiNCCz5Em64T5P3c
JTrJX6FNhQCIeFhxd2kGLjBFMo3HkPFbXk55F9a4apUjuchtu0NDdGvNYU+LC46PvDwLa4gEDhbK
pYamE/yU9idjUEfnGeGinisoVkIjEw45qPqDKZLdilPeAB+fwiyqnl8FZfqVhYXNN+QR0QBTz9rU
yi6eRlh+xlAkIcwJGItnVpmJj2OzdrI4Zk8+bTUzwHEhaXk/MIS+u3BulfH+CiUWqC2Bn1gFVgYU
kCaM2mXfcpzCrQvPFWPXGxve/adiEDrDpayOebQz0pMOcLyky2trl/Pgsj4NmvbYPUp7VHLrGWRA
1RU66AxFsdODtTxtxCvH0zDupptl4yFBnl9Vl/OmSfyMb3Xdk0LOTTk/NmZ5F0jhfW5nRBijnrMM
a4/IR55Gk50/wAz2kFt4gMbUpNj2XuA0s+ct2TyV5QkhZ5KKOKEtOSCZcC+O2Ta4pnHYTOFrbgE1
/0BEwq4LoFt7VoCyavCIds6p16Auyl6ZwINhtmnsFitUy+dSjqCObBP/c7lFfv+mMdLqArzkJ7Mc
MbVsRn9UZjLkTkjA4qb72a3bIvC/jeSDYvtS0YMP0L2voZ4bsHTcu+n0AUdRRvSBLt+nz/Y16dJ9
NbcFAlJGV94tziqJYf463NiDWL+PBzSQyfEC7895RGkwcJ/8/oDUEgjWxI58SU/++78KimIi7OhH
ytummiidAL1ehZ3hEn6xuRrzGWk/Mfgtrih3AzD1Fi9Nswv5T4Dfu4JIJTI0zxNrF1lPMPhEbZqa
QX0/BFul9EdfqDdwYDgfYJFMqnUKXrr+LYZ5cvrMXkAbLkxE2Azwe4Ml2Lv70WwZjR6yGKGMdnCP
pt6Yl88lpKjT8awtS6jo6TLtwmWlWzMgbKOXJhsstln7DCml2wNLwQmbs3eWz0Cr6RlIdtzBe9n0
LYkUSJqx4HLB7ZgR2hb5cnVPv5ULdDVhgAPx9s0pGXM+BdWdiAZqwDZyMUtiJW8KswKjs8XYfPRU
/dXrQFtAM36NyTNR9SyK7kIQJHcYpfs1NXyi++vbyUldpol8a5MrowAe7yrdPI1LzmODj8cFCbjx
c6+BiPmaGBlpFqt2Zqk784WV29zKiRxiHsASLAXXauRDLYIIJTKagrCQnWmzU4CNj0bGqAjL8Icx
tpebaOpk+w/m9sg3/giupVDZL0u09AYsDgfxHYoIiF2yHb4IzDY58RlbJKzE68bBeDEP4zzxCKZG
9zeqO6MdyS/L8eY7AcTA3cF7owbLO9fQJOrX12hfQLw6ZOpfWmyZbP0dIH+Lyp/d0jY9ZOW4byig
h6dU+dwFAlQ3ExFYAbDF0bHnbeKIUj1S/VEEX6PQ0BuPi7lvTiFkreyaKbS5VY4A+9EVT1OD/7Gp
AjmimrvC/VRnLJO2GJkUo8nvQ7o0h532iOcWKQcGnq+VEnxM6zET/SHDBinEHmColuV99Bpu6CkD
VwoiVeUAAIF8l/Nf2VW1xis2WgeKGYY9J+C4jrwSgE5+vuvZmJ512Y9c86i2LHbF8yVXvKpOqBBk
rLCD0croCfMj1VGDsOorUS5mR7WuY1A7c834hbGzLEAwm8fpj0HXEH3FEE5miaXIQ3/q9y+evqMP
7c5JESpDeu5RTvldCy5nW2iriHi/gbRNgurLjx+XWoE9Ffi74y0Y4pyHHaXGwBHNBGLNnsvM6ddb
bPMLikA98PHaMstKT+5lCjw2ztICerJVNOQcDVyWVnWG0iNKyllTRfTGj9OW6zIq8++RIkQ7uyPF
swc84AdTDiKmadReapNQlwZckQOnktaOJumGA9bkeRusApa1kH/uzKgevVxzE2lUefNcXNvFekz7
uVDwgXD/Y3JZrcvVHZwSrNYnL6dR9fihpv6wcLDVqFcBqBEl1DK8LC7pVXcn++kywta+skQpS7wf
C1dKa6b9cDAS6Lk965dUZ8tQON3/4Ac0sC06WDUzJGdWIWJXHKxRNFLNC/P1V50jjJiIZnjMknPE
oTI8GlCRrWFjKmGhrFO8trNlqiejFdgYsQotr7OlCFMgA7nbYca70mSWoWkbPzARPnOYEhK7Ssc3
XdC6NiDBii6JJydkfrjphrqusi8uIeW6LiPoUobie+hRsoZLOiH1rDVaITDC5hr+BapPg6qBXT3/
2GPr8Z4XsiqzxqqE7RlwMRCsc8R6DQPvpikZPtePv8uUMRtbpzgahsektzCRVl7BDva2QPRSLolQ
pcLXkQvDDdWibfV3wfLsY7DHfOhjKaeajpuz2M380fw98wOxzqgCMUZAieKeun5JcXP0X7pLC78e
Od5l6LwmW/We7DjColux9T5LDS6U73xZ+w493xTdjmljMX901oiUcZ3vDNzuVzJWJI98OvEtV9z2
BDFUJA+gahlm6oA7YtwHDrIgFh1AzFbjkMpUoyKZxzQs/H0r4UkQ+/HZkKaLK5YqZJ2VUek4NaNs
2p44itX5PxQUqfv4BTYBLLdXckz9M4QTtRyC4f0/O/OLz2T26XkFe8nIz0HXbxrhcGFJdYB7qp3X
lU6m75e07xfSvDCPhAOhE+hw1bc7RxL328SAFMPUKT6lnUdtBTGnWFPNhcQUSmDzpi2BzKD1iVRq
BiHd2EAzpwE6bfOn41tN+3O43CslXo/NK2YQ6COcXUHM8z3w+BucfusgxDzx2f1xmlLXTFNeEruo
FI/ZZ4nlGHKRaUWg6P5z2OU5t2m2P2nKAfaot9brRQ86WfwMMSQVALj3h6V74IxQ5ss4ZVMpYVKt
pUC0fC8VpPzbQTmUDecLs41uBTtuYnQxH4kgNrb/97Zo0+ii8TUorbN60KolU+gwLKA3IjYQRBU/
BlSMqVzQkzKEy5/R70pmRFdHgJGhRLPcOAGoGexDy4Hb/FHY3mPp+jMk/VP/Kw8yMmh7I0Rr0tQE
1zNIUqmdb10QUhNK5Ev0kpleY4a5nkhLkt0wtUx8cKwqzPh21qQaDwBF5/nWYv/nFnw68UBKMS7L
YGgZgaFQJYj5LhoPI+HxiU5XOY6H1Yb6rm9noZeV7PBsS3buawmEurhfHUZlh9HjkvSdICS3lio8
jUfoR492DUuTOjU1fP7FDw9Xn7q6XVX3fdu7hOgbTOaED/KiVaMZGprs+roSKw0xGfBb1iOLgWCf
hO9emOvTEG9ehhXswPbj4AyJDrPPU8jAhnQXboNruEOMiyYexsdOhnEoc1cU8EKQ26VQUStP3hrU
M6DMxVqf3Z8ZMYKJ87usKXeUXAkuyRZpsgrDousCG3VS4tj05OGN+Z25G7kcirnIgCiOrP8BwsKY
zGMVjN07OwrdUUCqXiySIRzDOFOeaxb2p0bsbkaKScmDqbWJVyM68LfNtncAKBRSRWVbi83xgKhD
f1XD5T/h2wSrm43+eCTERKPgpwQqhbdKGJJ14edCPd45vQOxBYXcuEXKAPZosLufzJPfUyH9RvQ/
XPGPmQz7RR2kaEq0d2o7FCRQLik/FdjxjBLDgph5P2jobTHBO6DstCzjYWSoT1MtXccaa08fn2SW
eDJAdtuWgsvVpBfx7I41L69sZzYqdK0pCrLn5E3hcZxaRdJJF/dDyUfNwDeTLyMwIH1hIS8CuxVE
X+lHKUYAFiAdetdLzOKeFz9/btYEWqAFsn4TuJ/xTaSFkxiz3frx5EOwhGQMEY22hiODH773MjnO
1iEqNYGLo0TVMW1t5PwBEXXfqifjrCJcdP7t5oPp3zYynGqwgE7UUjxlm5ZGSRdxhJ8gPrDtrT9J
Pi+phkw67yb73XikMgI4HkDQllm1Vn37ny/gijnsds6bc/zK905dNY3w970mu+3nn+u5bmXw1vd7
2X3TZWoc8ogzWtwaljEGM1MTrjvvfQHq5iFeNj6MnSSQdoBvmJiDIP9ubNyMy+CM3NxyoT1TNkuF
BmHG8NBE7mgkjcMYPcL8icbkXY5/61SPmCgIs7sCBfm7nUwhx18SW2oOFa9+9Xz5pyq/hCIxwKxd
fULKsneRWtjCjZolMwFre492X7S5OatULUUGAq/DzYmM5e3VwAwHV8sfXWaTfFcR26aZ/WwkOrqJ
JvVKxczgD8POivxgU1/JUJl4J1rPhYEbFhGW5w8f0NyJcKJkOenXIDSWmsOV8IUJSF9fjaTPJG/3
sNcOrklBiUxhuQ35v63YcHxe5OfvEFG9t1zZeVn1LrMdDAWiIXAkxgy2+5lALMJOjOERqIpfPSng
h6ChVGCNoMWzr1PgpTvHB77Uj4cBA42BAlTWrn9VxoOu9wvWol2so8mRIPhLlHzaHkLxITahEIQ3
ce3w4ebw9+hS4Z3iG+6OSoiLSEoqdmo5gIz0mubld3ap+YEMk0B3TyF+Fv4tvSA8P/wocuUCPQwK
isZlW5nTbJDjwH+5hPqGCTQ9iyx41QmUDSIC6/V6lopN9DFtPTxUevTwMMmGaBdIhSq2GhEMlBW/
W8q+MlBLWah440y7Fk13nYwkE619Z0QC52tA9ZUHsaOsVN6MTTkUwLC02qM/zK1UStUP69C00OtX
M5aC2u1JZpdm/o9IEfUiSbnOusxcZynBsNikfztM2wRyJ9tQc3pBW8ONtiIdxKCBnbf+kPA1qJDJ
vu9ehUEfDKvDIlwpAMufJuTVDffjDfRxaCFM8fKU2iA3Gp/5FT/vkKa16BHfqlf2tJ0I9D5DLRtw
6y3T82mo5g8KLM5lquUdaFvSBjZcPvCveppeGQtsfaQqQW4Nn2hd73Udxjth4TiOxf2d41idMOJu
Y1EXmyc3xOBG9OauGezpFOgwlPhvi8tqdAf1XY/utaFJ9Elq5+TzUTekFVwfM8q4Dbd06GkK16Mi
gGz7xvwa4HsWlU49SyXYWDp34XAn696iCddk7t1+ekw4nEY0JHDwcHuOAVQkwBuHyEugiH/1yoy3
zFHR4R5yr3x2rGjM76+Yk/QJLcLvl5/WRPx7+fB+9vyyk07yi5Tksmpd3LxqoEZbjDonmEGD0QlX
aePhMmMce8MKfmeQXSt5LgsiNxbaLxPCeIWu4GUT9LVLJfAQgwLHvDp/s5/XQ6b5w/X3c25rE1Y9
v9mHjgtvYg+pMHNFSLJwTiKpYIS9FiLZU1koy4omKCcyw32cFnv/sKVJcphGaCk+7kjjg07AcTmw
JSqiskC91+AVqi7wUw86yIW/yy/fGql8iwo6RK0wQ22UpWTdEve1AsPHGsjLr0lqR0rPmkCOlrmS
voa1YUP7gKIk7DWux1O4h9Qu5LCLXtvijF24QZd254MymUKoIlyQgttj5Ta8rD+BQrhV2PH7dCfX
QD8vMHnsvET5H5xW/FXlaDI2ZCLlthws45kVbbtWuiRH2p06VsU2NjIL6L6xgVagRwJl0KUugTeO
6btd5Fdm3tTiPaPp5zV6y82X05hS+yxEwvUz5rkTGLw/Dlv7kb3n+afzx5L+8Zv5QhPSa+rrnnUs
WbJz8euRBhNCtxYGuI51Y7z4tzccCTZoPOQ1lFkdHuyUoHRo5E+pq858K0PT8f+W2XjOhxobpo+q
dXzpdvv9a8D6UeHDHmNY9EZfCFTnRN9oXzo/YqZquNeYplnTVI8PY8gglWl9Sbg3mKhpZSwdf2D+
ZfIoQa/up2mM5kzjkNwu8T1RVaIbHq3ag7JomGX6uVruRB23veinqrDqB9YEH15DBeaIRfVakbYQ
i1p9qaqqzxQTviBUIav/OZAwLjsdzs0LgO87NtMNBvHChlWvt/cMsaJlEFrveRv5Z+zJsf4jdlWF
OIjyIrEQ47ymZcy12nJc0vHiSKWct5jyEXj0vOxC8zisPoPkBpTRDzOJ964O6IdeRRdsYK5/raSS
4Pfeau+korkL5T7PU9PD/9Xw43yy9IwNE9I6yZZp0l5hTGnfKvyODRt0iY5uu6Qd60a/8zacblwF
o6npWe7Yo6aANAXf7nRCDLrROz9sAhc9l+HugnOenvxN+YrJBVP+qrQlx5D/5FcuBGG4euzuJJB8
+RzPTl6hqi1dQ0Ib0BmZ0SLJeN4xWhpoda1A6tuM8SbXjLloKx4KQdwbqjqtc2KDJ61FRfXyBnS+
YXTs8qgrlggtojLY0LPZFfjJmCAGtO6sw7/ZTwPMrocyV6aa4h2YB76vV1p/8HZuui4yOpH0/jGC
F6FmQmuCOXGxkmzYYbKbth4PI88yI7wYvTt8Vfv1gwnBzP/CHovwl1KGP1hdogFEKVNPXdPG8UZ0
uJdtJPcOb1B5yENRvvoTdgq5jbADhR1ukd2YSP3hGWO3ETgKCCeyVWjcOaZp7a2OGcXUaf6bgCbT
Wp4DWO6a/E7rP151xCz+pYaTWMZsB7uEpbiDSey3DS9YbBYQu5x4VPxm6yGSxq6wL4c9/T6Lf7X6
6eUsUW4HVWT8BOUUz6sLrQJmDUjlENSDy1x7VZbxjS9hQCMWvuJkbWURisHjiV8JEh7PUy3t152S
1Bo5lj//Xbu41C+IF6t8WbIOOn/U+QgO01o6tbdYq01cMme9lGUBBCA0UQM/qwH5JjAAqQ3yhOpp
cJLdmBPAxoyUo1N/JCws7gB5PL7dcUs3mooqG/vm4miOi7uy4uLalfy0bg/LiI42jAo1/Ayf0nKZ
AdKyomz6Z5KlL3E3p0aT4Gv8/P9fhHPyjehAbQaWcJDgPbuyEpH2Qv+2MSjU4+o2lSlx8uOqviAR
ue0Eb9PX0AxPXUbzisgEFlcYepU7UCJmo+X8DWgBJebSUvpdeaD77tkOFpSazXv3SSCxU1Y4Vvqj
UqU4klhWCtgy0VWycnUb26pHt7FKwHMNXLSsbzQ2NRcwDzPxFSSyuETf0iW2eJIA3mBTU68V2h/E
aoCRCPlSrR+6O7c85AbW6y9KyPO7ybRBn3jBgE74Kro0J5Cr7pG899vah9g/e8w86rbKttrRPQNN
+AVqy6SXTV5444B0le/fz69YRJ9uW9mF4VqFGRo6yXyjwFwb/jWCSBHxIVeMhTdiSk9kvE5ez/Jw
y7LSJm5f8Qj0fLHOJVl/2fZ5WP3uauT7hvCwOJ27ow0DI5RFcPf3B8OvKaeMrvBslR8JBeiPCiQM
b8DXxPIgowLLoODxseipijlfACWtLvWUg2+3kt0utqLYKHNT7UKqLdjsDa/+9rhnWnYS66KOiKcR
xaOw+85EKrl7AIP1lZxgVxAyq955AlShkUdGsm+GtNPMZbwFIb6j2FWzTTnqj+ExfScVERShUAM8
A2zVuI5y9hzlTjKqNd7UlwFZ5AtRYaW+Zo78WjSV6d3KLYagtRuySaQQAna2TEMWKbhuyru0tWf9
JlAO0K8DBp2s+rdtD0O0Udr56tOmFF6P/M2DNqQrhyYOj/OMNG33+dteTKyj0/HtCYvV2pxoifg8
DAP93ipH8EYzhxChr01Gh72iI8F/Tfv9KuQJEvnt9Fq9siWQ9HxtPtxz0+rH8zpDibA8d5pjfz+S
Uehlx9uw9nvFeh5T3Lt3XAG64n5bjxN+CBaJSBiZa9dfzO7kI34mSFTA0ppGjIYWandfwt5YxFxl
snzLccH1FBY9OOyhsZsR9jBvl73kHcgOHJ/kPF0cFzncVhvwzoHErVyRfR3bvYE7LPTEJp4/wuos
d/Mt53W344ttDsTmRfCuK/Pvk+ClWYH0kfJKdrKqcm8oH9GYE0oDqFV953+Ye7IRzHcr0If48dQL
IGEJhbeQyhh2s7Y/anlHc8M2rz3s2W7dzNfCEAXIeP69e3rUhwcxLahgkS4yAjd1FASPsBb7Sjl5
VGQd4PiSvk7b6qtyTySKmTklixc65E3hh71dVsEIA+pVhalziokC+90Y36QjSk4Rzog7OLSPuofs
xs35sduxmdUsw3rGHwenlDIfYygJW4jNHaPMz9r85XVmnwfrfUje2G1P2rdgFPK7mmr0kG3Zjgip
DbI7GFMj3rrJyD1skPb5P1IHZmferGHKMEs82z80juCOv+qN+Y1GdOypZIua/ySQFk3AkT/ImUNo
7D7zw3n1FX34XM74tH5BFVeT0YMuaOj01SLoPy4DCDz9MWGvpoYz/UFuEWcwoSZS921HzAihItFa
X33xjv0DY9yje0q7rjz3ZeW81suN7FYgUzfeBWUWzr5Z7mcLbteuq5JCYZ6uWu8x9hkWZ0iwOqq+
iil1YReZvUO00NzOxcR0z9zmbgLy9hD1sSkIsWH/YSsRBeNTEGlbUidEpJWWlrq+J5Id4ZUNlJUp
zcIQ8Wm2TM0U+FNXT0yPE8jf/2V5iGDOh8w4XQa6Q4wJPngk08qVbk90+nQ04Dp4gxx/WYfbBBVh
E7y3fxzNplLEDIS6A14m3J4mxPUwYwTsukeDjvgc1igKpxQniHaqssP1/LWprsS8fUg28WA9qLMs
uYPDrvyxYBXZtSgGbc5jzy4FOC1rS5qktFoH8ao5b5uxhupZnbM57vmYMGkaiEYT3mGfJD/YcgHH
dn+KzXXsV/pTQ2AxwC6lZOpt0ba6pIprMGT4d05su40ttoS1/7u0g8ETdp3Qn9lt2dFYgqf1r5SD
4P5bWW+WOKDGhbUife41tFxyN+T+HfzEOYudFOC1i8RZOU7hj96V6rMKjhIqSoUZq3/8bJsNTCwI
ns4XzadjcHY8Obe3wmt0v6yCT7gPvu9kWC0QWn13dy//C7GX1byoZo7G+RaPondHcNmEnAEfZbPG
jeDbajIulK1P5pagzF0pLFkvwCIMy5K1Ka8Y+1Z/XnCVhhkwn/Jux+7KiVfb0a/qqTG3fAaCkWPj
UvE0WjHcvb0PeJzIfQjdRgVCFYiXqRcqYBSo+VpnYr6eV3N3jLnkTYrqREj7z70D5TckHt0zfE79
nHrHe3UEGdHkFSaF9CbG72cmse3pAgLs5OtzPYT2EnLKKIBbk7QiyzToSLg5J5xjkD7Z/d2wEo88
3rjImQ7GZxm36sZWEhE3u4EG4yQXGqQqrTY8sXsq335CyCSRVMe8lb/xJBT1xiN5rMoTqlJGN8IG
UsvdhbD+zf6KJkGqVgxKF5QL0LUJcmRnrufjIJIwjb1ZOe3sUc2XVKfsqZ5gCWS8s1OVPRqATySB
v4VeLZ3aeQQuWe5RujBzsSP+duBizuz8UalTlDWhoBMWDo+22ob6L9j2+R+RlpVAy2Es7TbA5DyO
+zqIDdp2yvJ72SfSE+uyG1v+rkrBtUOaEZ9HzKIe5VLzKf5CopDS4hM3XJNHR9GbzGZbxdV0qgEe
I87byfPZ3ynUFE6c4woWZ8v9bMePOHW3qIHv/uiiyL6JEna8gzQvvzsiZwE1ktkLrVdbwxNxHb0f
xxIW6WerpSIc7cjkvuyvgL9Ad5aFLeERs/aL8J7xX/FO7eAXU5OOMyGvgcUrZZ7KkzHJUr0hz8AU
uIFLoH9+wXBk62qAIUhpgnQ16759aLwyQjKKyn7Js3hjNHaa9byCCAgHF2SdcVzZMZK7I/SeRtQX
15aEuYxwzmlJoLddMcbSxUSYx2mcUQor/DBzrc1/VvpLcmDtghAk6FOzbTOkEvi+3P7kqa8Ebrip
b37JUqRDC0BxJ2VKZ4xD49vTpyEpxsDeLFuYMWTbFet+r5mSmNMBXdhr9VhTGcY5ui3aLmQOZcjr
MFbqPOKdC3meXAtUPG6uQejwfcFrSZJ3vx1Q1MdvDgZx+griwJTlWuBgiHR17pBiol5iCB1lV8bz
L01vZuOTOz6IMM+ckOy/XWW17gpmBInBEtt331z/ecnaSo3hzXLNVMX2SDPKV31XAxUB+iHnxkUS
Ww8AM4ynKbafwOSjP/U9rCMiDzxHBPANITa66iUMKOzppV47xUpEgwKoAoUqlB3H05yk/qL8Rlo+
6bnGWZ1wDY2QtMVyd1Q9ACVUuzexIisQ1YYLQ8NegB5+gnJpVQFXGsXsyQmyxk/pTrLougslrzc0
dwTHmajm1wxkvqP+hunciWZldccePqBtqK98fRx1gg26ZV9zbGUEj/NCfswFlwzW6IJOEGZIqN1h
48TfpkD4EmFTpnR/uptmGuMKo0401HrvM87nQpSPTaXtJYikEjJHYqhx24jHlukOOPTjlMVlH0kg
nsUUThBfBusfPMkKtfwcSO9qgbDVSTuvGBFiG7KZu2Mijc10uTvhfjq0FQoHDzLi2NcNjVRSncOF
yNVjV3e90D1M6jL7I3W+KLbxRVvE4oY14TstCmtt8/Co6WLOIjcmkdIx1sooAiv0FplYwBs7kPsa
tCJYQzdbu9eWlfq+t1TnhfwfeRNiToCgJDb1V2Tk6r1v2Kwir6iwQezM/ovXnuREYM7qCWxHHSVy
17vJxhc2nBPqlkzdlzcQmGSxpms8uBYgWwJC5fE8wahV98LDEnhkoC21GeofhMJD55HhNfrsyQmX
4m/NFFSQYYKUwCBgMwkiudcUsydrhVDZiQm6KPQjI7oqkqK6DSduYvFPKX5YsjFiUG0XDBPL6Uya
bpAPyRBsZp8gujioSpGZSbwKHa/tcYDtnwl3kbSAVjTWAiX+b8sJORJRhVbS26jfGhUdyzCCUGLn
5+qbhnZmB6HGFSqpEYxduwaKfn4mRYL/Ekuw7XiYBOBxQ5f382fb+fHwMQPLqLn/hSeZ/h2v2Aur
vojqAxNZ607dxmjy1VmZ/wlBHKZv4xd+mueiEoIz7yIQ+ZszQbY+LnJ5fID31j9b7OHxEdzq808H
6E9uIps83rU2A8jp1tsJAeFoEvEqDJK/odm+2M5iCUfQE/xmsSqxnZiakJ2e9SCDoZLZVuAthqU+
aanxPMJzwQ4nxko19bp0Jy2JLZxC1H9K0Uwnn1hHD5GAomb1XfNuyvGRDTNdI4Q0X3sjVt3oDft0
fZwMbnusIZgQNIONRWinfxRsr1ERm3tc+vOG/HCdQCSyF0Y6EpwB99RNExyfVxTSueUv3athwzPE
JpuN7vheSbhXZKgsvrcyZEzo0eByIvKUHFJAn7OWE38T8PKhJ+85nVSJhnLilFijT96wmQmt2kEl
htLtklgdB7AEKcE38u8tvdqzfLi+q1pe7qcZOWOIZoelSCXU4xWAuXMtjR7XBG95b5Wwtc+yGjBs
UPnB5AkftJkmsy2EspauvUKDh+6JdX/0YV0wewRM/T66MDlHStxkkMkIUUfNBxweCjFBhNCo4lxc
ak/Sri5YpZn3BNAofgb1CiS9pcQrAO1fbH3pThL6EN0fnRMvfI81MoF1MOVgY1M/cN7/LDE8e6mY
fiykSEvEIYqiKdOLb4q6b4VJqhVyC0lm7BBCMKk6GQtEMwvLG5L4whGoWrdypZa5jt67+izNbKs8
dZZMOWLRYZfEPdVvTjkYg2tp2wMjoNlgYJiv+X6/6VGMt5GxjAegxXLAN4KH+MjT+qqiZyXScaJG
+745vET3AWE7H2xlcggLJF3mdarh3KvIM/iqDD5gx+f1lIGfNeQqMl9mto6WCuwWDG9Tua0Kk+FT
gJ+jcihlKyNm5yLSb3yeAwKmu/nAp0YKP+pileo9LF87FdgzcbmiX9EOwIMOMuyXyJxnDPt2EmGh
kMBVzeApo1SPF/3ZU/TNoGJWLCmseLc53FZgAwWtHWlTamN25UrFcq+FDSAWYg3U9HXXCh9PwKR9
obz989AA+dA245zyRg3ny1VlHtd00BmnhL6UXsYfP+nxfvhpjJ4aQCeomlCHawDyuoDkN8z5cURq
qreVm1W81NEmccsRKteGXMSK+1h5nqVn9ds+Nf3p3fxOb9dlNFaHD+zeYXw8bC3BuZdiQgFFIEPG
1D8e/4vnv3/llt21PDfvxvtheenEH1RPMuXrl20E0Hk6EDn8OTnU+II9oOq8JvXYYrur3NG8K299
nw3wZqrdoDhYR1DIlgWO6rz2WDMqXp/rWF991DgBo3HDgA4AmMpoqMOTxsmxYapgTyTsWpLNE0RB
UAoY1/r9g0lBwlyPi+wUUfQLBN72YjKLPpJcXlvBhCfLbxXNOjyPt3mMqiaRYu1Y2foRDoluN3HW
aVNIBC/MSxDzJ7oDAnSGlWvlOzZBMIyvrbG4hqF+dbGZ89LZpQqa2dgrKn0Al95kDwvFOhW2yJye
kxpQMWg+XMBA3bgaRxi/M3dylqzAuSwI+yCeyemU7E7P5WySFmbu85MH70zLajlHkYDajhgl7OFH
YH0vJPKLbH923aEqI3qh/fLh5xQ725xqRMBl04lhFDS9tP0N5a1J4lY3rRNs9tNbnkmfzFL8qe+8
C4BOi/1Rjh6L7W1Z3dmidSvEbKxmC6psfurSygbjmjiKLmnzo+TKS58/F/b/NRu9bqKxazQzRJ6y
jgUdeF0gQDBiD2mvc443eXysChWLZF2s6QuIhNFtWbB7k9RyBDO0R9CmMPUq8gYHQNmFXC6e734B
zcIyR0mCkgcVBBJRLnnHlgR+1Jyxy7T3wtKktqFTN7dKU/tqhtZVWF53mndzFJOLtOHG/OJGh9c7
tNr06kv3PgQtEC+Hp179OggdhD7RNpguMNCue0kUiMZKn3blEcR7njsYXWoAE4CVtmIi0SZxZjOr
phN76pYOrtILj9mZDgEgNruy/N+nl+jbWz88WfO4FNuQfZZjcrpOGTqnO0fAe3NWh93uFhMBrnkH
A06WKAtEBuxwmQzP+AfIQ7NynH7BHhBp9nD5dSHYYYo8pY//eZwRGFLPie49F0DusD/oMdK14Yg8
X8UvD+2X9L+6W2iTVdV8JrUfadExo93E9JUIb8vcdYU0+ESiXulCoudddXkWe6wjAcPe1LazZ8Lt
Q8MFokJHeBrZ8GIRgG4SvIma3vN9GM1CBZC+fsOSVJyKdIPAczgJPfxUQxhB23xi0wQOM3KubRXM
6iT7MLzz3Y53JjMYNkbhdtMM4O+qECph9o5HvBZSA2Yb9Cj3OhFcn69fqo2h8XIFV/6nCTbS+rAD
EQ2mXQ4cFIkD74Lc4jJvgeO73blMRUjvmGgSj+So+VXrk7yQVo2ndpNfMxHUfoB16s8s5w7HKBtm
cV1QrpM72x/ILUdgsrbzPyv+0/jjC1UeUDxH2ZGt+hWyoF059ITAI7qmA5IiqPt4OksqPpdOueJz
Q/WZVkUx08BziXUrM89/X0cfgwGV8gcQ5cXErj8b2R9l64t9rQ/p0HJRJSjN7Gl6DrbeRK0TgJTz
kdQm4dUJfNfx+w+qBpWoky/AblPSj6cDafkbMWrMgUOuAAZoVvPVH3LbDymefpXvIoFRqu96+VpF
Y6P0lQFVIl4G7cadsfO7uHT9fUtcTxDzDbcx0t1q/YqH4E10m8d8yDcw8zJqXP2hrM1eLM+o18Lr
+fz7SjfPYeChQnqxhllRJWYumo7ia2ZAFJ93E2pffchgwGKDn5GY4Y70bBSCNotn5PBOc8U/GYIG
LT0MMObGQOMxuiOTsAYNtHeb0XFUpzO8mkfTJToVS6Ru+gVMrnTLqZ+uHyicFBIxuVSKq824FTTR
rlocoW6KehYRhnRNmQa+SCMv0Ew4TqqQQjIbFM/NIHUerhMs6H+m+cw6r3pT7thexAC1EctNVUHE
p5bLUircYkN4a+3qKxQdA3gPtQkeHOoV/WuEzSn9SrWZCZQwxJt33RlVe2SODMBGVyQseQbTJ8a3
iq35DHkAQEnjrBxYtYwi78twYC/UQHOu2mTaglsyXCOjRbCUEhIhxMnkC4y4OEqypIZ6/v+24Op5
hiu2hOhk+PRaVVTULWwqVi38oOzPEFXJcY+kXUKzvMdUt9bu5L7qqKs/tLN84N+wgyVL5FECN9nA
RsN+XnMkMXbeABWOEYoHsXT0klsWkU1ywN4mnQlr4WoJR+yfclfh+SFvyy9C8V5Bo3lTRg+60bl+
0150p9Ju4G/idMFze7nv14TtuDTekErzIc0lnXkq1HE336/mSHpqNjcilx6oMbei3CoRFgrTzB7W
i7qB9FSLxSUJWhqOm7WNjv6qiYjtMxj4xwAVHNn5xHDjTRc/Rsez/OeqUUza+pZMv/oPTUhIGsu5
6xfztd5vD451S1/nFVBWLRiWUEH/x6UiIWbsIyNv55nuWqa6kYvIO2XI5FPiVGuBkT3PtxjpNH+/
topKIHjzJ76Hz5s0rd35fVa2SfN9npPr2lra+ICR582m1ddCKLYr/eDMcVkbV659Kuc6a150qgMp
xJNXeuueNy4tCwi4o5wsXQolXGjT50al7cISPSKF5ueWX70kozE52T8c8LgvgBawcoUldaCUXBew
WgzSYUt/8sinhAKy2rJ8cWqWs5LgGnu9o+9tW5FbnGNYeszis4tzdJ0Gi6SiBp+Y+3plrf9+pT9D
LiwwSB42Qot8NRgYU4cwrGINqaT3UDaAUjoDLk3Yxh0sdbP8ywDcPqed0uqaYLP9cfJX3FcxCDub
dFW3Aae4Ax8UB2Lh7Wqi+FGmSoDIF2RP/Chu5g7dg10vTSQDA0ci4R0ZcEzkHC2nebtinKpOl5i9
qf2JKsLJuwm4d3pC6CDpqeLITrm1mMFc8w5FOcfFpbsxmtbmicCTDnw9XhkcT6qKYYNDm91wb6x2
EG+3PYYkL8uftCBlxfXzULfcOjwVo7J+fdA7Fo8h+n2WobH/THBcJg9vEWl5eoxszHYzRquEQyiY
gHLsL484XHtSB2h4qjB+yqV5RNlKu1JaHT47JrggnDzq5ldQAMhOzIyV5QznKZKjJWmZqGWPLQPr
t+1L+HQG6pm612ZVuRx9grVbCfUogjSj1q89XUmCc0FIleJihE2t0pQ5FLtf3OvD6Yi4OmX8RkYE
RDDgqHxQai9eNksLcEiKpiA4PDA51dnDeUuGzgm8HcbYEDcKHdFdJphwHEgVd9X2bmeVYDDrOixv
o7Hh5gbayaz9QenfgHD42S2m0AuAIFz5p7FXP1AZBm3lajhScY5H6y+uYlyYgCZ5/E9mFJgfJhMd
cTVMigub9k/oiL1cRAIwPhb4oiGJg8MWVwHeyvdZqtZPxxNeKU8b13BebNzpWJ4nOcU3dHXTjwTf
0RsuSMNLqCGOfTWX2DayFSRvLw8Si0H4sHMnMqtF0JF1OKhjPK0kB89RUaN8rhihGTys3PypFU8P
yojsYmYO01iOCdSIgMK/wynPchEjrj2cZNd538S2dxQIq7nqAvxoPRnwFBoePI9FGPWBERCrERFn
MC6kLNNXrT+i7cVQ3rfrhdXJNauznOwj5iPflCLLt09WGmvtQuQgId1TrUqdaD1hUZBth2sH8FH6
xQEOxSyEYO4bAX/6eCo/vtgby5IKW8sxzoLHGW+ip88ZdzYEFoPq8lsHs0391zvx6C0hX+zsbZe+
0YoyNdIQcjKmCkAQ8aKHXOZ73km4HW048QU02zb2Rlq8pp318AGrXsXwQD3WhkqPpuoHqvbphP2X
0DVgFVvq4WEJ01C4F9zymylWXyObgSZHUTEYVuXHG0Xf1q5PR91ZzZcl+LjszM3E05L7Dh8E0RBr
F/CMWPnK1Ru17w03t0YhMLIimnBPlFbseKxml67kyn5BUWQ/WTFO57b8+vaBRvdOLlBJ2oaCzXx9
kZqJNQVKEjQkC0UK/2BHMLNtUIYbTvN+T/Jf89DRA5WhOVWuFYcOhywul5l7tSVySa50sf7+0AZf
6FZ6KGOX6JD1X33nowF7fqNx/uXMc6kSgkl5TfmyAvQ+bTAu6YfVkIW3j6ZJs3ECDqcVvbOILH7b
obWJcjG+ISeXEhsqEiAiOist4MMlxL6avzZSUF+PQm27y/3lOPZNNJaEAkSbTjhpX+H0w3C+nzBY
/Q1zkjclEUsMMZktXyTRAYcE7vCDidTML6TpmLX1jwqozeVBMjijbBgf6a/puADtTMjuT6fm8W8G
SWpSUNvQqk6DpxboIsc8MIpm+zwIuQJJGJz8+qpZCa/tVRqZhFmInpqlowKyzFLeVStjVFKhdkuc
KZVjDJ0qQYWb3qBUtwFFIwd42Hk1QYBy393PAR1ZuGAqSchujKnSsx2n7AVMgVLCWNKCEJTapDWJ
AABRveVPRXoM+2KMoxJGuM8VdoZKOrme4lxNIB9ehHEsW0NrxP/c/NnL0fU7abXLLDsXbUu999Xv
qVOzhyaQp+U4DDB/A3U7IChoOTks3Oip6b6h1fOdVZ6vy4D56hATBBx5FhzDShu2yk1Ok8OQkt7z
dKL4S8JR28nBWm7D9ebUMvVgr882U+boZ8OcjmxKuwrR7lggrZtbuBmqer/Gu5nH7tstPStHkUaZ
DJQ1Ro3tn9SHTPpHKL2dzuii/B27LntZuMSB87HGBbqi5J2PCgDc1gPIM476ZPjf6gTYOv0lzDl1
UUxmEvJi1vklNIe+YAHsVYQGm4zH4KB9vFvCLS5b0UFfWHT3yXihfaa4J1RASkV1Gc4CXq5cQ8jX
ENyGOWcx4J9doi2r3mVbaKTXDd4TU982i4GU+7zZo7/v4/BL9ovfc/fss1YlRk8/NO/Ono1s9Sh9
JjBK6/AsiMsQUWzWgAKPzGDg/mKurw+5WCsibGDG7ndk8/NJMbsgZ/kzXvYoGk7O4954GThpqtD8
9RhXW028jSliB5fMbbQbVZFSbdzwd4bGbACdaUGPY3lMidGAiCqpElrAYPAymp6H1Nw3QUicS77x
QYmJgsk1SQOBF6Kqtmy1CfJZcwEdCHOqmDkyw4sdO4VqVZUSTqhkGt+MxeCTDHQAPnaZQUMMQpEG
rCxhB8n/qHk/BUrQn1C8hrZOhpXRKKdKWUi8HYetUGJSfjR5DkrAGgddM6oiyckx7GHvQO9kVypg
TPjYY3jkczMhkcUSLr99gwrTRuUomXe0+5ayeZI2e/IERmUeRNeZ8mT3Br4ZG3j6u8BQncg8ZQbR
y9Nk8FBfPQ4AePCwQ45Qxu0ZbPsElmoIY6VOEECpJkERcJlpCR0mCPa+4Z3ZKiUhzHrRRYRwbSRX
odZyYYUfnO2b6XeviVvmvl1e1Qo/ch8ta7ToZk0PYPToy5EIs1ncXFbRVYtx4b+TGTJIRNPlkIyI
eskQ80eurq5bkEW+xCdu8nTYo5i41HTWNTCb7aC7YV4xr3IEXPiq+KIcuvb+QNCG18/bWCtd/Bbi
QNSWlxuiVPOdm1myraWG+8Oe3faebfqlZFyIX3fLNM7DgB8z7ZlUKFXKeYpURfGOwuIee4TShujH
GI8fkE0BxItIGJ/b4FEhQA0AaJ84Gq8x2fmcp3YXtcesj5cR67mXviSczFIpsKEMa3187p7htBx1
woVFBUBG9nC6BemThb+1lPttDktzwjWrbziY0JhncCwY8lm5WcaHjLrcc++mtg6FyyXri9MBW0x/
XKAzm6n5qERFM0qXE36W8mdmoqRWKy/o10IRZEih7vPI2CKh/+vrOGrY00S3OIVbrInxqIqWt5nT
HtgZ6mQI4G7FGWqhzH8Zx9t22ZC0Pg3sG43C7GBnGCyj+9o+mrzoGdgo89qrfZeVJpCvajQLAxsY
rrpRZL5W7ySwgphz6PP+XLXaqbNohKGYPg7aLKkUrF5+9iW2vWWPZSJbiEVzRipkFBLxwGizNt9o
agoTl0Zz3hDm86UGNq8Fg7BihIOy/Al5TbkmhdI95mewEM2CzEcWLl71tNx0ySEwMaqqTIrEjWhA
rUc1lBdsu8xG3a/zV/t96xYFMwBxMQNK7LSsifFoHbPlUduNbRr0ofBoACYTTGuKtRe0GGVPhRzF
LG/K+dOz4Vqvnt8O5IumCJhPO/j7kw0oCrkHyiXqt5WeWQNpsqJxqHzo71edCVXxEDRBfrIQ8ZpG
aF5IINmg/MbLISLOs+8iAfzv8MtonTYz4cBaGehA8Q+WdgAd58IXqzK4RD7J48Xl3SKpSFvFxZA/
OWVIGcVhOAaIr7E4EswjbbwVCCkqy9u0ZXRht658/EQrCdhoa0KFAj2cYFRQgWfulB+qHZ8rVDeG
yD4P9d4Azv+ihGYkZ2P94/uM7cHl7bjJ0jWNjV7KO//4YwezkB7g/muTTwXuWkiHKr4yNqSGZZ7e
fabrufQT3j67gb8osX4ew4nhujfzDIerak3rQOeZamJ7g4AmavPtsA7qjpIu7q7bd2wcPp4F3BuS
itFD0UnzUSJE7W4N4T7x2cpYs67d4TgFJZQj7wiDWOgFaVxkRiqHi0ydTVmWLg7DIg6V+/XbM5x8
oKqhY2v9ccLza19LNRmvjYjg9Z6ylLWjTHlmPxyFPhdU5KaZAJuI1oMNcbNZsducnEHc6MDqh7Ep
2h4yyr5u+vYJCLmBOlJWaZugI13d9BKNjsO3u8ha/vQOArSv4pXKRYSKsOPBn9QBxyaECGlGZw5R
sLPly09twyWg0O8j6UyM2HggponPTthf6I8WreqOZITHnNEH5Ax6gu6bnOBuvnaQyHERam8+o496
kCEf5aeihCITzUlSwJkxfdSbrN0rOQh8cjABpZv7KJZFEGlbsqrGl5miZZm9uR0yvWTfGkrbK0dy
1ILYjodD1LydSempsV1GqzL3TUUU+b2tWVdRL0Ws2v5MCXiZjC0qABPO9hYK0cYmxShIKZicjGjd
JX8WolwV2AssHo99pMEExP+ZCHgo3LhHYQcz/BS7DrRjICPkpyAVUkknx1wvEn74lRoeLeBukT9a
aorJIZzMt8y795vhVcRDap6gLG92b0ViE8RH5F7OL5jKgUM7xZH0xbPTvBpy+cPd8TevYaxpHvhF
LZslFE03t/RVQDHK7B89pd6ph6xPY9Sx8YWmdeI9okvgIJZEkPQLI2luk+xBY4+M1/1Yay9ubwDU
Xf/1atJKpyO+umHr/aecy7DBA0YNYCOu+PA6mfnHp3Z5yaqMoWBG5FcNMWw7sbbZVth7B+/IOoqG
3N+k9j1GWWMyH4VKopO+Mj0t7KxbejxFxtBcDyZDZxh5wzNS+RiOz6QCWPdML551ZXg+X6Gq9D6n
XgENjPlBLA3xX2/UQXZG7zCcXZGwtm6x0jKrivzGPdshnfmaHPWM5UBG0dr+AGL7LdL6NWSk8Y3L
QHF8InIR6zv1khFuumN1koCTr6JN3aW61dv0hUKFgwBfzUN+9NF2dCfR2cgFDeHxBhRbVySxWCAW
yIEYDpJKvw659IU6BavWsKntpyVac7vGgxe+DpIPJreOi0Xru5SE1szss4LN1g2WtVuf7Hhkr8i4
q1UESyC5pJM2G0Y5EhHMnbBcc42o4fbaTBMdzzgajeii8PVt8wer6W+wXOv1m6DCmoXz1vLJb8c+
pvpb31LXo+O/zEJggftvZQNnism7y45yJ+nQwhHuo+F4GSXoGYpsb9J9M3M0x/VdSAAzWnwYhZjl
dZWqo5FtpnI282DnyisAKEWp6Hrx+bn4L2JKR+gNvz3mJQPqkRGBZgPfrinDIB5XBgDQ9o/xoYdL
kszQNqj/p66c+QjKPK+CLct8jMOYP9lUqQfFn8RIPHrAzd9ctFuUww0OldZfB1fy9x2SAM5otrWS
0QNUec87oMO9DKN3IzOm6bVksCPHR77lLFWdYM9XQCb/7KdwJFDgXhA+q3zPibWjAoGPyJEOpK4T
T4CNUog7S0Ni0da4son9j1NGtYYUoICWVSI+LZu4pdUkVRwSG7v2gwkH00tDCFFtvUNBK7NUNtTG
AOZHxPk7TZkIJXHFJi5rL2BV9YqZFE8oTmy7S3aCDGVMrpdqZZp1NLgSzMP6laGqGkOQczlmPvt0
+u6TeiA6xUBFc3yE/gZk3aeIqWvDB16Q2zx9da/HDZKCZLYMkUpmeRKB+hK300+bQf1BLELFlljM
NemZoEKy47m6o27oeT/sBxNZVk5qWNnRfeq1yO1NGLbZ2dfDf2ZMsCu2r8OFF4ubLgk3DmadUfo3
qAewp1+gZ6znWWekoA+WVKdAHyGRsfhaCqffqNW6iJyzRQU5dVraGEepLbdd4icQHVVd82IsL/5U
tRvnIVD77MQ0o+iSbDr4Ts/40DAIhj8dTXfYqv/ynR+yVL9RUhXse2JH5pHVOqrhhDhFnPh3Idj5
1e+JQQ2dcufavAEQuk9ENc7U4TZo6DNDWj0S98iVSCqkO50al+mKtlaCnT2J7celiXj4YaIhTFDE
qWeaF8dXjr9pyHuJDg4/yBteyr1KTOW3iJ22THnSfCPF8q29XpFC4NyFwx9m3Q5yHn+TjU95vxgJ
d7xj3e9qb1pJEHe62PLrkmVg2GX5h46Lyd9VIfpfbiUStDEQBRgq331PDlFKq1qXRvJlGpsSNk2Q
rvW0R11mt9uAfQIa64r3HSqhWWlF7KdMtTdCWkqJ8C5EpW2WaeLXIP2fT1CFbAV63zR5jslpIiau
QGGb41RKMcf3CGLLXrI8lc4rJXK5u8lftLqlE+TM6Nv3lNl729aKy202MlN6tuWnOTdadswoCLUE
fh+Bhs6kCTsGk89fQnYxPP4l/BefSYX05plRnooe22P//5BxenGfAA96By6i4bAiJ6XGgZYYD8IB
xUs+cuWy5gJrFxrLJcj6VZRsD3ZDbaEtwoh4NhJdA0Sk+UhEUcomNMjdhsM46zjAWSmQLJKr7zGH
7Mc3uNTlSc0MCkTH4MKrcg0DKiWaxtGfAk5umAZMjOeoxkMKKXLBzTEyAm4KZopGI9Yor0Qbe0Bl
1FRWqRY9UNvhOVOYqhw86Ev11s0reoaeAC29XGvEyJAMtk3qFWOAMabSWXDavNm81qrgu7UhlM1L
s8ohSsGDJkO0lJge845pHBhgCVWzOiVP+mXabRXqW5ShlbR4yzMeB/8+jcT8XTo8vp6SBwgzCGdL
vVNudPNzrGmxaufrb89AVC+VVs1bl3Qww8LJuJC6xXG0DlkksRA8wh/NPAjxb6YxCv7KpeySA5rS
oACQdRdUjS/RN7OhzwH6UQmNi6yrvbpodq2AgS6mEaVxQUmLkXILtIXcR30LeeOEU844gazUI+Kt
7q4+cETyBYkc8lNU62aOy/tQSrmTldDMrETnlUZvmc0nqGT+VgOMGrH8dwu+0ojE2qYDOI3PY5ZA
Qyb+91aeL0E1Sz9rkC4PpqKzJkIKtyhTFabI76VT+AoQNcnn9udCXiaE64ZXs4YA6ZmEOD47lVyg
L9RB8WfFuoOvOuplryiiwVo+rdXmAn/A+wciiRd9WI7FLWHm/64adP9ktGk/CXEChThgcrr1bPP9
UF84aiB/qxlInjTDrXhrMYhn5l/Xb/Gz38DKc3tneS2APFTTJWnS5iqLM65ymv7hEG66+/VH9ANj
+CdshcDAprA0JGGYuJxK1cg7unFbMiMSb9pAFjMq2ibrT0W2y1be6gmcZuJ8VUaliIsYLs4E8lfX
SiHiPVR79qOa58Dpl1vYb1idvDXMN/qn4pXFvnl0wYcNHzKyICMv0TqU8qyqtljbkPZY21jR90xq
fLyoANwbVQwsIqVAI73/q5W778mk0LGzepgLRtY43SVNqu9stHr2pmKS1/Xk9kVUbQuG9CQlchTE
23qAnFJvsIza+P+5KBGJFajgXDAuyonAwuJMmGAFFuquIXNuDFRclrs+Fk4oirabqb2DwHe3kGKG
utpOIj5ydg2IR+L16Z7PaY7/qbTUXwACMS69SlX3II4L+Z3xLSfGv/2EnwYg7H6ealA9mjaWfRiP
lA6QvPwWS2n1BdfGfww+0cAj2Q/T4WMx6y5epFCUnye956cfu467E/m6qjKhLvccghDKenhWh6rt
+WEgmmYDl9ssfAtWD+8aeoesFEa5Gqw2M5zpic+geOm1xxg+KuN5YmR+VerpC92Lm26RguBjhNjK
7JljI/JKnTKnWEz4sR5nnbbqo0X/0QhUAJMzibjpzf/7n8ZbYM0E7HoHz3o2QK5yzIFx7Iuet0zC
Q58kBdx4QAo1kc2JsAx6q4GLXhKUuWiLw+GvbZjV1iGREccCNhiOHdz5qmJjze5ZUHyVO2qHP8kW
h2MaCLXMt2Vw7OSNm0UYz6vTvUNxO6wfKqRVufWDnLgLvfI6XcUmcnbZUdf0YEiH0lQ8rVN05gZb
DMLqtkRThX2JM1mXwPQkSo1eBt/FRMkV0V1G1bo2AtyKUPph8bOd5DkQ7tSENlxMCyCBf4RrUv4K
eBPIz6ZMmxulFDvQ+R8NWWaRdWtx3loplYgWtqfEUEhOVSO20GujSljJiFagOJzMRshUv1VDGlQZ
rCTs1ouE4tMUcE1F4FvX3E2wEyE7NlzNjUXAEgNhCqPBV7Dxncf/w1mNC9rL87UAMhKhELd23QBd
XDgG8XmjYvJEvIOKQdbYktYNN10ghQ1ZBjKklsnrSpm/oHhvHaNhyghTyj4W0NSKdfwnYpgh0uy2
o8/HRlmF4y6ihAeoaWokol/B6tapdAWqG7e5YqmCDaa94DOv/+Zm27v/1tKr26samaZEJZn/vcnU
bkRvmJAaSGQL3BlJ5t62I8gmNgKT59BE8/+pU6a/ea3XS7dxGqYj3OljxAEL4IsSDOJLAVE58CIx
vXnRLRzxewJqA2umi+TH4AvM+C8JN386fAeu41iGhq8wBxnqfwDMyEohN7bYcJJ6WKhjk1KDehKC
SXbJzWM9OdPHRUQZ5JZyO0fE3x4BT0/IcVRwl+B/DgriX6LDCk/HjAGZIPUXRxh4Dg9/4yyjq0KV
0FZ1N2gM34qgKPYJEYnUulD4Wlw6erh/lQOkt9P9DlgI7HjDgc0Sj9NF+d8hlrOx9ha80Auip67L
XEKQofERFadfjF2e3KL11clZhqSoF4Fu8y4NK0L2oyI9AYAEQX2STprPUgmzQnYixm+20kPwXAr9
5Gf03ONPd3tvAhNWNjbOyAumXcWM6vvxw539hdTUUk28D9S+usABFigCdoaQB/5B963fWI2PAwlm
pxW6r9GngL2Jbh0oIw9+uhTVvgN2jwL+G8h03Jn3NAgw/0znn3SJYGoLYMIHDSkekgSR1ktlh6ZB
3R/RgUScMpa7ts+ABDShdfg6ivebB6TI1ClA+Y66D/n4FTONBd+WVZkDFMlL4PhjMKf9ECngkopu
vA0uRFBxv+x8zE+UaWnsS1jEGKCcxZoJlZrCgIzrroMwzQHbEbOyTrFwdCZ6Hx+JG3WZv9kCZbnv
odWJPboC/dSdNpoR25QnPWwp6T6ABMkgc0HqdSM71dVnnNR4RV/bRvOBPUVgntYse9nYVF850Dit
zOkF90D2fMxYXEjB2xFS9hF/0vNPgkiSovVZRDudYbpFYu4Ivle95s9mfA+5UDStHOnegy084RGs
hAcr0O5oUZB6dmL67Y6z2oVUgNnm5rG+DxHhXkAMI2Jtw1EXbUqKI0jnwJLinNg7x1M22GVeooIU
QgeGzQAHexy1D71iezsasvN7/rXTIvKbhSBMV56osj2LepUX2OAXSe7DKKr3PSN6tKo3hQ2+6flU
Jo9dgBOhGZAYom8xCpQZOGXdi7hDlyUjyrhaEoQeyVSQBRRwQhmxY0HG4o+Qa7kbV3ojF2r3PT5F
cOkIXTLNleUZAHfTwzXumoHmJI4bfoDZl2a5ZDzWVgMGwgwZF3wON/1MNnRUXjF7FLSrXYpZ2dNu
Xs45QtvZaMIK2Jc8N9yxgrmt6W0PAGaaedlZo1aEMcEvWCs1zgi5Bqr8ZgMPWZg+sv/PAoHc4f+D
Jh4vIRT/wOwyuMXW8IjfIoSmXJxnT1MbgKqYiMzwEKCG4nAMOMG7o1ikLDa92srVIn5BlFCa8eD6
qMRpZrTsxs3tNaiG9NHSfoPNv9Q7rm//eMLAagtHm6HkaRu26rIiO/GjNGFQP1CQf4tUfDQzo2es
soUgFf5ONDlm5BYAsNSH8sQ0/X8HpUwTroos+ya/xWp4KKiNeoTX8jZxtSbfJAMR18d2yfY5YXKx
RzqqendW11OcT0JQCPOayDSzdtjMsUoMJENQS/M1Csk8ACRcZb0nyxwa1ll0pOMZBcYeVfn9eFLF
U6Lf/p2Wn4Rir7JtoghTREfpblDLhFq1XDR/8J+YfHuoCOkBkqZU8Y+THNWJ8oshR/N0xZuHEIRs
qIrvuJIYygG/oJHRo8ZtD1g+UNWgXIrd8gzB2HdsUrDHYA9T8QOc+tn7Q+hZXFBzXTPDjYloLuPj
DqMrioLIu4nJBOodz8IERtZSRm+Gc576O+6erS3gbTeOS11qVpx6kshCgagQy299AyqVE6RqhCje
5zkxblDdOEZZ9XHRvhZ2zA7KnB0kiTLl73IFGL5WmoXHtTD3sIAYcGOgfDWSbuKJ4+ncqgNc2DQe
5PfrpcUQDdOpP2hjU/eyrfRPEq/rpZrrSx6IzckMVL5BQ/2ed1tEvx/7iVSoDZsWISm190m56vVg
LQDrpE9RyyfplCOBIihBOaQVUE7Edmq+LKm+xc5m9syVsysy1CpJke8uXFl47g6lHqiFqGm3Rfu4
0G09lyPohkIdghk+Tr6BDR+s3FWqF8TytH+7EoPaBF35Y50up5Vap6sCfZQLqdxnYPsu7BbNUeqc
0xfU21FJBKWGZrU8Lq+t5YuaO8EyiD9ebjU6aZrBLYJMtTovv8VZO9PkUQofRfdhW8f1bCWezmII
AlYi9dMIYTNEUF7SAUHRjQHnXcaDdHGRM36VFPa1a8in/xsGO7gk3rw4gdecsTeUTRaS1Ulq3gR6
d4V5a3n1RzCEBmAZ8H107Mqf8NnbGcHcT/27+8YYR/moQ1qRYSFdbqcAxVfv29dRahEQlM9Lxs/8
j5puDaeOhTE00m5yucdBDvIxosRcr0UfuiiWs0lXJ3NSEz7lYMo/WDCVBGAwG68vurUiercxt6dY
2sxpRwWmol0zKx73DPMsQ3qM9eKXmRv8l5lMs5higJvbs8Dt0uwV5I0Dzg/ueYHBpV/1rugo0P5P
mAerK9bJMToeLHnZJK+LhNkIrK9+z3wV9V9M+MQPn+QUdJI1mpBb3eYql8nU3e8QuoPq8nkYejhA
tWzVLw8+j0Vda3gFs1DsfJds/cbYERMWFBn71lwDQU42Knc4EkCP3XXM0Z4dllMkAzdSpbgWeT5Z
wpDfpcw14oHa8lU5zAvrZvI4EL1JdQcmKL3VC+VfENr42ZdO9J2sOclq2dNMitw/9/PG9sKaif6l
mXxSGH9h9J7VIYKgdn1ejy3EdPrJGnmfyKG5Ql2ypkRdFumJfGGYSdGbH6QPnCjcJjoaCnxz4gzI
u8+O/9gGBhKde2gI3QLwwUqBlr4tgGUJdq7j6lJw+/Y4lDaWhzNouL7Vv0JJ/I43mugI5QCJ8CTT
zKQRyyXHoKxG35n2gjXO2sDLTNhaMiR3cS2L0QBr4j6V7Wtjws42sCQpDykbKIEx4D43esYHpdt9
u/KTWWEmlSDhV+myINKD4aAwY1mS6KELE9XbdzSR9Wa6kZAOrybePSZGnf+UU9zCY8eOUvfc68b3
hapetyP197ppe52pSjeHlXuVNDagoGgEGg7bF+/ICdA+O0NTV4KY0V3Lf/3J2Kkh/CYxXTZLG6+y
9inotahnIsnaHH7Q31l9kUl/8/jQvsK7BREFCSzT4hsaVzDO29cwDmfQFELvUEEifZ6QIC6RaWon
gdeIcYbTC87EEv9VRc1knCKMWb+U3uW9Kv62nF4DVP/vtHLY4+F9YuTUYs/2ymgmq8C7XQVaOzck
2vM3oqjQaIAAc/ty7f0jQJUJCvXXuOhiJRZKXTj7kZhciCS09o5BtyM+i+An1+D1/9ua6KdOw6Gj
kqAf47wjay4ZB8iERZtOpIpaB4hP0AB1oROaRsuVk2Civd2um1BGoSnjNSWbg/KTK6JFkKlc74uT
NAp2OBUeKxugVHaX5VBn552tfM1dvPow9rdgVUygNurD1d4wmviJN9T1EPlRgzP8YW7zrIuF5X9A
rdsK5KOSOKzpug9HP0vqrWRwynuEP3uyAFTtEAlcFY8ZxkEd1NqPThWQHWUi7MELBTaiiouTzyA0
jKC2Znznj/PQnG7RvLmo+ngBsxbhOONLFZeux9/9bc9JdomUpejxTO2ORYAAjhQYXPUF2uY6bMLX
90lOBf7uh9Ei918+7Z5PhQQ96f49q+BeCFKoFNcch273tnTbAFCYLm5Clrve5RgblgdpmVZm56gb
CX9Wk9xhkLzHKVkii/QkWdwiNd0RUDwMLnZqrvygBHiYLo5cF5CTVadu9WTtybLwbqfbNL5CZgTb
xbaGgId/wUmgHss1Ks++anxnXAkSSQ0nIVw+PRrU3RSgK947+2MNhFmFY9dDATpFziUvQz73e9t6
GiMTz1FAGdgIt3sPamv6uVa6XfrlRmJDt6OmViqC+HheBuE+S7+R+rZcxHBhg8YECYhcxWDyJ3K+
dvCoP6Rut0MwgHkqOBq3VftBDfoYLfZWjy+Ww9NpiJYSAcdr9sNWlU/4uAPTXKqeFRl36elomYAK
agz/UN7+Y5IB3LyRyzOhZiu+2lOqI3aUKcfsCioums7dvcDBcVDlk3wJhwkTjFL64emOb6PR0scG
SuOdsevusry8B5w8oTW65JNfPFptcWLe7d6pZRtFL05xUTCsT5Hkl48CCSmE7hGlYiiiYyq2ZRAg
u8Dzg2HIxmaRNZehKylK6soOxvr+hhGtaQH+RtrEOmWl/gSnV5r7b+j1CcfZhSEG1XvPVT3y5kgD
lfI70yKFQHoX8V4UoA85T7xqF/jWa84KlxNf4zMacMOLBWolxtv9pCQqHPz1ODIoCnbrNJkfZKwP
WzVrK2c1TzIZnuXts1Rhb4rgUryTkHA0eu5HrePg8D6nbfrdfGW5eVJQ6KSxA8/Mgi2Z/UbPpXRI
OezjyCfM6Q+gE/jsMupNuGOWLBbsyocT74sAfeBsk/UEMUPOTfFvz+4pwyQDl0v3koCm8R70Vafq
u346QSd06Z0y4bvJa5ZBGoQSIJHJWXfzpm/HaP8crGYu1Q2osiRnj65KCxgwCqVQRd3LHCTGvCfa
2ikPU+FxCNyZoeVsT9n/Ud2bjmQCH1wHe27zHoFWsqgn9DMFGQPbSUrxd4bu3YAVZvWB53lxF0Yg
Y+hX7/2+HgR7X93o9GX47wfGJ8QQOCbo4PLn7QFAN2NWp6YCGDegYXKm2UyjU2iU6VxUvgvPZK96
/VfHEPvMZEEXUNF5qSjJDUfsZ8iaUuLpxKwueEpuvUysjd7H2oVmxppkciaDskfeh19pmYLuapT5
AP4ndUo8mWh4uahPYd6QuEF/FcYiX+b2ZQ6KASGpPnaTsbytvoqkREhyErXDYJsoFOo2LdBtjMd7
k0I+oDCtKrdMGOFyUkk28wh6FdplTHV6lVBl6cZbCk3WifrWBJFwRMl935C+4xuU2Q/NvaQu5psU
dizr/+U3CWcJFuvmChDfohg36xBaC0yh90CPOaTCFPaCdi7VmfEJCyFIrmrdr7Ir724tnJvhBnxo
MgL4UddUWcAdnBb7RejUs7x4cDgop5pCTPzGlZI6L9KBH8bgoVqJY5E3Qmz9kkIQU/+TaAQh//GG
WOMiXCOi+Klbq2mhQnc6Sf3uH0VNW0ifcfgUauBVQ2L6v7e8RHlEX8JK4gJFukCnsIaVoFTlYKFb
mNpkeo64X7+09cF4Ni6ngR9B5zbv2sW90DaxQEaxNVwUL85eBEhds5xKmLY3wpijH+yLv5BlAcib
SF8oeihCIzsL14KBeg4jKfeLYVPP/k4tx2q6OVJ03f79S7ZH7nXxtX4k15XFJTiwGymLaWxVf4LI
Krx0wT+WaWT37Ya2LXnG5H88X5ksD/c/f+pndmC1HfT0vLV1lhNVtyoWSNpf/oMFgqyY7Wom9zZj
d3P9FXf1LryotKlC5OBMvFpg+UjzerVHrSGDdgu5NoMwUM9yR4DzEK47Q4+TGTBM2JGTABwRFf3B
Fsw+hQ0loTljifkeBX3dA0mLt2dISExLQeSI04fBbL0Ap0D24bB5u2aeGrBYch2VZZ3/xHFXY1Jy
6ZI91r8EPRgNqntVTJVhdsTSOGP4CNi1DwBLljPBqLz9CLjIP5D+bfoJ/sX0shf0DyvL9wCH69n/
D8iXrjnFdPJMXi5KtZhAhw+e06p4PTwtvlQdOiogX8jVnRZ8qJVxSFx9q+pdGrzURGRIBG8uFlG4
Fziw/tWduhfCVsFp/kji82zV9+QZfKiY99sQ4zJP4mlyh/t7F1MDXqSVXGzR5hfc6PZt5AklhDSP
oDr+EmXYMcCAGI6spYvXNcQVRnWl7PpmK4eILXakig8KmwecswplX6PeZ1esPzju3/vR5rx7o1/r
SdlUGdHSInlwG7u/RhZAISMXGdu+Hou6DRXA88AHUArW18AkKdZY85yXSNHIWLjKYZuoFYiWDTMv
iUsQ2eBZl26QYmy+Uef7tn4vEUxffz8eXYr5+ShY0unT0h80UGma2jq+J4oZ6Rvkv/Dfn8HT13UU
S0pyxpYU+F1M2nVs0MP9JTy+P8KMMx9zbmvxylSSnmjeBEqgxIkwzHlzR+qaMudI9WtNGHzRflEv
45TzRJpX5evenupI4Uayko5zICDkQFmUeWHTFmHs9NlI8s+cOxNA9pymEMJk95rAJGeE7io+TfN3
AYLD+Ff7pDFxDK3vIyGCnOWmQlz1qTqkzqGpxONrPHQpn5Z9yEw855ZrDnOUf+o9
+okWj4cP5Q==
`protect end_protected
| gpl-3.0 |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/eth_dma.vhd | 12 | 6966 | ---------------------------------------------------------------------
-- TITLE: Ethernet DMA
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 12/27/07
-- FILENAME: eth_dma.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Ethernet DMA (Direct Memory Access) controller.
-- Reads four bits and writes four bits from/to the Ethernet PHY each
-- 2.5 MHz clock cycle. Received data is DMAed starting at 0x13ff0000
-- transmit data is read from 0x13fd0000.
-- To send a packet write bytes/4 to Ethernet send register.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.mlite_pack.all;
entity eth_dma is port(
clk : in std_logic; --25 MHz
reset : in std_logic;
enable_eth : in std_logic; --enable receive DMA
select_eth : in std_logic;
rec_isr : out std_logic; --data received
send_isr : out std_logic; --transmit done
address : out std_logic_vector(31 downto 2); --to DDR
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
pause_in : in std_logic;
mem_address : in std_logic_vector(31 downto 2); --from CPU
mem_byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
pause_out : out std_logic;
E_RX_CLK : in std_logic; --2.5 MHz receive
E_RX_DV : in std_logic; --data valid
E_RXD : in std_logic_vector(3 downto 0); --receive nibble
E_TX_CLK : in std_logic; --2.5 MHz transmit
E_TX_EN : out std_logic; --transmit enable
E_TXD : out std_logic_vector(3 downto 0)); --transmit nibble
end; --entity eth_dma
architecture logic of eth_dma is
signal rec_clk : std_logic_vector(1 downto 0); --receive
signal rec_store : std_logic_vector(31 downto 0); --to DDR
signal rec_data : std_logic_vector(27 downto 0);
signal rec_cnt : std_logic_vector(2 downto 0); --nibbles
signal rec_words : std_logic_vector(13 downto 0);
signal rec_dma : std_logic_vector(1 downto 0); --active & request
signal rec_done : std_logic;
signal send_clk : std_logic_vector(1 downto 0); --transmit
signal send_read : std_logic_vector(31 downto 0); --from DDR
signal send_data : std_logic_vector(31 downto 0);
signal send_cnt : std_logic_vector(2 downto 0); --nibbles
signal send_words : std_logic_vector(8 downto 0);
signal send_level : std_logic_vector(8 downto 0);
signal send_dma : std_logic_vector(1 downto 0); --active & request
signal send_enable: std_logic;
begin --architecture
dma_proc: process(clk, reset, enable_eth, select_eth,
data_read, pause_in, mem_address, mem_byte_we, data_w,
E_RX_CLK, E_RX_DV, E_RXD, E_TX_CLK,
rec_clk, rec_store, rec_data,
rec_cnt, rec_words, rec_dma, rec_done,
send_clk, send_read, send_data, send_cnt, send_words,
send_level, send_dma, send_enable)
begin
if reset = '1' then
rec_clk <= "00";
rec_cnt <= "000";
rec_words <= ZERO(13 downto 0);
rec_dma <= "00";
rec_done <= '0';
send_clk <= "00";
send_cnt <= "000";
send_words <= ZERO(8 downto 0);
send_level <= ZERO(8 downto 0);
send_dma <= "00";
send_enable <= '0';
elsif rising_edge(clk) then
--Receive nibble on low->high E_RX_CLK. Send to DDR every 32 bits.
rec_clk <= rec_clk(0) & E_RX_CLK;
if rec_clk = "01" and enable_eth = '1' then
if E_RX_DV = '1' or rec_cnt /= "000" then
if rec_cnt = "111" then
rec_store <= rec_data & E_RXD;
rec_dma(0) <= '1'; --request DMA
end if;
rec_data <= rec_data(23 downto 0) & E_RXD;
rec_cnt <= rec_cnt + 1;
end if;
end if;
--Set transmit count or clear receive interrupt
if select_eth = '1' then
if mem_byte_we /= "0000" then
send_cnt <= "000";
send_words <= ZERO(8 downto 0);
send_level <= data_w(8 downto 0);
send_dma(0) <= '1';
else
rec_done <= '0';
end if;
end if;
--Transmit nibble on low->high E_TX_CLK. Get 32 bits from DDR.
send_clk <= send_clk(0) & E_TX_CLK;
if send_clk = "01" then
if send_cnt = "111" then
if send_words /= send_level then
send_data <= send_read;
send_dma(0) <= '1';
send_enable <= '1';
else
send_enable <= '0';
end if;
else
send_data(31 downto 4) <= send_data(27 downto 0);
end if;
send_cnt <= send_cnt + 1;
end if;
--Pick which type of DMA operation: bit0 = request; bit1 = active
if pause_in = '0' then
if rec_dma(1) = '1' then
rec_dma <= "00"; --DMA done
rec_words <= rec_words + 1;
if E_RX_DV = '0' then
rec_done <= '1';
end if;
elsif send_dma(1) = '1' then
send_dma <= "00";
send_words <= send_words + 1;
send_read <= data_read;
elsif rec_dma(0) = '1' then
rec_dma(1) <= '1'; --start DMA
elsif send_dma(0) = '1' then
send_dma(1) <= '1'; --start DMA
end if;
end if;
end if; --rising_edge(clk)
E_TXD <= send_data(31 downto 28);
E_TX_EN <= send_enable;
rec_isr <= rec_done;
if send_words = send_level then
send_isr <= '1';
else
send_isr <= '0';
end if;
if rec_dma(1) = '1' then
address <= "0001001111111111" & rec_words; --0x13ff0000
byte_we <= "1111";
data_write <= rec_store;
pause_out <= '1'; --to CPU
elsif send_dma(1) = '1' then
address <= "000100111111111000000" & send_words; --0x13fe0000
byte_we <= "0000";
data_write <= data_w;
pause_out <= '1';
else
address <= mem_address; --Send request from CPU to DDR
byte_we <= mem_byte_we;
data_write <= data_w;
pause_out <= '0';
end if;
end process;
end; --architecture logic
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/blk_mem_gen_v8_3_1/hdl/blk_mem_gen_v8_3.vhd | 17 | 21293 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ts1aaTFFqlF3SHbcTOIUkICb0FqzoHMEDTTlxHCz5lxaJ9sTwB3txIf/bv9V1xK0DKORXVGVuDdS
5D29qP+L8g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hoDA2+YFnjZJuWexGbYCU0t2XaxaLGIxr4Dqlz0a+AhKM/9E1Eywzt4nkyAJO+4BrECDpnJu+KiZ
PXdY3CS1gFWK4V3vDQ9o+2wRjSRly+TeRj5uBcy/LEjJT4QLxf5vWTvhyvlNZCrx33EoaZgVLa2k
uBwglz+yN5hJ/JhHoJE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PQDCgnfD82DVkfktqOuVRnZxEsjkz1MPDHP/0DD39fy73aAjvxN3Y1yDP/U+Ifeh8KMg3FFobN4K
K2npDaXLr9F9n+4HOfIApEAHHWvz1Vwg0LXcPUM1MSitXm0kzG2TLT1yNvw1MPdy48R2sp4zNwyJ
LYJ7p5mrjNly6T71qzPKScAWiNI5DdxBvQ1nu2N+lWAOSuTcbX7oC0nDmyPTLkKyyBhHUN1KJA0G
cTptgDMTglCj6MBlhmAoY34JNPL5ItwlIdmXZ68yYoOALGiajTXkygcbZE+tV1IDH6KgY1Lh3VAo
e4VclRCh6jloFn7/yDmYQCS7MlnXdR7LmiBjjw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HzWLMW11KlJy+MHdShc0Ta/2coWc2sOM/8yXQp43xoIgOZ/MYdE4WKboL5SLftmTVXjpUI2cmJV2
mTO4OGt8BUY8l24UJXJLOEgGg//9JUWIabOk8nfUXJ6Max3LOtLs2puzRmPExky+Rh1vVCM2lwjl
UYZadAAHpp7aLfgqKgs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FWUtUI1QrG2r8JF97vfNd2Gu1dN+mkUZi2vcpenL5i8Z3u/ams39y3WCoRjWSzBms5D1iRXlKtun
5msdx7PbPOH+4cujgYTK0y2imRhsLk1A5GHJwmTH/IJrr3Q8gthkBlxZbmu+INGNCCwctl4plWcn
gKX3AZBb2pcjcRTN3dSwJwUt+ypLpGW2QXdMGqs3n3J2p6wEfM2Gen7FWDhbfjRd0dDWAbTbDOj7
4MVvAtefjk977s5GlpzNYA5j918OqABaXhj/R7cHMWPqAhzB8YZF84h8CRngtuALGgx8d1jOMz/Y
ImS8bA9J8z84RipgkbjjRuFBjmRVQ8nw+X9xsQ==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vbQCv+YfezJ1JHYaPvHIR4r9HwseBUq5d/mA2h6/JfMsV7Bodthr2L9oDO4meBTHqkX23ZNL+WXo
CzTXc/jo1hUZlr9R2MclmV8GT0Wzvlo2pIdUQxFfYjxk+LVnmKKpL8S1TctlOdtS9EM6YBQMd38o
SG8bubMXWJ7268Mzz1p1CGHOZqKiDpNAONkc56yYc8mSrkiua3CvhMzE6yX22iPSph2TFdIXoyha
hqdHqfCxZHYvGMSjTFgSdEMWF8jG3VlE0vi9eSVXoRLoWQt8Kmefa8j2/WowlWYTktgQkKFkGVW2
8Xb9YdWn13AwZDaXBCJ/QNs+zVoBrC00agwTVA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13632)
`protect data_block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`protect end_protected
| gpl-3.0 |
HectorGPala/DAS | Practica6/pong.vhd | 1 | 12274 | --Practica6 de Diseño Automatico de Sistemas
--Pong El primer Videojuego.
--Fichero Principal.
--Desarrollada por Héctor Gutiérrez Palancarejo.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity pong is
port(
rst: in std_logic;
clk: in std_logic;
ps2_clk : in std_logic;
ps2_data : in std_logic;
hsync: out std_logic;
vsync: out std_logic;
disp1 : out std_logic_vector (6 downto 0);--puntos jugador1
disp2 : out std_logic_vector (6 downto 0);--puntos jugador2
sound : out std_logic;
rgb: out std_logic_vector(8 downto 0)
);
end pong;
architecture rtl of pong is
--señales vga:
signal pixelcntout : std_logic_vector (10 downto 0);
signal linecntout : std_logic_vector (9 downto 0);
signal blanking, valor : std_logic;
signal hsync_int,vsync_int,valor_int : std_logic;
signal line : std_logic_vector (7 downto 0);
signal pixel : std_logic_vector (7 downto 0);
signal color : std_logic_vector(8 downto 0);
--registros:
signal raqueta1,raqueta2 : std_logic_vector (6 downto 0);--posicion raquetas
signal pelotax : std_logic_vector (7 downto 0);--posicion pelota eje_x
signal pelotay : std_logic_vector (6 downto 0);--posicion pelota eje_y
--señales de control:
signal addr1,addr2,addx,addy : std_logic;--suma/resta en los registros de raqueta1,raqueta2 y pelota
signal mvr1,mvr2 : std_logic;--movimiento de raquetas y pelota
signal choque,choque_pared,choque_raqueta : std_logic;--señales de deteccion de choque
signal fin1,fin2,fin : std_logic;--señales fin de juego
signal flag : std_logic_vector (4 downto 0);--indica que tecla esta pulsada
--señales PS2:
signal ps2_reg : std_logic_vector (7 downto 0);
signal new_data_s,ack :std_logic;
type states_ps2 is(wait_press,state_f0,wait_depress);
signal current_state_ps2,next_state_ps2 : states_ps2;
signal state_ps2 : std_logic;
--deteccion de eventos:
signal flag_rise : std_logic;
signal fin1_rise,fin2_rise : std_logic;
signal start : std_logic;
--estados de la pelota
type states_ball is (izq_arriba,izq_abajo,der_abajo,der_arriba);
signal current_state,next_state : states_ball;
--marcadores:
signal puntos1,puntos2 : std_logic_vector (3 downto 0);
--timer:
signal timer : std_logic_vector (19 downto 0);
signal fin_timer,fin_juego : std_logic;
--sonido choque:
signal choque_nota : std_logic_vector (17 downto 0);
signal sound_s : std_logic;
--instancias:
component edgedetector is
Port(
rst : in STD_LOGIC;
x : in STD_LOGIC;
clk : in STD_LOGIC;
x_falling_edge : out STD_LOGIC;
x_rising_edge : out STD_LOGIC
);
end component;
component ps2_interface is
port(
clk : in std_logic;
rst : in std_logic;
ps2_clk : in std_logic;
ps2_data : in std_logic;
new_data_ack : in std_logic;
data : out std_logic_vector(7 downto 0);
new_data : out std_logic
);
end component;
component switch2display7seg is
port(
a : in std_logic_vector(3 downto 0);
b : out std_logic_vector(6 downto 0)
);
end component;
component gen_onda is
port(
clk : in std_logic;
rst : in std_logic;
note_in : in std_logic_vector(17 downto 0);
clear : in std_logic;
onda_out : out std_logic
);
end component;
begin
choque_nota <= "010111010101001101";--do en 50MHz
u_gen_onda : gen_onda port map(clk=>clk,rst=>rst,note_in=>choque_nota,clear=>'0',onda_out=>sound_s);
u_ps2 : ps2_interface port map(clk=>clk,rst=>rst,ps2_clk=>ps2_clk,ps2_data=>ps2_data,new_data_ack=>ack,data=>ps2_reg,new_data=>new_data_s);
u_display1 : switch2display7seg port map (a=>puntos1,b=>disp1);
u_display2 : switch2display7seg port map (a=>puntos2,b=>disp2);
u_edge_start : edgedetector port map(rst=>rst,x=>flag(0),clk=>clk,x_falling_edge=>open,x_rising_edge=>flag_rise);
u_edge_fin1 : edgedetector port map(rst=>rst,x=>fin1,clk=>clk,x_falling_edge=>open,x_rising_edge=>fin1_rise);
u_edge_fin2 : edgedetector port map(rst=>rst,x=>fin2,clk=>clk,x_falling_edge=>open,x_rising_edge=>fin2_rise);
--process VGA:
pixelcnt : process(rst,clk)
begin
if(rst='0') then
pixelcntout <= (others=>'0');
elsif(rising_edge(clk)) then
if(pixelcntout = 1588) then
pixelcntout <= (others=>'0');
else
pixelcntout <= pixelcntout+1;
end if;
end if;
end process pixelcnt;
linecnt : process(rst,clk)
begin
if (rst='0') then
linecntout <= (others=>'0');
elsif (rising_edge(clk)) then
if (pixelcntout=1588) then
if (linecntout=527) then
linecntout <= (others=>'0');
else
linecntout <= linecntout+1;
end if;
end if;
end if;
end process linecnt;
signals_out : process(clk,rst)
begin
if(rst = '0') then
hsync <= '0';
vsync <= '0';
valor <= '0';
elsif(rising_edge(clk)) then
hsync <= hsync_int;
vsync <= vsync_int;
valor <= valor_int;
end if;
end process;
hsync_int <= '0' when (pixelcntout > 1304) and (pixelcntout <= 1493) else '1';
vsync_int <= '0' when (linecntout > 493) and (linecntout <= 495) else '1';
blanking <= '1' when (pixelcntout > 1223) or (linecntout > 479) else '0';
valor_int <= not blanking;
rgb <= color when valor = '1' else "000000000";
line <= linecntout(9 downto 2);
pixel <= pixelcntout(10 downto 3);
color <= "111000000" when ((line >= raqueta1) and (line <= raqueta1 +16)) and pixel = 8 else
"000000111" when ((line >= raqueta2) and (line<=raqueta2 +16 )) and pixel = 145 else
"111111111" when (line = 8) or (line = 112) or (pixel = 76 and line(3) = '1') else
"111111000" when (pelotax = pixel) and pelotay = line else
"010100010";
--Movimiento raquetas:
p_raqueta1 : process(clk,rst,addr1,mvr1,fin_timer)
begin
if(rst = '0') then
raqueta1 <= "0110000";--52
elsif(rising_edge(clk)) then
if(mvr1 = '1' and fin_timer = '1') then
if(addr1 = '1' and raqueta1 < 96) then
raqueta1 <= raqueta1 + 1;
elsif(addr1 = '0' and raqueta1 > 8) then
raqueta1 <= raqueta1 - 1;
end if;
end if;
end if;
end process;
mvr1 <= '1' when flag(4) = '1' or flag(3) = '1' else '0';
addr1 <= '1' when flag(3) = '1' else '0';
p_raqueta2 : process(clk,rst,addr2,mvr2,fin_timer)
begin
if(rst = '0') then
raqueta2 <= "0110100";--52
elsif(rising_edge(clk)) then
if(mvr2 = '1' and fin_timer = '1') then
if(addr2 = '1' and raqueta2 < 96) then
raqueta2 <= raqueta2 + 1;
elsif(addr2 = '0' and raqueta2 > 8) then
raqueta2 <= raqueta2 - 1;
end if;
end if;
end if;
end process;
mvr2 <= '1' when flag(2) = '1' or flag(1) = '1' else '0';
addr2 <= '1' when flag(1) = '1' else '0';
--Movimiento pelota:
p_pelotax : process(clk,rst,addx,start,fin_timer)
begin
if(rst = '0') then
pelotax <= "01001100";--76
elsif(rising_edge(clk)) then
if(start = '1' and fin_timer = '1') then
if(addx = '1') then
pelotax <= pelotax + 1;
else
pelotax <= pelotax - 1;
end if;
elsif(flag_rise = '1') then
pelotax <= "01001100";--76
end if;
end if;
end process;
p_pelotay : process(clk,rst,addy,start,fin_timer)
begin
if(rst = '0') then
pelotay <= "0111100";--60
elsif(rising_edge(clk)) then
if(start = '1' and fin_timer = '1') then
if(addy = '1') then
pelotay <= pelotay + 1;
else
pelotay <= pelotay - 1;
end if;
elsif(flag_rise = '1') then
pelotay <= "0111100";--60
end if;
end if;
end process;
--Control Teclado PS2:
state_keyboard : process(clk,rst)
begin
if(rst = '0') then
current_state_ps2 <= wait_press;
elsif(rising_edge(clk)) then
current_state_ps2 <= next_state_ps2;
end if;
end process;
gen_state_ps2 : process(current_state_ps2,ps2_reg,new_data_s)
begin
next_state_ps2 <= current_state_ps2;
case current_state_ps2 is
when wait_press =>
if(ps2_reg = x"f0") then
next_state_ps2 <= state_f0;
end if;
when state_f0 =>
if(new_data_s = '1') then
next_state_ps2 <= wait_depress;
end if;
when wait_depress =>
next_state_ps2 <= wait_press;
end case;
end process;
gen_signals_ps2 : process(current_state_ps2)
begin
case current_state_ps2 is
when wait_press =>
state_ps2 <= '0';
when state_f0 =>
state_ps2 <= '1';
when wait_depress =>
state_ps2 <= '1';
end case;
end process;
p_flags : process(clk,rst,ps2_reg)
begin
if(rst = '0') then
flag <= (others=> '0');
elsif(rising_edge(clk)) then
if(new_data_s = '1') then
if (state_ps2 = '0') then
if(ps2_reg = x"15") then
flag(4) <= '1';
elsif(ps2_reg = x"1C") then
flag(3) <= '1';
elsif(ps2_reg = x"4D") then
flag(2) <= '1';
elsif(ps2_reg = x"4B") then
flag(1) <= '1';
elsif(ps2_reg = x"29") then
flag(0) <= '1';
end if;
else
if(ps2_reg = x"15") then
flag(4) <= '0';
elsif(ps2_reg = x"1C") then
flag(3) <= '0';
elsif(ps2_reg = x"4D") then
flag(2) <= '0';
elsif(ps2_reg = x"4B") then
flag(1) <= '0';
elsif(ps2_reg = x"29") then
flag(0) <= '0';
end if;
end if;
ack <= '1';
else
ack <= '0';
end if;
end if;
end process;
--FSM control movimiento pelota:
state_ball : process(clk,rst)
begin
if(rst = '0') then
current_state <= izq_arriba;
elsif(rising_edge(clk)) then
current_state <= next_state;
end if;
end process;
gen_state : process(choque_pared,choque_raqueta,current_state,fin_timer)
begin
next_state <= current_state;
if(choque_raqueta = '1' and fin_timer = '1') then
case current_state is
when izq_arriba =>
next_state <= der_arriba;
when izq_abajo =>
next_state <= der_abajo;
when der_abajo =>
next_state <= izq_abajo;
when der_arriba =>
next_state <= izq_arriba;
end case;
elsif(choque_pared = '1' and fin_timer = '1') then
case current_state is
when izq_arriba =>
next_state <= izq_abajo;
when izq_abajo =>
next_state <= izq_arriba;
when der_abajo =>
next_state <= der_arriba;
when der_arriba =>
next_state <= der_abajo;
end case;
end if;
end process;
gen_signals : process(next_state)
begin
case next_state is
when izq_arriba =>
addx <= '0';
addy <= '0';
when izq_abajo =>
addx <= '0';
addy <= '1';
when der_abajo =>
addx <= '1';
addy <= '1';
when der_arriba =>
addx <= '1';
addy <= '0';
end case;
end process;
--Control arranque/parada/puntos de Juego:
p_fin1 : process(clk,rst,pelotax)
begin
if(rst = '0') then
fin1 <= '0';
elsif(rising_edge(clk)) then
if(pelotax < 8) then
fin1 <= '1';
else
fin1 <= '0';
end if;
end if;
end process;
p_fin2 : process(clk,rst,pelotax)
begin
if(rst = '0') then
fin2 <= '0';
elsif(rising_edge(clk)) then
if(pelotax > 145) then
fin2 <= '1';
else
fin2 <= '0';
end if;
end if;
end process;
p_puntos : process(clk,rst,fin1_rise,fin2_rise)
begin
if(rst = '0') then
puntos1 <= (others=>'0');
puntos2 <= (others=>'0');
elsif(rising_edge(clk)) then
if(fin1_rise = '1') then
puntos2 <= puntos2 + 1;
elsif(fin2_rise = '1') then
puntos1 <= puntos1 + 1;
end if;
end if;
end process;
p_start : process(clk,rst,flag_rise,fin)
begin
if(rst = '0') then
start <= '0';
elsif(rising_edge(clk)) then
if(flag_rise = '1') then
start <= '1';
elsif(fin = '1') then
start <= '0';
end if;
end if;
end process;
fin <= fin1_rise or fin2_rise or fin_juego;
fin_juego <= '1' when puntos1 = "1010" or puntos2 = "1010" else '0';
--temporizacion 50px/s
p_timer : process(clk,rst)
begin
if(rst = '0') then
timer <= (others=>'0');
fin_timer <= '0';
elsif(rising_edge(clk)) then
if(timer = "11110100001000111111") then
fin_timer <= '1';
timer <= (others=>'0');
else
timer <= timer + 1;
fin_timer <= '0';
end if;
end if;
end process;
--Condiciones de choque:
choque <= choque_pared or choque_raqueta;
choque_pared <= '1' when pelotay = 8 or pelotay = 112 else '0';
choque_raqueta <= '1' when (pelotax = 8 and (pelotay >= raqueta1 and pelotay < raqueta1+16)) or (pelotax = 145 and (pelotay >= raqueta2 and pelotay < raqueta2+16)) else '0';
--Activacion sonido:
sound <= choque and sound_s;
end rtl; | gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_vdma_cmdsts_if.vhd | 2 | 27844 | -------------------------------------------------------------------------------
-- axi_vdma_cmdsts_if
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_cmdsts_if.vhd
-- Description: This entity is the descriptor fetch command and status inteface
-- for the Scatter Gather Engine AXI DataMover.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_cmdsts_if is
generic (
C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_DM_STATUS_WIDTH : integer := 8;
-- CR608521
-- DataMover status width - is based on mode of operation
C_INCLUDE_MM2S : integer range 0 to 1 := 1;
C_INCLUDE_S2MM : integer range 0 to 1 := 1;
--C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 --
--C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 --
C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1;
-- Setting this make core backward compatible to 2012.4 version in terms of ports and registers
C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1;
-- Enable debug information bit 0
C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1;
-- Enable debug information bit 1
C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1;
-- Enable debug information bit 2
C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1;
-- Enable debug information bit 3
C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1;
-- Enable debug information bit 4
C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1;
-- Enable debug information bit 5
C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1;
-- Enable debug information bit 6
C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1;
-- Enable debug information bit 7
C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1;
-- Enable debug information bit 8
C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1;
-- Enable debug information bit 9
C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1;
-- Enable debug information bit 10
C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1;
-- Enable debug information bit 11
C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1;
-- Enable debug information bit 12
C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1;
-- Enable debug information bit 13
C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1;
-- Enable debug information bit 14
C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1;
-- Enable debug information bit 15
C_ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 -- CR591965
-- Specifies VDMA Flush on Frame sync enabled
-- 0 = Disabled
-- 1 = Enabled
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
--
-- Command write interface from mm2s sm --
cmnd_wr : in std_logic ; --
cmnd_data : in std_logic_vector --
((C_M_AXI_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
cmnd_pending : out std_logic ; --
sts_received : out std_logic ; --
halt : in std_logic ; -- CR613214
stop : in std_logic ; --
crnt_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
dmasr_halt : in std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_cmd_tvalid : out std_logic ; --
s_axis_cmd_tready : in std_logic ; --
s_axis_cmd_tdata : out std_logic_vector --
((C_M_AXI_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_sts_tvalid : in std_logic ; --
m_axis_sts_tready : out std_logic ; --
m_axis_sts_tdata : in std_logic_vector --
(C_DM_STATUS_WIDTH-1 downto 0) ; -- CR608521
m_axis_sts_tkeep : in std_logic_vector --
((C_DM_STATUS_WIDTH/8)-1 downto 0) ; -- CR608521
s2mm_fsize_more_or_sof_late : in std_logic ; --
s2mm_dmasr_lsize_less_err : in std_logic ; --
--
-- Zero Hsize and/or Vsize. mapped here to combine with interr --
zero_size_err : in std_logic ; -- CR579593/CR579597
-- Frame Mismatch. mapped here to combine with interr --
fsize_mismatch_err : in std_logic ; -- CR591965
lsize_mismatch_err : out std_logic ; -- CR591965
lsize_more_mismatch_err : out std_logic ; -- CR591965
capture_hsize_at_uf_err : out std_logic_vector(15 downto 0) ;
--
-- Datamover status --
err : in std_logic ; --
done : out std_logic ; --
err_o : out std_logic ; --
interr_minus_frame_errors : out std_logic ; --
interr : out std_logic ; --
slverr : out std_logic ; --
decerr : out std_logic ; --
tag : out std_logic_vector(3 downto 0) --
);
end axi_vdma_cmdsts_if;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_cmdsts_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Bytes received MSB index bit
constant BRCVD_MSB_BIT : integer := (C_DM_STATUS_WIDTH - 2);
-- Bytes received LSB index bit
constant BRCVD_LSB_BIT : integer := (C_DM_STATUS_WIDTH - 2) - (BUFFER_LENGTH_WIDTH - 1);
constant PAD_HSIZE : std_logic_vector(22 - HSIZE_DWIDTH downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal sts_tready : std_logic := '0';
signal slverr_i : std_logic := '0';
signal decerr_i : std_logic := '0';
signal interr_i : std_logic := '0';
signal err_i : std_logic := '0';
signal err_or : std_logic := '0';
signal uf_err : std_logic := '0';
signal of_err : std_logic := '0';
signal undrflo_err : std_logic := '0';
signal ovrflo_err : std_logic := '0';
signal ext_crnt_hsize : std_logic_vector(22 downto 0) := (others => '0');
signal s2mm_dmasr_lsize_less_err_d1 : std_logic := '0';
signal s2mm_dmasr_lsize_less_err_fe : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
slverr <= slverr_i;
decerr <= decerr_i;
interr_minus_frame_errors <= interr_i or zero_size_err;
interr <= interr_i or zero_size_err
or fsize_mismatch_err
or s2mm_fsize_more_or_sof_late ; -- CR591965
-- Asserted with each valid status
sts_received <= m_axis_sts_tvalid and sts_tready;
-------------------------------------------------------------------------------
-- DataMover Command Interface
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- When command by fetch sm, drive descriptor fetch command to data mover.
-- Hold until data mover indicates ready.
-------------------------------------------------------------------------------
GEN_DATAMOVER_CMND : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
s_axis_cmd_tvalid <= '0';
s_axis_cmd_tdata <= (others => '0');
cmnd_pending <= '0';
-- New command write and not flagged as stale descriptor
elsif(cmnd_wr = '1')then
s_axis_cmd_tvalid <= '1';
s_axis_cmd_tdata <= cmnd_data;
cmnd_pending <= '1';
-- Clear flags when command excepted by datamover or halt issued to datamover and command is pending (CR 671208 ("white line-shift" issue))
elsif(s_axis_cmd_tready = '1'or halt = '1')then
s_axis_cmd_tvalid <= '0';
s_axis_cmd_tdata <= (others => '0');
cmnd_pending <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- DataMover Status Interface
-------------------------------------------------------------------------------
-- Drive ready low during reset to indicate not ready
REG_STS_READY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
sts_tready <= '0';
else
sts_tready <= '1';
end if;
end if;
end process REG_STS_READY;
-- Pass to DataMover
m_axis_sts_tready <= sts_tready;
-------------------------------------------------------------------------------
-- Log status bits out of data mover.
-------------------------------------------------------------------------------
DATAMOVER_STS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
done <= '0';
slverr_i <= '0';
decerr_i <= '0';
interr_i <= '0';
tag <= (others => '0');
-- Status valid, therefore capture status
elsif(m_axis_sts_tvalid = '1')then
done <= m_axis_sts_tdata(DATAMOVER_STS_CMDDONE_BIT);
slverr_i <= m_axis_sts_tdata(DATAMOVER_STS_SLVERR_BIT);
decerr_i <= m_axis_sts_tdata(DATAMOVER_STS_DECERR_BIT);
interr_i <= m_axis_sts_tdata(DATAMOVER_STS_INTERR_BIT);
tag <= m_axis_sts_tdata(DATAMOVER_STS_TAGMSB_BIT downto DATAMOVER_STS_TAGLSB_BIT);
else
done <= '0';
slverr_i <= '0';
decerr_i <= '0';
interr_i <= '0';
tag <= (others => '0');
end if;
end if;
end process DATAMOVER_STS;
-------------------------------------------------------------------------------
-- Line MisMatch Detection (Datamover underflow or overflow)
-------------------------------------------------------------------------------
-- Status is for MM2S or S2MM with Store and Forward turned OFF
-- therefore Datamover detects overflow and underflow
GEN_STS_EQL_TO_8 : if C_DM_STATUS_WIDTH = 8 generate
begin
of_err <= '0';
uf_err <= '0';
undrflo_err <= '0';
ovrflo_err <= '0';
lsize_mismatch_err <= '0';
lsize_more_mismatch_err <= '0';
capture_hsize_at_uf_err <= (others => '0');
end generate GEN_STS_EQL_TO_8;
-- Status is for S2MM with Store and Forward turned OON (i.e. Indeterimate BTT mode)
-- therefore need to detect overflow and underflow here
GEN_STS_GRTR_THAN_8 : if C_DM_STATUS_WIDTH > 8 generate
begin
-- Pad current hsize up to the full 23 bit BTT
ext_crnt_hsize <= PAD_HSIZE & crnt_hsize;
-- CR608521 - Under Flow or Over Flow error detected
uf_err <= '1' when m_axis_sts_tvalid = '1'
and (ext_crnt_hsize /= m_axis_sts_tdata(BRCVD_MSB_BIT -- Underflow
downto BRCVD_LSB_BIT))
else '0';
of_err <= '1' when m_axis_sts_tvalid = '1'
and (ext_crnt_hsize = m_axis_sts_tdata(BRCVD_MSB_BIT
downto BRCVD_LSB_BIT))
and (m_axis_sts_tdata(DATAMOVER_STS_TLAST_BIT) = '0') -- Overflow
else '0';
GEN_CAPTURE_HSIZE_AT_LSIZE_LESS_ERR : if (C_INCLUDE_S2MM = 1 and (C_ENABLE_DEBUG_INFO_12 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate
begin
S2MM_DMASR_BIT8_D1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
s2mm_dmasr_lsize_less_err_d1 <= '0';
else
s2mm_dmasr_lsize_less_err_d1 <= s2mm_dmasr_lsize_less_err;
end if;
end if;
end process S2MM_DMASR_BIT8_D1;
s2mm_dmasr_lsize_less_err_fe <= s2mm_dmasr_lsize_less_err_d1 and not s2mm_dmasr_lsize_less_err;
REG_HSIZE_AT_LSIZE_LESS_ERR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or s2mm_dmasr_lsize_less_err_fe = '1')then
capture_hsize_at_uf_err <= (others => '0');
elsif (( m_axis_sts_tvalid = '1' and (ext_crnt_hsize /= m_axis_sts_tdata(BRCVD_MSB_BIT downto BRCVD_LSB_BIT))) and s2mm_dmasr_lsize_less_err = '0')then
capture_hsize_at_uf_err <= m_axis_sts_tdata(23 downto 8);
end if;
end if;
end process REG_HSIZE_AT_LSIZE_LESS_ERR;
end generate GEN_CAPTURE_HSIZE_AT_LSIZE_LESS_ERR;
GEN_NO_CAPTURE_HSIZE_AT_LSIZE_LESS_ERR : if (C_INCLUDE_S2MM = 0 or (C_ENABLE_DEBUG_INFO_12 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate
begin
capture_hsize_at_uf_err <= (others => '0');
end generate GEN_NO_CAPTURE_HSIZE_AT_LSIZE_LESS_ERR;
-- CR608521- Under Flow or Over Flow error detected
-- Register and hold error
-- CR613214 - need to qualify overflow with datamover halt
REG_UF_ERR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
undrflo_err <= '0';
-- set on underflow or overflow
-- CR609038 qualify with error already being set because on
-- datamover shut down the byte count in the rcved status is
-- invalid.
-- CR613214 - need to qualify overflow with datamover halt
elsif(uf_err = '1' and err_i = '0' and stop = '0' and halt = '0')then
undrflo_err <= '1';
else -- CR591965
undrflo_err <= '0'; -- CR591965
end if;
end if;
end process REG_UF_ERR;
REG_OF_ERR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
ovrflo_err <= '0';
-- set on underflow or overflow
-- CR609038 qualify with error already being set because on
-- datamover shut down the byte count in the rcved status is
-- invalid.
-- CR613214 - need to qualify overflow with datamover halt
elsif(of_err = '1' and err_i = '0' and stop = '0' and halt = '0')then
ovrflo_err <= '1';
else -- CR591965
ovrflo_err <= '0'; -- CR591965
end if;
end if;
end process REG_OF_ERR;
-- CR591965
-- pass underflow/overflow to line size mismatch for use
-- in genlock repeat frame logic
lsize_mismatch_err <= undrflo_err;
lsize_more_mismatch_err <= ovrflo_err;
end generate GEN_STS_GRTR_THAN_8;
-------------------------------------------------------------------------------
-- Register global error from data mover.
-------------------------------------------------------------------------------
-- Flush On Frame Sync disabled therefore...
-- Halt channel on all errors. Done by OR'ing all errors and using
-- to set err_i which is used in axi_vdma_mngr to assert stop. Stop
-- will shut down channel. (CR591965)
GEN_ERR_FOR_NO_FLUSH : if C_ENABLE_FLUSH_ON_FSYNC = 0 generate
begin
err_or <= slverr_i -- From DataMover
or decerr_i -- From DataMover
or interr_i -- From DataMover
or zero_size_err -- From axi_vdma_sm
or fsize_mismatch_err; -- From axi_vdma_sm (CR591965)
end generate GEN_ERR_FOR_NO_FLUSH;
-- Flush On Frame Sync enabled therefore...
-- Halt channel on all errors except underflow and overflow (line size mismatch)
-- and frame size mismatch errors. Shutdown is accomplished by OR'ing select errors
-- and using to set err_i which is used in axi_vdma_mngr to assert stop. Stop
-- will shut down channel. (CR591965)
GEN_ERR_FOR_FLUSH : if C_ENABLE_FLUSH_ON_FSYNC = 1 generate
begin
err_or <= slverr_i -- From DataMover
or decerr_i -- From DataMover
or interr_i -- From DataMover
or zero_size_err; -- From axi_vdma_sm
end generate GEN_ERR_FOR_FLUSH;
-- Log errors into a global error output
ERR_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
err_i <= '0';
-- If Datamover issues error on the transfer or if a stale descriptor is
-- detected when in tailpointer mode then issue an error
elsif(err_or = '1')then
err_i <= '1';
end if;
end if;
end process ERR_PROCESS;
---- CR609038
err_o <= err_i;
end implementation;
| gpl-3.0 |
fmadotto/DS_sha256 | src/hdl/old_design/full_adder.vhd | 1 | 1278 | -- Copyright (c) 2016 Federico Madotto and Coline Doebelin
-- federico.madotto (at) gmail.com
-- coline.doebelin (at) gmail.com
-- https://github.com/fmadotto/DS_bitcoin_miner
-- full_adder.vhd is part of DS_bitcoin_miner.
-- DS_bitcoin_miner is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- DS_bitcoin_miner is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
entity full_adder is
port (
x : in std_ulogic;
y : in std_ulogic;
cin : in std_ulogic; -- carry in
sum : out std_ulogic;
cout : out std_ulogic -- carry out
);
end entity full_adder;
architecture behav of full_adder is
begin
sum <= x xor y xor cin;
cout <= (x and y) or (cin and (x xor y));
end architecture behav;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_skid2mm_buf.vhd | 6 | 17324 | -------------------------------------------------------------------------------
-- axi_datamover_skid2mm_buf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_skid2mm_buf.vhd
--
-- Description:
-- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode.
--
-- This Module also provides Write Data Bus Mirroring and WSTRB
-- Demuxing to match a narrow Stream to a wider MMap Write
-- Channel. By doing this in the skid buffer, the resource
-- utilization of the skid buffer can be minimized by only
-- having to buffer/mux the Stream data width, not the MMap
-- Data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_wr_demux;
-------------------------------------------------------------------------------
entity axi_datamover_skid2mm_buf is
generic (
C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ;
-- Width of the MMap Write Data bus (in bits)
C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ;
-- Width of the Stream Data bus (in bits)
C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5
-- Width of the LS address bus needed to Demux the WSTRB
);
port (
-- Clock and Reset Inputs -------------------------------------------
--
ACLK : In std_logic ; --
ARST : In std_logic ; --
---------------------------------------------------------------------
-- Slave Side (Wr Data Controller Input Side) -----------------------
--
S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); --
S_VALID : In std_logic ; --
S_READY : Out std_logic ; --
S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); --
S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); --
S_LAST : In std_logic ; --
---------------------------------------------------------------------
-- Master Side (MMap Write Data Output Side) ------------------------
M_VALID : Out std_logic ; --
M_READY : In std_logic ; --
M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); --
M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); --
M_LAST : Out std_logic --
---------------------------------------------------------------------
);
end entity axi_datamover_skid2mm_buf;
architecture implementation of axi_datamover_skid2mm_buf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH;
Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH;
-- Signals decalrations -------------------------
Signal sig_reset_reg : std_logic := '0';
signal sig_spcl_s_ready_set : std_logic := '0';
signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_reg : std_logic := '0';
signal sig_skid_reg_en : std_logic := '0';
signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_mux_out : std_logic := '0';
signal sig_skid_mux_sel : std_logic := '0';
signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_reg_out : std_logic := '0';
signal sig_data_reg_out_en : std_logic := '0';
signal sig_m_valid_out : std_logic := '0';
signal sig_m_valid_dup : std_logic := '0';
signal sig_m_valid_comb : std_logic := '0';
signal sig_s_ready_out : std_logic := '0';
signal sig_s_ready_dup : std_logic := '0';
signal sig_s_ready_comb : std_logic := '0';
signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no";
begin --(architecture implementation)
M_VALID <= sig_m_valid_out;
S_READY <= sig_s_ready_out;
M_STRB <= sig_strb_reg_out;
M_LAST <= sig_last_reg_out;
M_DATA <= sig_mirror_data_out;
-- Assign the special S_READY FLOP set signal
sig_spcl_s_ready_set <= sig_reset_reg;
-- Generate the ouput register load enable control
sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup);
-- Generate the skid inpit register load enable control
sig_skid_reg_en <= sig_s_ready_dup;
-- Generate the skid mux select control
sig_skid_mux_sel <= not(sig_s_ready_dup);
-- Skid Mux
sig_data_skid_mux_out <= sig_data_skid_reg
When (sig_skid_mux_sel = '1')
Else S_DATA;
sig_strb_skid_mux_out <= sig_strb_skid_reg
When (sig_skid_mux_sel = '1')
--Else S_STRB;
Else sig_wstrb_demux_out;
sig_last_skid_mux_out <= sig_last_skid_reg
When (sig_skid_mux_sel = '1')
Else S_LAST;
-- m_valid combinational logic
sig_m_valid_comb <= S_VALID or
(sig_m_valid_dup and
(not(sig_s_ready_dup) or
not(M_READY)));
-- s_ready combinational logic
sig_s_ready_comb <= M_READY or
(sig_s_ready_dup and
(not(sig_m_valid_dup) or
not(S_VALID)));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_THE_RST
--
-- Process Description:
-- Register input reset
--
-------------------------------------------------------------
REG_THE_RST : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
sig_reset_reg <= ARST;
end if;
end process REG_THE_RST;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: S_READY_FLOP
--
-- Process Description:
-- Registers S_READY handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
S_READY_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_s_ready_out <= '0';
sig_s_ready_dup <= '0';
Elsif (sig_spcl_s_ready_set = '1') Then
sig_s_ready_out <= '1';
sig_s_ready_dup <= '1';
else
sig_s_ready_out <= sig_s_ready_comb;
sig_s_ready_dup <= sig_s_ready_comb;
end if;
end if;
end process S_READY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: M_VALID_FLOP
--
-- Process Description:
-- Registers M_VALID handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
M_VALID_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA
sig_m_valid_out <= '0';
sig_m_valid_dup <= '0';
else
sig_m_valid_out <= sig_m_valid_comb;
sig_m_valid_dup <= sig_m_valid_comb;
end if;
end if;
end process M_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_DATA_REG
--
-- Process Description:
-- This process implements the Skid register for the
-- Skid Buffer Data signals.
--
-------------------------------------------------------------
SKID_DATA_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (sig_skid_reg_en = '1') then
sig_data_skid_reg <= S_DATA;
else
null; -- hold current state
end if;
end if;
end process SKID_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_CNTL_REG
--
-- Process Description:
-- This process implements the Output registers for the
-- Skid Buffer Control signals
--
-------------------------------------------------------------
SKID_CNTL_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_strb_skid_reg <= (others => '0');
sig_last_skid_reg <= '0';
elsif (sig_skid_reg_en = '1') then
sig_strb_skid_reg <= sig_wstrb_demux_out;
sig_last_skid_reg <= S_LAST;
else
null; -- hold current state
end if;
end if;
end process SKID_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_DATA_REG
--
-- Process Description:
-- This process implements the Output register for the
-- Data signals.
--
-------------------------------------------------------------
OUTPUT_DATA_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (sig_data_reg_out_en = '1') then
sig_data_reg_out <= sig_data_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_CNTL_REG
--
-- Process Description:
-- This process implements the Output registers for the
-- control signals.
--
-------------------------------------------------------------
OUTPUT_CNTL_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_strb_reg_out <= (others => '0');
sig_last_reg_out <= '0';
elsif (sig_data_reg_out_en = '1') then
sig_strb_reg_out <= sig_strb_skid_mux_out;
sig_last_reg_out <= sig_last_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_CNTL_REG;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_WR_DATA_MIRROR
--
-- Process Description:
-- Implement the Write Data Mirror structure
--
-- Note that it is required that the Stream Width be less than
-- or equal to the MMap WData width.
--
-------------------------------------------------------------
DO_WR_DATA_MIRROR : process (sig_data_reg_out)
begin
for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop
sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1
downto C_SDATA_WIDTH*slice_index)
<= sig_data_reg_out;
end loop;
end process DO_WR_DATA_MIRROR;
------------------------------------------------------------
-- Instance: I_WSTRB_DEMUX
--
-- Description:
-- Instance for the Write Strobe DeMux.
--
------------------------------------------------------------
I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux
generic map (
C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH ,
C_MMAP_DWIDTH => C_MDATA_WIDTH ,
C_STREAM_DWIDTH => C_SDATA_WIDTH
)
port map (
wstrb_in => S_STRB ,
demux_wstrb_out => sig_wstrb_demux_out ,
debeat_saddr_lsb => S_ADDR_LSB
);
end implementation;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/lib_pkg_v1_0/cd7baeb2/hdl/src/vhdl/lib_pkg.vhd | 28 | 16351 | -- Processor Common Library Package
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lib_pkg.vhd
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- need conversion function to convert reals/integers to std logic vectors
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package lib_pkg is
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
type CHAR_TO_INT_TYPE is array (character) of integer;
-- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer;
-- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63);
-------------------------------------------------------------------------------
-- Function and Procedure Declarations
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer;
function min2 (num1, num2 : integer) return integer;
function Addr_Bits(x,y : std_logic_vector) return integer;
function clog2(x : positive) return natural;
function pad_power2 ( in_num : integer ) return integer;
function pad_4 ( in_num : integer ) return integer;
function log2(x : natural) return integer;
function pwr(x: integer; y: integer) return integer;
function String_To_Int(S : string) return integer;
function itoa (int : integer) return string;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- the RESET_ACTIVE constant should denote the logic level of an active reset
constant RESET_ACTIVE : std_logic := '1';
-- table containing strings representing hex characters for conversion to
-- integers
constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE :=
('0' => 0,
'1' => 1,
'2' => 2,
'3' => 3,
'4' => 4,
'5' => 5,
'6' => 6,
'7' => 7,
'8' => 8,
'9' => 9,
'A'|'a' => 10,
'B'|'b' => 11,
'C'|'c' => 12,
'D'|'d' => 13,
'E'|'e' => 14,
'F'|'f' => 15,
others => -1);
end lib_pkg;
package body lib_pkg is
-------------------------------------------------------------------------------
-- Function Definitions
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Function max2
--
-- This function returns the greater of two numbers.
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer is
begin
if num1 >= num2 then
return num1;
else
return num2;
end if;
end function max2;
-------------------------------------------------------------------------------
-- Function min2
--
-- This function returns the lesser of two numbers.
-------------------------------------------------------------------------------
function min2 (num1, num2 : integer) return integer is
begin
if num1 <= num2 then
return num1;
else
return num2;
end if;
end function min2;
-------------------------------------------------------------------------------
-- Function Addr_bits
--
-- function to convert an address range (base address and an upper address)
-- into the number of upper address bits needed for decoding a device
-- select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits(x,y : std_logic_vector) return integer is
variable addr_xor : std_logic_vector(x'range);
variable count : integer := 0;
begin
assert x'length = y'length and (x'ascending xnor y'ascending)
report "Addr_Bits: arguments are not the same type"
severity ERROR;
addr_xor := x xor y;
for i in x'range
loop
if addr_xor(i) = '1' then return count;
end if;
count := count + 1;
end loop;
return x'length;
end Addr_Bits;
--------------------------------------------------------------------------------
-- Function clog2 - returns the integer ceiling of the base 2 logarithm of x,
-- i.e., the least integer greater than or equal to log2(x).
--------------------------------------------------------------------------------
function clog2(x : positive) return natural is
variable r : natural := 0;
variable rp : natural := 1; -- rp tracks the value 2**r
begin
while rp < x loop -- Termination condition T: x <= 2**r
-- Loop invariant L: 2**(r-1) < x
r := r + 1;
if rp > integer'high - rp then exit; end if; -- If doubling rp overflows
-- the integer range, the doubled value would exceed x, so safe to exit.
rp := rp + rp;
end loop;
-- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r
return r; --
end clog2;
-------------------------------------------------------------------------------
-- Function pad_power2
--
-- This function returns the next power of 2 from the input number. If the
-- input number is a power of 2, this function returns the input number.
--
-- This function is used to round up the number of masters to the next power
-- of 2 if the number of masters is not already a power of 2.
--
-- Input argument 0, which is not a power of two, is accepted and returns 0.
-- Input arguments less than 0 are not allowed.
-------------------------------------------------------------------------------
--
function pad_power2 (in_num : integer ) return integer is
begin
if in_num = 0 then
return 0;
else
return 2**(clog2(in_num));
end if;
end pad_power2;
-------------------------------------------------------------------------------
-- Function pad_4
--
-- This function returns the next multiple of 4 from the input number. If the
-- input number is a multiple of 4, this function returns the input number.
--
-------------------------------------------------------------------------------
--
function pad_4 (in_num : integer ) return integer is
variable out_num : integer;
begin
out_num := (((in_num-1)/4) + 1)*4;
return out_num;
end pad_4;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
--
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------------------
-- Function pwr -- x**y
-- negative numbers not allowed for y
-------------------------------------------------------------------------------
function pwr(x: integer; y: integer) return integer is
variable z : integer := 1;
begin
if y = 0 then return 1;
else
for i in 1 to y loop
z := z * x;
end loop;
return z;
end if;
end function pwr;
-------------------------------------------------------------------------------
-- Function itoa
--
-- The itoa function converts an integer to a text string.
-- This function is required since `image doesn't work in Synplicity
-- Valid input range is -9999 to 9999
-------------------------------------------------------------------------------
--
function itoa (int : integer) return string is
type table is array (0 to 9) of string (1 to 1);
constant LUT : table :=
("0", "1", "2", "3", "4", "5", "6", "7", "8", "9");
variable str1 : string(1 to 1);
variable str2 : string(1 to 2);
variable str3 : string(1 to 3);
variable str4 : string(1 to 4);
variable str5 : string(1 to 5);
variable abs_int : natural;
variable thousands_place : natural;
variable hundreds_place : natural;
variable tens_place : natural;
variable ones_place : natural;
variable sign : integer;
begin
abs_int := abs(int);
if abs_int > int then sign := -1;
else sign := 1;
end if;
thousands_place := abs_int/1000;
hundreds_place := (abs_int-thousands_place*1000)/100;
tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10;
ones_place :=
(abs_int-thousands_place*1000-hundreds_place*100-tens_place*10);
if sign>0 then
if thousands_place>0 then
str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) &
LUT(ones_place);
return str4;
elsif hundreds_place>0 then
str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str3;
elsif tens_place>0 then
str2 := LUT(tens_place) & LUT(ones_place);
return str2;
else
str1 := LUT(ones_place);
return str1;
end if;
else
if thousands_place>0 then
str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) &
LUT(tens_place) & LUT(ones_place);
return str5;
elsif hundreds_place>0 then
str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str4;
elsif tens_place>0 then
str3 := "-" & LUT(tens_place) & LUT(ones_place);
return str3;
else
str2 := "-" & LUT(ones_place);
return str2;
end if;
end if;
end itoa;
-----------------------------------------------------------------------------
-- Function String_To_Int
--
-- Converts a string of hex character to an integer
-- accept negative numbers
-----------------------------------------------------------------------------
function String_To_Int(S : String) return Integer is
variable Result : integer := 0;
variable Temp : integer := S'Left;
variable Negative : integer := 1;
begin
for I in S'Left to S'Right loop
if (S(I) = '-') then
Temp := 0;
Negative := -1;
else
Temp := STRHEX_TO_INT_TABLE(S(I));
if (Temp = -1) then
assert false
report "Wrong value in String_To_Int conversion " & S(I)
severity error;
end if;
end if;
Result := Result * 16 + Temp;
end loop;
return (Negative * Result);
end String_To_Int;
end package body lib_pkg;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/fifo_generator_v12_0/hdl/ramfifo/wr_logic_pkt_fifo.vhd | 6 | 31831 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824)
`protect data_block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=
`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_as.vhd | 6 | 20484 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127Hta4W3Ox1Vi720yr4LotviBS
lPRJYZ1uwbco6+Hsi+/qBTwjSfxb8qBLnTOnPAVVwpZk6F9hLq2C6ZWiGVGbSn7jFZCMnB/jiY4C
kf5UWkAXkG03mV8HJ4cSX3d3bAgFRniEUratGKZpF5APB01ivbKZnDfDVvSE812PH+msVtL57cx7
+rHyqpRz5WJKmoPPBcx9tGHL00p8gBM5iBmhXLjO078Nlpu7fGFONg9tItLk2/gDl6rz9zWhln4i
KsM5IG39yRtEKOxgpyHUBrYFTjmLXmkwZytcSfahgHlOL1g0UOrM8LTWMGIiSnuArlTQ/hPIyFCJ
xWEVXDDX2fFJgCh0QLdAUxDH1z90SqgztIE+yljmIqjbsCAW4KF/GruqiOH833iX44WkxKxE53RL
9GzsaXQubzh9LFCknupUCgvjbU54d6lwwV0vGYubedXRQxpuKBMATex68guSp8HGXe4ksqBJRCMz
8cWsVVnl3YK6Xj2k+pzNeqwUvzkAFYEL7mJt7lW/PaLoFVpmLddj4+b6CZBE8XIIt3jGUbutw+Ra
yiD6qy9CSHpYP6jLWMtejDZkkBoc5Ih2CRhHBzV0QB8mAfXo6TDA9b8pnp+YkQExOwSy2NsihD/q
O2yRkWuCTy7UXVqEnd4safYZ65ogv4Y3fcYbFn5vrjT13opoL/ZgbLw2yUqzafR1pJNizG6OK4Z/
KRvOwS+yW3GYWrkTdT+EcUNGsxq0z5CEwq2aE4f3JlGEj3F7NXYE3f2rocOo5F4J3QFlK/floJQR
CDcJWXzu7j5flD0GT09WfCa7ZhRqfmpYSFCUcbNBjN0TiC76iIjaqiQB3DNbofOiX3YYMqgkdFb5
UA7RPYuZAMNC/Zs85mM8u3thpvUy1+Ii0MLu8YC61UJPie0ZigEqEOCcbEO4gzs11beksUWHKZG3
SI44QAORapobK7u32QTK//3Xwsn/Y3wWuL7PrwXXyMooy+hCxK+yb7aNjkYzPQtqyRGfY9h+EmCq
5UPMHxVyKu1lHHaQj6Njuv2LMYc+HuDhaGbnPjEOUBdFUYCUcwAYENIMtIU0ik+T3w51P3Rc6Eo8
rELXUV83caX6HwNxwJS0wbDVkrTlhFA5uyylb7W0r1c/enCiQPbpTIETa9LLjKnLfscO/xauIqUj
tcCdVkdKteZ/6liHo9iVpjU2e3ZrkIN1awR9MOYkhyznp3UpYV18JgXJEX/vEijctOCJKbspVggC
dUlh+UqLORsgVNmNYB+qR6ymDBLItSFXv5tBFMXUgSFnm2xHCqKNAJZsV2I2MpBUCfgCOufb1KuR
t/uNwkT4LrtcBvcy3M9T9bM50xb530vtsaqmzav+gJRi3RP/8aiCZpmztW9kJ3Mnuldp+jRiKxdF
ZTMrk+KFGth0AoYwQ/ADai0MnAMYiclWfhxXDs21nxExpgjkLYXa73/1A7+S/5zFpKtJZ6141yM8
gtKwT7DLz9Hn7cc5Z5VFvtSuTUvzb/OvMNgscW6EY8oa2TlD3aBj5IyF2NrE5IhnwGbWSqUB+daj
FEuGmb3ioGfMlOrjivmNSyAF3qWY+tI6ydhm7dg3Wf7jWTxkMsEIKvaMXdXkuo0CbHeCURGR1z+x
5pq2pgxOOsrRuiOJYmzaakZrU84FapmnMlps8BBZYw+UD7vru0IL1TEwZt5wQa4+naV9zmzOFEX3
ysimWDgAjXQ663V8z/AGzJEWa59j6SN9JQmSHz0E+DWrHL3gQAO2d5dU5KPRDmOzGA3wDcJxscd/
4+nPKqdFoPnlaNx272LWn+/oXRkCrWWnFjGlAo857Ot0VknpnLbleNyewpl9yqWYjNpa7h49Cupj
2dHhYjhd6Rv8pzm1wc2Ri7hcxp3WIqtUnQFBzhrGAI2p3MFOzV3+wFjsKB4H92OASP3/TsyQRfky
7YO/EFIeX1ReeS63qlBx8/gjVWZ9aQljaKIWJPfx7Hq7OsCJDNBavFdqNNYF99Cy0zMB6Q6yiRzq
hyTxJ11xXnFe4iXfIiHmcIFXpwr9m73aHc99xERFlg0ZQEqRI5qKavqyJYPBqZR5d3xbVyYnHqv7
XAN4YWTZFC7F5emuUsLcuyfc+wLcqOi3JR+PxSSqZqvWRXWWh5en/sM+qxwH9UO32wOTHoiuaX0J
zEUCXUoat6eNf4OVyhYkq+9ubeJk1MMA4zfIwB/cBdhLKiFiW2Rw7iHU5/MRjdRcbdlC+t8oDdtW
1mEws5EEESQYIma9YF3Lm9leFvwbfA+JDWsE+/eL8VUzGbSqvkYEtnEz9a1hQVF6tRSH7rBcSS3e
Ol97SUIkX2OiWfdnG9QvaGdrPfd7G+Gm0HLHANmg9UcYsGvZqLtyjze5j2muVBNAy2yNba1zans0
RNwaTIbc7nQBhYWM+/poqPnkrYg4qQAVOXGJgH2KOcCIZt6sI4X4IJZbT1xJM/R4TSX3T+leLZRz
9dVVU2nNfeo4zZqSZsR9gZMSYldLDzutQBeVlFrqwCNRJjcDIDqIUgIm+G9zulVCu4sUg9Yc84Vr
KiPP7TRID5gQCWCD2S8Mu9p+885FpZeSz7KXfmGr7ObPezz6MgRuMXZ1IONQrQZPvmaNoemfOvKW
ylyzieWC20msHiowFU5WTPFSrxBsyoD+ythPCZYQ/xMBW8VqAzJMkYlasgjcJ2/aVWIwTIKq+IRJ
oVnYmM0Rwcf1hL/05651bifwR3XVkdh57dKyLJ4dGMObjPEcuieudUx34ihDHGtqkcnYIu4HHIlf
YDUTzdsIQ1RZ6rX7r9+LXBQwXcFBeVfavXxS8O85JgsJNO2QCVnQqNVNkHdd4aLlqk1HCQC3O4qV
9ZiOReFVa23iiURCeiwgOeFdooeSwZdBAtHvKZ9uiHynofmfa4wlpYArFA4BKKoZxhdOuX4gJpeO
TdCcMNTdJ/UHHg1O7Bj8Gw1nIQ0sY7VtAYdu2ge8c5TC+D08J//XbUqknuL+ffE5smzyHJIIk35Y
XhQCIM7FNphhQ/9sKtJHIHc4NeNi8zbH0mSNrQrLitAaXyKCitU/JiBha28Rp7pNcBv/iTyFTw2L
Y5N3zZoTZd/qnsnPmy4BPWP11mW2hjusOs38WH3VmQx9Lojusx3nIZgQeWIbU3K2nQgKCOu3Levu
R9bYA6ESNUltzvo8D5GsFNutDwmseorL8mhUR953BBJOWR+mecCyucrMoDELitfpH2D3OL5h/7KS
0Xb8vjuLHYJFE5mJ52JxibB0L3MVDr8yYLX4E4uyYkj95M5LCC1v/rg/Smg/Vrpy5YpqhjtpmLMh
9ohz7V/bRDh0i08qEAL3ugRpENYGrgeK/CipJM30xXz43jaZIKdaCIqASdy7dU3+mmFxOBl8tS0h
Ihuv57ASau2LDj9ygEys8JBd3jpDYWx9ScCwcG30Hqr1utgDulIsyozKhWJyeRRyuhh8IME5IeB9
HNF9782dp1z0VU2DGClj9H/xvAuqBYCL9TeueCM0tgSyKRRGn67vaoYtmPyCJ5MM1zlUOWELfmsV
EPlPeCmwKJ3tltW8j2JYBglq/SAhSQvqzkBHipgb4noodODZnVNpO2qvfOnd8OpH0yWs6katU6gb
AzAR++cUrViKpGRI/HpFpgxblWOS0KPwqLdtX4LH0OO50HUErelOGRmEK5jFUB7DGgGi/pOTJjy2
stL9amU//d9KPAIvycn5W83z6rABFF/d5J6yTohqGtbcBkmpTubzuyfDrvdjBngqiXaOXIXrOyD7
At8tbut4FRjM04vOH3qkuRLuyeOB6lvFZpFTi/wGRqCy1sTt9PZ3e9CqTURzRCBpvsvD9anWdF9G
kyAbuaeVmUK0hxvH0xgFtQcJhJCeK7elZAaCEkO74kVyjY351WxxQMDFY4JUC4FuVZ2wjdN7snEP
SSGzdlugYUkLsTFwNSSLPdQqNLSZhFMZvXksG/mbyVphzucFDoyYfy5iKpPbhnH/V2zxP3N4D5tH
qIWq+J1Il28DGOXBT+Lgz/UzCV1balDED1LRQ9+T6y+QqV/Wg7IbwypXejyALLgVmvxdL4QLUbJ+
Kh2BAXgBvEhSk6VzpH58lOsNsau9ovI8BZH/yj3CY12zdAT2pnPoRiFe3OrtYWA2XRYQiLgeJEy5
i5WatH/jDck6TplhKQyVXcsEaHz2Wgm6yhrhMUxPa6Ja5zGAiRwCC3i7PEhyJr93fB3NYCzJcN4W
70f1vULqeqXZIoitQ/DeoQik6PX2bDLR7AwRWY+cHiwiiRMGgzL5cKEIpcj1v5A2I/nXQoTk8UrP
KoPtDbWTQeeryOa1rbTUScN5brXDcn7v5fsTcxfV16I0wZwZ4ZzqK3+6IdB7Bl2nK4BOauEf2gAq
dVq6lY5SDoW20dh4xeNnyp+IZUx1MsuPpTJ1lU1ZDDwTSu9A9fE/V6WiqGRCOu4mJveUHJGpeO0F
cnIj03R3AfQ0Ooh5O/vWnctEZpY/PhtgUoG6DKEfK3r5hguE8xWrVwjSmmMrAV5P78DDxm32toNE
NkztBFUgY/229lU+9Rm3En2XfiVMLQZwHHu29Q4sViFctIbKZvTuyjP/kgW9rdyCwPKS0bJLOWVf
prAGQXfG6CnQz9jafd8qWKJ/FvPHM6Lc66mm4uwBRPsYy8h0Pm80bKn3WuH3j17PAuKaO4aDP6eZ
gR+pGbBqN+7gQFRf43OjD3lt8O685agfzdpoKqcLNbOPyuZUeVFMOVWP/Ssh+kYHIhjpHfkVs9r2
+/RYzLzpCLiN5uYl5q0hxrz6oxMAGE4/+8l9rcHlx6mGbWvjm+sgbU6eqQfdFSYK8JDnVEastSd+
8D2XVLDxo7z8Ot1+GLVYOYJpr5RrQJ7/d85rt6l5Qy9j8OQ1nyWNtcVkxnY7WOXzm0kzcOtTP4TB
hoMgEmcqcrD7GjDjGRBjwBeDpZ75ehRPf4/KoNJbqtQhzrhjsAuY+mhPJPPFH1q8KYfjeMLyy78Q
D+F+cjHPLEeo5rvndKdPdqXeQQYWinzxG2qhw3WHzI96bv8YY8mpFS1n0bjx1lKf859d4/3zvWiz
9hk786SopFutcziEsAgvSNNhISzHZZ5C/uPZjnRl3QY0ZXUYi6osNxQByIjOTAQb/O+MF8eUr05k
XmYtR6cdN4CaJaJyiy8Y32kR4n4lXkjmVShXDO4i2dlFZxDxTrO6Ytm1FXtX0sl25LGcFjXRNwAd
9SMJA47wFSai8GqFi/EGVHd8up9yaRnDJvkpJIUViTje+oY+XmMavpFTN2w5ddG5o0iZL7lesAhv
L49DKippqr4QxAW9OEUcYau+SUuHCHxZtHI618ei3RvY8IqhYfj/p47HGBpZesAerZKBcxup6h9i
h1QW1BHr8Z7AgdbRTzpQLbcRBJyefYHD5K64CcCqHfzOH7wipba3OBcWO36A1Nbc2tuocJH3aHwA
wlW1YBvyDSocG11dbsC7Yr4Af+CpTTdjZNnNVcKbNB/kGYdieYnivgMYLaFa38LTVM7OcEwGn8FK
fQ0Lcg8JDe7flfcFu6ami+HYluczVR1ySuxLWIMEji4wD5F6nKfKsvHOUkQYVI1chZsTlmgy8sT2
r105jZqRZd0sG8OdX4gT1Hs2UheqFqI6HrdJkp+NYxf0x+T34l71gzikbnFRWcBn0Ag1mU+kzjey
xjs7yqQC5pWwtTeqXEAO7J/44NroouRnJbWb/b3JOkkf2zmNnswLBjkjNn265kwfvsysXDnsgSM7
Wp7L2gj8Ly9oPDY+vZ7/sedEbKMijaXwKaY+MZK8pIrXABJaXHA/iHp7B7IczZFiVsI4Y/bpkkfv
/j+6L83RRhHaEjcxlShTg3ZUpVftQSD38kGDrn5G55o8o4jMSAzF8A9B/e8Wf4woaDWJFCjVIwKn
CV5WQLRz2KzUm+avt+W6TzD/tmGO5vfJ/nqkUeLSm8BqbuIB1ZMt9lXreHg9Lk9cFWs3f+KiQbqb
QSuGl9wSh5VYT7r4CraCYf6gEXQnB5/+7IO5ltQDT2ylS4nJGwwKEBA9b20R0uWw3r4n5DVw02ZW
yvjSkKdpg9wKxOAe4VB6PKpblK7Dve6aOCu4El50XbcZjk6BzFtpGEXLajjRqC4nNNB5EpZC7dor
lqNMJw0NFiGADquN8E7E9fs6tYnv7MlJxyqf9mjuvqZhEWzwy/VHrH+3s5U2XCqU8u64h39SEjkf
y/fjyWy00ZgSQHz+A916T3EWyzSqSdkxPC1hJwuRLoPMjHZeGClWFxMpVsR+337JDPggzgaVOMsX
ZZJZ9SGknsoXZkQou8xSEjkqaFgVVcmLuszZ1SJwsG8bdQecTLGBVodO0044MjxciUNlfBSsgRn2
pckhPYfXibKKx7GVq290BHzAUWN4F4x4MjkIbEZx+6Fr9RxQwttqTl14/M4CLBZze5SFAm60XBAb
EKgCVY5glpfc1D3orx6mWYQB7647wv68yWLWkTOBZl9yLwAJVyrbhqTF2SUxoSGlYjoXNTht3TPi
8W2FMmit56/lC4Meh7a3Gf08L25C/zRNZ/RUaw56jTiVLAgbgBdLPqr7w8xaLWzHOdD5cx8MVpI1
hU1HQ0F7gEyQSMunRX/uX9gAyJki+m1v5iw1MQkXYUd3Cwhq2pF96vSkcAluzowSIbgAgWLj8Nhg
xlreK3pb6XTGDqt1/0CFL6oeS5VcUKwKXm5GGvUUxvrIljcy+I5GZKnRYVJQiD6vNmexPPKX4kEX
lzWCYthCuYHtC4GalavQDoR0axQ1plC1L2494llWxfZY7cXDmCVp5jk3HXFDc7kT/9AYucJLdX9O
NGa4tWmtJEz5rBXQPBhES56KsnaFyXE43wjWVg4+oXXDKRTyqGLmR1BtCCVSGQINAmZX95vQ0G8T
EtXU46lsVtBZh9H9LF3HigGxHsDH6uwkV3BDwO9mGeXFWeeng2taTZG0u5k0l0rzZzhP4qy4qlYr
OLn1qldZQHPfd7bXYRhYb8cZO4V36TIfXACXQFdaX3kSXWhAHDFCdWsTodHGfw6rrwrzzmBqn03j
BQpsUXNtrycAzBGmbDcqFUQqh4+TsOaId7eqBi029rDkMmubUn4dTVx0vTsrycrHna+fm4lQL/1u
ORVorOrFH6+CARuWzUHUvLSHGNZbwpYW6rAcNg8CCwAL+dYmVot3Ogo7+fdCv7u+ASUd1sm/ncGi
wKg/f1tK9NLlg2gt6ywOKcjvKavrqLATZjPrz1ZD4qeUEMrUHLJZhNcXbipNSZ8x+NiWwYvbnQur
n2ydljUpS19fAuuhJh3z3bHVcfM6axgj8emia5Nrf9lm/YI3uEjr1FVx1ov64uJQE8Qy/PaR2oq0
ARnrYvH1pH48ZjPUW31seMLSAPiJaw59vzUg/C1+np6stO7RamqMK71T43QeaPWAsmQxY10LpNvz
fU0CXYZ8BnmGCihWK0ByYlCtXnXkOgYJBjitDbT54uc/g3vzXMeuYuRIZA5SAr8dWUhnlJFpP7m6
y4SDP9ZRUqfuPGkVSsoMdiahix2I0+snhQRWrGVblvg5c31gtuK1eQwg4HxrXV2FuNCQg9ndFcF+
B4Lz9lI5vLYaVkPUrKJJUI0IAe/u5OOgbFYljCpBjoJlcXXzSseVe6pZJtq4y2AAhB5ylt7zZIzo
t39lwMYqC8MTuPLww8544m4yxiYMA/pgUn3zP+n916JNxJYuGqje2hYYask6uWutOq7dmz67BkYG
wwg+rZFHA5vuob45nXF6p5HOmXJmOtTySo6PeNxrM3ovdfofzQVVF7yNIP+9R8qx/DCjy53hLM9W
7tRnLFY2NcqRMTUNikOAx/TLpl+Jw4VUIfHcH+gWTFwRHcroasn5TnTi0/cTpwurInGNQlNfhZF7
57Np/b2mdhzHxFicbvgHYoLLvPOsBZzYGo6ntZ7jxNx67/ymXDviuwNLPyRoRU7sWe0wxruM3wkV
VvuiRRprEge6WAEtD18/hHQMaEeNEKUt46OHAojkqSV+fEW8av7pvo+Ej8+4w5KzbYo+yTEOuViF
+cATLRL45B1U0ob2ZXjh34OHFz5VSdiF+gLakJIpLXbUVbtsXT7Z2EE+P3egbScyI40nweBzq0XX
Nn3Gy1tqnp6hnumTSIPAfy6vxgS9pfaCjtEF7p/RwEINUwUFgpqDnRnOwgCO9+i2mBzPHwMfEYI4
EjZlc4gLyRSmhnte3BR6YrQsI4Hw8o0Z6xzXQAEr3fyOAn3Vhh8uWyZOeoorxSgJJV4uraMjKu+J
+KBxfeCC58qf+Jghano9l8dp4dEDB7sHn24rPSKKV2Ph9IU48U4MILVcq7kmtihOSJ66wMfNKmeS
Q/A8T/vso9JeU2XxtrAjQ4ZD0mIKfGif3up6CdSIuIEZDzipG/WhwIQxg09y+6lRyaXHkqU7zCf+
UU1wDNCY4PatABCBcMiJt7hCWkV09oOr+djP8uhLiRRETLItIl9VBvBBoV/QeBW5jeSfcyOMwjPS
/HMJUX9VY289/ovN4ehD3pgPwLcv3Sma+HceDrVedBZz5DGWKY1jQ9FX2ege6YsCLRuk9jxHwHsI
EEchlit5C4rGDrMl4VCQs+e5Xcdt8e/y+4xfNhtzYSd76XtREzaXcemlg/8aAwq5ZZ4PMxWC9Ej0
9ifWNBd+t9nt+27nYkMTSh3UOXYavIfgADhwvhUPzHu986mLiun0VmZXyaucm/fBPk/sN4KeYL+t
n6WGBcUcB0cS24GSf/+dr6FvEcCjd6r58HSw8Nq4jNihXWmyxmzVh/Jc5cRFz+uNX8r0Lno50qWo
QhXqegRfkC0lrgRg26UlgF7mzZTZrv/odrTqZBKx/Y5ruTPsXLZfjp41bgJdaA8yeog6+60FyDmM
QKUXqPxTY4gxjG+b5vrPXRlUgD71ISDY7+6RK8w4fY4P///dLENwjAiu4eZzuIEtBWxHDKRVqR2e
W+6RBac/tgyTfmXqWFVFChOAonw+100fXRMO5XBY9g7dMRpR/yCedHWlUWkWqDox5IFtleEh/mby
tKRx0Yrq4a+Md6dUdQ38kXn5NyAhjPRhKx2W6RLaHGmrTWRUzUo99KlgavJb0Elg2Nc+Hw2YgHJ7
w5EXGTLOtdO08w/hOEvF/VG9Ydpk0PaPkocTnqvI//mUJUNJ2QJBUYdAWBoR5XrOxc5IfcVZhWuP
lKuj1fIql4R4Jk3yL6GzN1EByx0QjFp0PKlaWySAuIt/7DfJTbKm4TLLUJIxRb1JvymwuYQa2JbS
b1dUk3vm0tIfheqvPZHNdPz47VJUFd7g9mFQVq9L9ZUhPB5r3OTfR+E8OibgznTT7WjtslRKaGac
uCNqA7MazogRd8jjrZBjZEK/j19t0+KLKOUXyIfrklClxzcmJnlzBOcMEwGHbqEOdomwNbXr/Uo1
8goGtX8XE947yKNJM7z2Sg7yJ0aCF+ROxkSJY3etRE/87n3ikHR/KkAkoD1UObLdDKKwIKIutKmv
AtcVDhrTPmddXCwig4G9RtZBpvVPdbhm+vUXEHB0wM3r9hf+olTJbVkLlPDO8WMSKs5wd1eKSbHO
vA0WTLsdn+SH1oZkgcME7JxB/53qkedZzoQwe8+N9rJfbQkpcTGSg+Up9IqYJYuFsehB77Waf8mu
IXQWizlYWhgrP2EtoKO2oauSPFTRDWk6LrmSp0gj4iSra3H6tIaulbYvYWzv1jiH8RcwJ5yvZ72M
EF5a8UokWDqBtGg4l/ZHOJgEW74sh1j+vcaiuBynLblmkJTEO8U6qZTWmcEWxqgibTGSybxV6KBL
iJF/BdtkxHIvacXNjOhwNw+AFrFmXGoR88vaS2ze+2EQOkwIZdA2vk+RUZG7++YemXCSw6h8jzGX
LLOPBNVSFbt5wkFKHsYiCBpQ51AXg6+cZdzR9An8VVT+2JvO/BXHE/5DoQniW6ynJ9y/MIaQd6Dc
pMEzlQKkGlf5vjtcUEWGnFpQJnTb90/KFXlek52R2MPvKkq1kc2NYRmCvaLz3NsRr7+mRA0gr85o
b2mFe5ZhrbEVwNEnfDERGqa2/6Ddthra3j2tD6/v6fv7tcb5GhL3x2VPRRIryrBgBQECfMEAvemI
QGboaCRNqv17xUXDKU/m4bx7jK86i750VxBSUw/9EMuxMmlyqF6BBjyRjuaBtWWnm/6WrGug75PM
A2KNZF50lrdoGCC//Y1/7Sj6x2Oz/DtH6z+7Xrl32D/7JuAO4JfqGP+DvFJ8vit5H3lppnLHiuBd
TZLWNI932NnzexGlQXEMKukwidocKH5W8lmftZDmjBG/UKL3v/d4MGKqN0xbOVVGJeuy1rxzzv5L
lHZ/ja4eTLX29d2dTa0zH8Y4OU6SUsldxzkfjhdQPxY9LVnl4BXx9eYBVZpiO+1vu5G4uXYyMKjh
QbUjRI3lNPnFYbH0dmYo0AV7q1Odw7PZAp16TXt+dfDlJauU+SJTIMhLYN6DH7pGfQNJ5sKJk7JI
3fxtfc7EgkHFhg9P+Oi2yl9wi/yJeafjoasbwD8TC/G1NeYwNQ++OCm3ACX/aAecHAIOupnqPc4O
Fq8QwlkCqNvcjhUsp2djhW0BLRKqxo2QK2cvtJxT3M5e6EuWMbKXTLEHDtaWZuohU7UXr/enAwGE
+A3hDU2/H/vG0z+f7vtGqvNa4ZYW3HM8WtjNg0kx3E8oEkrP1rL0U4+V5C/KQaWONmzMY7y3+9BN
Fuxo3WMEUEB+T0+mcZFeghcFt7Sbropsf2ZG/LSm5DeqJOaqaYd9TQiQ8Q3n46SSTzFM0TEonJcT
O4Mg+8Iq8U5Tu+QeTqeF0PBHUkyg0ZFEdJBwcP6MLKCT5jIHDh00k69h7RX2VicobHLneS6bEqUn
31+Lpoh8wMZnx9UpK213UkOy/IS1BXBxroaVEm7Nhf44CwF0CO65jUX7I2fA6Ce5eAioQKMHhAF/
7DsRwidreHdEhoIHjM23tL9TllZWtlVekclbh8g6SR7a5Xs+NvNTHveXTg9LnS+r1g6oZZ8iD4FA
V1Uhb4fPHfZRg/Rw/96aMVwXlIb/QB8/zDxhcM0x7vfi2FrDapaAIQ4lPgMw8hrJo856310piT3q
YJkur+dfnQ28KL5P8KRgfgwNJzRvz3M7D459DP49b5di2k8i6Ry0T1zO8Qxb7SxddsnZHYzl4XGC
uf3euVgncSuoc4dvufwO2GHIM+RNkcVhCvCg4d02qCDuiHGaLmnoeEVh6SmeSDaoDwpx6NeqsLB+
+XzQyeNadTumdNS5LW02ah+mZhZR0keW9iBVAJLeZJxuunZn4hpyul52HI/bDi8+1byGLd2QjBNZ
1AtLWtpCdn4KI3uU6sCh9aIr16JNsaXW8+zF7RS/7+Xld51D/M726PiDMQhsOo/hjJ61caJMPbLP
xBggTLl914QSh74EQzeA49DcGFSthqwrKbyRD7wq4yEJMTUzgxKsu4j5ZKynXtmOFZ8xgldT+1k4
0c4W79MmJIGlYcUV2ZLD/gVRxmqDpFH7L5JXOC83Xo9PFNjvzvlLm4AP/xVvXBPnxrR1CJ0stGwv
g8jlY5F1hz0U/5UUmDryOcPem/pZrrSzqSu60Z8q7ARS064uJNSwQi+fKN2hslzIoSt2igtit/bl
45Y6vONMXPJfIiS9uDVNgBJZCNJxKHjLQnkXlvOlFP/wK6IjppUpWlVgHzpIT72DlzzjUJ0cS2Wt
vFGAyoG9lhWO85LigRWPBSrEiWWKCKTPX5wLFcdPa5Qydv+8Un699HUUzacgOoT808TCKfxoaM90
zew3GIrld6iJEGQWsAa7JHaWMRy9y6u63Nue1nB0MY2udTA8JPR6PLbaqVjp8OMwQTDwWIkF37iK
mAbnLava1JyxgqgtxoM1at/NPkbTsirZiIB8UUjure4VAnoZ6RKyOO6wjdJoutn+mMfN7Rylo4qI
tJ1kG/ab9hYBd5wHL7qf7NOHY4CjKQl7qN+8utlIJPs1LrkWWK8CZsJw0Z9zu1KnkpGIWG8wkCxc
+NNnYQ+9o/VbHde7PB616VRSyNxA7m8z5QJo3w3WuN0HGPCrtVQaMOX+Vk56C8Jf4cmIHEd2lERl
vjO+UrRqF42DUHJMwbFCIXqx231amEmpfowxzUGe+YlhFCqtGgwecznQ0TBLutrEExdhLMDO5tyD
dkksGJUA+fNQ2zqRdLdzuR0NuwlJioBixDuVnTYbvf0xiw8pE5F09lJpQS83S1ap6tqsNe+bFypF
qWzbVdt1lEJLb2OQFswPfPc2W5yDe7m9iZ6f/EfyW5dmEEo66FF0B/5W2plHInXytNnQaJfesPri
HVLwxsuk+ysLLRtYJE3MdniEOJns0g3Hia1Fa3nUEBpafbw8eUYRdBY6QfuTPNoENTEkvzmpZTZV
c3WqMmrizOliwd+GN190LWGcLg4r3rZqlh0zhvpowiurCJaxhov6d3w2E3JS3tfmiff8tfYaVdSe
888Cqetvn00cqgPn6TQpnX4P1o2iayQCe4rUAUTCu4YeLZOdzHwM4Bev42xyNbhk55d7cTrV7Jjx
NCI/t/EnyOIgTrp30GLBB0+1XefTeEATSCTwHhldIO+sKpFsqkvyq6AjEACb2TFIsQWNRyRUh9N1
j7BOvSI63fKtNWfl3MJkwxFbsONfOpFQGMQUomdduhRMf49+hQR2hz9laTxhrUaI6sjbgv7uapiQ
OzEbAl36SCJd32EMRWrqdr2VhzemsTjrZ9yoNJ9jZOmdK4mJA+Q7Rm3UWAEaqpNG9WuyCOb6OJcQ
fw+gkOlUahP2+xdg1jHatDtFeVoZt51TyXYXXbS3skrfoUPIZhsyvbUJ6QjAy1ePCQGzDqe3cFDN
svZEVULsEYwBLudppO+CjHI01Ml/rInRS7yfVreMy3+yemt9PMVGQCOMex3QDUp5EZeJgAe9TFM7
a108zzCDAwPaIcGfJWB+z/2Yf6R5JoylmyBJ1gUKY1f+blELnAUjLMdNUl/aKbMDO63bchLPD4ck
QFZtlEUxxc3puit340fVsk96Fd1zyaFHUapGvDR0tbYUsgTwvYMkL2IC7Cqv0jnGbI2C2u7OKSGn
lNMPGAMWTEkgTbqBMf5ykqblToLXp20RS+rnzCHDjhwLOpdm4EZNxW7TPGJ8F4Fdlg4wZBvLuF9r
EH1RvW3EARtYEp3oUBjb3oJjzA+gpRaOp8I3xyCT/tbxTJPlwCiSKn7YUddPJhyuwUC7PO1zZjR1
TBOrfjAUPRmXLAzvNnygmMPf8c3vobswE55HsFC0JB/e1tuEMY7Ig0fPL2lh0Ru+ZLPViD9dG2ZC
7R51kkTuWPR21Gah0C6TZecSlB588sYvWb6SjIL5ZaaHQUNGtJYlqY43RKTDrZycCnGCUCwZ0xdQ
mtaqBCYhNd3XB9JwwMUkG3MBzpaFDOP+WAtSr+C/AYVNgVKamFLjWD54wwiMsERWjTenShMtPHiv
XLQCDuDhZQq+9ybwp8M6/9zNGxcG6ajXGFX+usDgv1pAHbxF1Ce7WBUWmJbUX3n8EjTA9MKhuXnw
IudJv2CoHLe7+bD6xTjygqJgFSElGqvtBGre8GmERXqjZEtiOVkDatnSInZlSgA2ei6iI6B56k1S
SNUmO6us81/bRCYGblbQvz3orjbs2A9lFNXwx27oxzmYA77BEdxqvxMQxENB27S7C1OweAntnpai
dDoujVMcLr9pbf+mLDGjFx0y9KMoCH3ET7VxHZDYn3e/vqda/RC7bcOtyA485uAfowpHrx5zsuRr
wZnTiC3lrEm2fvvCoGx9t7zoQDpyHTqmV5pf3es6jbW9ufNpm6rKWt9jeYaxaxNcUzQuEVnwcrFY
ellhYYpXgRGJEUZqsBFUcH+9x+KgRNEsxSiPJ8eEq+/QtgqGFAI2oG3/wxupKtJ4RdaXvs5DlHTx
61aRFZ1GAk8FGgbNdQzX8LEInAyzpLYII/jXxdd7BeIwewUZyXsxFea9hfvltZA2bUNYHsHIWWXy
P5cXw2XmkHhliQQ5SMiEMj1GueAd8D7NpHDoBH2z9fwBTyceErQEKkKejz9aLbp9zqVBpAEIQgvv
KTzoJ9Bf4+bwIjDupiU8e4m66P7ACAacOSPxpYARHqIz/IeYCW4GhYATlwUbIedWB0HxKtb2zYVD
/Rem+dkFJX2OjJvjNDmOCFeY6op9JkLCigEkfbeAr3kjcs3KBkPaG/SQDnIQJbphLKlIsCjQKWuQ
7Vui8gmkmAfGaGE8ByZrgumWyKwp4/GERU0SI2ijkNG8CLwA1LrtcoL7RnBdohGIwp27iR8lm070
GzJuXJeoE1FD/dH/pT3heTB/saZiFgLuJJXOgMnckEsmeCVrDxkzReBc7IKF3iM6OUgsRE4B+Bnv
KwblPQGufEL61JdwMYgicvwKu4zxBq7iOnE5fU7PzpyTHcCPCQSOyUDFXfeFRAKpiqLKEq676JaB
YLTZfdpy9dAwU8y1GPquQXq7AXhZ3hyhbTFG16zP/0Qa7F7ouQ+h6bwslGmg5yQ6wsYmPIDsqPJ3
p/dVjyi9RfneTnomVEa9Rgs0GZvrVUwHyfl8DRc7wZVsxxCg0OzVDloP/mNunZzj2zDLr1tT6gNq
dQ7WnZHKkF+4zY22kQk1zUIfLjdTp3NM2uSkqEw+pVQDpQ3czmXpbMNP6zHJULbflamUaAmIhGzC
AxEeUm4BXlbzOcKeR0jYr62fQeaMlq8MsJumEtmiJAw5og8KEHWiP/R4XILrY/GHx2y4fAUpcGil
e60EO+9evIMi2dHwUwEl061JSjfwAQebQ6rn9VUkgCPKPavSdY3JTE28Hb8SYz2H/innMKuADnuY
R9zexBqeQdYu7fuxW6wz2xh1KqlTtNS6Zc/o5R7DrWyB1HYHpZWR+7tHb9amyqaQfl2LGTof2M54
j1nYex7zrp8avmQT+XqNJ3OD7lnjW64nicuw9t/fImDQPqH8JpIB1ZhVZoG7MBhYxN4ThJvatJ2Z
EjZj/Hy2kSkYiHcT2/TzF2JpMBs+8n6SQEHEQr95f1CC0N3rG3GC4HOSfpXHPlb7KnXUxEU7IBQx
mnR7hJQWYR7CO3hIXNlGUo+XXHvUJ6ehtT3jXe2CqGlKMG4km6BWKxHTe2oxLOGIHCWUGmDnSIMf
fnLoYCLYmm5XlTmnn33bVktPGPIW1I7Vn9pu+EnpQdo/vo1I0ZdMqMj2ULldNOjhJOjbe9ypBH7/
82HO5p2cW6VYerMN0mTLijR+st12VsGoXe1luGjjwTrs7ELryERRrh2lbsJmAtpK7kK+EMIWeMoq
rBrpNhCdgWV9em0gB/D29GD8tM6ZmO8JshX3pb8fx2WYCFQZpzc3HoZi2YJ0tKvrTt5JVLI8SfQR
/ZRfDXtSy54f1nAKCKUXswdtKGVoIX6hfM9bI38xQg4cTIxpcenkIxP43etzlRsTcGsm4XGA+E1G
bT0pfVRgh00DyoBz3V12o4fSdpd0diTzlGzRJvRMDXEsoVXzFSmkZxNoddAcloE0boS/js6z3X69
xE7THlwVvppSaJ6Pgh4ai9yo1LvcIf68isMgYd0Rq9LvC3MhUILgHhT/V5KSddkOUTliMb41b2Qt
BHpbCzh/zi75etilQhT8MioQQfj4A5KyWs8EXBiSDO5vDDPLIAyWSmX9SU/AggakGadE3D8SMe7Y
fR6gAX1XTv/u4SVMioiklY/cOHDnRdTzIu+H+Mrh0mqXoM5vMd5A+OmWdGQW4In7o7R0zJGaf4w2
+goPTD8/LqClhiXhP7DkiWRvnIUimIsrWuG/YkPn4wJkeN9w+B66+Z+cUrHPssd49rtopyidFprD
wm0Y8Lid36Duh3G4gbqNIdoSA+4GRYtGSew2S9hZBusMUhHFahuqCKcVrkAVfV+OvR6UH3MjT5+G
Ryc6MHrHSkn1EmJ6az1+C865EOdD1HEWLDGyaNkMq71FwxiGbct+XH0CWN56oTOfIYC03nQecMlH
KyNTAjppy27JnMteyI1BVFY0rIXtZYqIPrEMA5qKrVzTn3fP1vzXotXldd/RoXSWTChunsAh9kq1
5AKuwIIIfrFSRkpuldcgzsUV4oI8Mr8QVbafwx249z6EmBKPc4LibTqIXO79u2tHW0hNbEiVubiE
NvnZS5PWF5PwHfvdbP9fSh6tC0WrhsV8BRYju6pLG7p2RhS8P/YFoDXAeAhTp1NvefUSW+cr1MX6
avjHxVh/GOtzJbNhyx10hF3dd2S+zdpRHv0Ai4/H4dCWIXstRMdgvuD0Bo+qCaNz8jnD3K85qtDA
9UQQpnIJwuNtWlgADLksFEdPE1W1hillbxttE74gZFS5bi5GdFk4SwazItr6ihat54fvk45zzQZH
650aR44BiJsAvOu5VzbGVk8ScJLTSHWmzx6jua1e18YQLZMtZqqZ4QLCrll/X7QsqC8KLFsr37I4
F/VsXlaAyqN2g0JMCJz6SGEB6Wo0eSmBYLETmEI5bHK/65kRS0owELWO506SJXYVVTwsRTZoPhgY
XFm5VDAiVTa6JsInmXZOYT0YRUpg0bVDcdaGmwWhgWJ4O7FgI4kztFxBnG3XD13DVNvdcDT08R7c
QQOacmZJWbrX8aX4Sijaw6A/lleuzgmo2Vhp4NrgodaSgoKVRTj874MAiBXDiRDzqHFr1Ilx6lMe
kjmrjykr09o4V7BJKGUtLbyB55I/qLhqy9USWXgU4OvAeJh9wXGP3IGqiJn3YqB9o2wWEcwi6YUT
9DpOxn0wfk7C1fTcJbi75NCyqpSh4PLZMCysFWlIoHfTR2ZF6+5b9a9YmHSvRLpx/f2qX/K2qBwt
AXnQ4G+G1lXqiSjr3jvaxGTDXsNBqhZSXUZvMA9/1Czji9p1rXwFm26etdKhaqoBH7Qtm0SEXemL
kI8EYqWbE+djhn81usDI350IdKR2K/C3Ic6G++mrbQQDgzzsjns9kIVbk1zEIHJ9noSdG9V3vVQR
QKZ9yR+b8h5hAMVq0tL8zlCjFRjDpxWBlevn0aqEQ5pz1FoTl9f9qyrLeJTm8NLTT38af3FJ3kLQ
Ka7JiSnsH03Am+ndY4Ul0GZbnk34GoGmaWOuK01vRB2vHJgIVHlOQ/cPIXZTtJeFfBq/S+SYmo2H
tbuDkhw1MT0nSe/8flAQwHrW07mjOsZ4pzMM7hLNFM/vjx+wkA00MLmZS187fq0w1ZxRcEosMg1n
4TtwUEUbS270eN7VTlIbMJnwpXVJa1hsG3DHTgQwLleUwIZgDx39ueOc56Jm15X2Z9/mBhfG/Dsd
fyKjujoCzm0XC4XblaoyUwqHyiHduvGWT9KK2RvB1k6l6OFMt3Se3gIaV5BkMH7PD7bN6/a3T14I
GI5csCz/tvlmbooBQwOvWhb9a2WCgJkPzMaBIfOm6Tmr02wCZ0eIySN94kA/hqX9T6Fuz40U65Xh
kiyVvsDwINh+rpJ9sBrK2fHGKKdZhg8134dM8jKxkaSM1ZLd9dGhOk17BmP1/Z/L09/0UELAniD0
TOzFAaJbVEUou/6pY2RetdzJ/+mAokR7LnfB0+2cSgtb0fmm1dl2XJnujJR4il/OGcZxMepccBFo
bT351b/6nVbZ5bxS/FQPG9/5L5rKa13v6Zegtesx0kT0L9ApNx7vhsEKAH5VG+hHvHbf/wjcWJkK
Zm4GedhQizp4OJFak3TJ5EaJ1whQRroxy626xgQcl+0C53+g6wIWWcSODb7aIVivMCUtkOq1iVYg
L9Y6B7rSHup8TTTI2BmDHxdUFBW7oDL7Vpr5beoUFDhk2Te7xqQh+QRxW8kQhKLcg/EInA902dQG
i00mzWSVz0D8zIhjFppyrpZ5T0QSpaqECwkgHKw=
`protect end_protected
| gpl-3.0 |
HectorGPala/DAS | Practica3/synchronizer.vhd | 1 | 646 | --Practica3 de Diseño Automatico de Sistemas
--Cerrojo Electronico.
--Sincronizador de señal de entrada con clk.
--Desarrollada por Héctor Gutiérrez Palancarejo.
library ieee;
use ieee.std_logic_1164.all;
entity synchronizer is
port(
x : in std_logic;
rst : in std_logic;
clk : in std_logic;
xsync : out std_logic
);
end synchronizer;
architecture rtl of synchronizer is
signal xp : std_logic;
begin
clock : process(clk,rst)
begin
if(rst = '0') then
xp <= '1';
xsync <= '1';
elsif(rising_edge(clk)) then
xp <= x;
xsync <= xp;
end if;
end process;
end rtl;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_vdma_reg_mux.vhd | 2 | 592416 | -------------------------------------------------------------------------------
-- axi_vdma_reg_mux
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_reg_mux.vhd
-- Description: This entity is AXI VDMA Register Module Top Level
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdmantrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_regf.vhd
-- | |- axi_vdma_litef.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sgf.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdstsf.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sgf.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdstsf.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_reg_mux is
generic (
C_TOTAL_NUM_REGISTER : integer := 8 ;
-- Total number of defined registers for AXI VDMA. Used
-- to determine wrce and rdce vector widths.
C_INCLUDE_SG : integer range 0 to 1 := 1 ;
-- Include or Exclude Scatter Gather Engine
-- 0 = Exclude Scatter Gather Engine (Enables Register Direct Mode)
-- 1 = Include Scatter Gather Engine
C_CHANNEL_IS_MM2S : integer range 0 to 1 := 1 ;
-- Channel type for Read Mux
-- 0 = Channel is S2MM
-- 1 = Channel is MM2S
C_NUM_FSTORES : integer range 1 to 32 := 3 ;
-- Number of Frame Stores
C_ENABLE_VIDPRMTR_READS : integer range 0 to 1 := 1 ;
-- Specifies whether video parameters are readable by axi_lite interface
-- when configure for Register Direct Mode
-- 0 = Disable Video Parameter Reads
-- 1 = Enable Video Parameter Reads
C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ;
-- AXI Lite interface address width
C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ;
-- AXI Lite interface data width
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ;
-- Scatter Gather engine Address Width
C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32
-- Master AXI Memory Map Address Width for MM2S Write Port
);
port (
-----------------------------------------------------------------------
-- AXI Lite Control Interface
-----------------------------------------------------------------------
axi2ip_rdaddr : in std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) ; --
axi2ip_rden : in std_logic ; --
ip2axi_rddata : out std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
ip2axi_rddata_valid : out std_logic ; --
reg_index : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
dmacr : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
dmasr : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
dma_irq_mask : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
curdesc_lsb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
curdesc_msb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
taildesc_lsb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
taildesc_msb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
num_frame_store : in std_logic_vector --
(NUM_FRM_STORE_WIDTH-1 downto 0) ; --
linebuf_threshold : in std_logic_vector --
(THRESH_MSB_BIT downto 0) ; --
-- Register Direct Support --
reg_module_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
reg_module_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
reg_module_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
reg_module_frmdly : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
reg_module_start_address1 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address2 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address3 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address4 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address5 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address6 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address7 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address8 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address9 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address10 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address11 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address12 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address13 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address14 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address15 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address16 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address17 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address18 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address19 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address20 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address21 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address22 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address23 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address24 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address25 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address26 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address27 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address28 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address29 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address30 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address31 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address32 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) --
);
end axi_vdma_reg_mux;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_reg_mux is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
ATTRIBUTE DONT_TOUCH : STRING;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant VSIZE_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH-VSIZE_DWIDTH;
constant VSIZE_PAD : std_logic_vector(VSIZE_PAD_WIDTH-1 downto 0) := (others => '0');
constant HSIZE_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH-HSIZE_DWIDTH;
constant HSIZE_PAD : std_logic_vector(HSIZE_PAD_WIDTH-1 downto 0) := (others => '0');
constant FRMSTORE_ZERO_PAD : std_logic_vector
(C_S_AXI_LITE_DATA_WIDTH - 1
downto FRMSTORE_MSB_BIT+1) := (others => '0');
constant THRESH_ZERO_PAD : std_logic_vector
(C_S_AXI_LITE_DATA_WIDTH - 1
downto THRESH_MSB_BIT+1) := (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal read_addr_ri : std_logic_vector(8 downto 0) := (others => '0');
signal read_addr : std_logic_vector(7 downto 0) := (others => '0');
signal read_addr_sg_1 : std_logic_vector(7 downto 0) := (others => '0');
signal ip2axi_rddata_int : std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
ATTRIBUTE DONT_TOUCH OF ip2axi_rddata_int : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ip2axi_rddata <= ip2axi_rddata_int;
--*****************************************************************************
-- AXI LITE READ MUX
--*****************************************************************************
-- Register module is for MM2S Channel therefore look at
-- MM2S Register offsets
GEN_READ_MUX_FOR_MM2S : if C_CHANNEL_IS_MM2S = 1 generate
begin
-- Scatter Gather Mode Read MUX
GEN_READ_MUX_SG : if C_INCLUDE_SG = 1 generate
begin
--read_addr <= axi2ip_rdaddr(9 downto 0);
read_addr_sg_1 <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr_sg_1 ,
axi2ip_rden ,
dmacr ,
dmasr ,
curdesc_lsb ,
curdesc_msb ,
taildesc_lsb ,
taildesc_msb ,
num_frame_store,
linebuf_threshold)
begin
case read_addr_sg_1 is
when MM2S_DMACR_OFFSET_SG =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_SG =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_CURDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= curdesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_CURDESC_MSB_OFFSET_SG =>
ip2axi_rddata_int <= curdesc_msb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_TAILDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_TAILDESC_MSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_msb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_SG =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_SG =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_SG;
-- Register Direct Mode Read MUX
GEN_READ_MUX_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 1 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
read_addr_ri <= reg_index(0) & axi2ip_rdaddr(7 downto 0);
-- 1 start addresses
GEN_FSTORES_1 : if C_NUM_FSTORES = 1 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_1;
-- 2 start addresses
GEN_FSTORES_2 : if C_NUM_FSTORES = 2 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_2;
-- 3 start addresses
GEN_FSTORES_3 : if C_NUM_FSTORES = 3 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_3;
-- 4 start addresses
GEN_FSTORES_4 : if C_NUM_FSTORES = 4 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_4;
-- 5 start addresses
GEN_FSTORES_5 : if C_NUM_FSTORES = 5 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_5;
-- 6 start addresses
GEN_FSTORES_6 : if C_NUM_FSTORES = 6 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_6;
-- 7 start addresses
GEN_FSTORES_7 : if C_NUM_FSTORES = 7 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_7;
-- 8 start addresses
GEN_FSTORES_8 : if C_NUM_FSTORES = 8 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_8;
-- 9 start addresses
GEN_FSTORES_9 : if C_NUM_FSTORES = 9 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_9;
-- 10 start addresses
GEN_FSTORES_10 : if C_NUM_FSTORES = 10 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_10;
-- 11 start addresses
GEN_FSTORES_11 : if C_NUM_FSTORES = 11 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_11;
-- 12 start addresses
GEN_FSTORES_12 : if C_NUM_FSTORES = 12 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_12;
-- 13 start addresses
GEN_FSTORES_13 : if C_NUM_FSTORES = 13 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_13;
-- 14 start addresses
GEN_FSTORES_14 : if C_NUM_FSTORES = 14 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_14;
-- 15 start addresses
GEN_FSTORES_15 : if C_NUM_FSTORES = 15 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_15;
-- 16 start addresses
GEN_FSTORES_16 : if C_NUM_FSTORES = 16 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_16;
-- 17 start addresses
GEN_FSTORES_17 : if C_NUM_FSTORES = 17 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_17;
-- 18 start addresses
GEN_FSTORES_18 : if C_NUM_FSTORES = 18 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_18;
-- 19 start addresses
GEN_FSTORES_19 : if C_NUM_FSTORES = 19 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_19;
-- 20 start addresses
GEN_FSTORES_20 : if C_NUM_FSTORES = 20 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_20;
-- 21 start addresses
GEN_FSTORES_21 : if C_NUM_FSTORES = 21 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_21;
-- 22 start addresses
GEN_FSTORES_22 : if C_NUM_FSTORES = 22 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_22;
-- 23 start addresses
GEN_FSTORES_23 : if C_NUM_FSTORES = 23 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_23;
-- 24 start addresses
GEN_FSTORES_24 : if C_NUM_FSTORES = 24 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_24;
-- 25 start addresses
GEN_FSTORES_25 : if C_NUM_FSTORES = 25 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_25;
-- 26 start addresses
GEN_FSTORES_26 : if C_NUM_FSTORES = 26 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_26;
-- 27 start addresses
GEN_FSTORES_27 : if C_NUM_FSTORES = 27 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_27;
-- 28 start addresses
GEN_FSTORES_28 : if C_NUM_FSTORES = 28 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_28;
-- 29 start addresses
GEN_FSTORES_29 : if C_NUM_FSTORES = 29 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_29;
-- 30 start addresses
GEN_FSTORES_30 : if C_NUM_FSTORES = 30 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_30;
-- 31 start addresses
GEN_FSTORES_31 : if C_NUM_FSTORES = 31 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_31;
-- 32 start addresses
GEN_FSTORES_32 : if C_NUM_FSTORES = 32 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31 ,
reg_module_start_address32)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR32_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address32;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_32;
end generate GEN_READ_MUX_REG_DIRECT;
-- Register Direct Mode Read MUX
GEN_READ_MUX_LITE_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 0 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
reg_index ,
dmasr ,
num_frame_store ,
linebuf_threshold)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_8 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_LITE_REG_DIRECT;
end generate GEN_READ_MUX_FOR_MM2S;
-- Register module is for S2MM Channel therefore look at
-- S2MM Register offsets
GEN_READ_MUX_FOR_S2MM : if C_CHANNEL_IS_MM2S = 0 generate
begin
-- Scatter Gather Mode Read MUX
GEN_READ_MUX_SG : if C_INCLUDE_SG = 1 generate
begin
--read_addr <= axi2ip_rdaddr(9 downto 0);
read_addr_sg_1 <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr_sg_1 ,
axi2ip_rden ,
dmacr ,
dmasr ,
curdesc_lsb ,
dma_irq_mask ,
taildesc_lsb ,
taildesc_msb ,
num_frame_store,
linebuf_threshold)
begin
case read_addr_sg_1 is
when S2MM_DMACR_OFFSET_SG =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_SG =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_CURDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= curdesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_SG =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_TAILDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_TAILDESC_MSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_msb;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_SG =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_SG =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_SG;
-- Register Direct Mode Read MUX
GEN_READ_MUX_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 1 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
read_addr_ri <= reg_index(0) & axi2ip_rdaddr(7 downto 0);
-- 17 start addresses
-- 1 start addresses
GEN_FSTORES_1 : if C_NUM_FSTORES = 1 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_1;
-- 2 start addresses
GEN_FSTORES_2 : if C_NUM_FSTORES = 2 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_2;
-- 3 start addresses
GEN_FSTORES_3 : if C_NUM_FSTORES = 3 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_3;
-- 4 start addresses
GEN_FSTORES_4 : if C_NUM_FSTORES = 4 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_4;
-- 5 start addresses
GEN_FSTORES_5 : if C_NUM_FSTORES = 5 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_5;
-- 6 start addresses
GEN_FSTORES_6 : if C_NUM_FSTORES = 6 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_6;
-- 7 start addresses
GEN_FSTORES_7 : if C_NUM_FSTORES = 7 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_7;
-- 8 start addresses
GEN_FSTORES_8 : if C_NUM_FSTORES = 8 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_8;
-- 9 start addresses
GEN_FSTORES_9 : if C_NUM_FSTORES = 9 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_9;
-- 10 start addresses
GEN_FSTORES_10 : if C_NUM_FSTORES = 10 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_10;
-- 11 start addresses
GEN_FSTORES_11 : if C_NUM_FSTORES = 11 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_11;
-- 12 start addresses
GEN_FSTORES_12 : if C_NUM_FSTORES = 12 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_12;
-- 13 start addresses
GEN_FSTORES_13 : if C_NUM_FSTORES = 13 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_13;
-- 14 start addresses
GEN_FSTORES_14 : if C_NUM_FSTORES = 14 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_14;
-- 15 start addresses
GEN_FSTORES_15 : if C_NUM_FSTORES = 15 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_15;
-- 16 start addresses
GEN_FSTORES_16 : if C_NUM_FSTORES = 16 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_16;
-- 17 start addresses
GEN_FSTORES_17 : if C_NUM_FSTORES = 17 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_17;
-- 18 start addresses
GEN_FSTORES_18 : if C_NUM_FSTORES = 18 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_18;
-- 19 start addresses
GEN_FSTORES_19 : if C_NUM_FSTORES = 19 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_19;
-- 20 start addresses
GEN_FSTORES_20 : if C_NUM_FSTORES = 20 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_20;
-- 21 start addresses
GEN_FSTORES_21 : if C_NUM_FSTORES = 21 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_21;
-- 22 start addresses
GEN_FSTORES_22 : if C_NUM_FSTORES = 22 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_22;
-- 23 start addresses
GEN_FSTORES_23 : if C_NUM_FSTORES = 23 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_23;
-- 24 start addresses
GEN_FSTORES_24 : if C_NUM_FSTORES = 24 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_24;
-- 25 start addresses
GEN_FSTORES_25 : if C_NUM_FSTORES = 25 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_25;
-- 26 start addresses
GEN_FSTORES_26 : if C_NUM_FSTORES = 26 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_26;
-- 27 start addresses
GEN_FSTORES_27 : if C_NUM_FSTORES = 27 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_27;
-- 28 start addresses
GEN_FSTORES_28 : if C_NUM_FSTORES = 28 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_28;
-- 29 start addresses
GEN_FSTORES_29 : if C_NUM_FSTORES = 29 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_29;
-- 30 start addresses
GEN_FSTORES_30 : if C_NUM_FSTORES = 30 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_30;
-- 31 start addresses
GEN_FSTORES_31 : if C_NUM_FSTORES = 31 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_31;
-- 32 start addresses
GEN_FSTORES_32 : if C_NUM_FSTORES = 32 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31 ,
reg_module_start_address32)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR32_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address32;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_32;
end generate GEN_READ_MUX_REG_DIRECT;
-- Register Direct Mode Read MUX
GEN_READ_MUX_LITE_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 0 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
reg_index ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_8 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_LITE_REG_DIRECT;
end generate GEN_READ_MUX_FOR_S2MM;
end implementation;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_decoder.vhd | 8 | 24873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/sim/shd_fifo.vhd | 1 | 33639 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_0_1;
USE fifo_generator_v13_0_1.fifo_generator_v13_0_1;
ENTITY shd_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END shd_fifo;
ARCHITECTURE shd_fifo_arch OF shd_fifo IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF shd_fifo_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_0_1 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(127 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(127 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_0_1;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF wr_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 write_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rd_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 read_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v13_0_1
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 9,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 128,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 128,
C_ENABLE_RLOCS => 0,
C_FAMILY => "virtex7",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 511,
C_PROG_FULL_THRESH_NEGATE_VAL => 510,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 9,
C_RD_DEPTH => 512,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 9,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 9,
C_WR_DEPTH => 512,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 9,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END shd_fifo_arch;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_ms_strb_set.vhd | 18 | 62690 | -------------------------------------------------------------------------------
-- axi_datamover_ms_strb_set.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_ms_strb_set.vhd
--
-- Description:
-- This module implements a function to detect the most significant strobe
-- bit asserted and outputs the index value of that strobe bit. It can only
-- be used in applications where the asserted strobe bits are contiguous and
-- always asserted from LS to MS bit positions,
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_ms_strb_set is
generic (
C_STRB_WIDTH : Integer := 8;
-- Sets the width of the input strobe port
C_INDEX_WIDTH : Integer := 3
-- Sets the width of the ms_strb_index output port
-- Should be log2(C_STRB_WIDTH)
);
port (
-- Input strobe value ------------------------------------------------
strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Specifies the index of the most significant strobe set ------------
ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Invalid strobe input Indcation ------------------------------------
strb_error : Out std_logic --
-- Indicates an error with the strobe input, either a hole in the --
-- asserted strobes or not asserted from LS bits upwards. --
----------------------------------------------------------------------
);
end entity axi_datamover_ms_strb_set;
architecture implementation of axi_datamover_ms_strb_set is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_2
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 2-bit wide strobe value.
--
-------------------------------------------------------------------
function get_ms_index_2 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(1 downto 0);
begin
var_strb_value := input_strobe(1 downto 0);
case var_strb_value is
when "01" =>
var_ms_strb_index := 0;
when "11" | "10" =>
var_ms_strb_index := 1;
when others =>
var_ms_strb_index := 2;
end case;
Return (var_ms_strb_index);
end function get_ms_index_2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_4
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 4-bit wide strobe value.
--
-------------------------------------------------------------------
function get_ms_index_4 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(3 downto 0);
begin
var_strb_value := input_strobe(3 downto 0);
case var_strb_value is
when "0001" =>
var_ms_strb_index := 0;
when "0011" | "0010" =>
var_ms_strb_index := 1;
when "0111" | "0110" | "0100" =>
var_ms_strb_index := 2;
when "1111" | "1110" | "1100" | "1000"=>
var_ms_strb_index := 3;
when others =>
var_ms_strb_index := 4;
end case;
Return (var_ms_strb_index);
end function get_ms_index_4;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_8
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 8-bit wide strobe value.
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_8 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(7 downto 0);
begin
var_strb_value := input_strobe(7 downto 0);
case var_strb_value is
when "00000001" =>
var_ms_strb_index := 0;
when "00000010" =>
var_ms_strb_index := 1;
when "00000100" =>
var_ms_strb_index := 2;
when "00001000" =>
var_ms_strb_index := 3;
when "00010000" =>
var_ms_strb_index := 4;
when "00100000" =>
var_ms_strb_index := 5;
when "01000000" =>
var_ms_strb_index := 6;
when "10000000" =>
var_ms_strb_index := 7;
when others =>
var_ms_strb_index := 8;
end case;
Return (var_ms_strb_index);
end function get_ms_index_8;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_16
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 16-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_16 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(15 downto 0);
begin
var_strb_value := input_strobe(15 downto 0);
case var_strb_value is
when "0000000000000001" =>
var_ms_strb_index := 0;
when "0000000000000010" =>
var_ms_strb_index := 1;
when "0000000000000100" =>
var_ms_strb_index := 2;
when "0000000000001000" =>
var_ms_strb_index := 3;
when "0000000000010000" =>
var_ms_strb_index := 4;
when "0000000000100000" =>
var_ms_strb_index := 5;
when "0000000001000000" =>
var_ms_strb_index := 6;
when "0000000010000000" =>
var_ms_strb_index := 7;
when "0000000100000000" =>
var_ms_strb_index := 8;
when "0000001000000000" =>
var_ms_strb_index := 9;
when "0000010000000000" =>
var_ms_strb_index := 10;
when "0000100000000000" =>
var_ms_strb_index := 11;
when "0001000000000000" =>
var_ms_strb_index := 12;
when "0010000000000000" =>
var_ms_strb_index := 13;
when "0100000000000000" =>
var_ms_strb_index := 14;
when "1000000000000000" =>
var_ms_strb_index := 15;
when others =>
var_ms_strb_index := 16;
end case;
Return (var_ms_strb_index);
end function get_ms_index_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_32
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 32-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_32 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(31 downto 0);
begin
var_strb_value := input_strobe(31 downto 0);
case var_strb_value is
when "00000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "00000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "00000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "00000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "00000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "00000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "00000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "00000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "00000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "00000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "00000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "00000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "00000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "00000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "00000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "00000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "00000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "00000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "00000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "00000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "00000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "00000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "00000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "00000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "00000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "00000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "00000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "00001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "00010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "00100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "01000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "10000000000000000000000000000000" =>
var_ms_strb_index := 31;
when others =>
var_ms_strb_index := 32;
end case;
Return (var_ms_strb_index);
end function get_ms_index_32;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_64
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 64-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_64 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(63 downto 0);
begin
var_strb_value := input_strobe(63 downto 0);
case var_strb_value is
when "0000000000000000000000000000000000000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "0000000000000000000000000000000000000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "0000000000000000000000000000000000000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "0000000000000000000000000000000000000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "0000000000000000000000000000000000000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "0000000000000000000000000000000000000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "0000000000000000000000000000000000000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "0000000000000000000000000000000000000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "0000000000000000000000000000000000000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "0000000000000000000000000000000000000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "0000000000000000000000000000000000000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "0000000000000000000000000000000000000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "0000000000000000000000000000000000000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "0000000000000000000000000000000000000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "0000000000000000000000000000000000000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "0000000000000000000000000000000000000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "0000000000000000000000000000000000000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "0000000000000000000000000000000000000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "0000000000000000000000000000000000000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "0000000000000000000000000000000000000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "0000000000000000000000000000000000000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "0000000000000000000000000000000000000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "0000000000000000000000000000000000000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "0000000000000000000000000000000000000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "0000000000000000000000000000000000000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "0000000000000000000000000000000000000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "0000000000000000000000000000000000000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "0000000000000000000000000000000000001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "0000000000000000000000000000000000010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "0000000000000000000000000000000000100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "0000000000000000000000000000000001000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "0000000000000000000000000000000010000000000000000000000000000000" =>
var_ms_strb_index := 31;
when "0000000000000000000000000000000100000000000000000000000000000000" =>
var_ms_strb_index := 32;
when "0000000000000000000000000000001000000000000000000000000000000000" =>
var_ms_strb_index := 33;
when "0000000000000000000000000000010000000000000000000000000000000000" =>
var_ms_strb_index := 34;
when "0000000000000000000000000000100000000000000000000000000000000000" =>
var_ms_strb_index := 35;
when "0000000000000000000000000001000000000000000000000000000000000000" =>
var_ms_strb_index := 36;
when "0000000000000000000000000010000000000000000000000000000000000000" =>
var_ms_strb_index := 37;
when "0000000000000000000000000100000000000000000000000000000000000000" =>
var_ms_strb_index := 38;
when "0000000000000000000000001000000000000000000000000000000000000000" =>
var_ms_strb_index := 39;
when "0000000000000000000000010000000000000000000000000000000000000000" =>
var_ms_strb_index := 40;
when "0000000000000000000000100000000000000000000000000000000000000000" =>
var_ms_strb_index := 41;
when "0000000000000000000001000000000000000000000000000000000000000000" =>
var_ms_strb_index := 42;
when "0000000000000000000010000000000000000000000000000000000000000000" =>
var_ms_strb_index := 43;
when "0000000000000000000100000000000000000000000000000000000000000000" =>
var_ms_strb_index := 44;
when "0000000000000000001000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 45;
when "0000000000000000010000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 46;
when "0000000000000000100000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 47;
when "0000000000000001000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 48;
when "0000000000000010000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 49;
when "0000000000000100000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 50;
when "0000000000001000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 51;
when "0000000000010000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 52;
when "0000000000100000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 53;
when "0000000001000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 54;
when "0000000010000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 55;
when "0000000100000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 56;
when "0000001000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 57;
when "0000010000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 58;
when "0000100000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 59;
when "0001000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 60;
when "0010000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 61;
when "0100000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 62;
when "1000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 63;
when others =>
var_ms_strb_index := 64;
end case;
Return (var_ms_strb_index);
end function get_ms_index_64;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_128
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 64-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_128 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(127 downto 0);
begin
var_strb_value := input_strobe(127 downto 0);
case var_strb_value is
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" =>
var_ms_strb_index := 31;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" =>
var_ms_strb_index := 32;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" =>
var_ms_strb_index := 33;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" =>
var_ms_strb_index := 34;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" =>
var_ms_strb_index := 35;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" =>
var_ms_strb_index := 36;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" =>
var_ms_strb_index := 37;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" =>
var_ms_strb_index := 38;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" =>
var_ms_strb_index := 39;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" =>
var_ms_strb_index := 40;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" =>
var_ms_strb_index := 41;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" =>
var_ms_strb_index := 42;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" =>
var_ms_strb_index := 43;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" =>
var_ms_strb_index := 44;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 45;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 46;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 47;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 48;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 49;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 50;
when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 51;
when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 52;
when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 53;
when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 54;
when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 55;
when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 56;
when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 57;
when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 58;
when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 59;
when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 60;
when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 61;
when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 62;
when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 63;
when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 64;
when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 65;
when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 66;
when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 67;
when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 68;
when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 69;
when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 70;
when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 71;
when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 72;
when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 73;
when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 74;
when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 75;
when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 76;
when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 77;
when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 78;
when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 79;
when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 80;
when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 81;
when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 82;
when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 83;
when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 84;
when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 85;
when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 86;
when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 87;
when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 88;
when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 89;
when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 90;
when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 91;
when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 92;
when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 93;
when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 94;
when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 95;
when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 96;
when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 97;
when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 98;
when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 99;
when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 100;
when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 101;
when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 102;
when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 103;
when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 104;
when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 105;
when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 106;
when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 107;
when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 108;
when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 109;
when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 110;
when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 111;
when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 112;
when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 113;
when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 114;
when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 115;
when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 116;
when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 117;
when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 118;
when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 119;
when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 120;
when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 121;
when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 122;
when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 123;
when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 124;
when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 125;
when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 126;
when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 127;
when others =>
var_ms_strb_index := 128;
end case;
Return (var_ms_strb_index);
end function get_ms_index_128;
-- Constants
Constant ERROR_INDEX : natural := C_STRB_WIDTH;
Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value
Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value
-- Signals
signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_error : std_logic := '0';
signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0');
signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin --(architecture implementation)
-- Assign the ms asserted strobe value
ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un);
-- Assign the input strobe
sig_input_stbs <= strbs_in ; -- assign input strobes
-- Assign the strobe eror output
strb_error <= sig_strb_error ; -- assign the strobe error output
-- Rip the valid index bits
sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0);
-- Assert the Strobe Error output if an out of range index is returned
sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ;
sig_strb_error <= '1'
When (sig_temp_ms_index_nat >= ERROR_INDEX)
else '0';
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_1BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 1-bit strobe width case.
--
--
------------------------------------------------------------
GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate
begin
sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH);
end generate GEN_1BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_2BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 2-bit strobe width case.
--
--
------------------------------------------------------------
GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin
lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_2BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_4BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 4-bit strobe width case.
--
------------------------------------------------------------
GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin
lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_4BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_8BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 8-bit strobe width case.
--
------------------------------------------------------------
GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_8BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_16BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 16-bit strobe width case.
--
--
------------------------------------------------------------
GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_16BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_32BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 32-bit strobe width case.
--
------------------------------------------------------------
GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_32BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_64BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 64-bit strobe width case.
--
------------------------------------------------------------
GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_64BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_128BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 128-bit strobe width case.
--
------------------------------------------------------------
GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_128BIT_CASE;
end implementation;
| gpl-3.0 |
fmadotto/DS_sha256 | src/hdl/old_design/reg_H_minus_1.vhd | 1 | 3308 | -- Copyright (c) 2016 Federico Madotto and Coline Doebelin
-- federico.madotto (at) gmail.com
-- coline.doebelin (at) gmail.com
-- https://github.com/fmadotto/DS_bitcoin_miner
-- reg_H_minus_1.vhd is part of DS_bitcoin_miner.
-- DS_bitcoin_miner is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- DS_bitcoin_miner is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all; -- std_logic
use ieee.std_logic_arith.all; -- signed/unsigned, conv_integer(), conv_std_logic_vector(signal, no. bit)
use ieee.numeric_std.all; -- to_integer()
entity reg_H_minus_1 is
port (
clk : in std_ulogic; -- clock
rstn : in std_ulogic; -- asynchronous active low reset
reg_H_minus_1_en : in std_ulogic; -- enable signal for the H(i-1) registers
reg_H_minus_1_sel : in std_ulogic; -- select signal for the H(i-1) registers
H_i_A,
H_i_B,
H_i_C,
H_i_D,
H_i_E,
H_i_F,
H_i_G,
H_i_H : in std_ulogic_vector(31 downto 0); -- resulting hash value H_(i) from datapath (to be stored)
H_iminus1_A,
H_iminus1_B,
H_iminus1_C,
H_iminus1_D,
H_iminus1_E,
H_iminus1_F,
H_iminus1_G,
H_iminus1_H : out std_ulogic_vector(31 downto 0) -- intermediate hash value H_(i-1)
);
end entity reg_H_minus_1;
architecture behav of reg_H_minus_1 is
type H_array_type is array(0 to 7) of std_ulogic_vector(31 downto 0);
constant H0 : H_array_type := (
x"6a09e667", x"bb67ae85", x"3c6ef372", x"a54ff53a",
x"510e527f", x"9b05688c", x"1f83d9ab", x"5be0cd19"
);
signal H_iminus1 : H_array_type;
begin
process (clk, rstn) -- asynchronous reset
begin
if rstn = '0' then
H_iminus1 <= (others => x"00000000");
elsif clk'event and clk = '1' then
if reg_H_minus_1_en = '1' then
if reg_H_minus_1_sel = '0' then
H_iminus1(0) <= H0(0);
H_iminus1(1) <= H0(1);
H_iminus1(2) <= H0(2);
H_iminus1(3) <= H0(3);
H_iminus1(4) <= H0(4);
H_iminus1(5) <= H0(5);
H_iminus1(6) <= H0(6);
H_iminus1(7) <= H0(7);
elsif reg_H_minus_1_sel = '1' then
H_iminus1(0) <= H_i_A;
H_iminus1(1) <= H_i_B;
H_iminus1(2) <= H_i_C;
H_iminus1(3) <= H_i_D;
H_iminus1(4) <= H_i_E;
H_iminus1(5) <= H_i_F;
H_iminus1(6) <= H_i_G;
H_iminus1(7) <= H_i_H;
end if;
end if;
end if;
end process;
H_iminus1_A <= H_iminus1(0);
H_iminus1_B <= H_iminus1(1);
H_iminus1_C <= H_iminus1(2);
H_iminus1_D <= H_iminus1(3);
H_iminus1_E <= H_iminus1(4);
H_iminus1_F <= H_iminus1(5);
H_iminus1_G <= H_iminus1(6);
H_iminus1_H <= H_iminus1(7);
end architecture behav;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_encoder.vhd | 8 | 20893 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
| gpl-3.0 |
HectorGPala/DAS | Practica4/edgedetector.vhd | 1 | 721 | --Practica4 de Diseño Automatico de Sistemas
--Cronometro.
--Detector de Flancos.
--Desarrollada por Héctor Gutiérrez Palancarejo.
library ieee;
use ieee.std_logic_1164.all;
entity edgedetector is
port(
rst : in std_logic;
x : in std_logic;
clk : in std_logic;
x_falling_edge : out std_logic;
x_rising_edge : out std_logic
);
end edgedetector;
architecture rtl of edgedetector is
signal q1,q2 : std_logic;
begin
edge : process(clk,rst)
begin
if(rst = '0') then
q1 <= '1';
q2 <= '1';
elsif(rising_edge(clk)) then
q2 <= q1;
q1 <= x;
end if;
end process;
x_falling_edge <= q2 and not(q1);
x_rising_edge <= q1 and not(q2);
end rtl;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_rd_status_cntl.vhd | 18 | 19292 | -------------------------------------------------------------------------------
-- axi_datamover_rd_status_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_status_cntl.vhd
--
-- Description:
-- This file implements the DataMover Master Read Status Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_rd_status_cntl is
generic (
C_STS_WIDTH : Integer := 8;
-- sets the width of the Status ports
C_TAG_WIDTH : Integer range 1 to 8 := 4
-- Sets the width of the Tag field in the Status reply
);
port (
-- Clock and Reset input --------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
---------------------------------------------------------------
-- Command Calculator Status Interface ---------------------------
--
calc2rsc_calc_error : in std_logic ; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------
-- Address Controller Status Interface ----------------------------
--
addr2rsc_calc_error : In std_logic ; --
-- Indication from the Data Channel Controller FIFO that it --
-- is empty (no commands pending) --
--
addr2rsc_fifo_empty : In std_logic ; --
-- Indication from the Address Controller FIFO that it --
-- is empty (no commands pending) --
-------------------------------------------------------------------
-- Data Controller Status Interface ---------------------------------------------
--
data2rsc_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The command tag --
--
data2rsc_calc_error : In std_logic ; --
-- Indication from the Data Channel Controller FIFO that it --
-- is empty (no commands pending) --
--
data2rsc_okay : In std_logic ; --
-- Indication that the AXI Read transfer completed with OK status --
--
data2rsc_decerr : In std_logic ; --
-- Indication that the AXI Read transfer completed with decode error status --
--
data2rsc_slverr : In std_logic ; --
-- Indication that the AXI Read transfer completed with slave error status --
--
data2rsc_cmd_cmplt : In std_logic ; --
-- Indication by the Data Channel Controller that the --
-- corresponding status is the last status for a parent command --
-- pulled from the command FIFO --
--
rsc2data_ready : Out std_logic; --
-- Handshake bit from the Read Status Controller Module indicating --
-- that the it is ready to accept a new Read status transfer --
--
data2rsc_valid : in std_logic ; --
-- Handshake bit output to the Read Status Controller Module --
-- indicating that the Data Controller has valid tag and status --
-- indicators to transfer --
----------------------------------------------------------------------------------
-- Command/Status Module Interface ----------------------------------------------
--
rsc2stat_status : Out std_logic_vector(C_STS_WIDTH-1 downto 0); --
-- Read Status value collected during a Read Data transfer --
-- Output to the Command/Status Module --
--
stat2rsc_status_ready : In std_logic; --
-- Input from the Command/Status Module indicating that the --
-- Status Reg/FIFO is ready to accept a transfer --
--
rsc2stat_status_valid : Out std_logic ; --
-- Control Signal to the Status Reg/FIFO indicating a new status --
-- output value is valid and ready for transfer --
---------------------------------------------------------------------------------
-- Address and Data Controller Pipe halt ----------------------------------
--
rsc2mstr_halt_pipe : Out std_logic --
-- Indication to Halt the Data and Address Command pipeline due --
-- to the Status FIFO going full or an internal error being logged --
---------------------------------------------------------------------------
);
end entity axi_datamover_rd_status_cntl;
architecture implementation of axi_datamover_rd_status_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant OKAY : std_logic_vector(1 downto 0) := "00";
Constant EXOKAY : std_logic_vector(1 downto 0) := "01";
Constant SLVERR : std_logic_vector(1 downto 0) := "10";
Constant DECERR : std_logic_vector(1 downto 0) := "11";
Constant STAT_RSVD : std_logic_vector(3 downto 0) := "0000";
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant STAT_REG_TAG_WIDTH : integer := 4;
-- Signal Declarations --------------------------------------------
signal sig_tag2status : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_rsc2status_valid : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_rd_sts_okay_reg : std_logic := '0';
signal sig_rd_sts_interr_reg : std_logic := '0';
signal sig_rd_sts_decerr_reg : std_logic := '0';
signal sig_rd_sts_slverr_reg : std_logic := '0';
signal sig_rd_sts_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_rd_sts_reg : std_logic := '0';
signal sig_push_rd_sts_reg : std_logic := '0';
Signal sig_rd_sts_push_ok : std_logic := '0';
signal sig_rd_sts_reg_empty : std_logic := '0';
signal sig_rd_sts_reg_full : std_logic := '0';
begin --(architecture implementation)
-- Assign the status write output control
rsc2stat_status_valid <= sig_rsc2status_valid ;
sig_rsc2status_valid <= sig_rd_sts_reg_full;
-- Formulate the status outout value (assumes an 8-bit status width)
rsc2stat_status <= sig_rd_sts_okay_reg &
sig_rd_sts_slverr_reg &
sig_rd_sts_decerr_reg &
sig_rd_sts_interr_reg &
sig_tag2status;
-- Detect that a push of a new status word is completing
sig_rd_sts_push_ok <= sig_rsc2status_valid and
stat2rsc_status_ready;
-- Signal a halt to the execution pipe if new status
-- is valid but the Status FIFO is not accepting it
rsc2mstr_halt_pipe <= sig_rsc2status_valid and
(not(stat2rsc_status_ready) );
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_TAG_LE_STAT
--
-- If Generate Description:
-- Populates the TAG bits into the availble Status bits when
-- the TAG width is less than or equal to the available number
-- of bits in the Status word.
--
------------------------------------------------------------
GEN_TAG_LE_STAT : if (TAG_WIDTH <= STAT_REG_TAG_WIDTH) generate
-- local signals
signal lsig_temp_tag_small : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0) := (others => '0');
begin
sig_tag2status <= lsig_temp_tag_small;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: POPULATE_SMALL_TAG
--
-- Process Description:
--
--
-------------------------------------------------------------
POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg)
begin
-- Set default value
lsig_temp_tag_small <= (others => '0');
-- Now overload actual TAG bits
lsig_temp_tag_small(TAG_WIDTH-1 downto 0) <= sig_rd_sts_tag_reg;
end process POPULATE_SMALL_TAG;
end generate GEN_TAG_LE_STAT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_TAG_GT_STAT
--
-- If Generate Description:
-- Populates the TAG bits into the availble Status bits when
-- the TAG width is greater than the available number of
-- bits in the Status word. The upper bits of the TAG are
-- clipped off (discarded).
--
------------------------------------------------------------
GEN_TAG_GT_STAT : if (TAG_WIDTH > STAT_REG_TAG_WIDTH) generate
-- local signals
signal lsig_temp_tag_big : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0);
begin
sig_tag2status <= lsig_temp_tag_big;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: POPULATE_BIG_TAG
--
-- Process Description:
--
--
-------------------------------------------------------------
POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg)
begin
-- Set default value
lsig_temp_tag_big <= (others => '0');
-- Now overload actual TAG bits
lsig_temp_tag_big <= sig_rd_sts_tag_reg(STAT_REG_TAG_WIDTH-1 downto 0);
end process POPULATE_SMALL_TAG;
end generate GEN_TAG_GT_STAT;
------- Read Status Collection Logic --------------------------------
rsc2data_ready <= sig_rsc2data_ready ;
sig_rsc2data_ready <= sig_rd_sts_reg_empty;
sig_push_rd_sts_reg <= data2rsc_valid and
sig_rsc2data_ready;
sig_pop_rd_sts_reg <= sig_rd_sts_push_ok;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: RD_STATUS_FIFO_REG
--
-- Process Description:
-- Implement Read status FIFO register.
-- This register holds the Read status from the Data Controller
-- until it is transfered to the Status FIFO.
--
-------------------------------------------------------------
RD_STATUS_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_rd_sts_reg = '1') then
sig_rd_sts_tag_reg <= (others => '0');
sig_rd_sts_interr_reg <= '0';
sig_rd_sts_decerr_reg <= '0';
sig_rd_sts_slverr_reg <= '0';
sig_rd_sts_okay_reg <= '1'; -- set back to default of "OKAY"
sig_rd_sts_reg_full <= '0';
sig_rd_sts_reg_empty <= '1';
Elsif (sig_push_rd_sts_reg = '1') Then
sig_rd_sts_tag_reg <= data2rsc_tag;
sig_rd_sts_interr_reg <= data2rsc_calc_error or
sig_rd_sts_interr_reg;
sig_rd_sts_decerr_reg <= data2rsc_decerr or sig_rd_sts_decerr_reg;
sig_rd_sts_slverr_reg <= data2rsc_slverr or sig_rd_sts_slverr_reg;
sig_rd_sts_okay_reg <= data2rsc_okay and
not(data2rsc_decerr or
sig_rd_sts_decerr_reg or
data2rsc_slverr or
sig_rd_sts_slverr_reg or
data2rsc_calc_error or
sig_rd_sts_interr_reg
);
sig_rd_sts_reg_full <= data2rsc_cmd_cmplt or
data2rsc_calc_error;
sig_rd_sts_reg_empty <= not(data2rsc_cmd_cmplt or
data2rsc_calc_error);
else
null; -- hold current state
end if;
end if;
end process RD_STATUS_FIFO_REG;
end implementation;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/ramfifo/axi_reg_slice.vhd | 6 | 17522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_vdma_lite_if.vhd | 2 | 64732 | -------------------------------------------------------------------------------
-- axi_vdma_lite_if
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_lite_if.vhd
-- Description: This entity is AXI Lite Interface Module for the AXI DMA
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
library lib_cdc_v1_0;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_vdma_lite_if is
generic(
C_MM2S_IS : integer range 0 to 1 := 1;
-- Include or exclude MM2S channel
-- 0 = exclude mm2s channel
-- 1 = include mm2s channel
C_S2MM_IS : integer range 0 to 1 := 1;
-- Include or exclude S2MM channel
-- 0 = exclude s2mm channel
-- 1 = include s2mm channel
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 1;
-- Specifies the AXI Lite clock is asynchronous
-- 0 = AXI Clocks are Synchronous
-- 1 = AXI Clocks are Asynchronous
C_NUM_CE : integer := 8 ;
C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ;
C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32
);
port (
----------------------------------------------------------------------- --
-- AXI Lite Control Interface --
----------------------------------------------------------------------- --
s_axi_lite_aclk : in std_logic ; --
s_axi_lite_aresetn : in std_logic ; --
m_axi_mm2s_aclk : in std_logic ; --
mm2s_hrd_resetn : in std_logic ; --
m_axi_s2mm_aclk : in std_logic ; --
s2mm_hrd_resetn : in std_logic ; --
--
-- AXI Lite Write Address Channel --
s_axi_lite_awvalid : in std_logic ; --
s_axi_lite_awready : out std_logic ; --
s_axi_lite_awaddr : in std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); --
--
-- AXI Lite Write Data Channel --
s_axi_lite_wvalid : in std_logic ; --
s_axi_lite_wready : out std_logic ; --
s_axi_lite_wdata : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); --
--
-- AXI Lite Write Response Channel --
s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; --
s_axi_lite_bvalid : out std_logic ; --
s_axi_lite_bready : in std_logic ; --
--
-- AXI Lite Read Address Channel --
s_axi_lite_arvalid : in std_logic ; --
s_axi_lite_arready : out std_logic ; --
s_axi_lite_araddr : in std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); --
s_axi_lite_rvalid : out std_logic ; --
s_axi_lite_rready : in std_logic ; --
s_axi_lite_rdata : out std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); --
s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; --
--
axi2ip_lite_rdaddr : out std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); --
-- MM2S Reg Interface signals --
mm2s_axi2ip_wrdata : out std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); --
mm2s_axi2ip_wrce : out std_logic_vector --
(C_NUM_CE-1 downto 0) ; --
mm2s_ip2axi_rddata : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
mm2s_axi2ip_rdaddr : out std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); --
-- S2MM Reg Interface signals --
s2mm_axi2ip_wrdata : out std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); --
s2mm_axi2ip_wrce : out std_logic_vector --
(C_NUM_CE-1 downto 0) ; --
s2mm_ip2axi_rddata : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
s2mm_axi2ip_rdaddr : out std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); --
axi2ip_common_region_1_rden : out std_logic ; --
axi2ip_common_region_2_rden : out std_logic ; --
--
--
ip2axi_rddata_common_region : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) --
);
end axi_vdma_lite_if;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_lite_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Register I/F Address offset
constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8);
-- Register I/F CE number
constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE);
constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- AXI Lite slave interface signals
signal awvalid : std_logic := '0';
signal wvalid : std_logic := '0';
signal arvalid : std_logic := '0';
signal awaddr : std_logic_vector
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0');
signal wdata : std_logic_vector
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0');
signal araddr : std_logic_vector
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0');
signal mm2s_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0');
signal s2mm_ip2axi_rddata_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0');
signal write_response_accepted : std_logic := '0';
signal write_has_started : std_logic := '0';
signal awready_out_i : std_logic := '0';
signal wready_out_i : std_logic := '0';
signal wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0);
signal bvalid_out_i : std_logic := '0';
signal read_data_res_accepted : std_logic := '0';
signal read_has_started_i : std_logic := '0';
signal sig_arvalid_arrived : std_logic := '0';
signal sig_arvalid_arrived_d1 : std_logic := '0';
signal sig_arvalid_arrived_d2 : std_logic := '0';
signal sig_arvalid_arrived_d3 : std_logic := '0';
signal sig_arvalid_arrived_d4 : std_logic := '0';
signal sig_arvalid_detected : std_logic := '0';
signal arready_out_i_cmb : std_logic := '0';
signal arready_out_i : std_logic := '0';
signal arready_out_i_mm2s : std_logic := '0';
signal arready_out_i_s2mm : std_logic := '0';
signal arready_out_i_common : std_logic := '0';
signal rvalid_out_i : std_logic := '0';
----Async_mode
signal wready_out_to_bvalid : std_logic := '0';
signal mm2s_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0);
signal s2mm_wrce_gen : std_logic_vector(C_NUM_CE-1 downto 0);
signal addr_region_mm2s_rden_cmb : std_logic := '0';
signal addr_region_s2mm_rden_cmb : std_logic := '0';
signal addr_region_1_common_rden_cmb : std_logic := '0';
signal addr_region_2_common_rden_cmb : std_logic := '0';
signal ip2axi_rddata_captured : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --
signal ip2axi_rddata_captured_d1 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --
signal ip2axi_rddata_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --
signal ip2axi_rddata_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --
signal axi2ip_rdaddr_captured : std_logic_vector(7 downto 2) := (others => '0'); --
--signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); --
--signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); --
signal axi2ip_rdaddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); --
signal axi2ip_rdaddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); --
signal axi2ip_wraddr_captured : std_logic_vector(7 downto 2) := (others => '0'); --
--signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); --
--signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); --
signal axi2ip_wraddr_captured_mm2s_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); --
signal axi2ip_wraddr_captured_s2mm_cdc_tig : std_logic_vector(7 downto 2) := (others => '0'); --
signal arready_out_i_d1 : std_logic := '0';
signal sig_awvalid_arrived_d1 : std_logic := '0';
signal sig_awvalid_arrived : std_logic := '0';
signal sig_awvalid_detected : std_logic := '0';
signal sig_wvalid_arrived : std_logic := '0';
signal lite_wr_addr_phase_finished_data_phase_started : std_logic := '0';
signal prepare_wrce : std_logic := '0';
signal prepare_wrce_d1 : std_logic := '0';
signal prepare_wrce_pulse_lite : std_logic := '0';
signal prepare_wrce_pulse_lite_d1 : std_logic := '0';
signal prepare_wrce_pulse_lite_d2 : std_logic := '0';
signal prepare_wrce_pulse_lite_d3 : std_logic := '0';
signal prepare_wrce_pulse_lite_d4 : std_logic := '0';
signal prepare_wrce_pulse_lite_d5 : std_logic := '0';
signal prepare_wrce_pulse_lite_d6 : std_logic := '0';
signal prepare_wrce_pulse_mm2s : std_logic := '0';
signal prepare_wrce_pulse_s2mm : std_logic := '0';
signal wready_mm2s : std_logic := '0';
signal wready_s2mm : std_logic := '0';
signal lite_mm2s_wr_done : std_logic := '0';
signal lite_s2mm_wr_done : std_logic := '0';
signal lite_wr_done : std_logic := '0';
signal lite_wr_done_d1 : std_logic := '0';
signal sig_arvalid_arrived_d1_mm2s_rd_lite_domain : std_logic := '0';
signal sig_arvalid_arrived_d1_mm2s : std_logic := '0';
signal sig_arvalid_arrived_d1_s2mm_rd_lite_domain : std_logic := '0';
signal sig_arvalid_arrived_d1_s2mm : std_logic := '0';
signal mm2s_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --
signal s2mm_axi2ip_wrdata_cdc_tig : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF ip2axi_rddata_captured_mm2s_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF ip2axi_rddata_captured_s2mm_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_mm2s_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF axi2ip_rdaddr_captured_s2mm_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF axi2ip_wraddr_captured_mm2s_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF axi2ip_wraddr_captured_s2mm_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF mm2s_axi2ip_wrdata_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF s2mm_axi2ip_wrdata_cdc_tig : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
s_axi_lite_awready <= awready_out_i;
s_axi_lite_wready <= wready_out_i;
s_axi_lite_bvalid <= bvalid_out_i;
s_axi_lite_arready <= arready_out_i;
s_axi_lite_rvalid <= rvalid_out_i;
axi2ip_lite_rdaddr(8) <= '0';
axi2ip_lite_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
axi2ip_lite_rdaddr(1) <= '0';
axi2ip_lite_rdaddr(0) <= '0';
mm2s_axi2ip_rdaddr(8) <= '0';
mm2s_axi2ip_rdaddr(1) <= '0';
mm2s_axi2ip_rdaddr(0) <= '0';
s2mm_axi2ip_rdaddr(8) <= '0';
s2mm_axi2ip_rdaddr(1) <= '0';
s2mm_axi2ip_rdaddr(0) <= '0';
s_axi_lite_bresp <= OKAY_RESP;
s_axi_lite_rresp <= OKAY_RESP;
-------------------------------------------------------------------------------------------------
--------------------------- Register AXI4-LITE Control signals ----------------------------------
-------------------------------------------------------------------------------------------------
REG_INPUTS : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
awvalid <= '0' ;
wvalid <= '0' ;
arvalid <= '0' ;
awaddr <= (others => '0') ;
wdata <= (others => '0') ;
araddr <= (others => '0') ;
else
awvalid <= s_axi_lite_awvalid ;
wvalid <= s_axi_lite_wvalid ;
arvalid <= s_axi_lite_arvalid ;
awaddr <= s_axi_lite_awaddr ;
wdata <= s_axi_lite_wdata ;
araddr <= s_axi_lite_araddr ;
end if;
end if;
end process REG_INPUTS;
-------------------------------------------------------------------------------
-------------------------------AXI4-LITE WRITE---------------------------------
-------------------------------------------------------------------------------
sig_awvalid_arrived <= awvalid;
sig_wvalid_arrived <= wvalid;
D1_LITE_WR_ADDR_PHASE_DETECT : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0' or write_has_started = '1')then
sig_awvalid_arrived_d1 <= '0';
else
sig_awvalid_arrived_d1 <= sig_awvalid_arrived;
end if;
end if;
end process D1_LITE_WR_ADDR_PHASE_DETECT;
AXI4_LITE_WR_STARTED : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0' or write_response_accepted = '1')then
write_has_started <= '0';
elsif(sig_awvalid_detected = '1')then
write_has_started <= '1';
end if;
end if;
end process AXI4_LITE_WR_STARTED;
sig_awvalid_detected <= sig_awvalid_arrived and not (sig_awvalid_arrived_d1) and not (write_has_started);
--axi2ip_wraddr_captured <= awaddr when sig_awvalid_detected = '1';
CAPTURE_AWADDR : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
axi2ip_wraddr_captured(7 downto 2) <= (others => '0');
elsif(sig_awvalid_detected = '1')then
axi2ip_wraddr_captured(7 downto 2) <= awaddr(7 downto 2);
end if;
end if;
end process CAPTURE_AWADDR;
GEN_LITE_AWREADY : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
awready_out_i <= '0';
else
awready_out_i <= sig_awvalid_detected;
end if;
end if;
end process GEN_LITE_AWREADY;
GEN_WR_ADDR_PHASE_TO_DATA_PHASE : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0' or wready_out_i = '1')then
lite_wr_addr_phase_finished_data_phase_started <= '0';
elsif(awready_out_i = '1')then
lite_wr_addr_phase_finished_data_phase_started <= '1';
end if;
end if;
end process GEN_WR_ADDR_PHASE_TO_DATA_PHASE;
--------------------------------------------------------------------------------------------------
--***** SYNC_MODE
--------------------------------------------------------------------------------------------------
GEN_LITE_IS_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started;
GEN_WRCE_PULSE : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
prepare_wrce_d1 <= '0';
else
prepare_wrce_d1 <= prepare_wrce;
end if;
end if;
end process GEN_WRCE_PULSE;
-------------------------------------------------------------------------------
-- Decode and assert proper chip enable per captured axi lite write address
-------------------------------------------------------------------------------
AXI4_LITE_WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate
constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
wrce_gen(j) <= (prepare_wrce and not prepare_wrce_d1) when axi2ip_wraddr_captured
((CE_ADDR_SIZE + ADDR_OFFSET) - 1
downto ADDR_OFFSET)
= BAR(CE_ADDR_SIZE-1 downto 0)
else '0';
end generate AXI4_LITE_WRCE_GEN;
mm2s_axi2ip_wrce <= wrce_gen;
s2mm_axi2ip_wrce <= wrce_gen;
GEN_LITE_WREADY : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
wready_out_i <= '0';
else
wready_out_i <= (prepare_wrce and not prepare_wrce_d1);
end if;
end if;
end process GEN_LITE_WREADY;
wready_out_to_bvalid <= wready_out_i;
-------------------------
--*READ
-------------------------
GEN_LITE_ARREADY_SYNC : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
arready_out_i <= '0';
else
arready_out_i <= sig_arvalid_arrived_d1;
end if;
end if;
end process GEN_LITE_ARREADY_SYNC;
s_axi_lite_rdata <= ip2axi_rddata_captured_d1;
process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured;
end if;
end process ;
ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1'
else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1'
else s2mm_ip2axi_rddata;
AXI4_LITE_RRESP_PROCESS : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
rvalid_out_i <= '0';
elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then
rvalid_out_i <= '0';
elsif(arready_out_i = '1')then
rvalid_out_i <= '1';
end if;
end if;
end process AXI4_LITE_RRESP_PROCESS;
-------------------------
--*READ
-------------------------
mm2s_axi2ip_wrdata <= wdata;
s2mm_axi2ip_wrdata <= wdata;
mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
end generate GEN_LITE_IS_SYNC;
--------------------------------------------------------------------------------------------------
--***** SYNC_MODE
--------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------AXI4-LITE READ----------------------------------
-------------------------------------------------------------------------------
sig_arvalid_arrived <= arvalid;
D1_LITE_RD_DETECT : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0' or read_has_started_i = '1')then
sig_arvalid_arrived_d1 <= '0';
else
sig_arvalid_arrived_d1 <= sig_arvalid_arrived;
end if;
end if;
end process D1_LITE_RD_DETECT;
AXI4_LITE_RD_STARTED : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0' or read_data_res_accepted = '1')then
read_has_started_i <= '0';
elsif(sig_arvalid_detected = '1')then
read_has_started_i <= '1';
end if;
end if;
end process AXI4_LITE_RD_STARTED;
sig_arvalid_detected <= sig_arvalid_arrived and not (sig_arvalid_arrived_d1) and not (read_has_started_i);
read_data_res_accepted <= rvalid_out_i and s_axi_lite_rready;
CAPTURE_ARADDR : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
axi2ip_rdaddr_captured(7 downto 2) <= (others => '0');
elsif(sig_arvalid_detected = '1')then
axi2ip_rdaddr_captured(7 downto 2) <= araddr(7 downto 2);
end if;
end if;
end process CAPTURE_ARADDR;
-------------------------------------------------------------------------------
-- Decode read_lite_addr MSB to get the region of read access
-------------------------------------------------------------------------------
--*****************************************************************************
-- MM2S_Region_1 (0x00 to 0x1C)
-- MM2S_Region_2 (0x50 to 0x9C)
-- S2MM_Region_1 (0x30 to 0x3C)
-- S2MM_Region_2 (0xA0 to 0xEC)
-- Common_Region_1 (0x20 to 0x2C) (common read only register)
-- Common_Region_2 (0xF0 to 0xFC) (s2mm read-only registers)
--*****************************************************************************
addr_region_1_common_rden_cmb <= ((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4)));
addr_region_2_common_rden_cmb <= (axi2ip_rdaddr_captured(7) and axi2ip_rdaddr_captured(6) and axi2ip_rdaddr_captured(5) and axi2ip_rdaddr_captured(4));
--MM2S Region read
addr_region_mm2s_rden_cmb <= (((not axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)))
or
((not axi2ip_rdaddr_captured(7)) and (not axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4)))
or
((not axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5))))
;
--S2MM Region read
addr_region_s2mm_rden_cmb <= (((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4)))
or
((not axi2ip_rdaddr_captured(6)) and (axi2ip_rdaddr_captured(5)) and (axi2ip_rdaddr_captured(4)))
or
((axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5)) and (not axi2ip_rdaddr_captured(4)))
or
((axi2ip_rdaddr_captured(7)) and (axi2ip_rdaddr_captured(6)) and (not axi2ip_rdaddr_captured(5))));
-------------------------------------------------------------------------------
-- Write Response
-------------------------------------------------------------------------------
AXI4_LITE_WRESP_PROCESS : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
bvalid_out_i <= '0';
elsif(bvalid_out_i = '1' and s_axi_lite_bready = '1')then
bvalid_out_i <= '0';
elsif(wready_out_to_bvalid = '1')then
bvalid_out_i <= '1';
end if;
end if;
end process AXI4_LITE_WRESP_PROCESS;
write_response_accepted <= bvalid_out_i and s_axi_lite_bready;
axi2ip_common_region_1_rden <= addr_region_1_common_rden_cmb;
GEN_S2MM_COM_REG2_READ : if C_S2MM_IS = 1 generate
axi2ip_common_region_2_rden <= addr_region_2_common_rden_cmb;
end generate GEN_S2MM_COM_REG2_READ;
GEN_NO_S2MM_COM_REG2_READ : if C_S2MM_IS = 0 generate
axi2ip_common_region_2_rden <= '0';
end generate GEN_NO_S2MM_COM_REG2_READ;
--------------------------------------------------------------------------------------------------
--***** ASYNC_MODE
--------------------------------------------------------------------------------------------------
GEN_LITE_IS_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
--Both channels exist and async mode
GEN_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 1 generate
prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started;
GEN_WRCE_PULSE : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
prepare_wrce_d1 <= '0';
else
prepare_wrce_d1 <= prepare_wrce;
end if;
end if;
end process GEN_WRCE_PULSE;
prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1;
--MM2S
----
---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => s_axi_lite_aclk ,
---- prmry_resetn => s_axi_lite_aresetn ,
---- scndry_aclk => m_axi_mm2s_aclk ,
---- scndry_resetn => mm2s_hrd_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => prepare_wrce_pulse_lite ,
---- scndry_out => prepare_wrce_pulse_mm2s ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axi_lite_aclk,
prmry_resetn => s_axi_lite_aresetn,
prmry_in => prepare_wrce_pulse_lite,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axi_mm2s_aclk,
scndry_resetn => mm2s_hrd_resetn,
scndry_out => prepare_wrce_pulse_mm2s,
scndry_vect_out => open
);
-------------------------------------------------------------------------------
-- Decode and assert proper chip enable per captured axi lite write address
-------------------------------------------------------------------------------
AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate
constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig
((CE_ADDR_SIZE + ADDR_OFFSET) - 1
downto ADDR_OFFSET)
= BAR(CE_ADDR_SIZE-1 downto 0)
else '0';
end generate AXI4_LITE_WRCE_MM2S_GEN;
mm2s_axi2ip_wrce <= mm2s_wrce_gen;
--S2MM
---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => s_axi_lite_aclk ,
---- prmry_resetn => s_axi_lite_aresetn ,
---- scndry_aclk => m_axi_s2mm_aclk ,
---- scndry_resetn => s2mm_hrd_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => prepare_wrce_pulse_lite ,
---- scndry_out => prepare_wrce_pulse_s2mm ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axi_lite_aclk,
prmry_resetn => s_axi_lite_aresetn,
prmry_in => prepare_wrce_pulse_lite,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axi_s2mm_aclk,
scndry_resetn => s2mm_hrd_resetn,
scndry_out => prepare_wrce_pulse_s2mm,
scndry_vect_out => open
);
-------------------------------------------------------------------------------
-- Decode and assert proper chip enable per captured axi lite write address
-------------------------------------------------------------------------------
AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate
constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig
((CE_ADDR_SIZE + ADDR_OFFSET) - 1
downto ADDR_OFFSET)
= BAR(CE_ADDR_SIZE-1 downto 0)
else '0';
end generate AXI4_LITE_WRCE_S2MM_GEN;
s2mm_axi2ip_wrce <= s2mm_wrce_gen;
GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite;
prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1;
prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2;
prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3;
prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4;
prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5;
end if;
end process GEN_LITE_WREADY_OUT_D;
GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
wready_out_i <= '0';
else
wready_out_i <= prepare_wrce_pulse_lite_d6;
end if;
end if;
end process GEN_LITE_WREADY_OUT;
wready_out_to_bvalid <= wready_out_i;
-------------------------
--*READ
-------------------------
--MM2S
GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1;
sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2;
sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3;
end if;
end process GEN_LITE_ARREADY_ASYNC_D;
GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
arready_out_i <= '0';
else
arready_out_i <= sig_arvalid_arrived_d4;
end if;
end if;
end process GEN_LITE_ARREADY_ASYNC;
AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
rvalid_out_i <= '0';
elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then
rvalid_out_i <= '0';
elsif(arready_out_i = '1')then
rvalid_out_i <= '1';
end if;
end if;
end process AXI4_LITE_RRESP_ASYNC_PROCESS;
s_axi_lite_rdata <= ip2axi_rddata_captured_d1;
process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured;
end if;
end process ;
ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1'
else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1'
else ip2axi_rddata_captured_s2mm_cdc_tig;
process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata;
end if;
end process ;
GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1;
end if;
end process GEN_LITE_MM2S_RDATA_CROSSING;
process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata;
end if;
end process ;
GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1;
end if;
end process GEN_LITE_S2MM_RDATA_CROSSING;
GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
mm2s_axi2ip_wrdata_cdc_tig <= wdata;
end if;
end process GEN_LITE_MM2S_WDATA_CROSSING;
GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
s2mm_axi2ip_wrdata_cdc_tig <= wdata;
end if;
end process GEN_LITE_S2MM_WDATA_CROSSING;
mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig;
s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig;
GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
end if;
end process GEN_LITE_MM2S_RDADDR_CROSSING;
GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
end if;
end process GEN_LITE_S2MM_RDADDR_CROSSING;
mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2);
s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2);
GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2);
end if;
end process GEN_LITE_MM2S_WRADDR_CROSSING;
GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2);
end if;
end process GEN_LITE_S2MM_WRADDR_CROSSING;
end generate GEN_ASYNC_LITE_ACCESS;
--------------------------------------------
--ASYNC_MODE but only single channel enabled
--------------------------------------------
GEN_S2MM_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 0 and C_S2MM_IS = 1 generate
--Write
prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started;
GEN_WRCE_PULSE : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
prepare_wrce_d1 <= '0';
else
prepare_wrce_d1 <= prepare_wrce;
end if;
end if;
end process GEN_WRCE_PULSE;
prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1;
--S2MM
---- LITE_WVALID_S2MM_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => s_axi_lite_aclk ,
---- prmry_resetn => s_axi_lite_aresetn ,
---- scndry_aclk => m_axi_s2mm_aclk ,
---- scndry_resetn => s2mm_hrd_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => prepare_wrce_pulse_lite ,
---- scndry_out => prepare_wrce_pulse_s2mm ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
LITE_WVALID_S2MM_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axi_lite_aclk,
prmry_resetn => s_axi_lite_aresetn,
prmry_in => prepare_wrce_pulse_lite,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axi_s2mm_aclk,
scndry_resetn => s2mm_hrd_resetn,
scndry_out => prepare_wrce_pulse_s2mm,
scndry_vect_out => open
);
-------------------------------------------------------------------------------
-- Decode and assert proper chip enable per captured axi lite write address
-------------------------------------------------------------------------------
AXI4_LITE_WRCE_S2MM_GEN: for j in 0 to C_NUM_CE - 1 generate
constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
s2mm_wrce_gen(j) <= prepare_wrce_pulse_s2mm when axi2ip_wraddr_captured_s2mm_cdc_tig
((CE_ADDR_SIZE + ADDR_OFFSET) - 1
downto ADDR_OFFSET)
= BAR(CE_ADDR_SIZE-1 downto 0)
else '0';
end generate AXI4_LITE_WRCE_S2MM_GEN;
s2mm_axi2ip_wrce <= s2mm_wrce_gen;
GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite;
prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1;
prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2;
prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3;
prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4;
prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5;
end if;
end process GEN_LITE_WREADY_OUT_D;
GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
wready_out_i <= '0';
else
wready_out_i <= prepare_wrce_pulse_lite_d6;
end if;
end if;
end process GEN_LITE_WREADY_OUT;
wready_out_to_bvalid <= wready_out_i;
--Read
--S2MM
GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1;
sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2;
sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3;
end if;
end process GEN_LITE_ARREADY_ASYNC_D;
GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
arready_out_i <= '0';
else
arready_out_i <= sig_arvalid_arrived_d4;
end if;
end if;
end process GEN_LITE_ARREADY_ASYNC;
AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
rvalid_out_i <= '0';
elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then
rvalid_out_i <= '0';
elsif(arready_out_i = '1')then
rvalid_out_i <= '1';
end if;
end if;
end process AXI4_LITE_RRESP_ASYNC_PROCESS;
s_axi_lite_rdata <= ip2axi_rddata_captured_d1;
process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured;
end if;
end process ;
ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1'
else mm2s_ip2axi_rddata when addr_region_mm2s_rden_cmb = '1'
else ip2axi_rddata_captured_s2mm_cdc_tig;
process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
s2mm_ip2axi_rddata_d1 <= s2mm_ip2axi_rddata;
end if;
end process ;
GEN_LITE_S2MM_RDATA_CROSSING : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_s2mm_cdc_tig <= s2mm_ip2axi_rddata_d1;
end if;
end process GEN_LITE_S2MM_RDATA_CROSSING;
mm2s_axi2ip_wrdata <= wdata;
GEN_LITE_S2MM_WDATA_CROSSING : process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
s2mm_axi2ip_wrdata_cdc_tig <= wdata;
end if;
end process GEN_LITE_S2MM_WDATA_CROSSING;
s2mm_axi2ip_wrdata <= s2mm_axi2ip_wrdata_cdc_tig;
GEN_LITE_S2MM_RDADDR_CROSSING : process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
end if;
end process GEN_LITE_S2MM_RDADDR_CROSSING;
mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_s2mm_cdc_tig(7 downto 2);
GEN_LITE_S2MM_WRADDR_CROSSING : process(m_axi_s2mm_aclk)
begin
if(m_axi_s2mm_aclk'EVENT and m_axi_s2mm_aclk = '1')then
axi2ip_wraddr_captured_s2mm_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2);
end if;
end process GEN_LITE_S2MM_WRADDR_CROSSING;
mm2s_axi2ip_wrce <= (others => '0');
end generate GEN_S2MM_ONLY_ASYNC_LITE_ACCESS;
GEN_MM2S_ONLY_ASYNC_LITE_ACCESS : if C_MM2S_IS = 1 and C_S2MM_IS = 0 generate
--Write
prepare_wrce <= sig_wvalid_arrived and lite_wr_addr_phase_finished_data_phase_started;
GEN_WRCE_PULSE : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
prepare_wrce_d1 <= '0';
else
prepare_wrce_d1 <= prepare_wrce;
end if;
end if;
end process GEN_WRCE_PULSE;
prepare_wrce_pulse_lite <= prepare_wrce and not prepare_wrce_d1;
--MM2S
---- LITE_WVALID_MM2S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => s_axi_lite_aclk ,
---- prmry_resetn => s_axi_lite_aresetn ,
---- scndry_aclk => m_axi_mm2s_aclk ,
---- scndry_resetn => mm2s_hrd_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => prepare_wrce_pulse_lite ,
---- scndry_out => prepare_wrce_pulse_mm2s ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
LITE_WVALID_MM2S_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axi_lite_aclk,
prmry_resetn => s_axi_lite_aresetn,
prmry_in => prepare_wrce_pulse_lite,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axi_mm2s_aclk,
scndry_resetn => mm2s_hrd_resetn,
scndry_out => prepare_wrce_pulse_mm2s,
scndry_vect_out => open
);
-------------------------------------------------------------------------------
-- Decode and assert proper chip enable per captured axi lite write address
-------------------------------------------------------------------------------
AXI4_LITE_WRCE_MM2S_GEN: for j in 0 to C_NUM_CE - 1 generate
constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
mm2s_wrce_gen(j) <= prepare_wrce_pulse_mm2s when axi2ip_wraddr_captured_mm2s_cdc_tig
((CE_ADDR_SIZE + ADDR_OFFSET) - 1
downto ADDR_OFFSET)
= BAR(CE_ADDR_SIZE-1 downto 0)
else '0';
end generate AXI4_LITE_WRCE_MM2S_GEN;
mm2s_axi2ip_wrce <= mm2s_wrce_gen;
GEN_LITE_WREADY_OUT_D : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
prepare_wrce_pulse_lite_d1 <= prepare_wrce_pulse_lite;
prepare_wrce_pulse_lite_d2 <= prepare_wrce_pulse_lite_d1;
prepare_wrce_pulse_lite_d3 <= prepare_wrce_pulse_lite_d2;
prepare_wrce_pulse_lite_d4 <= prepare_wrce_pulse_lite_d3;
prepare_wrce_pulse_lite_d5 <= prepare_wrce_pulse_lite_d4;
prepare_wrce_pulse_lite_d6 <= prepare_wrce_pulse_lite_d5;
end if;
end process GEN_LITE_WREADY_OUT_D;
GEN_LITE_WREADY_OUT : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
wready_out_i <= '0';
else
wready_out_i <= prepare_wrce_pulse_lite_d6;
end if;
end if;
end process GEN_LITE_WREADY_OUT;
wready_out_to_bvalid <= wready_out_i;
--Read
--MM2S
GEN_LITE_ARREADY_ASYNC_D : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
sig_arvalid_arrived_d2 <= sig_arvalid_arrived_d1;
sig_arvalid_arrived_d3 <= sig_arvalid_arrived_d2;
sig_arvalid_arrived_d4 <= sig_arvalid_arrived_d3;
end if;
end process GEN_LITE_ARREADY_ASYNC_D;
GEN_LITE_ARREADY_ASYNC : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
arready_out_i <= '0';
else
arready_out_i <= sig_arvalid_arrived_d4;
end if;
end if;
end process GEN_LITE_ARREADY_ASYNC;
AXI4_LITE_RRESP_ASYNC_PROCESS : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
if(s_axi_lite_aresetn = '0')then
rvalid_out_i <= '0';
elsif(rvalid_out_i = '1' and s_axi_lite_rready = '1')then
rvalid_out_i <= '0';
elsif(arready_out_i = '1')then
rvalid_out_i <= '1';
end if;
end if;
end process AXI4_LITE_RRESP_ASYNC_PROCESS;
s_axi_lite_rdata <= ip2axi_rddata_captured_d1;
process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_d1 <= ip2axi_rddata_captured;
end if;
end process ;
ip2axi_rddata_captured <= ip2axi_rddata_common_region when addr_region_1_common_rden_cmb = '1' or addr_region_2_common_rden_cmb = '1'
else ip2axi_rddata_captured_mm2s_cdc_tig when addr_region_mm2s_rden_cmb = '1'
else s2mm_ip2axi_rddata;
process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
mm2s_ip2axi_rddata_d1 <= mm2s_ip2axi_rddata;
end if;
end process ;
GEN_LITE_MM2S_RDATA_CROSSING : process(s_axi_lite_aclk)
begin
if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then
ip2axi_rddata_captured_mm2s_cdc_tig <= mm2s_ip2axi_rddata_d1;
end if;
end process GEN_LITE_MM2S_RDATA_CROSSING;
GEN_LITE_MM2S_WDATA_CROSSING : process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
mm2s_axi2ip_wrdata_cdc_tig <= wdata;
end if;
end process GEN_LITE_MM2S_WDATA_CROSSING;
s2mm_axi2ip_wrdata <= wdata;
mm2s_axi2ip_wrdata <= mm2s_axi2ip_wrdata_cdc_tig;
GEN_LITE_MM2S_RDADDR_CROSSING : process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
end if;
end process GEN_LITE_MM2S_RDADDR_CROSSING;
mm2s_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured_mm2s_cdc_tig(7 downto 2);
s2mm_axi2ip_rdaddr(7 downto 2) <= axi2ip_rdaddr_captured(7 downto 2);
GEN_LITE_MM2S_WRADDR_CROSSING : process(m_axi_mm2s_aclk)
begin
if(m_axi_mm2s_aclk'EVENT and m_axi_mm2s_aclk = '1')then
axi2ip_wraddr_captured_mm2s_cdc_tig(7 downto 2) <= axi2ip_wraddr_captured(7 downto 2);
end if;
end process GEN_LITE_MM2S_WRADDR_CROSSING;
s2mm_axi2ip_wrce <= (others => '0');
end generate GEN_MM2S_ONLY_ASYNC_LITE_ACCESS;
end generate GEN_LITE_IS_ASYNC;
end implementation;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/fifo_generator_v12_0/hdl/fifo_generator_top.vhd | 6 | 36480 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25264)
`protect data_block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`protect end_protected
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover.vhd | 5 | 73317 | -------------------------------------------------------------------------------
-- axi_datamover.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover.vhd
--
-- Description:
-- Top level VHDL wrapper for the AXI DataMover
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_mm2s_omit_wrap ;
use axi_datamover_v5_1.axi_datamover_mm2s_full_wrap ;
use axi_datamover_v5_1.axi_datamover_mm2s_basic_wrap;
use axi_datamover_v5_1.axi_datamover_s2mm_omit_wrap ;
use axi_datamover_v5_1.axi_datamover_s2mm_full_wrap ;
use axi_datamover_v5_1.axi_datamover_s2mm_basic_wrap;
-------------------------------------------------------------------------------
entity axi_datamover is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit MM2S Store and Forward
-- 1 = Include MM2S Store and Forward
C_INCLUDE_S2MM : Integer range 0 to 4 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the S2MM Command Interface
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if support for indeterminate packet lengths
-- are to be received on the input Stream interface
-- 0 = Omit support (User MUST transfer the exact number of
-- bytes on the Stream interface as specified in the BTT
-- field of the Corresponding DataMover Command)
-- 1 = Include support for indeterminate packet lengths
-- This causes FIFOs to be added and "Store and Forward"
-- behavior of the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- S2MM (Write) Store and Forward function
-- 0 = Omit S2MM Store and Forward
-- 1 = Include S2MM Store and Forward
C_ENABLE_CACHE_USER : integer range 0 to 1 := 0;
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0;
C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ----------------------------------
m_axi_mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
m_axi_mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control --------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------
-- Error discrete output -------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O ---------
m_axis_mm2s_cmdsts_aclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
s_axis_mm2s_cmd_tvalid : in std_logic; --
s_axis_mm2s_cmd_tready : out std_logic; --
s_axis_mm2s_cmd_tdata : in std_logic_vector(((8*C_ENABLE_CACHE_USER)+C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
m_axis_mm2s_sts_tvalid : out std_logic; --
m_axis_mm2s_sts_tready : in std_logic; --
m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); --
m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); --
m_axis_mm2s_sts_tlast : out std_logic; --
--------------------------------------------------------------------
-- Address Posting contols -----------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
--------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------------------
m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); --
-- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); --
-- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); --
-- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------
m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); --
m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); --
m_axi_mm2s_rlast : In std_logic; --
m_axi_mm2s_rvalid : In std_logic; --
m_axi_mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------------
m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : Out std_logic; --
m_axis_mm2s_tvalid : Out std_logic; --
m_axis_mm2s_tready : In std_logic; --
----------------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) ; --
-------------------------------------------------------------------------------
-- S2MM Primary Clock input ---------------------------------
m_axi_s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
m_axi_s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- S2MM Halt request input control ------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
-----------------------------------------------------
-- S2MM Error discrete output ------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O -----------------
m_axis_s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) --------------------------------------------------
s_axis_s2mm_cmd_tvalid : in std_logic; --
s_axis_s2mm_cmd_tready : out std_logic; --
s_axis_s2mm_cmd_tdata : in std_logic_vector(((8*C_ENABLE_CACHE_USER)+C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); --
-----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------------------------------------------------
m_axis_s2mm_sts_tvalid : out std_logic; --
m_axis_s2mm_sts_tready : in std_logic; --
m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
m_axis_s2mm_sts_tlast : out std_logic; --
-------------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O ----------------------------------------------------
m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-------------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); --
-- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); --
-- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); --
-- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O --------------------------------------------------
m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); --
m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); --
m_axi_s2mm_wlast : Out std_logic; --
m_axi_s2mm_wvalid : Out std_logic; --
m_axi_s2mm_wready : In std_logic; --
-------------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -------------------------
m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); --
m_axi_s2mm_bvalid : In std_logic; --
m_axi_s2mm_bready : Out std_logic; --
----------------------------------------------------------------------
-- S2MM AXI Slave Stream Channel I/O -------------------------------------------------------
s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_tlast : In std_logic; --
s_axis_s2mm_tvalid : In std_logic; --
s_axis_s2mm_tready : Out std_logic; --
---------------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------------
);
end entity axi_datamover;
architecture implementation of axi_datamover is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_clip_brst_len
--
-- Function Description:
-- This function is used to limit the parameterized max burst
-- databeats when the tranfer data width is 256 bits or greater.
-- This is required to keep from crossing the 4K byte xfer
-- boundary required by AXI. This process is further complicated
-- by the inclusion/omission of upsizers or downsizers in the
-- data path.
--
-------------------------------------------------------------------
function funct_clip_brst_len (param_burst_beats : integer;
mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0);
Variable fvar_max_burst_dbeats : Integer;
begin
if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc
If (mmap_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (mmap_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (mmap_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit mmap width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
else -- use stream dwidth for calc
If (stream_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (stream_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (stream_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit stream width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
end if;
Return (fvar_max_burst_dbeats);
end function funct_clip_brst_len;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_depth_16
--
-- Function Description:
-- This function is used to fix the Command and Status FIFO depths to
-- 16 entries when Async clocking mode is enabled. This is required
-- due to the way the async_fifo_fg.vhd design in proc_common is
-- implemented.
-------------------------------------------------------------------
function funct_fix_depth_16 (async_clocking_mode : integer;
requested_depth : integer) return integer is
Variable fvar_depth_2_use : Integer;
begin
If (async_clocking_mode = 1) Then -- async mode so fix at 16
fvar_depth_2_use := 16;
Elsif (requested_depth > 16) Then -- limit at 16
fvar_depth_2_use := 16;
Else -- use requested depth
fvar_depth_2_use := requested_depth;
End if;
Return (fvar_depth_2_use);
end function funct_fix_depth_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_min_btt_width
--
-- Function Description:
-- This function calculates the minimum required value
-- for the used width of the command BTT field.
--
-------------------------------------------------------------------
function funct_get_min_btt_width (max_burst_beats : integer;
bytes_per_beat : integer ) return integer is
Variable var_min_btt_needed : Integer;
Variable var_max_bytes_per_burst : Integer;
begin
var_max_bytes_per_burst := max_burst_beats*bytes_per_beat;
if (var_max_bytes_per_burst <= 16) then
var_min_btt_needed := 5;
elsif (var_max_bytes_per_burst <= 32) then
var_min_btt_needed := 6;
elsif (var_max_bytes_per_burst <= 64) then
var_min_btt_needed := 7;
elsif (var_max_bytes_per_burst <= 128) then
var_min_btt_needed := 8;
elsif (var_max_bytes_per_burst <= 256) then
var_min_btt_needed := 9;
elsif (var_max_bytes_per_burst <= 512) then
var_min_btt_needed := 10;
elsif (var_max_bytes_per_burst <= 1024) then
var_min_btt_needed := 11;
elsif (var_max_bytes_per_burst <= 2048) then
var_min_btt_needed := 12;
elsif (var_max_bytes_per_burst <= 4096) then
var_min_btt_needed := 13;
else -- 8K byte range
var_min_btt_needed := 14;
end if;
Return (var_min_btt_needed);
end function funct_get_min_btt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_xfer_bytes_per_dbeat
--
-- Function Description:
-- Calculates the nuber of bytes that will transfered per databeat
-- on the AXI4 MMap Bus.
--
-------------------------------------------------------------------
function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
Variable temp_bytes_per_dbeat : Integer := 4;
begin
if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth
temp_bytes_per_dbeat := mmap_transfer_bit_width/8;
else -- No down/up sizers so use Stream data width
temp_bytes_per_dbeat := stream_transfer_bit_width/8;
end if;
Return (temp_bytes_per_dbeat);
end function funct_get_xfer_bytes_per_dbeat;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_btt_used
--
-- Function Description:
-- THis function makes sure the BTT width used is at least the
-- minimum needed.
--
-------------------------------------------------------------------
function funct_fix_btt_used (requested_btt_width : integer;
min_btt_width : integer) return integer is
Variable var_corrected_btt_width : Integer;
begin
If (requested_btt_width < min_btt_width) Then
var_corrected_btt_width := min_btt_width;
else
var_corrected_btt_width := requested_btt_width;
End if;
Return (var_corrected_btt_width);
end function funct_fix_btt_used;
-------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------
Constant MM2S_TAG_WIDTH : integer := 4;
Constant S2MM_TAG_WIDTH : integer := 4;
Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF;
Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT;
Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE,
C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE,
C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC,
C_MM2S_STSCMD_FIFO_DEPTH);
Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC,
C_S2MM_STSCMD_FIFO_DEPTH);
Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS,
MM2S_BYTES_PER_BEAT);
Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED,
MM2S_MIN_BTT_NEEDED);
Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS,
S2MM_BYTES_PER_BEAT);
Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED,
S2MM_MIN_BTT_NEEDED);
-- Signals
signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0');
signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0');
begin --(architecture implementation)
-------------------------------------------------------------
-- Conversion to tkeep for external stream connnections
-------------------------------------------------------------
-- MM2S Status Stream Output
m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ;
GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- MM2S Stream Output
m_axis_mm2s_tkeep <= sig_mm2s_tstrb ;
end generate GEN_MM2S_TKEEP_ENABLE1;
GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
m_axis_mm2s_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE1;
GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- S2MM Stream Input
sig_s2mm_tstrb <= s_axis_s2mm_tkeep ;
end generate GEN_S2MM_TKEEP_ENABLE1;
GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_s2mm_tstrb <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE1;
-- S2MM Status Stream Output
m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_OMIT
--
-- If Generate Description:
-- Instantiate the MM2S OMIT Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_OMIT_WRAPPER
--
-- Description:
-- Read omit Wrapper Instance
--
------------------------------------------------------------
I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1.axi_datamover_mm2s_omit_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_FULL
--
-- If Generate Description:
-- Instantiate the MM2S Full Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_FULL_WRAPPER
--
-- Description:
-- Read Full Wrapper Instance
--
------------------------------------------------------------
I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1.axi_datamover_mm2s_full_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_BASIC
--
-- If Generate Description:
-- Instantiate the MM2S Basic Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_BASIC_WRAPPER
--
-- Description:
-- Read Basic Wrapper Instance
--
------------------------------------------------------------
I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1.axi_datamover_mm2s_basic_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_BASIC;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_OMIT
--
-- If Generate Description:
-- Instantiate the S2MM OMIT Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_OMIT_WRAPPER
--
-- Description:
-- Write Omit Wrapper Instance
--
------------------------------------------------------------
I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1.axi_datamover_s2mm_omit_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_FULL
--
-- If Generate Description:
-- Instantiate the S2MM FULL Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_FULL_WRAPPER
--
-- Description:
-- Write Full Wrapper Instance
--
------------------------------------------------------------
I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1.axi_datamover_s2mm_full_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_BASIC
--
-- If Generate Description:
-- Instantiate the S2MM Basic Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_BASIC_WRAPPER
--
-- Description:
-- Write Basic Wrapper Instance
--
------------------------------------------------------------
I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1.axi_datamover_s2mm_basic_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_BASIC;
end implementation;
| gpl-3.0 |
HectorGPala/DAS | Practica5/speaker.vhd | 1 | 3295 | --Practica5 de Diseño Automatico de Sistemas
--Piano Electronico.
--Altavoz.
--Desarrollada por Héctor Gutiérrez Palancarejo.
library ieee;
use ieee.std_logic_1164.all;
entity speaker is
port(
clk : in std_logic;
rst : in std_logic;
note_in : in std_logic_vector (7 downto 0);
new_data : in std_logic;
sound : out std_logic;
ack : out std_logic;
sound_active : out std_logic_vector (7 downto 0)
);
end speaker;
architecture rtl of speaker is
component gen_onda is
port(
clk : in std_logic;
rst : in std_logic;
note_in : in std_logic_vector(17 downto 0);
clear : in std_logic;
onda_out : out std_logic
);
end component;
type states_piano is (wait_press,state_f0,wait_depress);
signal current_state,next_state : states_piano;
signal n : std_logic_vector(18 downto 0);
signal silence : std_logic;
signal note_out :std_logic;
signal clear_s,load_note,clear_note : std_logic;
signal reg_note : std_logic_vector (7 downto 0);
begin
--rom memory
n <= "0101110101010011010" when reg_note = x"1c" else--a
"0101100000010010110" when reg_note = x"1d" else--w
"0101001000110011110" when reg_note = x"1b" else--s
"0100111001111001111" when reg_note = x"24" else--e
"0100101000010010010" when reg_note = x"23" else--d
"0100010111101001111" when reg_note = x"2b" else--f
"0100000111111011110" when reg_note = x"2c" else--t
"0011111001000111110" when reg_note = x"34" else--g
"0011101011001001010" when reg_note = x"35" else--y
"0011011101111100011" when reg_note = x"33" else--h
"0011010001011110001" when reg_note = x"3c" else--u
"0011000101101110010" when reg_note = x"3b" else--j
"0010111010100111010" when reg_note = x"42" else--k
"1000000000000000000";
p_state : process(clk,rst)
begin
if (rst = '0') then
current_state <= wait_press;
elsif(rising_edge(clk)) then
current_state <= next_state;
end if;
end process;
gen_state : process(current_state,reg_note,new_data)
begin
next_state <= current_state;
case current_state is
when wait_press =>
if(reg_note = x"f0") then
next_state <= state_f0;
end if;
when state_f0 =>
if(new_data = '1') then
next_state <= wait_depress;
end if;
when wait_depress =>
next_state <= wait_press;
end case;
end process;
gen_signals : process(current_state)
begin
case current_state is
when wait_press =>
load_note <= '1';
clear_note <= '0';
when state_f0 =>
load_note <= '0';
clear_note <= '1';
when wait_depress =>
load_note <= '0';
clear_note <= '0';
end case;
end process;
reg_note_p : process(clk,rst,clear_note)
begin
if(rst = '0') then
reg_note <= x"00";
ack <= '0';
elsif(rising_edge(clk)) then
if(new_data = '1') then
if(clear_note = '1') then
reg_note <= x"00";
elsif(load_note = '1') then
reg_note <= note_in;
end if;
ack <= '1';
else
ack <= '0';
end if;
end if;
end process;
silence <= n(18);
sound_active <= reg_note;
clear_s <= '1' when reg_note /= note_in else '0';
sound <= note_out and not(silence);
u_gen_onda : gen_onda port map(clk =>clk,rst=>rst,note_in=>n(17 downto 0),clear=>clear_s,onda_out=>note_out);
end rtl;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/ramfifo/rd_status_flags_as.vhd | 6 | 15251 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/fifo_generator_top_bi_sim.vhd | 6 | 28804 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584)
`protect data_block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`protect end_protected
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_s2mm_dre.vhd | 6 | 88906 | -------------------------------------------------------------------------------
-- axi_datamover_s2mm_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_s2mm_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_s2mm_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the width of the alignment control inputs
-- Should be log2(C_DWIDTH)
);
port (
-- Clock and Reset Input ----------------------------------------------
--
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------------------------------------
-- Alignment Control (Independent from Stream Input timing) ----------
--
dre_align_ready : Out std_logic; --
dre_align_valid : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Flush Control (Aligned to input Stream timing) --------------------
--
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Stream Input Channel ----------------------------------------------
--
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Stream Output Channel ---------------------------------------------
--
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_s2mm_dre;
architecture implementation of axi_datamover_s2mm_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
Signal sig_cntl_accept : std_logic := '0';
Signal sig_dre_halted : std_logic := '0';
begin --(architecture implementation)
-- Misc port assignments
dre_align_ready <= sig_dre_halted or
sig_flush_db2_complete ;
dre_in_tready <= sig_input_accept ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
-- Internal logic
sig_cntl_accept <= dre_align_valid and
(sig_dre_halted or
sig_flush_db2_complete);
sig_pipeline_halt <= sig_dre_halted or
(sig_dre_tvalid_i and
not(dre_out_tready));
sig_output_xfer <= sig_dre_tvalid_i and
dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt);
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
not(sig_pipeline_halt) and
not(sig_input_flush_stall);
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or
sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
------------------------------------------------------------------------------------
-- DRE Halted logic
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_HALTED_FLOP
--
-- Process Description:
-- Implements a flop for the Halted state flag. All DRE
-- operation is halted until a new alignment control is
-- loaded. The DRE automatically goes into halted state
-- at reset and at completion of a flush operation.
--
-------------------------------------------------------------
IMP_DRE_HALTED_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
(sig_flush_db2_complete = '1' and
dre_align_valid = '0'))then
sig_dre_halted <= '1'; -- default to halted state
elsif (sig_cntl_accept = '1') then
sig_dre_halted <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_DRE_HALTED_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Input Register for the flush command
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
Signal s_case_i_64 : Integer range 0 to 7 := 0;
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (sig_cntl_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
Signal s_case_i_32 : Integer range 0 to 3 := 0;
signal sig_cntl_state_32 : std_logic_vector(3 downto 0) := (others => '0');
Signal sig_shift_case_i : std_logic_vector(1 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(1 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(3 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (sig_cntl_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
Signal s_case_i_16 : Integer range 0 to 1 := 0;
signal sig_cntl_state_16 : std_logic_vector(1 downto 0) := (others => '0');
Signal sig_shift_case_i : std_logic := '0';
Signal sig_shift_case_reg : std_logic := '0';
Signal sig_final_mux_sel : std_logic_vector(1 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (sig_cntl_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_rddata_cntl.vhd | 6 | 75237 | -------------------------------------------------------------------------------
-- axi_datamover_rddata_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rddata_cntl.vhd
--
-- Description:
-- This file implements the DataMover Master Read Data Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_rdmux;
-------------------------------------------------------------------------------
entity axi_datamover_rddata_cntl is
generic (
C_INCLUDE_DRE : Integer range 0 to 1 := 0;
-- Indicates if the DRE interface is used
C_ALIGN_WIDTH : Integer range 1 to 3 := 3;
-- Sets the width of the DRE Alignment controls
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS bits of the transfer address that
-- are being used to Mux read data from a wider AXI4 Read
-- Data Bus
C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- Sets the depth of the internal command fifo used for the
-- command queue
C_MMAP_DWIDTH : Integer range 32 to 1024 := 32;
-- Indicates the native data width of the Read Data port
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream output data port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input command
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_FAMILY : String := "virtex7"
-- Indicates the device family of the target FPGA
);
port (
-- Clock and Reset inputs ----------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------------
-- Soft Shutdown internal interface -----------------------------------
--
rst2data_stop_request : in std_logic; --
-- Active high soft stop request to modules --
--
data2addr_stop_req : Out std_logic; --
-- Active high signal requesting the Address Controller --
-- to stop posting commands to the AXI Read Address Channel --
--
data2rst_stop_cmplt : Out std_logic; --
-- Active high indication that the Data Controller has completed --
-- any pending transfers committed by the Address Controller --
-- after a stop has been requested by the Reset module. --
-----------------------------------------------------------------------
-- External Address Pipelining Contol support -------------------------
--
mm2s_rd_xfer_cmplt : out std_logic; --
-- Active high indication that the Data Controller has completed --
-- a single read data transfer on the AXI4 Read Data Channel. --
-- This signal escentially echos the assertion of rlast received --
-- from the AXI4. --
-----------------------------------------------------------------------
-- AXI Read Data Channel I/O ---------------------------------------------
--
mm2s_rdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- AXI Read data input --
--
mm2s_rresp : In std_logic_vector(1 downto 0); --
-- AXI Read response input --
--
mm2s_rlast : In std_logic; --
-- AXI Read LAST input --
--
mm2s_rvalid : In std_logic; --
-- AXI Read VALID input --
--
mm2s_rready : Out std_logic; --
-- AXI Read data READY output --
--------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
mm2s_dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
mm2s_dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
mm2s_dre_src_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
mm2s_dre_dest_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
mm2s_dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- AXI Master Stream Channel------------------------------------------------------
--
mm2s_strm_wvalid : Out std_logic; --
-- AXI Stream VALID Output --
--
mm2s_strm_wready : In Std_logic; --
-- AXI Stream READY input --
--
mm2s_strm_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- AXI Stream data output --
--
mm2s_strm_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- AXI Stream STRB output --
--
mm2s_strm_wlast : Out std_logic; --
-- AXI Stream LAST output --
---------------------------------------------------------------------------------
-- MM2S Store and Forward Supplimental Control --------------------------------
-- This output is time aligned and qualified with the AXI Master Stream Channel--
--
mm2s_data2sf_cmd_cmplt : out std_logic; --
--
---------------------------------------------------------------------------------
-- Command Calculator Interface -------------------------------------------------
--
mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is 8 or 16 bits). --
--
mstr2data_len : In std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the first stream data beat --
--
mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the last stream --
-- data beat --
--
mstr2data_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
mstr2data_sequential : In std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : In std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : In std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : Out std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address Channel --
--
mstr2data_dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2data_dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
---------------------------------------------------------------------------------
-- Address Controller Interface -------------------------------------------------
--
addr2data_addr_posted : In std_logic ; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel --
---------------------------------------------------------------------------------
-- Data Controller General Halted Status ----------------------------------------
--
data2all_dcntlr_halted : Out std_logic; --
-- When asserted, this indicates the data controller has satisfied --
-- all pending transfers queued by the Address Controller and is halted. --
---------------------------------------------------------------------------------
-- Output Stream Skid Buffer Halt control ---------------------------------------
--
data2skid_halt : Out std_logic; --
-- The data controller asserts this output for 1 primary clock period --
-- The pulse commands the MM2S Stream skid buffer to tun off outputs --
-- at the next tlast transmission. --
---------------------------------------------------------------------------------
-- Read Status Controller Interface ------------------------------------------------
--
data2rsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The propagated command tag from the Command Calculator --
--
data2rsc_calc_err : Out std_logic ; --
-- Indication that the current command out from the Cntl FIFO --
-- has a propagated calculation error from the Command Calculator --
--
data2rsc_okay : Out std_logic ; --
-- Indication that the AXI Read transfer completed with OK status --
--
data2rsc_decerr : Out std_logic ; --
-- Indication that the AXI Read transfer completed with decode error status --
--
data2rsc_slverr : Out std_logic ; --
-- Indication that the AXI Read transfer completed with slave error status --
--
data2rsc_cmd_cmplt : Out std_logic ; --
-- Indication by the Data Channel Controller that the --
-- corresponding status is the last status for a parent command --
-- pulled from the command FIFO --
--
rsc2data_ready : in std_logic; --
-- Handshake bit from the Read Status Controller Module indicating --
-- that the it is ready to accept a new Read status transfer --
--
data2rsc_valid : Out std_logic ; --
-- Handshake bit output to the Read Status Controller Module --
-- indicating that the Data Controller has valid tag and status --
-- indicators to transfer --
--
rsc2mstr_halt_pipe : In std_logic --
-- Status Flag indicating the Status Controller needs to stall the command --
-- execution pipe due to a Status flow issue or internal error. Generally --
-- this will occur if the Status FIFO is not being serviced fast enough to --
-- keep ahead of the command execution. --
------------------------------------------------------------------------------------
);
end entity axi_datamover_rddata_cntl;
architecture implementation of axi_datamover_rddata_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declaration ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_set_cnt_width
--
-- Function Description:
-- Sets a count width based on a fifo depth. A depth of 4 or less
-- is a special case which requires a minimum count width of 3 bits.
--
-------------------------------------------------------------------
function funct_set_cnt_width (fifo_depth : integer) return integer is
Variable temp_cnt_width : Integer := 4;
begin
if (fifo_depth <= 4) then
temp_cnt_width := 3;
elsif (fifo_depth <= 8) then
temp_cnt_width := 4;
elsif (fifo_depth <= 16) then
temp_cnt_width := 5;
elsif (fifo_depth <= 32) then
temp_cnt_width := 6;
else -- fifo depth <= 64
temp_cnt_width := 7;
end if;
Return (temp_cnt_width);
end function funct_set_cnt_width;
-- Constant Declarations --------------------------------------------
Constant OKAY : std_logic_vector(1 downto 0) := "00";
Constant EXOKAY : std_logic_vector(1 downto 0) := "01";
Constant SLVERR : std_logic_vector(1 downto 0) := "10";
Constant DECERR : std_logic_vector(1 downto 0) := "11";
Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0');
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH;
Constant LEN_WIDTH : integer := 8;
Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant SOF_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant SEQUENTIAL_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant DRE_ALIGN_WIDTH : integer := C_ALIGN_WIDTH;
Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SADDR_LSB_WIDTH + -- LS Address field width
LEN_WIDTH + -- LEN field
STRB_WIDTH + -- Starting Strobe field
STRB_WIDTH + -- Ending Strobe field
SOF_WIDTH + -- SOF Flag Field
EOF_WIDTH + -- EOF flag field
SEQUENTIAL_WIDTH + -- Calc error flag
CMD_CMPLT_WIDTH + -- Sequential command flag
CALC_ERR_WIDTH + -- Command Complete Flag
DRE_ALIGN_WIDTH + -- DRE Source Align width
DRE_ALIGN_WIDTH ; -- DRE Dest Align width
-- Caution, the INDEX calculations are order dependent so don't rearrange
Constant TAG_STRT_INDEX : integer := 0;
Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH;
Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH;
Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH;
Constant SOF_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH;
Constant EOF_STRT_INDEX : integer := SOF_STRT_INDEX + SOF_WIDTH;
Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX + SEQUENTIAL_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX + CMD_CMPLT_WIDTH;
Constant DRE_SRC_STRT_INDEX : integer := CALC_ERR_STRT_INDEX + CALC_ERR_WIDTH;
Constant DRE_DEST_STRT_INDEX : integer := DRE_SRC_STRT_INDEX + DRE_ALIGN_WIDTH;
Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8;
--Constant ADDR_POSTED_CNTR_WIDTH : integer := 5; -- allows up to 32 entry address queue
Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH);
Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= (others => '0');
Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH);
Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= (others => '1');
-- Signal Declarations --------------------------------------------
signal sig_good_dbeat : std_logic := '0';
signal sig_get_next_dqual : std_logic := '0';
signal sig_last_mmap_dbeat : std_logic := '0';
signal sig_last_mmap_dbeat_reg : std_logic := '0';
signal sig_data2mmap_ready : std_logic := '0';
signal sig_mmap2data_valid : std_logic := '0';
signal sig_mmap2data_last : std_logic := '0';
signal sig_aposted_cntr_ready : std_logic := '0';
signal sig_ld_new_cmd : std_logic := '0';
signal sig_ld_new_cmd_reg : std_logic := '0';
signal sig_cmd_cmplt_reg : std_logic := '0';
signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_posted : std_logic := '0';
signal sig_addr_chan_rdy : std_logic := '0';
signal sig_dqual_rdy : std_logic := '0';
signal sig_good_mmap_dbeat : std_logic := '0';
signal sig_first_dbeat : std_logic := '0';
signal sig_last_dbeat : std_logic := '0';
signal sig_new_len_eq_0 : std_logic := '0';
signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0');
Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0;
signal sig_dbeat_cntr_eq_0 : std_logic := '0';
signal sig_dbeat_cntr_eq_1 : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_decerr : std_logic := '0';
signal sig_slverr : std_logic := '0';
signal sig_coelsc_okay_reg : std_logic := '0';
signal sig_coelsc_interr_reg : std_logic := '0';
signal sig_coelsc_decerr_reg : std_logic := '0';
signal sig_coelsc_slverr_reg : std_logic := '0';
signal sig_coelsc_cmd_cmplt_reg : std_logic := '0';
signal sig_coelsc_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_coelsc_reg : std_logic := '0';
signal sig_push_coelsc_reg : std_logic := '0';
signal sig_coelsc_reg_empty : std_logic := '0';
signal sig_coelsc_reg_full : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_cmd_cmplt_last_dbeat : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_next_eof_reg : std_logic := '0';
signal sig_next_sequential_reg : std_logic := '0';
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_next_calc_error_reg : std_logic := '0';
signal sig_next_dre_src_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_next_dre_dest_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_dqual_reg : std_logic := '0';
signal sig_push_dqual_reg : std_logic := '0';
signal sig_dqual_reg_empty : std_logic := '0';
signal sig_dqual_reg_full : std_logic := '0';
signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_posted_cntr_eq_0 : std_logic := '0';
signal sig_addr_posted_cntr_max : std_logic := '0';
signal sig_decr_addr_posted_cntr : std_logic := '0';
signal sig_incr_addr_posted_cntr : std_logic := '0';
signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_ls_addr_cntr : std_logic := '0';
signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_no_posted_cmds : std_logic := '0';
Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0);
Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0);
signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0);
signal sig_fifo_next_sadddr_lsb : std_logic_vector(SADDR_LSB_WIDTH-1 downto 0);
signal sig_fifo_next_len : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_fifo_next_strt_strb : std_logic_vector(STRB_WIDTH-1 downto 0);
signal sig_fifo_next_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0);
signal sig_fifo_next_drr : std_logic := '0';
signal sig_fifo_next_eof : std_logic := '0';
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_next_calc_error : std_logic := '0';
signal sig_fifo_next_sequential : std_logic := '0';
signal sig_fifo_next_dre_src_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_dre_dest_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_empty : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_sequential_push : std_logic := '0';
signal sig_clr_dqual_reg : std_logic := '0';
signal sig_advance_pipe : std_logic := '0';
signal sig_halt_reg : std_logic := '0';
signal sig_halt_reg_dly1 : std_logic := '0';
signal sig_halt_reg_dly2 : std_logic := '0';
signal sig_halt_reg_dly3 : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
begin --(architecture implementation)
-- AXI MMap Data Channel Port assignments
mm2s_rready <= sig_data2mmap_ready;
sig_mmap2data_valid <= mm2s_rvalid ;
sig_mmap2data_last <= mm2s_rlast ;
-- Read Status Block interface
data2rsc_valid <= sig_coelsc_reg_full ;
sig_rsc2data_ready <= rsc2data_ready ;
data2rsc_tag <= sig_coelsc_tag_reg ;
data2rsc_calc_err <= sig_coelsc_interr_reg ;
data2rsc_okay <= sig_coelsc_okay_reg ;
data2rsc_decerr <= sig_coelsc_decerr_reg ;
data2rsc_slverr <= sig_coelsc_slverr_reg ;
data2rsc_cmd_cmplt <= sig_coelsc_cmd_cmplt_reg ;
-- AXI MM2S Stream Channel Port assignments
mm2s_strm_wvalid <= (mm2s_rvalid and
sig_advance_pipe) or
(sig_halt_reg and -- Force tvalid high on a Halt and
sig_dqual_reg_full and -- a transfer is scheduled and
not(sig_no_posted_cmds) and -- there are cmds posted to AXi and
not(sig_calc_error_reg)); -- not a calc error
mm2s_strm_wlast <= (mm2s_rlast and
sig_next_eof_reg) or
(sig_halt_reg and -- Force tvalid high on a Halt and
sig_dqual_reg_full and -- a transfer is scheduled and
not(sig_no_posted_cmds) and -- there are cmds posted to AXi and
not(sig_calc_error_reg)); -- not a calc error;
GEN_MM2S_TKEEP_ENABLE5 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Generate the Write Strobes for the Stream interface
mm2s_strm_wstrb <= (others => '1')
When (sig_halt_reg = '1') -- Force tstrb high on a Halt
else sig_strt_strb_reg
When (sig_first_dbeat = '1')
Else sig_last_strb_reg
When (sig_last_dbeat = '1')
Else (others => '1');
end generate GEN_MM2S_TKEEP_ENABLE5;
GEN_MM2S_TKEEP_DISABLE5 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Generate the Write Strobes for the Stream interface
mm2s_strm_wstrb <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE5;
-- MM2S Supplimental Controls
mm2s_data2sf_cmd_cmplt <= (mm2s_rlast and
sig_next_cmd_cmplt_reg) or
(sig_halt_reg and
sig_dqual_reg_full and
not(sig_no_posted_cmds) and
not(sig_calc_error_reg));
-- Address Channel Controller synchro pulse input
sig_addr_posted <= addr2data_addr_posted;
-- Request to halt the Address Channel Controller
data2addr_stop_req <= sig_halt_reg;
-- Halted flag to the reset module
data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown
sig_no_posted_cmds and
not(sig_calc_error_reg)) or
(sig_halt_reg_dly3 and -- Shutdown after error trap
sig_calc_error_reg);
-- Read Transfer Completed Status output
mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt;
-- Internal logic ------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RD_CMPLT_FLAG
--
-- Process Description:
-- Implements the status flag indicating that a read data
-- transfer has completed. This is an echo of a rlast assertion
-- and a qualified data beat on the AXI4 Read Data Channel
-- inputs.
--
-------------------------------------------------------------
IMP_RD_CMPLT_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_rd_xfer_cmplt <= '0';
else
sig_rd_xfer_cmplt <= sig_mmap2data_last and
sig_good_mmap_dbeat;
end if;
end if;
end process IMP_RD_CMPLT_FLAG;
-- General flag for advancing the MMap Read and the Stream
-- data pipelines
sig_advance_pipe <= sig_addr_chan_rdy and
sig_dqual_rdy and
not(sig_coelsc_reg_full) and -- new status back-pressure term
not(sig_calc_error_reg);
-- test for Kevin's status throttle case
sig_data2mmap_ready <= (mm2s_strm_wready or
sig_halt_reg) and -- Ignore the Stream ready on a Halt request
sig_advance_pipe;
sig_good_mmap_dbeat <= sig_data2mmap_ready and
sig_mmap2data_valid;
sig_last_mmap_dbeat <= sig_good_mmap_dbeat and
sig_mmap2data_last;
sig_get_next_dqual <= sig_last_mmap_dbeat;
------------------------------------------------------------
-- Instance: I_READ_MUX
--
-- Description:
-- Instance of the MM2S Read Data Channel Read Mux
--
------------------------------------------------------------
I_READ_MUX : entity axi_datamover_v5_1.axi_datamover_rdmux
generic map (
C_SEL_ADDR_WIDTH => C_SEL_ADDR_WIDTH ,
C_MMAP_DWIDTH => C_MMAP_DWIDTH ,
C_STREAM_DWIDTH => C_STREAM_DWIDTH
)
port map (
mmap_read_data_in => mm2s_rdata ,
mux_data_out => mm2s_strm_wdata ,
mstr2data_saddr_lsb => sig_addr_lsb_reg
);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_LAST_DBEAT
--
-- Process Description:
-- This implements a FLOP that creates a pulse
-- indicating the LAST signal for an incoming read data channel
-- has been received. Note that it is possible to have back to
-- back LAST databeats.
--
-------------------------------------------------------------
REG_LAST_DBEAT : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_last_mmap_dbeat_reg <= '0';
else
sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat;
end if;
end if;
end process REG_LAST_DBEAT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_DATA_CNTL_FIFO
--
-- If Generate Description:
-- Omits the input data control FIFO if the requested FIFO
-- depth is 1. The Data Qualifier Register serves as a
-- 1 deep FIFO by itself.
--
------------------------------------------------------------
GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate
begin
-- Command Calculator Handshake output
data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ;
-- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and
-- pre 13.1 sig_aposted_cntr_ready and
-- pre 13.1 not(rsc2mstr_halt_pipe) and -- The Rd Status Controller is not stalling
-- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is
-- pre 13.1 -- no calculation error being propagated
sig_fifo_wr_cmd_ready <= sig_push_dqual_reg;
sig_fifo_next_tag <= mstr2data_tag ;
sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ;
sig_fifo_next_len <= mstr2data_len ;
sig_fifo_next_strt_strb <= mstr2data_strt_strb ;
sig_fifo_next_last_strb <= mstr2data_last_strb ;
sig_fifo_next_drr <= mstr2data_drr ;
sig_fifo_next_eof <= mstr2data_eof ;
sig_fifo_next_sequential <= mstr2data_sequential ;
sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ;
sig_fifo_next_calc_error <= mstr2data_calc_error ;
sig_fifo_next_dre_src_align <= mstr2data_dre_src_align ;
sig_fifo_next_dre_dest_align <= mstr2data_dre_dest_align ;
end generate GEN_NO_DATA_CNTL_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_DATA_CNTL_FIFO
--
-- If Generate Description:
-- Includes the input data control FIFO if the requested
-- FIFO depth is more than 1.
--
------------------------------------------------------------
GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate
begin
-- Command Calculator Handshake output
data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- pop the fifo when dqual reg is pushed
-- Format the input fifo data word
sig_cmd_fifo_data_in <= mstr2data_dre_dest_align &
mstr2data_dre_src_align &
mstr2data_calc_error &
mstr2data_cmd_cmplt &
mstr2data_sequential &
mstr2data_eof &
mstr2data_drr &
mstr2data_last_strb &
mstr2data_strt_strb &
mstr2data_len &
mstr2data_saddr_lsb &
mstr2data_tag ;
-- Rip the output fifo data word
sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto
TAG_STRT_INDEX);
sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto
SADDR_LSB_STRT_INDEX);
sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto
LEN_STRT_INDEX);
sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto
STRT_STRB_STRT_INDEX);
sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto
LAST_STRB_STRT_INDEX);
sig_fifo_next_drr <= sig_cmd_fifo_data_out(SOF_STRT_INDEX);
sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX);
sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX);
sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_fifo_next_dre_src_align <= sig_cmd_fifo_data_out((DRE_SRC_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto
DRE_SRC_STRT_INDEX);
sig_fifo_next_dre_dest_align <= sig_cmd_fifo_data_out((DRE_DEST_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto
DRE_DEST_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DATA_CNTL_FIFO
--
-- Description:
-- Instance for the Command Qualifier FIFO
--
------------------------------------------------------------
I_DATA_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => DCTL_FIFO_WIDTH ,
C_DEPTH => C_DATA_CNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_DATA_CNTL_FIFO;
-- Data Qualifier Register ------------------------------------
sig_ld_new_cmd <= sig_push_dqual_reg ;
sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0);
sig_dqual_rdy <= sig_dqual_reg_full ;
sig_strt_strb_reg <= sig_next_strt_strb_reg ;
sig_last_strb_reg <= sig_next_last_strb_reg ;
sig_tag_reg <= sig_next_tag_reg ;
sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ;
sig_calc_error_reg <= sig_next_calc_error_reg ;
-- Flag indicating that there are no posted commands to AXI
sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0;
-- new for no bubbles between child requests
sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified
sig_last_dbeat and -- last data beat of transfer
sig_next_sequential_reg;-- next queued command is sequential
-- to the current command
-- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or
-- pre 13.1 sig_dqual_reg_empty) and
-- pre 13.1 sig_fifo_rd_cmd_valid and
-- pre 13.1 sig_aposted_cntr_ready and
-- pre 13.1 not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not
-- stalling the command execution pipe
sig_push_dqual_reg <= (sig_sequential_push or
sig_dqual_reg_empty) and
sig_fifo_rd_cmd_valid and
sig_aposted_cntr_ready and
not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated
not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not
-- stalling the command execution pipe
sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and
sig_get_next_dqual and
sig_dqual_reg_full ;
-- new for no bubbles between child requests
sig_clr_dqual_reg <= mmap_reset or
(sig_pop_dqual_reg and
not(sig_push_dqual_reg));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DQUAL_REG
--
-- Process Description:
-- This process implements a register for the Data
-- Control and qualifiers. It operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_DQUAL_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_clr_dqual_reg = '1') then
sig_next_tag_reg <= (others => '0');
sig_next_strt_strb_reg <= (others => '0');
sig_next_last_strb_reg <= (others => '0');
sig_next_eof_reg <= '0';
sig_next_cmd_cmplt_reg <= '0';
sig_next_sequential_reg <= '0';
sig_next_calc_error_reg <= '0';
sig_next_dre_src_align_reg <= (others => '0');
sig_next_dre_dest_align_reg <= (others => '0');
sig_dqual_reg_empty <= '1';
sig_dqual_reg_full <= '0';
elsif (sig_push_dqual_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_strt_strb_reg <= sig_fifo_next_strt_strb ;
sig_next_last_strb_reg <= sig_fifo_next_last_strb ;
sig_next_eof_reg <= sig_fifo_next_eof ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_next_sequential_reg <= sig_fifo_next_sequential ;
sig_next_calc_error_reg <= sig_fifo_next_calc_error ;
sig_next_dre_src_align_reg <= sig_fifo_next_dre_src_align ;
sig_next_dre_dest_align_reg <= sig_fifo_next_dre_dest_align ;
sig_dqual_reg_empty <= '0';
sig_dqual_reg_full <= '1';
else
null; -- don't change state
end if;
end if;
end process IMP_DQUAL_REG;
-- Address LS Cntr logic --------------------------
sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr);
sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH);
sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_ADDR_LSB_CNTR
--
-- Process Description:
-- Implements the LS Address Counter used for controlling
-- the Read Data Mux during Burst transfers
--
-------------------------------------------------------------
DO_ADDR_LSB_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
(sig_pop_dqual_reg = '1' and
sig_push_dqual_reg = '0')) then -- Clear the Counter
sig_ls_addr_cntr <= (others => '0');
elsif (sig_push_dqual_reg = '1') then -- Load the Counter
sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb);
elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter
sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd;
else
null; -- Hold Current value
end if;
end if;
end process DO_ADDR_LSB_CNTR;
----- Address posted Counter logic --------------------------------
sig_incr_addr_posted_cntr <= sig_addr_posted ;
sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ;
sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max);
sig_addr_posted_cntr_eq_0 <= '1'
when (sig_addr_posted_cntr = ADDR_POSTED_ZERO)
Else '0';
sig_addr_posted_cntr_max <= '1'
when (sig_addr_posted_cntr = ADDR_POSTED_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_POSTED_FIFO_CNTR
--
-- Process Description:
-- This process implements a register for the Address
-- Posted FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_addr_posted_cntr <= ADDR_POSTED_ZERO;
elsif (sig_incr_addr_posted_cntr = '1' and
sig_decr_addr_posted_cntr = '0' and
sig_addr_posted_cntr_max = '0') then
sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ;
elsif (sig_incr_addr_posted_cntr = '0' and
sig_decr_addr_posted_cntr = '1' and
sig_addr_posted_cntr_eq_0 = '0') then
sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_POSTED_FIFO_CNTR;
------- First/Middle/Last Dbeat detirmination -------------------
sig_new_len_eq_0 <= '1'
When (sig_fifo_next_len = LEN_OF_ZERO)
else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_FIRST_MID_LAST
--
-- Process Description:
-- Implements the detection of the First/Mid/Last databeat of
-- a transfer.
--
-------------------------------------------------------------
DO_FIRST_MID_LAST : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_first_dbeat <= '0';
sig_last_dbeat <= '0';
elsif (sig_ld_new_cmd = '1') then
sig_first_dbeat <= not(sig_new_len_eq_0);
sig_last_dbeat <= sig_new_len_eq_0;
Elsif (sig_dbeat_cntr_eq_1 = '1' and
sig_good_mmap_dbeat = '1') Then
sig_first_dbeat <= '0';
sig_last_dbeat <= '1';
Elsif (sig_dbeat_cntr_eq_0 = '0' and
sig_dbeat_cntr_eq_1 = '0' and
sig_good_mmap_dbeat = '1') Then
sig_first_dbeat <= '0';
sig_last_dbeat <= '0';
else
null; -- hols current state
end if;
end if;
end process DO_FIRST_MID_LAST;
------- Data Controller Halted Indication -------------------------------
data2all_dcntlr_halted <= sig_no_posted_cmds and
(sig_calc_error_reg or
rst2data_stop_request);
------- Data Beat counter logic -------------------------------
sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr);
sig_dbeat_cntr_eq_0 <= '1'
when (sig_dbeat_cntr_int = 0)
Else '0';
sig_dbeat_cntr_eq_1 <= '1'
when (sig_dbeat_cntr_int = 1)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DBEAT_CNTR
--
-- Process Description:
--
--
-------------------------------------------------------------
DO_DBEAT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_dbeat_cntr <= (others => '0');
elsif (sig_ld_new_cmd = '1') then
sig_dbeat_cntr <= unsigned(sig_fifo_next_len);
Elsif (sig_good_mmap_dbeat = '1' and
sig_dbeat_cntr_eq_0 = '0') Then
sig_dbeat_cntr <= sig_dbeat_cntr-1;
else
null; -- Hold current state
end if;
end if;
end process DO_DBEAT_CNTR;
------ Read Response Status Logic ------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_NEW_CMD_PULSE
--
-- Process Description:
-- Generate a 1 Clock wide pulse when a new command has been
-- loaded into the Command Register
--
-------------------------------------------------------------
LD_NEW_CMD_PULSE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_ld_new_cmd_reg = '1') then
sig_ld_new_cmd_reg <= '0';
elsif (sig_ld_new_cmd = '1') then
sig_ld_new_cmd_reg <= '1';
else
null; -- hold State
end if;
end if;
end process LD_NEW_CMD_PULSE;
sig_pop_coelsc_reg <= sig_coelsc_reg_full and
sig_rsc2data_ready ;
sig_push_coelsc_reg <= (sig_good_mmap_dbeat and
not(sig_coelsc_reg_full)) or
(sig_ld_new_cmd_reg and
sig_calc_error_reg) ;
sig_cmd_cmplt_last_dbeat <= (sig_cmd_cmplt_reg and sig_mmap2data_last) or
sig_calc_error_reg;
------- Read Response Decode
-- Decode the AXI MMap Read Response
sig_decerr <= '1'
When mm2s_rresp = DECERR
Else '0';
sig_slverr <= '1'
When mm2s_rresp = SLVERR
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: RD_RESP_COELESC_REG
--
-- Process Description:
-- Implement the Read error/status coelescing register.
-- Once a bit is set it will remain set until the overall
-- status is written to the Status Controller.
-- Tag bits are just registered at each valid dbeat.
--
-------------------------------------------------------------
STATUS_COELESC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
(sig_pop_coelsc_reg = '1' and -- Added more qualification here for simultaneus
sig_push_coelsc_reg = '0')) then -- push and pop condition per CR590244
sig_coelsc_tag_reg <= (others => '0');
sig_coelsc_cmd_cmplt_reg <= '0';
sig_coelsc_interr_reg <= '0';
sig_coelsc_decerr_reg <= '0';
sig_coelsc_slverr_reg <= '0';
sig_coelsc_okay_reg <= '1'; -- set back to default of "OKAY"
sig_coelsc_reg_full <= '0';
sig_coelsc_reg_empty <= '1';
Elsif (sig_push_coelsc_reg = '1') Then
sig_coelsc_tag_reg <= sig_tag_reg;
sig_coelsc_cmd_cmplt_reg <= sig_cmd_cmplt_last_dbeat;
sig_coelsc_interr_reg <= sig_calc_error_reg or
sig_coelsc_interr_reg;
sig_coelsc_decerr_reg <= sig_decerr or sig_coelsc_decerr_reg;
sig_coelsc_slverr_reg <= sig_slverr or sig_coelsc_slverr_reg;
sig_coelsc_okay_reg <= not(sig_decerr or
sig_slverr or
sig_calc_error_reg );
sig_coelsc_reg_full <= sig_cmd_cmplt_last_dbeat;
sig_coelsc_reg_empty <= not(sig_cmd_cmplt_last_dbeat);
else
null; -- hold current state
end if;
end if;
end process STATUS_COELESC_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_DRE
--
-- If Generate Description:
-- Ties off DRE Control signals to logic low when DRE is
-- omitted from the MM2S functionality.
--
--
------------------------------------------------------------
GEN_NO_DRE : if (C_INCLUDE_DRE = 0) generate
begin
mm2s_dre_new_align <= '0';
mm2s_dre_use_autodest <= '0';
mm2s_dre_src_align <= (others => '0');
mm2s_dre_dest_align <= (others => '0');
mm2s_dre_flush <= '0';
end generate GEN_NO_DRE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INCLUDE_DRE_CNTLS
--
-- If Generate Description:
-- Implements the DRE Control logic when MM2S DRE is enabled.
--
-- - The DRE needs to have forced alignment at a SOF assertion
--
--
------------------------------------------------------------
GEN_INCLUDE_DRE_CNTLS : if (C_INCLUDE_DRE = 1) generate
-- local signals
signal lsig_s_h_dre_autodest : std_logic := '0';
signal lsig_s_h_dre_new_align : std_logic := '0';
begin
mm2s_dre_new_align <= lsig_s_h_dre_new_align;
-- Autodest is asserted on a new parent command and the
-- previous parent command was not delimited with a EOF
mm2s_dre_use_autodest <= lsig_s_h_dre_autodest;
-- Assign the DRE Source and Destination Alignments
-- Only used when mm2s_dre_new_align is asserted
mm2s_dre_src_align <= sig_next_dre_src_align_reg ;
mm2s_dre_dest_align <= sig_next_dre_dest_align_reg;
-- Assert the Flush flag when the MMap Tlast input of the current transfer is
-- asserted and the next transfer is not sequential and not the last
-- transfer of a packet.
mm2s_dre_flush <= mm2s_rlast and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_S_H_NEW_ALIGN
--
-- Process Description:
-- Generates the new alignment command flag to the DRE.
--
-------------------------------------------------------------
IMP_S_H_NEW_ALIGN : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_s_h_dre_new_align <= '0';
Elsif (sig_push_dqual_reg = '1' and
sig_fifo_next_drr = '1') Then
lsig_s_h_dre_new_align <= '1';
elsif (sig_pop_dqual_reg = '1') then
lsig_s_h_dre_new_align <= sig_next_cmd_cmplt_reg and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
Elsif (sig_good_mmap_dbeat = '1') Then
lsig_s_h_dre_new_align <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_S_H_NEW_ALIGN;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_S_H_AUTODEST
--
-- Process Description:
-- Generates the control for the DRE indicating whether the
-- DRE destination alignment should be derived from the write
-- strobe stat of the last completed data-beat to the AXI
-- stream output.
--
-------------------------------------------------------------
IMP_S_H_AUTODEST : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_s_h_dre_autodest <= '0';
Elsif (sig_push_dqual_reg = '1' and
sig_fifo_next_drr = '1') Then
lsig_s_h_dre_autodest <= '0';
elsif (sig_pop_dqual_reg = '1') then
lsig_s_h_dre_autodest <= sig_next_cmd_cmplt_reg and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
Elsif (lsig_s_h_dre_new_align = '1' and
sig_good_mmap_dbeat = '1') Then
lsig_s_h_dre_autodest <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_S_H_AUTODEST;
end generate GEN_INCLUDE_DRE_CNTLS;
------- Soft Shutdown Logic -------------------------------
-- Assign the output port skid buf control
data2skid_halt <= sig_data2skid_halt;
-- Create a 1 clock wide pulse to tell the output
-- stream skid buffer to shut down its outputs
sig_data2skid_halt <= sig_halt_reg_dly2 and
not(sig_halt_reg_dly3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_HALT_REQ_REG
--
-- Process Description:
-- Implements the flop for capturing the Halt request from
-- the Reset module.
--
-------------------------------------------------------------
IMP_HALT_REQ_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_halt_reg <= '0';
elsif (rst2data_stop_request = '1') then
sig_halt_reg <= '1';
else
null; -- Hold current State
end if;
end if;
end process IMP_HALT_REQ_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_HALT_REQ_REG_DLY
--
-- Process Description:
-- Implements the flops for delaying the halt request by 3
-- clocks to allow the Address Controller to halt before the
-- Data Contoller can safely indicate it has exhausted all
-- transfers committed to the AXI Address Channel by the Address
-- Controller.
--
-------------------------------------------------------------
IMP_HALT_REQ_REG_DLY : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_halt_reg_dly1 <= '0';
sig_halt_reg_dly2 <= '0';
sig_halt_reg_dly3 <= '0';
else
sig_halt_reg_dly1 <= sig_halt_reg;
sig_halt_reg_dly2 <= sig_halt_reg_dly1;
sig_halt_reg_dly3 <= sig_halt_reg_dly2;
end if;
end if;
end process IMP_HALT_REQ_REG_DLY;
end implementation;
| gpl-3.0 |
HectorGPala/DAS | Practica6/edgedetector.vhd | 1 | 736 | --Practica6 de Diseño Automatico de Sistemas
--Pong El primer Videojuego.
--Detector de Flancos.
--Desarrollada por Héctor Gutiérrez Palancarejo.
library ieee;
use ieee.std_logic_1164.all;
entity edgedetector is
port(
rst : in std_logic;
x : in std_logic;
clk : in std_logic;
x_falling_edge : out std_logic;
x_rising_edge : out std_logic
);
end edgedetector;
architecture rtl of edgedetector is
signal q1,q2 : std_logic;
begin
edge : process(clk,rst)
begin
if(rst = '0') then
q1 <= '1';
q2 <= '1';
elsif(rising_edge(clk)) then
q2 <= q1;
q1 <= x;
end if;
end process;
x_falling_edge <= q2 and not(q1);
x_rising_edge <= q1 and not(q2);
end rtl;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/shd_fifo_sim_netlist.vhdl | 1 | 295785 | -- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
-- Date : Fri Jul 8 09:16:27 2016
-- Host : jalapeno running 64-bit unknown
-- Command : write_vhdl -force -mode funcsim {/home/hhassan/git/GateKeeper/FPGA
-- Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/shd_fifo_sim_netlist.vhdl}
-- Design : shd_fifo
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7vx690tffg1761-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_blk_mem_gen_prim_wrapper is
port (
D : out STD_LOGIC_VECTOR ( 71 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 71 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end shd_fifo_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of shd_fifo_blk_mem_gen_prim_wrapper is
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "INDEPENDENT";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "SDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 72,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 0,
WRITE_WIDTH_B => 72
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 6) => \gc0.count_d1_reg[8]\(8 downto 0),
ADDRARDADDR(5 downto 0) => B"111111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 6) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
ADDRBWRADDR(5 downto 0) => B"111111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => rd_clk,
CLKBWRCLK => wr_clk,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 24) => din(34 downto 27),
DIADI(23 downto 16) => din(25 downto 18),
DIADI(15 downto 8) => din(16 downto 9),
DIADI(7 downto 0) => din(7 downto 0),
DIBDI(31 downto 24) => din(70 downto 63),
DIBDI(23 downto 16) => din(61 downto 54),
DIBDI(15 downto 8) => din(52 downto 45),
DIBDI(7 downto 0) => din(43 downto 36),
DIPADIP(3) => din(35),
DIPADIP(2) => din(26),
DIPADIP(1) => din(17),
DIPADIP(0) => din(8),
DIPBDIP(3) => din(71),
DIPBDIP(2) => din(62),
DIPBDIP(1) => din(53),
DIPBDIP(0) => din(44),
DOADO(31 downto 24) => D(34 downto 27),
DOADO(23 downto 16) => D(25 downto 18),
DOADO(15 downto 8) => D(16 downto 9),
DOADO(7 downto 0) => D(7 downto 0),
DOBDO(31 downto 24) => D(70 downto 63),
DOBDO(23 downto 16) => D(61 downto 54),
DOBDO(15 downto 8) => D(52 downto 45),
DOBDO(7 downto 0) => D(43 downto 36),
DOPADOP(3) => D(35),
DOPADOP(2) => D(26),
DOPADOP(1) => D(17),
DOPADOP(0) => D(8),
DOPBDOP(3) => D(71),
DOPBDOP(2) => D(62),
DOPBDOP(1) => D(53),
DOPBDOP(0) => D(44),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => tmp_ram_rd_en,
ENBWREN => E(0),
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => Q(0),
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\,
WEA(3 downto 0) => B"0000",
WEBWE(7) => E(0),
WEBWE(6) => E(0),
WEBWE(5) => E(0),
WEBWE(4) => E(0),
WEBWE(3) => E(0),
WEBWE(2) => E(0),
WEBWE(1) => E(0),
WEBWE(0) => E(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ is
port (
D : out STD_LOGIC_VECTOR ( 55 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 55 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper";
end \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\;
architecture STRUCTURE of \shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_21\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_29\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_37\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_45\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_53\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_61\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_69\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_77\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_85\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_86\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_87\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_88\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_89\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_90\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_91\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_92\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "INDEPENDENT";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "SDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 72,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 0,
WRITE_WIDTH_B => 72
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 6) => \gc0.count_d1_reg[8]\(8 downto 0),
ADDRARDADDR(5 downto 0) => B"111111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 6) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
ADDRBWRADDR(5 downto 0) => B"111111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => rd_clk,
CLKBWRCLK => wr_clk,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_DBITERR_UNCONNECTED\,
DIADI(31) => '0',
DIADI(30 downto 24) => din(27 downto 21),
DIADI(23) => '0',
DIADI(22 downto 16) => din(20 downto 14),
DIADI(15) => '0',
DIADI(14 downto 8) => din(13 downto 7),
DIADI(7) => '0',
DIADI(6 downto 0) => din(6 downto 0),
DIBDI(31) => '0',
DIBDI(30 downto 24) => din(55 downto 49),
DIBDI(23) => '0',
DIBDI(22 downto 16) => din(48 downto 42),
DIBDI(15) => '0',
DIBDI(14 downto 8) => din(41 downto 35),
DIBDI(7) => '0',
DIBDI(6 downto 0) => din(34 downto 28),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_21\,
DOADO(30 downto 24) => D(27 downto 21),
DOADO(23) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_29\,
DOADO(22 downto 16) => D(20 downto 14),
DOADO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_37\,
DOADO(14 downto 8) => D(13 downto 7),
DOADO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_45\,
DOADO(6 downto 0) => D(6 downto 0),
DOBDO(31) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_53\,
DOBDO(30 downto 24) => D(55 downto 49),
DOBDO(23) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_61\,
DOBDO(22 downto 16) => D(48 downto 42),
DOBDO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_69\,
DOBDO(14 downto 8) => D(41 downto 35),
DOBDO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_77\,
DOBDO(6 downto 0) => D(34 downto 28),
DOPADOP(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_85\,
DOPADOP(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_86\,
DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_87\,
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_88\,
DOPBDOP(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_89\,
DOPBDOP(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_90\,
DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_91\,
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_n_92\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => tmp_ram_rd_en,
ENBWREN => E(0),
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => Q(0),
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_SBITERR_UNCONNECTED\,
WEA(3 downto 0) => B"0000",
WEBWE(7) => E(0),
WEBWE(6) => E(0),
WEBWE(5) => E(0),
WEBWE(4) => E(0),
WEBWE(3) => E(0),
WEBWE(2) => E(0),
WEBWE(1) => E(0),
WEBWE(0) => E(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_compare is
port (
comp1 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gic0.gc0.count_d1_reg[8]\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_compare : entity is "compare";
end shd_fifo_compare;
architecture STRUCTURE of shd_fifo_compare is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \gic0.gc0.count_d1_reg[8]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_compare_0 is
port (
ram_full_i : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gic0.gc0.count_reg[8]\ : in STD_LOGIC;
wr_en : in STD_LOGIC;
p_0_out : in STD_LOGIC;
comp1 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_compare_0 : entity is "compare";
end shd_fifo_compare_0;
architecture STRUCTURE of shd_fifo_compare_0 is
signal comp2 : STD_LOGIC;
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp2,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \gic0.gc0.count_reg[8]\
);
ram_full_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF08"
)
port map (
I0 => comp2,
I1 => wr_en,
I2 => p_0_out,
I3 => comp1,
I4 => rst_full_gen_i,
O => ram_full_i
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_compare_1 is
port (
ram_empty_fb_i_reg : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wr_pntr_bin_reg[8]\ : in STD_LOGIC;
p_2_out : in STD_LOGIC;
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC;
comp1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_compare_1 : entity is "compare";
end shd_fifo_compare_1;
architecture STRUCTURE of shd_fifo_compare_1 is
signal comp0 : STD_LOGIC;
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp0,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \wr_pntr_bin_reg[8]\
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBABBBAAAAAAAA"
)
port map (
I0 => comp0,
I1 => p_2_out,
I2 => \gpregsm1.curr_fwft_state_reg[1]\(0),
I3 => \gpregsm1.curr_fwft_state_reg[1]\(1),
I4 => rd_en,
I5 => comp1,
O => ram_empty_fb_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_compare_2 is
port (
comp1 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gc0.count_reg[8]\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_compare_2 : entity is "compare";
end shd_fifo_compare_2;
architecture STRUCTURE of shd_fifo_compare_2 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \gc0.count_reg[8]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_rd_bin_cntr is
port (
ram_empty_fb_i_reg : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 7 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_rd_bin_cntr : entity is "rd_bin_cntr";
end shd_fifo_rd_bin_cntr;
architecture STRUCTURE of shd_fifo_rd_bin_cntr is
signal \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \gc0.count[8]_i_2_n_0\ : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 8 downto 0 );
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 8 to 8 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gc0.count[6]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[8]_i_2\ : label is "soft_lutpair12";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(8 downto 0);
Q(7 downto 0) <= \^q\(7 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => plusOp(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => plusOp(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
O => plusOp(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => plusOp(3)
);
\gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(4),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(3),
O => plusOp(4)
);
\gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(3),
I2 => \^q\(2),
I3 => \^q\(0),
I4 => \^q\(1),
I5 => \^q\(4),
O => plusOp(5)
);
\gc0.count[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \gc0.count[8]_i_2_n_0\,
I3 => \^q\(5),
O => plusOp(6)
);
\gc0.count[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(7),
I1 => \^q\(5),
I2 => \gc0.count[8]_i_2_n_0\,
I3 => \^q\(4),
I4 => \^q\(6),
O => plusOp(7)
);
\gc0.count[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => rd_pntr_plus1(8),
I1 => \^q\(6),
I2 => \^q\(4),
I3 => \gc0.count[8]_i_2_n_0\,
I4 => \^q\(5),
I5 => \^q\(7),
O => plusOp(8)
);
\gc0.count[8]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(0),
I3 => \^q\(1),
O => \gc0.count[8]_i_2_n_0\
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(0),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(1),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(2),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(3),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(4),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(4)
);
\gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(5),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(5)
);
\gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(6),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(6)
);
\gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(7),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(7)
);
\gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => rd_pntr_plus1(8),
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(8)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => E(0),
D => plusOp(0),
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(3),
Q => \^q\(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(4),
Q => \^q\(4)
);
\gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(5),
Q => \^q\(5)
);
\gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(6),
Q => \^q\(6)
);
\gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(7),
Q => \^q\(7)
);
\gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(8),
Q => rd_pntr_plus1(8)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I1 => WR_PNTR_RD(1),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
I3 => WR_PNTR_RD(0),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(3),
I1 => WR_PNTR_RD(3),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(2),
I3 => WR_PNTR_RD(2),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(5),
I1 => WR_PNTR_RD(5),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(4),
I3 => WR_PNTR_RD(4),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(7),
I1 => WR_PNTR_RD(7),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(6),
I3 => WR_PNTR_RD(6),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rd_pntr_plus1(8),
I1 => WR_PNTR_RD(8),
O => ram_empty_fb_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_rd_fwft is
port (
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
tmp_ram_rd_en : out STD_LOGIC;
\goreg_bm.dout_i_reg[127]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
p_2_out : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_rd_fwft : entity is "rd_fwft";
end shd_fifo_rd_fwft;
architecture STRUCTURE of shd_fifo_rd_fwft is
signal \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \gpregsm1.curr_fwft_state[0]_i_1_n_0\ : STD_LOGIC;
signal \gpregsm1.curr_fwft_state[1]_i_1_n_0\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair9";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[8]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair8";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(1 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1 downto 0);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAEFFF"
)
port map (
I0 => Q(0),
I1 => rd_en,
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I3 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
I4 => p_2_out,
O => tmp_ram_rd_en
);
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA22"
)
port map (
I0 => empty_fwft_fb,
I1 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I2 => rd_en,
I3 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty
);
\gc0.count_d1[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"5515"
)
port map (
I0 => p_2_out,
I1 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I3 => rd_en,
O => E(0)
);
\goreg_bm.dout_i[127]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"8A"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I1 => rd_en,
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
O => \goreg_bm.dout_i_reg[127]\(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"BA"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I1 => rd_en,
I2 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
O => \gpregsm1.curr_fwft_state[0]_i_1_n_0\
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"08FF"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0),
I1 => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1),
I2 => rd_en,
I3 => p_2_out,
O => \gpregsm1.curr_fwft_state[1]_i_1_n_0\
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(1),
D => \gpregsm1.curr_fwft_state[0]_i_1_n_0\,
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(1),
D => \gpregsm1.curr_fwft_state[1]_i_1_n_0\,
Q => \^device_7series.no_bmm_info.sdp.wide_prim36_no_ecc.ram\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_reset_blk_ramfifo is
port (
s_aclk : in STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end shd_fifo_reset_blk_ramfifo;
architecture STRUCTURE of shd_fifo_reset_blk_ramfifo is
signal inverted_reset : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
begin
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => rst_d1,
PRE => inverted_reset,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => rst_d2,
PRE => inverted_reset,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => m_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => m_aclk,
CE => '1',
D => rst_rd_reg1,
PRE => inverted_reset,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => s_aresetn,
O => inverted_reset
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => rst_wr_reg1,
PRE => inverted_reset,
Q => rst_wr_reg2
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \shd_fifo_reset_blk_ramfifo__parameterized0\ is
port (
rst_full_ff_i : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
\gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \shd_fifo_reset_blk_ramfifo__parameterized0\ : entity is "reset_blk_ramfifo";
end \shd_fifo_reset_blk_ramfifo__parameterized0\;
architecture STRUCTURE of \shd_fifo_reset_blk_ramfifo__parameterized0\ is
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_full_ff_i <= rst_d2;
rst_full_gen_i <= rst_d3;
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d2,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\,
PRE => rst_rd_reg2,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \gc0.count_reg[1]\(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \gc0.count_reg[1]\(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \gc0.count_reg[1]\(2)
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rst_rd_reg1,
PRE => rst,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => rst_wr_reg1,
PRE => rst,
Q => rst_wr_reg2
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\,
PRE => rst_wr_reg2,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => Q(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_synchronizer_ff is
port (
D : out STD_LOGIC_VECTOR ( 8 downto 0 );
Q : in STD_LOGIC_VECTOR ( 8 downto 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff : entity is "synchronizer_ff";
end shd_fifo_synchronizer_ff;
architecture STRUCTURE of shd_fifo_synchronizer_ff is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
begin
D(8 downto 0) <= Q_reg(8 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(8),
Q => Q_reg(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_synchronizer_ff_3 is
port (
D : out STD_LOGIC_VECTOR ( 8 downto 0 );
Q : in STD_LOGIC_VECTOR ( 8 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff_3 : entity is "synchronizer_ff";
end shd_fifo_synchronizer_ff_3;
architecture STRUCTURE of shd_fifo_synchronizer_ff_3 is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
begin
D(8 downto 0) <= Q_reg(8 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(8),
Q => Q_reg(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_synchronizer_ff_4 is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wr_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
D : in STD_LOGIC_VECTOR ( 8 downto 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff_4 : entity is "synchronizer_ff";
end shd_fifo_synchronizer_ff_4;
architecture STRUCTURE of shd_fifo_synchronizer_ff_4 is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
signal \wr_pntr_bin[2]_i_2_n_0\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
begin
\out\(0) <= Q_reg(8);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(8),
Q => Q_reg(8)
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(1),
I1 => Q_reg(0),
I2 => \wr_pntr_bin[2]_i_2_n_0\,
I3 => Q_reg(3),
I4 => Q_reg(2),
I5 => Q_reg(8),
O => \wr_pntr_bin_reg[7]\(0)
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \wr_pntr_bin[2]_i_2_n_0\,
I1 => Q_reg(3),
I2 => Q_reg(2),
I3 => Q_reg(8),
I4 => Q_reg(1),
O => \wr_pntr_bin_reg[7]\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(2),
I2 => Q_reg(3),
I3 => \wr_pntr_bin[2]_i_2_n_0\,
O => \wr_pntr_bin_reg[7]\(2)
);
\wr_pntr_bin[2]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(5),
I3 => Q_reg(4),
O => \wr_pntr_bin[2]_i_2_n_0\
);
\wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(5),
I1 => Q_reg(3),
I2 => Q_reg(4),
I3 => Q_reg(8),
I4 => Q_reg(6),
I5 => Q_reg(7),
O => \wr_pntr_bin_reg[7]\(3)
);
\wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(6),
I1 => Q_reg(4),
I2 => Q_reg(5),
I3 => Q_reg(8),
I4 => Q_reg(7),
O => \wr_pntr_bin_reg[7]\(4)
);
\wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(6),
I1 => Q_reg(5),
I2 => Q_reg(8),
I3 => Q_reg(7),
O => \wr_pntr_bin_reg[7]\(5)
);
\wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(8),
O => \wr_pntr_bin_reg[7]\(6)
);
\wr_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(8),
O => \wr_pntr_bin_reg[7]\(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_synchronizer_ff_5 is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\rd_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
D : in STD_LOGIC_VECTOR ( 8 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_synchronizer_ff_5 : entity is "synchronizer_ff";
end shd_fifo_synchronizer_ff_5;
architecture STRUCTURE of shd_fifo_synchronizer_ff_5 is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
signal \rd_pntr_bin[2]_i_2_n_0\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
begin
\out\(0) <= Q_reg(8);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(8),
Q => Q_reg(8)
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(1),
I1 => Q_reg(0),
I2 => \rd_pntr_bin[2]_i_2_n_0\,
I3 => Q_reg(3),
I4 => Q_reg(2),
I5 => Q_reg(8),
O => \rd_pntr_bin_reg[7]\(0)
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \rd_pntr_bin[2]_i_2_n_0\,
I1 => Q_reg(3),
I2 => Q_reg(2),
I3 => Q_reg(8),
I4 => Q_reg(1),
O => \rd_pntr_bin_reg[7]\(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(2),
I2 => Q_reg(3),
I3 => \rd_pntr_bin[2]_i_2_n_0\,
O => \rd_pntr_bin_reg[7]\(2)
);
\rd_pntr_bin[2]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(5),
I3 => Q_reg(4),
O => \rd_pntr_bin[2]_i_2_n_0\
);
\rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(5),
I1 => Q_reg(3),
I2 => Q_reg(4),
I3 => Q_reg(8),
I4 => Q_reg(6),
I5 => Q_reg(7),
O => \rd_pntr_bin_reg[7]\(3)
);
\rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(6),
I1 => Q_reg(4),
I2 => Q_reg(5),
I3 => Q_reg(8),
I4 => Q_reg(7),
O => \rd_pntr_bin_reg[7]\(4)
);
\rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(6),
I1 => Q_reg(5),
I2 => Q_reg(8),
I3 => Q_reg(7),
O => \rd_pntr_bin_reg[7]\(5)
);
\rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(8),
O => \rd_pntr_bin_reg[7]\(6)
);
\rd_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(8),
O => \rd_pntr_bin_reg[7]\(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_wr_bin_cntr is
port (
ram_full_fb_i_reg : out STD_LOGIC;
ram_full_fb_i_reg_0 : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 7 downto 0 );
\gic0.gc0.count_d2_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 0 to 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_wr_bin_cntr : entity is "wr_bin_cntr";
end shd_fifo_wr_bin_cntr;
architecture STRUCTURE of shd_fifo_wr_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \gic0.gc0.count[8]_i_2_n_0\ : STD_LOGIC;
signal \^gic0.gc0.count_d2_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_12_out : STD_LOGIC_VECTOR ( 8 to 8 );
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 8 to 8 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \gic0.gc0.count[6]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[8]_i_2\ : label is "soft_lutpair15";
begin
Q(7 downto 0) <= \^q\(7 downto 0);
\gic0.gc0.count_d2_reg[7]_0\(7 downto 0) <= \^gic0.gc0.count_d2_reg[7]_0\(7 downto 0);
\gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => \plusOp__0\(1)
);
\gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
O => \plusOp__0\(2)
);
\gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => \plusOp__0\(3)
);
\gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(4),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(3),
O => \plusOp__0\(4)
);
\gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(3),
I2 => \^q\(2),
I3 => \^q\(0),
I4 => \^q\(1),
I5 => \^q\(4),
O => \plusOp__0\(5)
);
\gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \gic0.gc0.count[8]_i_2_n_0\,
I3 => \^q\(5),
O => \plusOp__0\(6)
);
\gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(7),
I1 => \^q\(5),
I2 => \gic0.gc0.count[8]_i_2_n_0\,
I3 => \^q\(4),
I4 => \^q\(6),
O => \plusOp__0\(7)
);
\gic0.gc0.count[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => wr_pntr_plus2(8),
I1 => \^q\(6),
I2 => \^q\(4),
I3 => \gic0.gc0.count[8]_i_2_n_0\,
I4 => \^q\(5),
I5 => \^q\(7),
O => \plusOp__0\(8)
);
\gic0.gc0.count[8]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(0),
I3 => \^q\(1),
O => \gic0.gc0.count[8]_i_2_n_0\
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \^q\(0),
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
Q => \^gic0.gc0.count_d2_reg[7]_0\(0)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(1),
Q => \^gic0.gc0.count_d2_reg[7]_0\(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(2),
Q => \^gic0.gc0.count_d2_reg[7]_0\(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(3),
Q => \^gic0.gc0.count_d2_reg[7]_0\(3)
);
\gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(4),
Q => \^gic0.gc0.count_d2_reg[7]_0\(4)
);
\gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(5),
Q => \^gic0.gc0.count_d2_reg[7]_0\(5)
);
\gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(6),
Q => \^gic0.gc0.count_d2_reg[7]_0\(6)
);
\gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(7),
Q => \^gic0.gc0.count_d2_reg[7]_0\(7)
);
\gic0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(8),
Q => p_12_out(8)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(0),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(0)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(1),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(2),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(3),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(3)
);
\gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(4),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(4)
);
\gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(5),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(5)
);
\gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(6),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(6)
);
\gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[7]_0\(7),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(7)
);
\gic0.gc0.count_d2_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_12_out(8),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(0),
Q => \^q\(0)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \plusOp__0\(1),
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
Q => \^q\(1)
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(2),
Q => \^q\(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(3),
Q => \^q\(3)
);
\gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(4),
Q => \^q\(4)
);
\gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(5),
Q => \^q\(5)
);
\gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(6),
Q => \^q\(6)
);
\gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(7),
Q => \^q\(7)
);
\gic0.gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(8),
Q => wr_pntr_plus2(8)
);
\gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_12_out(8),
I1 => RD_PNTR_WR(0),
O => ram_full_fb_i_reg
);
\gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => wr_pntr_plus2(8),
I1 => RD_PNTR_WR(0),
O => ram_full_fb_i_reg_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_blk_mem_gen_prim_width is
port (
D : out STD_LOGIC_VECTOR ( 71 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 71 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end shd_fifo_blk_mem_gen_prim_width;
architecture STRUCTURE of shd_fifo_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.shd_fifo_blk_mem_gen_prim_wrapper
port map (
D(71 downto 0) => D(71 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(71 downto 0) => din(71 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \shd_fifo_blk_mem_gen_prim_width__parameterized0\ is
port (
D : out STD_LOGIC_VECTOR ( 55 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 55 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \shd_fifo_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \shd_fifo_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \shd_fifo_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_noinit.ram\: entity work.\shd_fifo_blk_mem_gen_prim_wrapper__parameterized0\
port map (
D(55 downto 0) => D(55 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(55 downto 0) => din(55 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_clk_x_pntrs is
port (
ram_empty_fb_i_reg : out STD_LOGIC;
WR_PNTR_RD : out STD_LOGIC_VECTOR ( 8 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 );
v1_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 );
RD_PNTR_WR : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg_1 : out STD_LOGIC_VECTOR ( 3 downto 0 );
Q : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\gic0.gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\gic0.gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_clk_x_pntrs : entity is "clk_x_pntrs";
end shd_fifo_clk_x_pntrs;
architecture STRUCTURE of shd_fifo_clk_x_pntrs is
signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_8\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_0_in7_out : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_0_out : STD_LOGIC_VECTOR ( 8 to 8 );
signal p_1_out : STD_LOGIC_VECTOR ( 8 to 8 );
signal p_22_out : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_2_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_3_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[7]_i_1_n_0\ : STD_LOGIC;
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_gc[6]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[7]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wr_pntr_gc[7]_i_1\ : label is "soft_lutpair3";
begin
WR_PNTR_RD(8 downto 0) <= \^wr_pntr_rd\(8 downto 0);
\gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(1),
I1 => \gc0.count_reg[7]\(1),
I2 => \^wr_pntr_rd\(0),
I3 => \gc0.count_reg[7]\(0),
O => v1_reg(0)
);
\gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(1),
I1 => \gic0.gc0.count_d1_reg[7]\(1),
I2 => p_22_out(0),
I3 => \gic0.gc0.count_d1_reg[7]\(0),
O => v1_reg_0(0)
);
\gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(1),
I1 => \gic0.gc0.count_reg[7]\(1),
I2 => p_22_out(0),
I3 => \gic0.gc0.count_reg[7]\(0),
O => v1_reg_1(0)
);
\gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(3),
I1 => \gc0.count_reg[7]\(3),
I2 => \^wr_pntr_rd\(2),
I3 => \gc0.count_reg[7]\(2),
O => v1_reg(1)
);
\gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(3),
I1 => \gic0.gc0.count_d1_reg[7]\(3),
I2 => p_22_out(2),
I3 => \gic0.gc0.count_d1_reg[7]\(2),
O => v1_reg_0(1)
);
\gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(3),
I1 => \gic0.gc0.count_reg[7]\(3),
I2 => p_22_out(2),
I3 => \gic0.gc0.count_reg[7]\(2),
O => v1_reg_1(1)
);
\gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(5),
I1 => \gc0.count_reg[7]\(5),
I2 => \^wr_pntr_rd\(4),
I3 => \gc0.count_reg[7]\(4),
O => v1_reg(2)
);
\gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(5),
I1 => \gic0.gc0.count_d1_reg[7]\(5),
I2 => p_22_out(4),
I3 => \gic0.gc0.count_d1_reg[7]\(4),
O => v1_reg_0(2)
);
\gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(5),
I1 => \gic0.gc0.count_reg[7]\(5),
I2 => p_22_out(4),
I3 => \gic0.gc0.count_reg[7]\(4),
O => v1_reg_1(2)
);
\gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(7),
I1 => \gc0.count_reg[7]\(7),
I2 => \^wr_pntr_rd\(6),
I3 => \gc0.count_reg[7]\(6),
O => v1_reg(3)
);
\gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(7),
I1 => \gic0.gc0.count_d1_reg[7]\(7),
I2 => p_22_out(6),
I3 => \gic0.gc0.count_d1_reg[7]\(6),
O => v1_reg_0(3)
);
\gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(7),
I1 => \gic0.gc0.count_reg[7]\(7),
I2 => p_22_out(6),
I3 => \gic0.gc0.count_reg[7]\(6),
O => v1_reg_1(3)
);
\gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(8),
I1 => Q(8),
O => ram_empty_fb_i_reg
);
\gsync_stage[1].rd_stg_inst\: entity work.shd_fifo_synchronizer_ff
port map (
D(8 downto 0) => p_3_out(8 downto 0),
Q(8 downto 0) => wr_pntr_gc(8 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.shd_fifo_synchronizer_ff_3
port map (
D(8 downto 0) => p_2_out(8 downto 0),
Q(8 downto 0) => rd_pntr_gc(8 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.shd_fifo_synchronizer_ff_4
port map (
D(8 downto 0) => p_3_out(8 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
\out\(0) => p_1_out(8),
rd_clk => rd_clk,
\wr_pntr_bin_reg[7]\(7 downto 0) => p_0_in(7 downto 0)
);
\gsync_stage[2].wr_stg_inst\: entity work.shd_fifo_synchronizer_ff_5
port map (
D(8 downto 0) => p_2_out(8 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
\out\(0) => p_0_out(8),
\rd_pntr_bin_reg[7]\(7) => \gsync_stage[2].wr_stg_inst_n_1\,
\rd_pntr_bin_reg[7]\(6) => \gsync_stage[2].wr_stg_inst_n_2\,
\rd_pntr_bin_reg[7]\(5) => \gsync_stage[2].wr_stg_inst_n_3\,
\rd_pntr_bin_reg[7]\(4) => \gsync_stage[2].wr_stg_inst_n_4\,
\rd_pntr_bin_reg[7]\(3) => \gsync_stage[2].wr_stg_inst_n_5\,
\rd_pntr_bin_reg[7]\(2) => \gsync_stage[2].wr_stg_inst_n_6\,
\rd_pntr_bin_reg[7]\(1) => \gsync_stage[2].wr_stg_inst_n_7\,
\rd_pntr_bin_reg[7]\(0) => \gsync_stage[2].wr_stg_inst_n_8\,
wr_clk => wr_clk
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_8\,
Q => p_22_out(0)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_7\,
Q => p_22_out(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_6\,
Q => p_22_out(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_5\,
Q => p_22_out(3)
);
\rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_4\,
Q => p_22_out(4)
);
\rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_3\,
Q => p_22_out(5)
);
\rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_2\,
Q => p_22_out(6)
);
\rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_1\,
Q => p_22_out(7)
);
\rd_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_out(8),
Q => RD_PNTR_WR(0)
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(0),
I1 => Q(1),
O => \rd_pntr_gc[0]_i_1_n_0\
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(1),
I1 => Q(2),
O => \rd_pntr_gc[1]_i_1_n_0\
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(2),
I1 => Q(3),
O => \rd_pntr_gc[2]_i_1_n_0\
);
\rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(3),
I1 => Q(4),
O => \rd_pntr_gc[3]_i_1_n_0\
);
\rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(4),
I1 => Q(5),
O => \rd_pntr_gc[4]_i_1_n_0\
);
\rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(5),
I1 => Q(6),
O => \rd_pntr_gc[5]_i_1_n_0\
);
\rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(6),
I1 => Q(7),
O => \rd_pntr_gc[6]_i_1_n_0\
);
\rd_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(7),
I1 => Q(8),
O => \rd_pntr_gc[7]_i_1_n_0\
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[0]_i_1_n_0\,
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[1]_i_1_n_0\,
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[2]_i_1_n_0\,
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[3]_i_1_n_0\,
Q => rd_pntr_gc(3)
);
\rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[4]_i_1_n_0\,
Q => rd_pntr_gc(4)
);
\rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[5]_i_1_n_0\,
Q => rd_pntr_gc(5)
);
\rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[6]_i_1_n_0\,
Q => rd_pntr_gc(6)
);
\rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[7]_i_1_n_0\,
Q => rd_pntr_gc(7)
);
\rd_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(8),
Q => rd_pntr_gc(8)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(0),
Q => \^wr_pntr_rd\(0)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(1),
Q => \^wr_pntr_rd\(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(2),
Q => \^wr_pntr_rd\(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(3),
Q => \^wr_pntr_rd\(3)
);
\wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(4),
Q => \^wr_pntr_rd\(4)
);
\wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(5),
Q => \^wr_pntr_rd\(5)
);
\wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(6),
Q => \^wr_pntr_rd\(6)
);
\wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(7),
Q => \^wr_pntr_rd\(7)
);
\wr_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_1_out(8),
Q => \^wr_pntr_rd\(8)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(0),
I1 => \gic0.gc0.count_d2_reg[8]\(1),
O => p_0_in7_out(0)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(1),
I1 => \gic0.gc0.count_d2_reg[8]\(2),
O => p_0_in7_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(2),
I1 => \gic0.gc0.count_d2_reg[8]\(3),
O => p_0_in7_out(2)
);
\wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(3),
I1 => \gic0.gc0.count_d2_reg[8]\(4),
O => p_0_in7_out(3)
);
\wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(4),
I1 => \gic0.gc0.count_d2_reg[8]\(5),
O => p_0_in7_out(4)
);
\wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(5),
I1 => \gic0.gc0.count_d2_reg[8]\(6),
O => p_0_in7_out(5)
);
\wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(6),
I1 => \gic0.gc0.count_d2_reg[8]\(7),
O => p_0_in7_out(6)
);
\wr_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(7),
I1 => \gic0.gc0.count_d2_reg[8]\(8),
O => p_0_in7_out(7)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(3),
Q => wr_pntr_gc(3)
);
\wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(4),
Q => wr_pntr_gc(4)
);
\wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(5),
Q => wr_pntr_gc(5)
);
\wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(6),
Q => wr_pntr_gc(6)
);
\wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(7),
Q => wr_pntr_gc(7)
);
\wr_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gic0.gc0.count_d2_reg[8]\(8),
Q => wr_pntr_gc(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_rd_status_flags_as is
port (
p_2_out : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wr_pntr_bin_reg[8]\ : in STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gc0.count_reg[8]\ : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_rd_status_flags_as : entity is "rd_status_flags_as";
end shd_fifo_rd_status_flags_as;
architecture STRUCTURE of shd_fifo_rd_status_flags_as is
signal c0_n_0 : STD_LOGIC;
signal comp1 : STD_LOGIC;
signal \^p_2_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
p_2_out <= \^p_2_out\;
c0: entity work.shd_fifo_compare_1
port map (
comp1 => comp1,
\gpregsm1.curr_fwft_state_reg[1]\(1 downto 0) => \gpregsm1.curr_fwft_state_reg[1]\(1 downto 0),
p_2_out => \^p_2_out\,
ram_empty_fb_i_reg => c0_n_0,
rd_en => rd_en,
v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0),
\wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\
);
c1: entity work.shd_fifo_compare_2
port map (
comp1 => comp1,
\gc0.count_reg[8]\ => \gc0.count_reg[8]\,
v1_reg(3 downto 0) => v1_reg(3 downto 0)
);
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => c0_n_0,
PRE => Q(0),
Q => \^p_2_out\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_wr_status_flags_as is
port (
full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gic0.gc0.count_d1_reg[8]\ : in STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gic0.gc0.count_reg[8]\ : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_wr_status_flags_as : entity is "wr_status_flags_as";
end shd_fifo_wr_status_flags_as;
architecture STRUCTURE of shd_fifo_wr_status_flags_as is
signal comp1 : STD_LOGIC;
signal p_0_out : STD_LOGIC;
signal ram_full_i : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => p_0_out,
O => E(0)
);
c1: entity work.shd_fifo_compare
port map (
comp1 => comp1,
\gic0.gc0.count_d1_reg[8]\ => \gic0.gc0.count_d1_reg[8]\,
v1_reg(3 downto 0) => v1_reg(3 downto 0)
);
c2: entity work.shd_fifo_compare_0
port map (
comp1 => comp1,
\gic0.gc0.count_reg[8]\ => \gic0.gc0.count_reg[8]\,
p_0_out => p_0_out,
ram_full_i => ram_full_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0),
wr_en => wr_en
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_full_ff_i,
Q => p_0_out
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_full_ff_i,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_blk_mem_gen_generic_cstr is
port (
D : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 127 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end shd_fifo_blk_mem_gen_generic_cstr;
architecture STRUCTURE of shd_fifo_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.shd_fifo_blk_mem_gen_prim_width
port map (
D(71 downto 0) => D(71 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(71 downto 0) => din(71 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
\ramloop[1].ram.r\: entity work.\shd_fifo_blk_mem_gen_prim_width__parameterized0\
port map (
D(55 downto 0) => D(127 downto 72),
E(0) => E(0),
Q(0) => Q(0),
din(55 downto 0) => din(127 downto 72),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_rd_logic is
port (
empty : out STD_LOGIC;
\gc0.count_d1_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
tmp_ram_rd_en : out STD_LOGIC;
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\wr_pntr_bin_reg[8]\ : in STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC;
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_rd_logic : entity is "rd_logic";
end shd_fifo_rd_logic;
architecture STRUCTURE of shd_fifo_rd_logic is
signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal \gr1.rfwft_n_1\ : STD_LOGIC;
signal \gr1.rfwft_n_2\ : STD_LOGIC;
signal p_2_out : STD_LOGIC;
signal rpntr_n_0 : STD_LOGIC;
begin
\gr1.rfwft\: entity work.shd_fifo_rd_fwft
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(1) => \gr1.rfwft_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(0) => curr_fwft_state(0),
E(0) => \gr1.rfwft_n_1\,
Q(1 downto 0) => Q(1 downto 0),
empty => empty,
\goreg_bm.dout_i_reg[127]\(0) => E(0),
p_2_out => p_2_out,
rd_clk => rd_clk,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en
);
\gras.rsts\: entity work.shd_fifo_rd_status_flags_as
port map (
Q(0) => Q(1),
\gc0.count_reg[8]\ => rpntr_n_0,
\gpregsm1.curr_fwft_state_reg[1]\(1) => \gr1.rfwft_n_2\,
\gpregsm1.curr_fwft_state_reg[1]\(0) => curr_fwft_state(0),
p_2_out => p_2_out,
rd_clk => rd_clk,
rd_en => rd_en,
v1_reg(3 downto 0) => v1_reg(3 downto 0),
v1_reg_0(3 downto 0) => \c0/v1_reg\(3 downto 0),
\wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\
);
rpntr: entity work.shd_fifo_rd_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0),
E(0) => \gr1.rfwft_n_1\,
Q(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0),
WR_PNTR_RD(8 downto 0) => WR_PNTR_RD(8 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => Q(1),
ram_empty_fb_i_reg => rpntr_n_0,
rd_clk => rd_clk,
v1_reg(3 downto 0) => \c0/v1_reg\(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_wr_logic is
port (
full : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 7 downto 0 );
\gic0.gc0.count_d1_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_wr_logic : entity is "wr_logic";
end shd_fifo_wr_logic;
architecture STRUCTURE of shd_fifo_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wpntr_n_0 : STD_LOGIC;
signal wpntr_n_1 : STD_LOGIC;
begin
E(0) <= \^e\(0);
\gwas.wsts\: entity work.shd_fifo_wr_status_flags_as
port map (
E(0) => \^e\(0),
full => full,
\gic0.gc0.count_d1_reg[8]\ => wpntr_n_0,
\gic0.gc0.count_reg[8]\ => wpntr_n_1,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg(3 downto 0) => v1_reg(3 downto 0),
v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0),
wr_clk => wr_clk,
wr_en => wr_en
);
wpntr: entity work.shd_fifo_wr_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0),
E(0) => \^e\(0),
Q(7 downto 0) => \gic0.gc0.count_d1_reg[7]\(7 downto 0),
RD_PNTR_WR(0) => RD_PNTR_WR(0),
\gic0.gc0.count_d2_reg[7]_0\(7 downto 0) => Q(7 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
ram_full_fb_i_reg => wpntr_n_0,
ram_full_fb_i_reg_0 => wpntr_n_1,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_blk_mem_gen_top is
port (
D : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 127 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_top : entity is "blk_mem_gen_top";
end shd_fifo_blk_mem_gen_top;
architecture STRUCTURE of shd_fifo_blk_mem_gen_top is
begin
\valid.cstr\: entity work.shd_fifo_blk_mem_gen_generic_cstr
port map (
D(127 downto 0) => D(127 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(127 downto 0) => din(127 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_blk_mem_gen_v8_3_1_synth is
port (
D : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 127 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_v8_3_1_synth : entity is "blk_mem_gen_v8_3_1_synth";
end shd_fifo_blk_mem_gen_v8_3_1_synth;
architecture STRUCTURE of shd_fifo_blk_mem_gen_v8_3_1_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.shd_fifo_blk_mem_gen_top
port map (
D(127 downto 0) => D(127 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(127 downto 0) => din(127 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_blk_mem_gen_v8_3_1 is
port (
D : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 127 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_blk_mem_gen_v8_3_1 : entity is "blk_mem_gen_v8_3_1";
end shd_fifo_blk_mem_gen_v8_3_1;
architecture STRUCTURE of shd_fifo_blk_mem_gen_v8_3_1 is
begin
inst_blk_mem_gen: entity work.shd_fifo_blk_mem_gen_v8_3_1_synth
port map (
D(127 downto 0) => D(127 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(127 downto 0) => din(127 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_memory is
port (
dout : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 127 downto 0 );
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_memory : entity is "memory";
end shd_fifo_memory;
architecture STRUCTURE of shd_fifo_memory is
signal doutb : STD_LOGIC_VECTOR ( 127 downto 0 );
begin
\gbm.gbmg.gbmga.ngecc.bmg\: entity work.shd_fifo_blk_mem_gen_v8_3_1
port map (
D(127 downto 0) => doutb(127 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(127 downto 0) => din(127 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
\goreg_bm.dout_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(0),
Q => dout(0),
R => Q(0)
);
\goreg_bm.dout_i_reg[100]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(100),
Q => dout(100),
R => Q(0)
);
\goreg_bm.dout_i_reg[101]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(101),
Q => dout(101),
R => Q(0)
);
\goreg_bm.dout_i_reg[102]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(102),
Q => dout(102),
R => Q(0)
);
\goreg_bm.dout_i_reg[103]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(103),
Q => dout(103),
R => Q(0)
);
\goreg_bm.dout_i_reg[104]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(104),
Q => dout(104),
R => Q(0)
);
\goreg_bm.dout_i_reg[105]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(105),
Q => dout(105),
R => Q(0)
);
\goreg_bm.dout_i_reg[106]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(106),
Q => dout(106),
R => Q(0)
);
\goreg_bm.dout_i_reg[107]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(107),
Q => dout(107),
R => Q(0)
);
\goreg_bm.dout_i_reg[108]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(108),
Q => dout(108),
R => Q(0)
);
\goreg_bm.dout_i_reg[109]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(109),
Q => dout(109),
R => Q(0)
);
\goreg_bm.dout_i_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(10),
Q => dout(10),
R => Q(0)
);
\goreg_bm.dout_i_reg[110]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(110),
Q => dout(110),
R => Q(0)
);
\goreg_bm.dout_i_reg[111]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(111),
Q => dout(111),
R => Q(0)
);
\goreg_bm.dout_i_reg[112]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(112),
Q => dout(112),
R => Q(0)
);
\goreg_bm.dout_i_reg[113]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(113),
Q => dout(113),
R => Q(0)
);
\goreg_bm.dout_i_reg[114]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(114),
Q => dout(114),
R => Q(0)
);
\goreg_bm.dout_i_reg[115]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(115),
Q => dout(115),
R => Q(0)
);
\goreg_bm.dout_i_reg[116]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(116),
Q => dout(116),
R => Q(0)
);
\goreg_bm.dout_i_reg[117]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(117),
Q => dout(117),
R => Q(0)
);
\goreg_bm.dout_i_reg[118]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(118),
Q => dout(118),
R => Q(0)
);
\goreg_bm.dout_i_reg[119]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(119),
Q => dout(119),
R => Q(0)
);
\goreg_bm.dout_i_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(11),
Q => dout(11),
R => Q(0)
);
\goreg_bm.dout_i_reg[120]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(120),
Q => dout(120),
R => Q(0)
);
\goreg_bm.dout_i_reg[121]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(121),
Q => dout(121),
R => Q(0)
);
\goreg_bm.dout_i_reg[122]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(122),
Q => dout(122),
R => Q(0)
);
\goreg_bm.dout_i_reg[123]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(123),
Q => dout(123),
R => Q(0)
);
\goreg_bm.dout_i_reg[124]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(124),
Q => dout(124),
R => Q(0)
);
\goreg_bm.dout_i_reg[125]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(125),
Q => dout(125),
R => Q(0)
);
\goreg_bm.dout_i_reg[126]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(126),
Q => dout(126),
R => Q(0)
);
\goreg_bm.dout_i_reg[127]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(127),
Q => dout(127),
R => Q(0)
);
\goreg_bm.dout_i_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(12),
Q => dout(12),
R => Q(0)
);
\goreg_bm.dout_i_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(13),
Q => dout(13),
R => Q(0)
);
\goreg_bm.dout_i_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(14),
Q => dout(14),
R => Q(0)
);
\goreg_bm.dout_i_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(15),
Q => dout(15),
R => Q(0)
);
\goreg_bm.dout_i_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(16),
Q => dout(16),
R => Q(0)
);
\goreg_bm.dout_i_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(17),
Q => dout(17),
R => Q(0)
);
\goreg_bm.dout_i_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(18),
Q => dout(18),
R => Q(0)
);
\goreg_bm.dout_i_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(19),
Q => dout(19),
R => Q(0)
);
\goreg_bm.dout_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(1),
Q => dout(1),
R => Q(0)
);
\goreg_bm.dout_i_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(20),
Q => dout(20),
R => Q(0)
);
\goreg_bm.dout_i_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(21),
Q => dout(21),
R => Q(0)
);
\goreg_bm.dout_i_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(22),
Q => dout(22),
R => Q(0)
);
\goreg_bm.dout_i_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(23),
Q => dout(23),
R => Q(0)
);
\goreg_bm.dout_i_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(24),
Q => dout(24),
R => Q(0)
);
\goreg_bm.dout_i_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(25),
Q => dout(25),
R => Q(0)
);
\goreg_bm.dout_i_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(26),
Q => dout(26),
R => Q(0)
);
\goreg_bm.dout_i_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(27),
Q => dout(27),
R => Q(0)
);
\goreg_bm.dout_i_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(28),
Q => dout(28),
R => Q(0)
);
\goreg_bm.dout_i_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(29),
Q => dout(29),
R => Q(0)
);
\goreg_bm.dout_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(2),
Q => dout(2),
R => Q(0)
);
\goreg_bm.dout_i_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(30),
Q => dout(30),
R => Q(0)
);
\goreg_bm.dout_i_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(31),
Q => dout(31),
R => Q(0)
);
\goreg_bm.dout_i_reg[32]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(32),
Q => dout(32),
R => Q(0)
);
\goreg_bm.dout_i_reg[33]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(33),
Q => dout(33),
R => Q(0)
);
\goreg_bm.dout_i_reg[34]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(34),
Q => dout(34),
R => Q(0)
);
\goreg_bm.dout_i_reg[35]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(35),
Q => dout(35),
R => Q(0)
);
\goreg_bm.dout_i_reg[36]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(36),
Q => dout(36),
R => Q(0)
);
\goreg_bm.dout_i_reg[37]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(37),
Q => dout(37),
R => Q(0)
);
\goreg_bm.dout_i_reg[38]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(38),
Q => dout(38),
R => Q(0)
);
\goreg_bm.dout_i_reg[39]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(39),
Q => dout(39),
R => Q(0)
);
\goreg_bm.dout_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(3),
Q => dout(3),
R => Q(0)
);
\goreg_bm.dout_i_reg[40]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(40),
Q => dout(40),
R => Q(0)
);
\goreg_bm.dout_i_reg[41]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(41),
Q => dout(41),
R => Q(0)
);
\goreg_bm.dout_i_reg[42]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(42),
Q => dout(42),
R => Q(0)
);
\goreg_bm.dout_i_reg[43]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(43),
Q => dout(43),
R => Q(0)
);
\goreg_bm.dout_i_reg[44]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(44),
Q => dout(44),
R => Q(0)
);
\goreg_bm.dout_i_reg[45]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(45),
Q => dout(45),
R => Q(0)
);
\goreg_bm.dout_i_reg[46]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(46),
Q => dout(46),
R => Q(0)
);
\goreg_bm.dout_i_reg[47]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(47),
Q => dout(47),
R => Q(0)
);
\goreg_bm.dout_i_reg[48]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(48),
Q => dout(48),
R => Q(0)
);
\goreg_bm.dout_i_reg[49]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(49),
Q => dout(49),
R => Q(0)
);
\goreg_bm.dout_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(4),
Q => dout(4),
R => Q(0)
);
\goreg_bm.dout_i_reg[50]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(50),
Q => dout(50),
R => Q(0)
);
\goreg_bm.dout_i_reg[51]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(51),
Q => dout(51),
R => Q(0)
);
\goreg_bm.dout_i_reg[52]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(52),
Q => dout(52),
R => Q(0)
);
\goreg_bm.dout_i_reg[53]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(53),
Q => dout(53),
R => Q(0)
);
\goreg_bm.dout_i_reg[54]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(54),
Q => dout(54),
R => Q(0)
);
\goreg_bm.dout_i_reg[55]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(55),
Q => dout(55),
R => Q(0)
);
\goreg_bm.dout_i_reg[56]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(56),
Q => dout(56),
R => Q(0)
);
\goreg_bm.dout_i_reg[57]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(57),
Q => dout(57),
R => Q(0)
);
\goreg_bm.dout_i_reg[58]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(58),
Q => dout(58),
R => Q(0)
);
\goreg_bm.dout_i_reg[59]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(59),
Q => dout(59),
R => Q(0)
);
\goreg_bm.dout_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(5),
Q => dout(5),
R => Q(0)
);
\goreg_bm.dout_i_reg[60]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(60),
Q => dout(60),
R => Q(0)
);
\goreg_bm.dout_i_reg[61]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(61),
Q => dout(61),
R => Q(0)
);
\goreg_bm.dout_i_reg[62]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(62),
Q => dout(62),
R => Q(0)
);
\goreg_bm.dout_i_reg[63]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(63),
Q => dout(63),
R => Q(0)
);
\goreg_bm.dout_i_reg[64]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(64),
Q => dout(64),
R => Q(0)
);
\goreg_bm.dout_i_reg[65]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(65),
Q => dout(65),
R => Q(0)
);
\goreg_bm.dout_i_reg[66]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(66),
Q => dout(66),
R => Q(0)
);
\goreg_bm.dout_i_reg[67]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(67),
Q => dout(67),
R => Q(0)
);
\goreg_bm.dout_i_reg[68]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(68),
Q => dout(68),
R => Q(0)
);
\goreg_bm.dout_i_reg[69]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(69),
Q => dout(69),
R => Q(0)
);
\goreg_bm.dout_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(6),
Q => dout(6),
R => Q(0)
);
\goreg_bm.dout_i_reg[70]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(70),
Q => dout(70),
R => Q(0)
);
\goreg_bm.dout_i_reg[71]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(71),
Q => dout(71),
R => Q(0)
);
\goreg_bm.dout_i_reg[72]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(72),
Q => dout(72),
R => Q(0)
);
\goreg_bm.dout_i_reg[73]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(73),
Q => dout(73),
R => Q(0)
);
\goreg_bm.dout_i_reg[74]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(74),
Q => dout(74),
R => Q(0)
);
\goreg_bm.dout_i_reg[75]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(75),
Q => dout(75),
R => Q(0)
);
\goreg_bm.dout_i_reg[76]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(76),
Q => dout(76),
R => Q(0)
);
\goreg_bm.dout_i_reg[77]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(77),
Q => dout(77),
R => Q(0)
);
\goreg_bm.dout_i_reg[78]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(78),
Q => dout(78),
R => Q(0)
);
\goreg_bm.dout_i_reg[79]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(79),
Q => dout(79),
R => Q(0)
);
\goreg_bm.dout_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(7),
Q => dout(7),
R => Q(0)
);
\goreg_bm.dout_i_reg[80]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(80),
Q => dout(80),
R => Q(0)
);
\goreg_bm.dout_i_reg[81]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(81),
Q => dout(81),
R => Q(0)
);
\goreg_bm.dout_i_reg[82]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(82),
Q => dout(82),
R => Q(0)
);
\goreg_bm.dout_i_reg[83]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(83),
Q => dout(83),
R => Q(0)
);
\goreg_bm.dout_i_reg[84]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(84),
Q => dout(84),
R => Q(0)
);
\goreg_bm.dout_i_reg[85]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(85),
Q => dout(85),
R => Q(0)
);
\goreg_bm.dout_i_reg[86]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(86),
Q => dout(86),
R => Q(0)
);
\goreg_bm.dout_i_reg[87]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(87),
Q => dout(87),
R => Q(0)
);
\goreg_bm.dout_i_reg[88]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(88),
Q => dout(88),
R => Q(0)
);
\goreg_bm.dout_i_reg[89]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(89),
Q => dout(89),
R => Q(0)
);
\goreg_bm.dout_i_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(8),
Q => dout(8),
R => Q(0)
);
\goreg_bm.dout_i_reg[90]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(90),
Q => dout(90),
R => Q(0)
);
\goreg_bm.dout_i_reg[91]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(91),
Q => dout(91),
R => Q(0)
);
\goreg_bm.dout_i_reg[92]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(92),
Q => dout(92),
R => Q(0)
);
\goreg_bm.dout_i_reg[93]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(93),
Q => dout(93),
R => Q(0)
);
\goreg_bm.dout_i_reg[94]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(94),
Q => dout(94),
R => Q(0)
);
\goreg_bm.dout_i_reg[95]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(95),
Q => dout(95),
R => Q(0)
);
\goreg_bm.dout_i_reg[96]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(96),
Q => dout(96),
R => Q(0)
);
\goreg_bm.dout_i_reg[97]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(97),
Q => dout(97),
R => Q(0)
);
\goreg_bm.dout_i_reg[98]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(98),
Q => dout(98),
R => Q(0)
);
\goreg_bm.dout_i_reg[99]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(99),
Q => dout(99),
R => Q(0)
);
\goreg_bm.dout_i_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(9),
Q => dout(9),
R => Q(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_fifo_generator_ramfifo is
port (
empty : out STD_LOGIC;
full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 127 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end shd_fifo_fifo_generator_ramfifo;
architecture STRUCTURE of shd_fifo_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_0\ : STD_LOGIC;
signal \gras.rsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \gwas.wsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \gwas.wsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_0_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_11_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_12_out : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_17_out : STD_LOGIC;
signal p_21_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_22_out : STD_LOGIC_VECTOR ( 8 to 8 );
signal p_5_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 );
signal rst_full_ff_i : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal tmp_ram_rd_en : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.shd_fifo_clk_x_pntrs
port map (
Q(8 downto 0) => p_0_out(8 downto 0),
RD_PNTR_WR(0) => p_22_out(8),
WR_PNTR_RD(8 downto 0) => p_21_out(8 downto 0),
\gc0.count_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0),
\gic0.gc0.count_d1_reg[7]\(7 downto 0) => p_12_out(7 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_11_out(8 downto 0),
\gic0.gc0.count_reg[7]\(7 downto 0) => wr_pntr_plus2(7 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0),
ram_empty_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_0\,
rd_clk => rd_clk,
v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0),
v1_reg_0(3 downto 0) => \gwas.wsts/c1/v1_reg\(3 downto 0),
v1_reg_1(3 downto 0) => \gwas.wsts/c2/v1_reg\(3 downto 0),
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.shd_fifo_rd_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => p_0_out(8 downto 0),
E(0) => p_5_out,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
WR_PNTR_RD(8 downto 0) => p_21_out(8 downto 0),
empty => empty,
\gc0.count_d1_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0),
rd_clk => rd_clk,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en,
v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0),
\wr_pntr_bin_reg[8]\ => \gntv_or_sync_fifo.gcx.clkx_n_0\
);
\gntv_or_sync_fifo.gl0.wr\: entity work.shd_fifo_wr_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36_NO_ECC.ram\(8 downto 0) => p_11_out(8 downto 0),
E(0) => p_17_out,
Q(7 downto 0) => p_12_out(7 downto 0),
RD_PNTR_WR(0) => p_22_out(8),
full => full,
\gic0.gc0.count_d1_reg[7]\(7 downto 0) => wr_pntr_plus2(7 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \^rst\,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg(3 downto 0) => \gwas.wsts/c1/v1_reg\(3 downto 0),
v1_reg_0(3 downto 0) => \gwas.wsts/c2/v1_reg\(3 downto 0),
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.shd_fifo_memory
port map (
E(0) => p_17_out,
Q(0) => rd_rst_i(0),
din(127 downto 0) => din(127 downto 0),
dout(127 downto 0) => dout(127 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => p_0_out(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_11_out(8 downto 0),
\gpregsm1.curr_fwft_state_reg[1]\(0) => p_5_out,
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
rstblk: entity work.\shd_fifo_reset_blk_ramfifo__parameterized0\
port map (
Q(1) => \^rst\,
Q(0) => wr_rst_i(0),
\gc0.count_reg[1]\(2) => RD_RST,
\gc0.count_reg[1]\(1 downto 0) => rd_rst_i(1 downto 0),
rd_clk => rd_clk,
rst => rst,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_fifo_generator_top is
port (
empty : out STD_LOGIC;
full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 127 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 127 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_fifo_generator_top : entity is "fifo_generator_top";
end shd_fifo_fifo_generator_top;
architecture STRUCTURE of shd_fifo_fifo_generator_top is
begin
\grf.rf\: entity work.shd_fifo_fifo_generator_ramfifo
port map (
din(127 downto 0) => din(127 downto 0),
dout(127 downto 0) => dout(127 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_fifo_generator_v13_0_1_synth is
port (
dout : out STD_LOGIC_VECTOR ( 127 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 127 downto 0 );
s_aclk : in STD_LOGIC;
m_aclk : in STD_LOGIC;
rst : in STD_LOGIC;
wr_en : in STD_LOGIC;
s_aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_fifo_generator_v13_0_1_synth : entity is "fifo_generator_v13_0_1_synth";
end shd_fifo_fifo_generator_v13_0_1_synth;
architecture STRUCTURE of shd_fifo_fifo_generator_v13_0_1_synth is
begin
\gconvfifo.rf\: entity work.shd_fifo_fifo_generator_top
port map (
din(127 downto 0) => din(127 downto 0),
dout(127 downto 0) => dout(127 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
\reset_gen_ic.rstblk_cc\: entity work.shd_fifo_reset_blk_ramfifo
port map (
m_aclk => m_aclk,
s_aclk => s_aclk,
s_aresetn => s_aresetn
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo_fifo_generator_v13_0_1 is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 127 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 127 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 8 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of shd_fifo_fifo_generator_v13_0_1 : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 128;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 128;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of shd_fifo_fifo_generator_v13_0_1 : entity is "virtex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of shd_fifo_fifo_generator_v13_0_1 : entity is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 511;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of shd_fifo_fifo_generator_v13_0_1 : entity is 510;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of shd_fifo_fifo_generator_v13_0_1 : entity is 512;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of shd_fifo_fifo_generator_v13_0_1 : entity is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of shd_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of shd_fifo_fifo_generator_v13_0_1 : entity is 512;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of shd_fifo_fifo_generator_v13_0_1 : entity is 9;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of shd_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of shd_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of shd_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of shd_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of shd_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of shd_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_fifo_fifo_generator_v13_0_1 : entity is "fifo_generator_v13_0_1";
end shd_fifo_fifo_generator_v13_0_1;
architecture STRUCTURE of shd_fifo_fifo_generator_v13_0_1 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(8) <= \<const0>\;
data_count(7) <= \<const0>\;
data_count(6) <= \<const0>\;
data_count(5) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_data_count(8) <= \<const0>\;
rd_data_count(7) <= \<const0>\;
rd_data_count(6) <= \<const0>\;
rd_data_count(5) <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(8) <= \<const0>\;
wr_data_count(7) <= \<const0>\;
wr_data_count(6) <= \<const0>\;
wr_data_count(5) <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.shd_fifo_fifo_generator_v13_0_1_synth
port map (
din(127 downto 0) => din(127 downto 0),
dout(127 downto 0) => dout(127 downto 0),
empty => empty,
full => full,
m_aclk => m_aclk,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
s_aclk => s_aclk,
s_aresetn => s_aresetn,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_fifo is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 127 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 127 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of shd_fifo : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of shd_fifo : entity is "shd_fifo,fifo_generator_v13_0_1,{}";
attribute core_generation_info : string;
attribute core_generation_info of shd_fifo : entity is "shd_fifo,fifo_generator_v13_0_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=9,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=128,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=128,C_ENABLE_RLOCS=0,C_FAMILY=virtex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=511,C_PROG_FULL_THRESH_NEGATE_VAL=510,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=9,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=9,C_WR_DEPTH=512,C_WR_FREQ=1,C_WR_PNTR_WIDTH=9,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of shd_fifo : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of shd_fifo : entity is "fifo_generator_v13_0_1,Vivado 2015.4";
end shd_fifo;
architecture STRUCTURE of shd_fifo is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 9;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 128;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 128;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "virtex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 511;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 510;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 9;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 512;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 9;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 9;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 512;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 9;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.shd_fifo_fifo_generator_v13_0_1
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3 downto 0) => B"0000",
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3 downto 0) => B"0000",
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3 downto 0) => B"0000",
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3 downto 0) => B"0000",
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3 downto 0) => B"0000",
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3 downto 0) => B"0000",
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9 downto 0) => B"0000000000",
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9 downto 0) => B"0000000000",
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9 downto 0) => B"0000000000",
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9 downto 0) => B"0000000000",
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(8 downto 0) => NLW_U0_data_count_UNCONNECTED(8 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(127 downto 0) => din(127 downto 0),
dout(127 downto 0) => dout(127 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1 downto 0) => B"00",
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1 downto 0) => B"00",
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(8 downto 0) => B"000000000",
prog_empty_thresh_assert(8 downto 0) => B"000000000",
prog_empty_thresh_negate(8 downto 0) => B"000000000",
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(8 downto 0) => B"000000000",
prog_full_thresh_assert(8 downto 0) => B"000000000",
prog_full_thresh_negate(8 downto 0) => B"000000000",
rd_clk => rd_clk,
rd_data_count(8 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(8 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arcache(3 downto 0) => B"0000",
s_axi_arid(0) => '0',
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arlock(0) => '0',
s_axi_arprot(2 downto 0) => B"000",
s_axi_arqos(3 downto 0) => B"0000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => B"000",
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awcache(3 downto 0) => B"0000",
s_axi_awid(0) => '0',
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awlock(0) => '0',
s_axi_awprot(2 downto 0) => B"000",
s_axi_awqos(3 downto 0) => B"0000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => B"000",
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7 downto 0) => B"00000000",
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7 downto 0) => B"00000000",
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3 downto 0) => B"0000",
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(8 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(8 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/ramfifo/wr_handshaking_flags.vhd | 6 | 12657 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/fifo_generator_v12_0/hdl/ramfifo/wr_handshaking_flags.vhd | 6 | 12657 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block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`protect end_protected
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_vdma_sfifo_autord.vhd | 2 | 22492 | -------------------------------------------------------------------------------
-- axi_vdma_sfifo_autord.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sfifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0;
use lib_fifo_v1_0.sync_fifo_fg;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_sfifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 128;
-- Sets the depth of the FIFO
C_DATA_CNT_WIDTH : integer := 8;
-- Sets the width of the FIFO Data Count output
C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0;
-- Indicates the need for an almost empty flag from the internal FIFO
C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0;
-- Indicates the need for an almost full flag from the internal FIFO
C_USE_BLKMEM : Integer range 0 to 1 := 1;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs ------------------------------------------------------------------
SFIFO_Sinit : In std_logic; --
SFIFO_Clk : In std_logic; --
SFIFO_Wr_en : In std_logic; --
SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Rd_en : In std_logic; --
SFIFO_Clr_Rd_Data_Valid : In std_logic; --
--------------------------------------------------------------------------------
-- FIFO Outputs -----------------------------------------------------------------
SFIFO_DValid : Out std_logic; --
SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
SFIFO_Full : Out std_logic; --
SFIFO_Empty : Out std_logic; --
SFIFO_Almost_full : Out std_logic; --
SFIFO_Almost_empty : Out std_logic; --
SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); --
SFIFO_Rd_ack : Out std_logic --
--------------------------------------------------------------------------------
);
end entity axi_vdma_sfifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_vdma_sfifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_int : natural := 0;
signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0');
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_SFIFO_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_sfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
begin
-- Bit ordering translations
write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little
-- endian.
SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
-- Other port usages and assignments
SFIFO_Rd_ack <= sig_sfifo_rdack;
SFIFO_Almost_empty <= corrected_almost_empty;
SFIFO_Empty <= corrected_empty;
SFIFO_Wr_count <= raw_data_cnt_lil_end;
SFIFO_Rd_count <= raw_data_count_corr;
SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1;
SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
fifo_read_enable <= SFIFO_Rd_en or autoread;
------------------------------------------------------------
-- Instance: I_SYNC_FIFOGEN_FIFO
--
-- Description:
-- Instance for the synchronous fifo from proc common.
--
------------------------------------------------------------
I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.sync_fifo_fg
generic map(
C_FAMILY => C_FAMILY, -- requred for FIFO Gen
C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH,
C_ENABLE_RLOCS => 0,
C_HAS_DCOUNT => 1,
C_SYNCHRONIZER_STAGE => MTBF_STAGES,
C_HAS_RD_ACK => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 1,
C_HAS_WR_ERR => 0,
C_MEMORY_TYPE => C_USE_BLKMEM,
C_PORTS_DIFFER => 0,
C_RD_ACK_LOW => 0,
C_READ_DATA_WIDTH => C_DWIDTH,
C_READ_DEPTH => C_DEPTH,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_WRITE_DATA_WIDTH => C_DWIDTH,
C_WRITE_DEPTH => C_DEPTH
--C_WRITE_DEPTH => C_DEPTH,
--C_PRELOAD_REGS => 1, -- 1 = first word fall through
--C_PRELOAD_LATENCY => 0, -- 0 = first word fall through
--C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map(
Clk => SFIFO_Clk,
Sinit => SFIFO_Sinit,
Din => write_data_lil_end,
Wr_en => SFIFO_Wr_en,
Rd_en => fifo_read_enable,
Dout => read_data_lil_end,
Full => SFIFO_Full,
Empty => sig_SFIFO_empty,
Rd_ack => sig_sfifo_rdack,
Wr_ack => open,
Rd_err => open,
Wr_err => open,
Data_count => raw_data_cnt_lil_end
);
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Read Ack assert & hold logic Needed because....
-------------------------------------------------------------------------------
-- 1) The CoreGen Sync FIFO has to be read once to get valid
-- data to the read data port.
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been used. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
SFIFO_Sinit or
SFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_sfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (SFIFO_Clk)
begin
if (SFIFO_Clk'event and SFIFO_Clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_SFIFO_empty = '0') -- and the FIFO is not empty
Else '0';
raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end);
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_EMPTY
--
-- If Generate Description:
-- This IFGen corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
Signal raw_data_count_int_corr_minus1 : integer := 0;
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT_IAE
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and includes the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT_IAE : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
raw_data_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
raw_data_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
raw_data_count_int_corr_minus1 <= raw_data_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT_IAE;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1,
C_DATA_CNT_WIDTH);
end generate INCLUDE_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_EMPTY
--
-- If Generate Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
------------------------------------------------------------
OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate
-- local signals
Signal raw_data_count_int_corr : integer := 0;
begin
corrected_almost_empty <= '0'; -- always low
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function and omits the generation of the
-- Almost_Empty flag.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_SFIFO_empty,
raw_data_count_int)
begin
if (sig_rddata_valid = '0') then
raw_data_count_int_corr <= 0;
corrected_empty <= '1';
elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty
raw_data_count_int_corr <= 1;
corrected_empty <= '0';
Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty
raw_data_count_int_corr <= 2;
corrected_empty <= '0';
else -- rddata valid and modify rd count from FIFO
raw_data_count_int_corr <= raw_data_count_int+1;
corrected_empty <= '0';
end if;
end process CORRECT_RD_CNT;
raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr,
C_DATA_CNT_WIDTH);
end generate OMIT_ALMOST_EMPTY;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Includes the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate
-- Local Constants
Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1;
begin
SFIFO_Almost_full <= '1'
When raw_data_count_int = ALMOST_FULL_VALUE
Else '0';
end generate INCLUDE_ALMOST_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_ALMOST_FULL
--
-- If Generate Description:
-- This IfGen Omits the generation of the Amost_Full flag.
--
--
------------------------------------------------------------
OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate
begin
SFIFO_Almost_full <= '0'; -- always low
end generate OMIT_ALMOST_FULL;
end imp;
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_updt_mngr.vhd | 1 | 21150 | -------------------------------------------------------------------------------
-- axi_sg_updt_mngr
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_mngr.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0.sync_fifo_fg.vhd
-- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 3/19/10 v1_00_a
-- ^^^^^^
-- - Initial Release
-- ~~~~~~
-- GAB 8/26/10 v2_00_a
-- ^^^^^^
-- Rolled axi_sg library version to version v2_00_a
-- ~~~~~~
-- GAB 10/21/10 v4_03
-- ^^^^^^
-- Rolled version to v4_03
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_mngr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
ch2_updt_curdesc_wren : in std_logic ; --
ch2_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_updt_cmd_tvalid : out std_logic ; --
s_axis_updt_cmd_tready : in std_logic ; --
s_axis_updt_cmd_tdata : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_updt_sts_tvalid : in std_logic ; --
m_axis_updt_sts_tready : out std_logic ; --
m_axis_updt_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_updt_sts_tkeep : in std_logic_vector(0 downto 0) ; --
s2mm_err : in std_logic ; --
--
ftch_error : in std_logic ; --
updt_error : out std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal updt_cmnd_wr : std_logic := '0';
signal updt_cmnd_data : std_logic_vector
((C_M_AXI_SG_ADDR_WIDTH
+CMD_BASE_WIDTH)-1 downto 0)
:= (others => '0');
signal updt_done : std_logic := '0';
signal updt_error_i : std_logic := '0';
signal updt_interr : std_logic := '0';
signal updt_slverr : std_logic := '0';
signal updt_decerr : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
updt_error <= updt_error_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
I_UPDT_SG : entity axi_vdma_v6_2.axi_sg_updt_sm
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE ,
C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE ,
C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD ,
C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
ftch_error => ftch_error ,
-- Channel 1 Control and Status
ch1_updt_queue_empty => ch1_updt_queue_empty ,
ch1_updt_active => ch1_updt_active ,
ch1_updt_idle => ch1_updt_idle ,
ch1_updt_ioc => ch1_updt_ioc ,
ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set ,
ch1_dma_interr => ch1_dma_interr ,
ch1_dma_slverr => ch1_dma_slverr ,
ch1_dma_decerr => ch1_dma_decerr ,
ch1_dma_interr_set => ch1_dma_interr_set ,
ch1_dma_slverr_set => ch1_dma_slverr_set ,
ch1_dma_decerr_set => ch1_dma_decerr_set ,
ch1_updt_interr_set => ch1_updt_interr_set ,
ch1_updt_slverr_set => ch1_updt_slverr_set ,
ch1_updt_decerr_set => ch1_updt_decerr_set ,
ch1_updt_curdesc_wren => ch1_updt_curdesc_wren ,
ch1_updt_curdesc => ch1_updt_curdesc ,
ch1_updt_done => ch1_updt_done ,
-- Channel 2 Control and Status
ch2_updt_queue_empty => ch2_updt_queue_empty ,
ch2_updt_active => ch2_updt_active ,
ch2_updt_idle => ch2_updt_idle ,
ch2_updt_ioc => ch2_updt_ioc ,
ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set ,
ch2_dma_interr => ch2_dma_interr ,
ch2_dma_slverr => ch2_dma_slverr ,
ch2_dma_decerr => ch2_dma_decerr ,
ch2_dma_interr_set => ch2_dma_interr_set ,
ch2_dma_slverr_set => ch2_dma_slverr_set ,
ch2_dma_decerr_set => ch2_dma_decerr_set ,
ch2_updt_interr_set => ch2_updt_interr_set ,
ch2_updt_slverr_set => ch2_updt_slverr_set ,
ch2_updt_decerr_set => ch2_updt_decerr_set ,
ch2_updt_curdesc_wren => ch2_updt_curdesc_wren ,
ch2_updt_curdesc => ch2_updt_curdesc ,
ch2_updt_done => ch2_updt_done ,
-- DataMover Command
updt_cmnd_wr => updt_cmnd_wr ,
updt_cmnd_data => updt_cmnd_data ,
-- DataMover Status
updt_done => updt_done ,
updt_error => updt_error_i ,
updt_interr => updt_interr ,
updt_slverr => updt_slverr ,
updt_decerr => updt_decerr ,
updt_error_addr => updt_error_addr
);
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Command / Status Interface
-------------------------------------------------------------------------------
I_UPDT_CMDSTS_IF : entity axi_vdma_v6_2.axi_sg_updt_cmdsts_if
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Fetch command write interface from fetch sm
updt_cmnd_wr => updt_cmnd_wr ,
updt_cmnd_data => updt_cmnd_data ,
-- User Command Interface Ports (AXI Stream)
s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid ,
s_axis_updt_cmd_tready => s_axis_updt_cmd_tready ,
s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid ,
m_axis_updt_sts_tready => m_axis_updt_sts_tready ,
m_axis_updt_sts_tdata => m_axis_updt_sts_tdata ,
m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep ,
-- Scatter Gather Fetch Status
s2mm_err => s2mm_err ,
updt_done => updt_done ,
updt_error => updt_error_i ,
updt_interr => updt_interr ,
updt_slverr => updt_slverr ,
updt_decerr => updt_decerr
);
end implementation;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/fifo_generator_v12_0/hdl/ramfifo/rd_pe_sshft.vhd | 6 | 17676 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/fifo_generator_v12_0/hdl/common/shft_wrapper.vhd | 6 | 13889 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/builtin/delay.vhd | 6 | 10088 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/fifo_generator_v12_0/hdl/ramfifo/rd_status_flags_sshft.vhd | 6 | 19232 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
| gpl-3.0 |
rbesenczi/real-time-traffic-analyzer | src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/bd/design_1/ip/design_1_axi_uartlite_0_0/sim/design_1_axi_uartlite_0_0.vhd | 1 | 8182 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_uartlite:2.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_uartlite_v2_0;
USE axi_uartlite_v2_0.axi_uartlite;
ENTITY design_1_axi_uartlite_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
rx : IN STD_LOGIC;
tx : OUT STD_LOGIC
);
END design_1_axi_uartlite_0_0;
ARCHITECTURE design_1_axi_uartlite_0_0_arch OF design_1_axi_uartlite_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_uartlite IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ACLK_FREQ_HZ : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_BAUDRATE : INTEGER;
C_DATA_BITS : INTEGER;
C_USE_PARITY : INTEGER;
C_ODD_PARITY : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
rx : IN STD_LOGIC;
tx : OUT STD_LOGIC
);
END COMPONENT axi_uartlite;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT interrupt";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF rx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART RxD";
ATTRIBUTE X_INTERFACE_INFO OF tx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART TxD";
BEGIN
U0 : axi_uartlite
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ACLK_FREQ_HZ => 100000000,
C_S_AXI_ADDR_WIDTH => 4,
C_S_AXI_DATA_WIDTH => 32,
C_BAUDRATE => 4800,
C_DATA_BITS => 8,
C_USE_PARITY => 0,
C_ODD_PARITY => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
interrupt => interrupt,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
rx => rx,
tx => tx
);
END design_1_axi_uartlite_0_0_arch;
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/fifo_generator_v12_0/hdl/ramfifo/async_fifo.vhd | 6 | 33323 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22928)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/ramfifo/fifo_generator_ramfifo.vhd | 6 | 89326 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64384)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/ramfifo/wr_dc_fwft_ext_as.vhd | 6 | 13630 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block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`protect end_protected
| gpl-3.0 |
fmadotto/DS_sha256 | src/hdl/old_design/sigma_1.vhd | 1 | 1367 | -- Copyright (c) 2016 Federico Madotto and Coline Doebelin
-- federico.madotto (at) gmail.com
-- coline.doebelin (at) gmail.com
-- https://github.com/fmadotto/DS_bitcoin_miner
-- sigma_1.vhd is part of DS_bitcoin_miner.
-- DS_bitcoin_miner is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- DS_bitcoin_miner is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
entity sigma_1 is
port (
x : in std_ulogic_vector(31 downto 0); -- first binary input
o : out std_ulogic_vector(31 downto 0) -- output
);
end entity sigma_1;
architecture behav of sigma_1 is
begin
process(x) -- the process is woken up whenever the input change
begin
o <= to_stdulogicvector((to_bitvector(x) ror 17) xor (to_bitvector(x) ror 19) xor (to_bitvector(x) srl 10));
end process;
end architecture behav; | gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/fifo_generator_v12_0/hdl/common/wr_pf_ss.vhd | 6 | 44605 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31280)
`protect data_block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`protect end_protected
| gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/builtin/bin_cntr.vhd | 6 | 8597 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624)
`protect data_block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`protect end_protected
| gpl-3.0 |
terpstra/opa | syn/jtag.vhd | 1 | 5277 | -- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
entity jtag is
port(
addr_o : out std_logic_vector(31 downto 0);
data_o : out std_logic_vector(31 downto 0);
data_i : in std_logic_vector(31 downto 0);
gpio_o : out std_logic_vector( 3 downto 0);
we_xor_o : out std_logic;
rstn_o : out std_logic);
end jtag;
architecture rtl of jtag is
constant c_ir_wide : natural := 2;
constant c_IR_GPIO : std_logic_vector := "00";
constant c_IR_ADDR : std_logic_vector := "10";
constant c_IR_DATA : std_logic_vector := "11";
-- Virtual JTAG pins
signal s_tck : std_logic;
signal s_tdi : std_logic;
signal s_tdo : std_logic;
signal s_virtual_state_cdr : std_logic;
signal s_virtual_state_sdr : std_logic;
signal s_virtual_state_udr : std_logic;
signal s_virtual_state_uir : std_logic;
signal s_ir : std_logic_vector(c_ir_wide-1 downto 0);
signal r_ir : std_logic_vector(c_ir_wide-1 downto 0);
signal r_rstn : std_logic := '0';
signal r_xor : std_logic := '0';
signal r_gpio : std_logic_vector( 5 downto 0) := (others => '0');
signal r_addr : std_logic_vector(31 downto 0);
signal r_data : std_logic_vector(31 downto 0);
begin
vjtag : sld_virtual_jtag
generic map(
sld_instance_index => 99,
sld_ir_width => c_ir_wide)
port map(
ir_in => s_ir,
ir_out => r_ir,
jtag_state_cdr => open,
jtag_state_cir => open,
jtag_state_e1dr => open,
jtag_state_e1ir => open,
jtag_state_e2dr => open,
jtag_state_e2ir => open,
jtag_state_pdr => open,
jtag_state_pir => open,
jtag_state_rti => open,
jtag_state_sdr => open,
jtag_state_sdrs => open,
jtag_state_sir => open,
jtag_state_sirs => open,
jtag_state_tlr => open,
jtag_state_udr => open,
jtag_state_uir => open,
tck => s_tck,
tdi => s_tdi,
tdo => s_tdo,
tms => open,
virtual_state_cdr => s_virtual_state_cdr,
virtual_state_cir => open,
virtual_state_e1dr => open,
virtual_state_e2dr => open,
virtual_state_pdr => open,
virtual_state_sdr => s_virtual_state_sdr,
virtual_state_udr => s_virtual_state_udr,
virtual_state_uir => s_virtual_state_uir);
jtag : process(s_tck) is
begin
if rising_edge(s_tck) then
if s_virtual_state_uir = '1' then
r_ir <= s_ir;
end if;
if s_virtual_state_cdr = '1' then
case r_ir is
when c_IR_DATA => r_data <= data_i;
when others => null;
end case;
end if;
if s_virtual_state_sdr = '1' then
case r_ir is
when c_IR_GPIO => r_gpio <= s_tdi & r_gpio(r_gpio'high downto r_gpio'low+1);
when c_IR_ADDR => r_addr <= s_tdi & r_addr(r_addr'high downto r_addr'low+1);
when c_IR_DATA => r_data <= s_tdi & r_data(r_data'high downto r_data'low+1);
when others => null;
end case;
end if;
if s_virtual_state_udr = '1' then
case r_ir is
when c_IR_GPIO => r_rstn <= r_gpio(5); r_xor <= r_xor xor r_gpio(4);
when others => null;
end case;
end if;
end if;
end process;
with r_ir select
s_tdo <=
r_gpio(r_gpio'low) when c_IR_GPIO,
r_addr(r_addr'low) when c_IR_ADDR,
r_data(r_data'low) when c_IR_DATA,
'-' when others;
addr_o <= r_addr;
data_o <= r_data;
gpio_o <= r_gpio(gpio_o'range);
we_xor_o <= r_xor;
rstn_o <= r_rstn;
end rtl;
| gpl-3.0 |
Scientistt/Processador_FabioVitor | Code/Holocron battle droid 16 bits/ArithmeticalRightShifter_x16.vhd | 1 | 443 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity ArithmeticalRightShifter_x16 is
Port (
input : STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end ArithmeticalRightShifter_x16;
architecture skeleton of ArithmeticalRightShifter_x16 is
begin
process(input) is
begin
for i in 14 downto 0 loop
output(i) <= input(i + 1);
end loop;
output(15) <= input(15);
end process;
end skeleton; | gpl-3.0 |
Scientistt/Processador_FabioVitor | Code/Holocron battle droid 16 bits/ALU_x16.vhd | 1 | 4845 | library ieee;
use ieee.std_logic_1164.all;
entity ALU_x16 is
port (
opcode : IN STD_LOGIC_VECTOR(3 downto 0);
negate : IN STD_LOGIC;
input_A, input_B : IN STD_LOGIC_VECTOR(15 downto 0);
output, overflowMultDiv : OUT STD_LOGIC_VECTOR(15 downto 0)
);
end ALU_x16;
architecture skeleton of ALU_x16 is
component Multiplexer_4x16 is
Port ( Selector : in STD_LOGIC_VECTOR (3 downto 0);
input_A, input_B, input_C, input_D, input_E, input_F, input_G, input_H: in STD_LOGIC_VECTOR (15 downto 0);
input_I, input_J, input_K, input_L, input_M, input_N, input_O, input_P: in STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component Adder_2x16 is
port (
isSubtraction: in STD_LOGIC;
input_A, input_B: in STD_LOGIC_VECTOR(15 DOWNTO 0);
carry_out: out STD_LOGIC;
output: out STD_LOGIC_VECTOR(15 DOWNTO 0));
end component;
component ArithmeticalRightShifter_x16 is
Port (
input : STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component LogicalRightShifter_x16 is
Port (
input : STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component ArithmeticalLogicalLeftShifter_x16 is
Port (
input : STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component ArithmeticalComparator_x16 is
Port (
opcodeComp : STD_LOGIC_VECTOR(2 downto 0);
input_A, input_B : STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component Multiplier_2x16 is
Port (
input_A, input_B : in STD_LOGIC_VECTOR (15 downto 0);
outputLow, outputHigh : out STD_LOGIC_VECTOR (15 downto 0);
carryOut : out STD_LOGIC);
end component;
component Divider_2x16 is
Port (
input_A, input_B : in STD_LOGIC_VECTOR (15 downto 0);
outputLow, outputHigh : out STD_LOGIC_VECTOR (15 downto 0);
carryOut : out STD_LOGIC);
end component;
component LogicalAND_2x16 is
Port (
input_A, input_B: in STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component LogicalOR_2x16 is
Port (
input_A, input_B: in STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
component LogicalXOR_2x16 is
Port (
input_A, input_B: in STD_LOGIC_VECTOR (15 downto 0);
output : out STD_LOGIC_VECTOR (15 downto 0));
end component;
signal output1, output2, output3, output4, output5, output6 : STD_LOGIC_VECTOR(15 DOWNTO 0);
signal output7, outputComparator : STD_LOGIC_VECTOR(15 DOWNTO 0);
signal output14, output15, output0, outputand, outputor, outputxor : STD_LOGIC_VECTOR(15 DOWNTO 0);
signal carryoutMul, carryoutDiv, carryoutAddSub : STD_LOGIC;
signal overMult, overDiv : STD_LOGIC_VECTOR(15 downto 0);
begin
-- 0000
-- 12
-- Soma e Subtração
P0: Adder_2x16 port map(negate, input_A, input_B, carryoutAddSub, output0);
-- 0001
-- Retorna o segundo valor
output1 <= input_B;
P2: LogicalAND_2x16 port map(input_A, input_B, outputand);
P3: LogicalOR_2x16 port map(input_A, input_B, outputor);
P4: LogicalXOR_2x16 port map(input_A, input_B, outputxor);
process(input_A, input_B) begin
if(negate = '0')
then
-- 0010
-- 1
-- And
output2 <= outputand;
-- 0011
-- 1
-- Or
output3 <= outputor;
-- 0100
-- 1
-- Xor
output4 <= outputxor;
else
-- 0010
-- 1
-- Nand
output2 <= not outputand;
-- 0011
-- 1
-- Nor
output3 <= not outputor;
-- 0100
-- 1
-- Xnor
output4 <= not outputxor;
end if;
if(opcode(0) = '0') then
overflowMultDiv <= overMult;
else
overflowMultDiv <= overDiv;
end if;
end process;
-- 0101
-- Shift aritmetico para direita
P5: ArithmeticalRightShifter_x16 port map(input_A, output5);
-- 0110
-- Shift logico para direita
P6: LogicalRightShifter_x16 port map(input_A, output6);
-- 0111
-- Shift aritmetico e logico para esquerda
P7: ArithmeticalLogicalLeftShifter_x16 port map(input_A, output7);
-- 1000
-- Comparadores
P8: ArithmeticalComparator_x16 port map(opcode(2 downto 0), input_A, input_B, outputComparator);
-- 1110
-- 12
-- Multiplicação
p14: Multiplier_2x16 port map(input_A, input_B, output14, overMult, carryoutMul);
-- 1111
-- 12
-- Divisão
p15: Divider_2x16 port map(input_A, input_B, output15, overDiv, carryoutDiv);
Poutput: Multiplexer_4x16 port map (opcode, output0, output1, output2, output3, output4, output5, output6,
output7, outputComparator, outputComparator, outputComparator,
outputComparator, outputComparator,
outputComparator, output14, output15, output);
end skeleton; | gpl-3.0 |
terpstra/opa | opa_tdpram.vhd | 1 | 6339 | -- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.opa_pkg.all;
use work.opa_isa_base_pkg.all;
use work.opa_functions_pkg.all;
use work.opa_components_pkg.all;
-- Inputs are registered
-- Read output from a port during a write is undefined
-- Simultaneous write to the same address writes 'X's
-- Data read from one port while written by another outputs 'X'
entity opa_tdpram is
generic(
g_width : natural;
g_size : natural;
g_hunks : natural := 1);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
a_wen_i : in std_logic;
a_sel_i : in std_logic_vector(g_hunks-1 downto 0) := (others => '1');
a_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
a_data_i : in std_logic_vector(g_hunks*g_width-1 downto 0);
a_data_o : out std_logic_vector(g_hunks*g_width-1 downto 0);
b_wen_i : in std_logic;
b_sel_i : in std_logic_vector(g_hunks-1 downto 0) := (others => '1');
b_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
b_data_i : in std_logic_vector(g_hunks*g_width-1 downto 0);
b_data_o : out std_logic_vector(g_hunks*g_width-1 downto 0));
end opa_tdpram;
architecture rtl of opa_tdpram is
begin
nobe : if g_hunks = 1 generate
simple : block is
type t_memory is array(g_size-1 downto 0) of std_logic_vector(g_width-1 downto 0);
shared variable v_memory : t_memory;
signal a_idx : integer;
signal b_idx : integer;
begin
a_idx <= to_integer(unsigned(a_addr_i));
b_idx <= to_integer(unsigned(b_addr_i));
a : process(clk_i) is
begin
if rising_edge(clk_i) then
if (a_wen_i and a_sel_i(0)) = '1' then
v_memory(a_idx) := a_data_i;
end if;
a_data_o <= v_memory(a_idx);
-- Output undefined during write
if a_wen_i = '1' or (b_wen_i = '1' and a_idx = b_idx) then
a_data_o <= (others => 'X');
end if;
end if;
end process;
b : process(clk_i) is
begin
if rising_edge(clk_i) then
if (b_wen_i and b_sel_i(0)) = '1' then
v_memory(b_idx) := b_data_i;
end if;
b_data_o <= v_memory(b_idx);
-- Output undefined during write
if b_wen_i = '1' or (a_wen_i = '1' and a_idx = b_idx) then
b_data_o <= (others => 'X');
end if;
end if;
end process;
fatal : process(clk_i) is
begin
if rising_edge(clk_i) then
assert (a_idx /= b_idx or a_wen_i = '0' or b_wen_i = '0')
report "Two writes to the same address in opa_tdpram"
severity failure;
end if;
end process;
end block;
end generate;
-- Reduce the dpram to multiple dprams per byte enable
be : if g_hunks > 1 generate
recurse : block is
signal s_clk_i : std_logic;
signal s_rst_n_i : std_logic;
signal s_a_wen_i : std_logic;
signal s_a_sel_i : std_logic_vector(g_hunks-1 downto 0) := (others => '1');
signal s_a_addr_i : std_logic_vector(f_opa_log2(g_size)-1 downto 0);
signal s_a_data_i : std_logic_vector(g_hunks*g_width-1 downto 0);
signal s_a_data_o : std_logic_vector(g_hunks*g_width-1 downto 0);
signal s_b_wen_i : std_logic;
signal s_b_sel_i : std_logic_vector(g_hunks-1 downto 0) := (others => '1');
signal s_b_addr_i : std_logic_vector(f_opa_log2(g_size)-1 downto 0);
signal s_b_data_i : std_logic_vector(g_hunks*g_width-1 downto 0);
signal s_b_data_o : std_logic_vector(g_hunks*g_width-1 downto 0);
begin
-- We have to rename so they don't conflict in recursive component
s_clk_i <= clk_i;
s_rst_n_i <= rst_n_i;
s_a_wen_i <= a_wen_i;
s_a_sel_i <= a_sel_i;
s_a_addr_i <= a_addr_i;
s_a_data_i <= a_data_i;
a_data_o <= s_a_data_o;
s_b_wen_i <= b_wen_i;
s_b_sel_i <= b_sel_i;
s_b_addr_i <= b_addr_i;
s_b_data_i <= b_data_i;
b_data_o <= s_b_data_o;
bex : for i in 0 to g_hunks-1 generate
ram : entity opa_tdpram
generic map(
g_width => g_width,
g_size => g_size)
port map(
clk_i => s_clk_i,
rst_n_i => s_rst_n_i,
a_wen_i => s_a_wen_i,
a_sel_i => s_a_sel_i(i downto i),
a_addr_i => s_a_addr_i,
a_data_i => s_a_data_i((i+1)*g_width-1 downto i*g_width),
a_data_o => s_a_data_o((i+1)*g_width-1 downto i*g_width),
b_wen_i => s_b_wen_i,
b_sel_i => s_b_sel_i(i downto i),
b_addr_i => s_b_addr_i,
b_data_i => s_b_data_i((i+1)*g_width-1 downto i*g_width),
b_data_o => s_b_data_o((i+1)*g_width-1 downto i*g_width));
end generate;
end block;
end generate;
end rtl;
| gpl-3.0 |
terpstra/opa | opa_components_pkg.vhd | 1 | 31295 | -- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.opa_pkg.all;
use work.opa_isa_base_pkg.all;
use work.opa_functions_pkg.all;
package opa_components_pkg is
type t_dpram_equal is (OPA_OLD, OPA_NEW, OPA_UNDEF);
component opa_dpram is
generic(
g_width : natural;
g_size : natural;
g_equal : t_dpram_equal;
g_regin : boolean;
g_regout : boolean);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
r_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
r_data_o : out std_logic_vector(g_width-1 downto 0);
w_en_i : in std_logic;
w_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
w_data_i : in std_logic_vector(g_width-1 downto 0));
end component;
-- Inputs are registered
-- Read output from a port during a write is undefined
-- Simultaneous write to the same address writes 'X's
-- Data read from one port while written by another outputs 'X'
component opa_tdpram is
generic(
g_width : natural;
g_size : natural;
g_hunks : natural := 1);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
a_wen_i : in std_logic;
a_sel_i : in std_logic_vector(g_hunks-1 downto 0) := (others => '1');
a_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
a_data_i : in std_logic_vector(g_hunks*g_width-1 downto 0);
a_data_o : out std_logic_vector(g_hunks*g_width-1 downto 0);
b_wen_i : in std_logic;
b_sel_i : in std_logic_vector(g_hunks-1 downto 0) := (others => '1');
b_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
b_data_i : in std_logic_vector(g_hunks*g_width-1 downto 0);
b_data_o : out std_logic_vector(g_hunks*g_width-1 downto 0));
end component;
-- Inhibit optimization between these points
component opa_lcell is
port(
a_i : in std_logic;
b_o : out std_logic);
end component;
component opa_prim_ternary is
generic(
g_wide : natural);
port(
a_i : in unsigned(g_wide-1 downto 0);
b_i : in unsigned(g_wide-1 downto 0);
c_i : in unsigned(g_wide-1 downto 0);
x_o : out unsigned(g_wide-1 downto 0));
end component;
component opa_prim_mul is
generic(
g_wide : natural;
g_regout : boolean;
g_regwal : boolean;
g_target : t_opa_target);
port(
clk_i : in std_logic;
a_i : in std_logic_vector( g_wide-1 downto 0);
b_i : in std_logic_vector( g_wide-1 downto 0);
x_o : out std_logic_vector(2*g_wide-1 downto 0));
end component;
component opa_prefixsum is
generic(
g_target : t_opa_target;
g_width : natural;
g_count : natural);
port(
bits_i : in std_logic_vector(g_width-1 downto 0);
count_o : out t_opa_matrix(g_count-1 downto 0, g_width-1 downto 0);
total_o : out std_logic_vector(g_width-1 downto 0));
end component;
component opa_lfsr is
generic(
g_entropy : natural := 0;
g_bits : natural);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
random_o : out std_logic_vector(g_bits-1 downto 0));
end component;
component opa_predict is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Deliver our prediction
icache_stall_i : in std_logic;
icache_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_hit_o : out std_logic;
decode_jump_o : out std_logic_vector(f_opa_fetchers(g_config)-1 downto 0);
-- Push a return stack entry
decode_push_i : in std_logic;
decode_ret_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Fixup PC to new target
decode_fault_i : in std_logic;
decode_return_i : in std_logic;
decode_jump_i : in std_logic_vector(f_opa_fetchers(g_config)-1 downto 0);
decode_source_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_target_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_return_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa)));
end component;
component opa_icache is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
predict_stall_o : out std_logic;
predict_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_stb_o : out std_logic;
decode_stall_i : in std_logic;
decode_fault_i : in std_logic;
decode_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_dat_o : out std_logic_vector(f_opa_fetch_bits(g_isa,g_config)-1 downto 0);
i_cyc_o : out std_logic;
i_stb_o : out std_logic;
i_stall_i : in std_logic;
i_ack_i : in std_logic;
i_err_i : in std_logic;
i_addr_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto 0);
i_data_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0));
end component;
component opa_decode is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Predicted jumps?
predict_hit_i : in std_logic;
predict_jump_i : in std_logic_vector(f_opa_fetchers(g_config)-1 downto 0);
-- Push a return stack entry
predict_push_o : out std_logic;
predict_ret_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Fixup PC to new target
predict_fault_o : out std_logic;
predict_return_o : out std_logic;
predict_jump_o : out std_logic_vector(f_opa_fetchers(g_config)-1 downto 0);
predict_source_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
predict_target_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
predict_return_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Instructions delivered from icache
icache_stb_i : in std_logic;
icache_stall_o : out std_logic;
icache_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
icache_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
icache_dat_i : in std_logic_vector(f_opa_fetch_bits(g_isa,g_config)-1 downto 0);
-- Feed data to the renamer
rename_stb_o : out std_logic;
rename_stall_i : in std_logic;
rename_fast_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_slow_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_order_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_setx_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_geta_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_getb_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_aux_o : out std_logic_vector(f_opa_aux_wide(g_config)-1 downto 0);
rename_archx_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arch_wide(g_isa)-1 downto 0);
rename_archa_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arch_wide(g_isa)-1 downto 0);
rename_archb_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arch_wide(g_isa)-1 downto 0);
-- Accept faults
rename_fault_i : in std_logic;
rename_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
rename_pcf_i : in std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
rename_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Give the regfile the information EUs will need for these operations
regfile_stb_o : out std_logic;
regfile_aux_o : out std_logic_vector(f_opa_aux_wide(g_config)-1 downto 0);
regfile_arg_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arg_wide(g_config)-1 downto 0);
regfile_imm_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_imm_wide(g_isa) -1 downto 0);
regfile_pc_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_pcf_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_fet_wide(g_config)-1 downto 0);
regfile_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa)));
end component;
component opa_rename is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Values the decoder needs to provide us
decode_stb_i : in std_logic;
decode_stall_o : out std_logic;
decode_fast_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
decode_slow_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
decode_order_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
decode_setx_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
decode_geta_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
decode_getb_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
decode_aux_i : in std_logic_vector(f_opa_aux_wide(g_config)-1 downto 0);
decode_archx_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arch_wide(g_isa)-1 downto 0);
decode_archa_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arch_wide(g_isa)-1 downto 0);
decode_archb_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_arch_wide(g_isa)-1 downto 0);
-- Values we provide to the issuer
issue_stb_o : out std_logic;
issue_stall_i : in std_logic;
issue_fast_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
issue_slow_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
issue_order_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
issue_geta_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
issue_getb_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
issue_aux_o : out std_logic_vector(f_opa_aux_wide(g_config)-1 downto 0);
issue_bakx_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
issue_baka_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
issue_bakb_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
issue_stata_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_stat_wide(g_config) -1 downto 0);
issue_statb_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_stat_wide(g_config) -1 downto 0);
issue_bakx_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
-- Feed faults back up the pipeline
issue_fault_i : in std_logic;
issue_mask_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
issue_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
issue_pcf_i : in std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
issue_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_fault_o : out std_logic;
decode_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_pcf_o : out std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
decode_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa)));
end component;
component opa_issue is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Values the renamer provides us
rename_stb_i : in std_logic;
rename_stall_o : out std_logic;
rename_fast_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_slow_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_order_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_geta_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_getb_i : in std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_aux_i : in std_logic_vector(f_opa_aux_wide(g_config)-1 downto 0);
rename_bakx_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
rename_baka_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
rename_bakb_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
rename_stata_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_stat_wide(g_config) -1 downto 0);
rename_statb_i : in t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_stat_wide(g_config) -1 downto 0);
rename_bakx_o : out t_opa_matrix(f_opa_renamers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
-- Exceptions from the EUs
eu_oldest_o : out std_logic_vector(f_opa_executers(g_config)-1 downto 0);
eu_retry_i : in std_logic_vector(f_opa_executers(g_config)-1 downto 0);
eu_fault_i : in std_logic_vector(f_opa_executers(g_config)-1 downto 0);
eu_pc_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
eu_pcf_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_fet_wide(g_config)-1 downto 0);
eu_pcn_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Selected fault fed back up pipeline
rename_fault_o : out std_logic;
rename_mask_o : out std_logic_vector(f_opa_renamers(g_config)-1 downto 0);
rename_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
rename_pcf_o : out std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
rename_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Regfile needs to fetch these for EU
regfile_rstb_o : out std_logic_vector(f_opa_executers(g_config)-1 downto 0);
regfile_geta_o : out std_logic_vector(f_opa_executers(g_config)-1 downto 0);
regfile_getb_o : out std_logic_vector(f_opa_executers(g_config)-1 downto 0);
regfile_aux_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_aux_wide (g_config)-1 downto 0);
regfile_dec_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_ren_wide (g_config)-1 downto 0);
regfile_baka_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
regfile_bakb_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
-- Regfile should capture result from EU
regfile_wstb_o : out std_logic_vector(f_opa_executers(g_config)-1 downto 0);
regfile_bakx_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
-- Gather information from L1d about aliased loads
l1d_store_i : in std_logic;
l1d_load_i : in std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
l1d_addr_i : in t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_alias_high(g_isa) downto f_opa_alias_low(g_config));
l1d_mask_i : in t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_reg_wide(g_config)/8-1 downto 0));
end component;
component opa_regfile is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
-- Record PC + immediate data
decode_stb_i : in std_logic;
decode_aux_i : in std_logic_vector(f_opa_aux_wide(g_config)-1 downto 0);
decode_arg_i : in t_opa_matrix(f_opa_renamers (g_config)-1 downto 0, f_opa_arg_wide(g_config)-1 downto 0);
decode_imm_i : in t_opa_matrix(f_opa_renamers (g_config)-1 downto 0, f_opa_imm_wide(g_isa) -1 downto 0);
decode_pc_i : in t_opa_matrix(f_opa_renamers (g_config)-1 downto 0, f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
decode_pcf_i : in t_opa_matrix(f_opa_renamers (g_config)-1 downto 0, f_opa_fet_wide(g_config)-1 downto 0);
decode_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Issue has dispatched these instructions to us
issue_rstb_i : in std_logic_vector(f_opa_executers(g_config)-1 downto 0);
issue_geta_i : in std_logic_vector(f_opa_executers(g_config)-1 downto 0);
issue_getb_i : in std_logic_vector(f_opa_executers(g_config)-1 downto 0);
issue_aux_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_aux_wide (g_config)-1 downto 0);
issue_dec_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_ren_wide (g_config)-1 downto 0);
issue_baka_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_back_wide (g_isa,g_config)-1 downto 0);
issue_bakb_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_back_wide (g_isa,g_config)-1 downto 0);
-- Feed the EUs one cycle later (they register this => result is two cycles later)
eu_stb_o : out std_logic_vector(f_opa_executers(g_config)-1 downto 0);
eu_rega_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_reg_wide(g_config)-1 downto 0);
eu_regb_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_reg_wide(g_config)-1 downto 0);
eu_arg_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_arg_wide(g_config)-1 downto 0);
eu_imm_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_imm_wide(g_isa) -1 downto 0);
eu_pc_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
eu_pcf_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_fet_wide(g_config)-1 downto 0);
eu_pcn_o : out t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
-- Issue has indicated these EUs will write now
issue_wstb_i : in std_logic_vector(f_opa_executers(g_config)-1 downto 0);
issue_bakx_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_back_wide(g_isa,g_config)-1 downto 0);
-- The results arrive two cycles after the issue said they would
eu_regx_i : in t_opa_matrix(f_opa_executers(g_config)-1 downto 0, f_opa_reg_wide(g_config)-1 downto 0));
end component;
component opa_fast is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
regfile_stb_i : in std_logic;
regfile_rega_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
regfile_regb_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
regfile_arg_i : in std_logic_vector(f_opa_arg_wide(g_config)-1 downto 0);
regfile_imm_i : in std_logic_vector(f_opa_imm_wide(g_isa) -1 downto 0);
regfile_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_pcf_i : in std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
regfile_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_regx_o : out std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
issue_oldest_i : in std_logic;
issue_retry_o : out std_logic;
issue_fault_o : out std_logic;
issue_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
issue_pcf_o : out std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
issue_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa)));
end component;
component opa_slow is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
regfile_stb_i : in std_logic;
regfile_rega_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
regfile_regb_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
regfile_arg_i : in std_logic_vector(f_opa_arg_wide(g_config)-1 downto 0);
regfile_imm_i : in std_logic_vector(f_opa_imm_wide(g_isa) -1 downto 0);
regfile_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_pcf_i : in std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
regfile_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_regx_o : out std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
l1d_stb_o : out std_logic;
l1d_we_o : out std_logic;
l1d_sext_o : out std_logic;
l1d_size_o : out std_logic_vector(1 downto 0);
l1d_addr_o : out std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
l1d_data_o : out std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
l1d_oldest_o : out std_logic; -- delivered 1 cycle after stb
l1d_retry_i : in std_logic; -- valid 1 cycle after stb_o
l1d_data_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0); -- 2 cycles
issue_oldest_i : in std_logic;
issue_retry_o : out std_logic;
issue_fault_o : out std_logic;
issue_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
issue_pcf_o : out std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
issue_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa)));
end component;
type t_opa_dbus_request is (
OPA_DBUS_WIPE,
OPA_DBUS_IDLE,
OPA_DBUS_WAIT_STORE_LOAD,
OPA_DBUS_STORE_LOAD, -- request forbidden
OPA_DBUS_LOAD_STORE,
OPA_DBUS_WAIT_LOAD,
OPA_DBUS_WAIT_STORE,
OPA_DBUS_LOAD,
OPA_DBUS_STORE); -- request forbidden
component opa_l1d is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
-- read/writes come from the slow EUs
slow_stb_i : in std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
slow_we_i : in std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
slow_sext_i : in std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
slow_size_i : in t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, 1 downto 0);
slow_addr_i : in t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_reg_wide(g_config)-1 downto 0);
slow_data_i : in t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_reg_wide(g_config)-1 downto 0);
slow_oldest_i : in std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
slow_retry_o : out std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
slow_data_o : out t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_reg_wide(g_config)-1 downto 0);
-- Share information about the addresses we are loading/storing
issue_store_o : out std_logic;
issue_load_o : out std_logic_vector(f_opa_num_slow(g_config)-1 downto 0);
issue_addr_o : out t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_alias_high(g_isa) downto f_opa_alias_low(g_config));
issue_mask_o : out t_opa_matrix(f_opa_num_slow(g_config)-1 downto 0, f_opa_reg_wide(g_config)/8-1 downto 0);
-- L1d requests action
dbus_req_o : out t_opa_dbus_request;
dbus_radr_o : out std_logic_vector(f_opa_adr_wide (g_config) -1 downto 0);
dbus_way_o : out std_logic_vector(f_opa_num_dway (g_config) -1 downto 0);
dbus_wadr_o : out std_logic_vector(f_opa_adr_wide (g_config) -1 downto 0);
dbus_dirty_o : out std_logic_vector(f_opa_dline_size(g_config) -1 downto 0);
dbus_data_o : out std_logic_vector(f_opa_dline_size(g_config)*8-1 downto 0);
dbus_busy_i : in std_logic; -- can accept a req_i
dbus_we_i : in std_logic_vector(f_opa_num_dway (g_config) -1 downto 0);
dbus_adr_i : in std_logic_vector(f_opa_adr_wide (g_config) -1 downto 0);
dbus_valid_i : in std_logic_vector(f_opa_dline_size(g_config) -1 downto 0);
dbus_data_i : in std_logic_vector(f_opa_dline_size(g_config)*8-1 downto 0);
pbus_stall_i : in std_logic;
pbus_req_o : out std_logic;
pbus_we_o : out std_logic;
pbus_addr_o : out std_logic_vector(f_opa_adr_wide(g_config) -1 downto 0);
pbus_sel_o : out std_logic_vector(f_opa_reg_wide(g_config)/8-1 downto 0);
pbus_dat_o : out std_logic_vector(f_opa_reg_wide(g_config) -1 downto 0);
pbus_pop_o : out std_logic;
pbus_full_i : in std_logic;
pbus_err_i : in std_logic;
pbus_dat_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0));
end component;
component opa_dbus is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
d_cyc_o : out std_logic;
d_stb_o : out std_logic;
d_we_o : out std_logic;
d_stall_i : in std_logic;
d_ack_i : in std_logic;
d_err_i : in std_logic;
d_addr_o : out std_logic_vector(g_config.adr_width -1 downto 0);
d_sel_o : out std_logic_vector(g_config.reg_width/8-1 downto 0);
d_data_o : out std_logic_vector(g_config.reg_width -1 downto 0);
d_data_i : in std_logic_vector(g_config.reg_width -1 downto 0);
-- L1d requests action
l1d_req_i : in t_opa_dbus_request;
l1d_radr_i : in std_logic_vector(f_opa_adr_wide (g_config) -1 downto 0);
l1d_way_i : in std_logic_vector(f_opa_num_dway (g_config) -1 downto 0);
l1d_wadr_i : in std_logic_vector(f_opa_adr_wide (g_config) -1 downto 0);
l1d_dirty_i : in std_logic_vector(f_opa_dline_size(g_config) -1 downto 0);
l1d_data_i : in std_logic_vector(f_opa_dline_size(g_config)*8-1 downto 0);
l1d_busy_o : out std_logic; -- can accept a req_i
l1d_we_o : out std_logic_vector(f_opa_num_dway (g_config) -1 downto 0);
l1d_adr_o : out std_logic_vector(f_opa_adr_wide (g_config) -1 downto 0);
l1d_valid_o : out std_logic_vector(f_opa_dline_size(g_config) -1 downto 0);
l1d_data_o : out std_logic_vector(f_opa_dline_size(g_config)*8-1 downto 0));
end component;
component opa_pbus is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
p_cyc_o : out std_logic;
p_stb_o : out std_logic;
p_we_o : out std_logic;
p_stall_i : in std_logic;
p_ack_i : in std_logic;
p_err_i : in std_logic;
p_addr_o : out std_logic_vector(g_config.adr_width -1 downto 0);
p_sel_o : out std_logic_vector(g_config.reg_width/8-1 downto 0);
p_data_o : out std_logic_vector(g_config.reg_width -1 downto 0);
p_data_i : in std_logic_vector(g_config.reg_width -1 downto 0);
-- L1d requests action
l1d_stall_o : out std_logic; -- stall has an async dep on addr
l1d_req_i : in std_logic;
l1d_we_i : in std_logic;
l1d_addr_i : in std_logic_vector(f_opa_adr_wide(g_config) -1 downto 0);
l1d_sel_i : in std_logic_vector(f_opa_reg_wide(g_config)/8-1 downto 0);
l1d_dat_i : in std_logic_vector(f_opa_reg_wide(g_config) -1 downto 0);
l1d_pop_i : in std_logic;
l1d_full_o : out std_logic;
l1d_err_o : out std_logic;
l1d_dat_o : out std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0));
end component;
end package;
| gpl-3.0 |
terpstra/opa | opa_dpram_altera.vhd | 1 | 6694 | -- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.opa_pkg.all;
use work.opa_isa_base_pkg.all;
use work.opa_functions_pkg.all;
use work.opa_components_pkg.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
entity opa_dpram is
generic(
g_width : natural;
g_size : natural;
g_equal : t_dpram_equal;
g_regin : boolean;
g_regout : boolean);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
r_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
r_data_o : out std_logic_vector(g_width-1 downto 0);
w_en_i : in std_logic;
w_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
w_data_i : in std_logic_vector(g_width-1 downto 0));
end opa_dpram;
architecture syn of opa_dpram is
constant c_m10k : boolean := g_regin; -- (g_regin and g_size > 32) or (g_equal = OPA_OLD);
constant c_mlab_cin : string := f_opa_choose(g_regin, "OUTCLOCK", "UNREGISTERED");
constant c_mlab_cout : string := f_opa_choose(g_regout, "OUTCLOCK", "UNREGISTERED");
constant c_m10k_cout : string := f_opa_choose(g_regout, "CLOCK0", "UNREGISTERED");
signal s_rdata : std_logic_vector(g_width-1 downto 0);
signal s_bypass : std_logic;
signal r_bypass1 : std_logic;
signal r_bypass2 : std_logic;
signal s_mux_bypass : std_logic;
signal s_wdata : std_logic_vector(g_width-1 downto 0);
signal r_wdata1 : std_logic_vector(g_width-1 downto 0);
signal r_wdata2 : std_logic_vector(g_width-1 downto 0);
signal s_mux_wdata : std_logic_vector(g_width-1 downto 0);
begin
nohw :
assert (g_equal /= OPA_OLD or g_regin)
report "opa_dpram cannot be used in OPA_OLD mode without a registered input"
severity failure;
regout : if not c_m10k generate
ram : altdpram
generic map(
intended_device_family => "Arria V",
indata_aclr => "OFF",
indata_reg => "INCLOCK",
lpm_type => "altdpram",
outdata_aclr => "OFF",
outdata_reg => c_mlab_cout,
ram_block_type => "MLAB",
rdaddress_aclr => "OFF",
rdaddress_reg => c_mlab_cin,
rdcontrol_aclr => "OFF",
rdcontrol_reg => "UNREGISTERED",
read_during_write_mode_mixed_ports => "DONT_CARE",
width => g_width,
widthad => f_opa_log2(g_size),
width_byteena => 1,
wraddress_aclr => "OFF",
wraddress_reg => "INCLOCK",
wrcontrol_aclr => "OFF",
wrcontrol_reg => "INCLOCK")
port map(
outclock => clk_i,
wren => w_en_i,
wraddress => w_addr_i,
data => w_data_i,
inclock => clk_i,
rdaddress => r_addr_i,
q => s_rdata);
end generate;
regin : if c_m10k generate
ram : altsyncram
generic map(
intended_device_family => "Arria V",
address_aclr_b => "NONE",
address_reg_b => "CLOCK0", -- always registered
clock_enable_input_a => "BYPASS",
clock_enable_input_b => "BYPASS",
clock_enable_output_b => "BYPASS",
lpm_type => "altsyncram",
numwords_a => g_size,
numwords_b => g_size,
operation_mode => "DUAL_PORT",
outdata_aclr_b => "NONE",
outdata_reg_b => c_m10k_cout,
power_up_uninitialized => "FALSE",
ram_block_type => "M10K",
read_during_write_mode_mixed_ports => "OLD_DATA",
widthad_a => f_opa_log2(g_size),
widthad_b => f_opa_log2(g_size),
width_a => g_width,
width_b => g_width,
width_byteena_a => 1)
port map(
clock0 => clk_i,
wren_a => w_en_i,
address_a => w_addr_i,
data_a => w_data_i,
address_b => r_addr_i,
q_b => s_rdata);
end generate;
s_wdata <= w_data_i;
s_bypass <= f_opa_bit(r_addr_i = w_addr_i) and w_en_i;
main : process(clk_i) is
begin
if rising_edge(clk_i) then
r_wdata1 <= s_wdata;
r_wdata2 <= r_wdata1;
r_bypass1 <= s_bypass;
r_bypass2 <= r_bypass1;
end if;
end process;
s_mux_bypass <=
s_bypass when (not g_regin and not g_regout) else
r_bypass2 when ( g_regin and g_regout) else
r_bypass1;
s_mux_wdata <=
s_wdata when (not g_regin and not g_regout) else
r_wdata2 when ( g_regin and g_regout) else
r_wdata1;
r_data_o <= s_mux_wdata when (g_equal = OPA_NEW and s_mux_bypass = '1') else s_rdata;
end syn;
| gpl-3.0 |
terpstra/opa | opa_dpram.vhd | 1 | 4182 | -- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.opa_pkg.all;
use work.opa_isa_base_pkg.all;
use work.opa_functions_pkg.all;
use work.opa_components_pkg.all;
entity opa_dpram is
generic(
g_width : natural;
g_size : natural;
g_equal : t_dpram_equal;
g_regin : boolean;
g_regout : boolean);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
r_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
r_data_o : out std_logic_vector(g_width-1 downto 0);
w_en_i : in std_logic;
w_addr_i : in std_logic_vector(f_opa_log2(g_size)-1 downto 0);
w_data_i : in std_logic_vector(g_width-1 downto 0));
end opa_dpram;
architecture rtl of opa_dpram is
type t_memory is array(g_size-1 downto 0) of std_logic_vector(g_width-1 downto 0);
signal r_memory : t_memory := (others => (others => '0'));
signal s_bypass : std_logic;
signal r_bypass : std_logic;
signal sr_bypass : std_logic;
signal s_data_memory : std_logic_vector(g_width-1 downto 0);
signal r_data_memory : std_logic_vector(g_width-1 downto 0);
signal sr_data_memory : std_logic_vector(g_width-1 downto 0);
signal s_data_bypass : std_logic_vector(g_width-1 downto 0);
signal r_data_bypass : std_logic_vector(g_width-1 downto 0);
signal sr_data_bypass : std_logic_vector(g_width-1 downto 0);
signal sr_data : std_logic_vector(g_width-1 downto 0);
signal srr_data : std_logic_vector(g_width-1 downto 0);
begin
nohw :
assert (g_equal /= OPA_OLD or g_regin)
report "opa_dpram cannot be used in OPA_OLD mode without a registered input"
severity failure;
s_data_bypass <= w_data_i;
s_data_memory <= r_memory(to_integer(unsigned(r_addr_i))) when f_opa_safe(r_addr_i)='1' else (others => 'X');
s_bypass <= f_opa_eq(r_addr_i, w_addr_i) and w_en_i;
main : process(clk_i) is
begin
if rising_edge(clk_i) then
if w_en_i = '1' then
assert (f_opa_safe(w_addr_i) = '1') report "Attempt to write to a meta-valued address" severity failure;
r_memory(to_integer(unsigned(w_addr_i))) <= w_data_i;
end if;
r_data_bypass <= s_data_bypass;
r_data_memory <= s_data_memory;
r_bypass <= s_bypass;
srr_data <= sr_data;
end if;
end process;
sr_data_bypass <= r_data_bypass when g_regin else s_data_bypass;
sr_data_memory <= r_data_memory when g_regin else s_data_memory;
sr_bypass <= r_bypass when g_regin else s_bypass;
sr_data <=
sr_data_memory when sr_bypass = '0' or g_equal = OPA_OLD else
sr_data_bypass when g_equal = OPA_NEW else
(others => 'X');
r_data_o <= srr_data when g_regout else sr_data;
end rtl;
| gpl-3.0 |
Scientistt/Processador_FabioVitor | Code/Holocron battle droid 16 bits/Divider_2x16.vhd | 1 | 1444 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entity Divider_2x16 is
Port (
input_A, input_B : in STD_LOGIC_VECTOR (15 downto 0);
outputLow, outputHigh : out STD_LOGIC_VECTOR (15 downto 0);
carryOut : out STD_LOGIC);
end Divider_2x16;
architecture skeleton of Divider_2x16 is
begin
process(input_A, input_B)
variable inte, rest, howMuch : std_logic_vector (15 downto 0);
variable carry: std_logic;
function shiter (number : std_logic_vector(15 downto 0)) return std_logic_vector is
variable TMP : std_logic_vector(15 downto 0);
begin
for i in 14 downto 0 loop
TMP(i + 1) := number(i);
end loop;
TMP(0) := '0';
return TMP;
end shiter;
begin
carry:='0';
if(input_B = "0000000000000000") then
outputHigh <= "0000000000000000";
outputLow <= "0000000000000000";
howMuch := "0000000000000001";
else
rest := "0000000000000000";
inte := "0000000000000000";
for i in 15 downto 0 loop
rest := shiter(rest);
rest(0) := input_A(i);
if(rest >= input_B) then
rest := rest - input_B;
inte(i) := '1';
end if;
end loop;
outputHigh <= rest;
outputLow <= inte;
end if;
carryOut <= '0';
end process;
end skeleton;
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_v10_0_defaults.vhd | 9 | 30146 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gH4Vbi8hW99nuQx448ptZeS2ZNcs1874T3pJToly6dPSggmO3JNGxV5GgpvjS/will00zaKJ5HfB
1w+feXbi3Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
POx9TT45+OvduzfJ7Vfd379upZoztWxLfIrsEXCup5sYi6Y3MNM82QD2G8H06hTpNx1UFtDwI2lS
l08rClgWIl4/ULGVtTfdVHia6Hf45apwsJYzxWjkMbD+ynFZceb3Kb52wCf/Zg7yfEPsjCOgdxud
G04vOcgth1kjU9E9CF0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iNDVV0N2imbNawb5AdUWEQY6DOcNn7DPOEWLCVkwMUlktnS0+o/DpqAUlRVzQLO5bvlD9UNMPdhY
5MsIbGfi9knfu3NrTkrMa0Ssyl56kXuUSBr3Ni4anEXN41Ztn0dhZMlZIhCCKKOfG1l/sqgJujGx
MNFYca68XNdYuV23bGvqcDFRxPU+jlk0AOnagw3wtjhCX9LwxxQj05MYmCa/EdT/toslI7RXjopf
mLSbJT9rHz3eg8j26aS/x1nPFw8f2xHVxdrqjQ+HjxZoll3oMfhHYICxDhS4Wlk9HGQF28w1/ng/
heNBtcU4QC0JinoWC2BwCIRJNvP7tgmPJF5qgw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1qRKwEWFKUiTz2D27N0NU/Yn5pECTmQ5LfdR1SiQpebZuXHHRy9DcuiTzldF4WRYXuRdAzMqf096
DKj+PoC2UfB1ZsJZrLO0LvIFFBZFlTVXpfHMc2XV+Rp0z6i1AW584L2el1AlevcpPoeeol2F72h7
e88rYeY7d/BYkh+9BFQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DomRC8GfxwTc/sGANO1qaw54YWFiK7/d+kZ8mF9bS4DN2iLIOtOtOA4Acb+d2UT9EyUVhx3q8eil
q6isob0nByWki+I+vVo75OmZbI7+ALk5L5XrHkYf9+j8hxx3LT9djA7qAc5GwlG3T8RlCSlNjXa9
eKiNT3VFU6Qia7mf914sZshJKf83W9CL2NCHzCdsTMIdMPFcWVUuCyfc3PdndKQFvg7wAnR21cgq
5+gL3i56/ESN4bevSYGRWDvVRrxxcuaaiL73UKIjf9O9xLDvo0LupYZJsk06kLiWmHe1p97w00zF
jotIhbWSNjgRLw9Tjx2DkrCVTnH0EpvioVEANA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_logic.vhd | 9 | 47892 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
M+oydB095QYDH+vyUhURTzxlYD8HMWbndSWBf+lp4xpB5oOxg3YicUF2Q9KedlmxOMTg3wmCZI5D
RG7ltvcyYg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K2aSx8ywXf1IFN66BHtfnucJd2fCkt3r2o6KViyAkT+dL1fNG5mFhdiXH6G5WBSiD4za2Zst4bDR
G9XRxswf6jPAeS//8zMCqQE6etgaKhoXZJaKmp8Htju+Vk2CYxXV7P/K3QD+tR/sva7Tcf+wj2hZ
e3lgGlvm3RNNTRDm22k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Q5HgaVER+pCNAo30aCnSX8m0VPzSp86zu01Yq1i+B71FgvVDrRWShoItjPJ/gyZhDOvKgS9v1Xc2
XRn3nvVrONwOoLpLtfhrzGbdSfJDetWE7/OmIWzXMIDdFpyZ71ndr4RKAh3WlQCaFfFKf6fyg/Wx
i9fUXE7ExWd8SZIbuKWFP7LrqfyVvR9n5uuseX1W34GaOZP+Yn+SYFrvbzuEelBQp1TNdVWtrKPI
0jZ6HCtWeu/aHllBUFEApHcwkLyYVofb7/TOde3WpgCY0JhZl0uk9mgAG/k/VJa5T3C910bPEaD+
llvwpqSNiG5ljqybXWcvt97NGnMTwctrqh4yQw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oBCgP4+a/pWX9INZPBQQd4QFLBk2VI8KwnT/F4HQnWl/qoU+Pph9dfqJROEdxO2mfYlu7PYqVAok
gWpiVZhRbDgVtRKhxGtAXPeP3/8+innbKX/MbWcusqK3h69w+iSYvbVVAaFmVovJ0i0egY3pvJ9L
HiEhEeUjDeP1+/rVha4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSizUeoisGFH/s4sh6bzurR1HXZKBvBkJEmDYEv+SZP9ZruMsxBxHdqJ5GkqYFfsHXvepiZUVwEq
/vH2qddvVSi78iHcxIGrACA/EuHHpuJ1QEU0X3taqgMcZnLK7lAB1tZPYA23LMFG9RCkHqKp0Btn
9cBrz/wvlt3bgkaHT5AVsOa6nnomk261tDs5AJU1igzNbENhaQJP9FbeZxNUQxOLeJdNNGRU7M8Q
Tjcd2x41AU6sEbnzb9Rflw6aseyv8nTKKc8wrcua++gx0vQECDEuYLR+T8TxWN+JW3q/2LyPUpYz
6bQ4pO8RjYkX4Yrw7029iIpovcOOW1PwXrLsqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33712)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/delay.vhd | 9 | 9914 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Tt8GTIUrr29kpFEQckAMTPnft9O3thUQvCvh6KXwr3f9pluYhQ6D8GzTKs2JXJIQidHXrK5Q5WZc
6enYuWwA9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Nu1k7Y5aIp7cx7iV7/gXEYTNRjTFd5DCb15jd79ddgcJoAxrmyGkAn7KgzLvFg3OI9rJs8muLb1T
wtHa0UarqYLCmFemUuUyhmsoaFStz5Pb1etO3j6AU2z1jLThe373A5lKdi20xiEc63mH09a2+rPN
xFLD0FLQKj2GYRprQqc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o7yX048tFJxP4nFGSh3uDZXTLobT/ozwVyWdhR6AHHiGxQzcXPq4Z5utBfJLs4hQCU0Sv7wBaxgL
MEbluCLAkkF3MP0bWQfY3jTD73X2Z1FwNgqTVHktJ2/NHQ4Z7M45B/9+HRPj8nr+YZbSUpOcnPrt
XMUFMJ7YHW8EkPbBTfSG4uANIKMSY/neYAkX7NpoxDSMc1p1Wew5FhEZGVm/FckwLItHjkPTSCYg
WktG6UtSdAaPKsrxWBRV1PdqBxib9fZlK/iEu2LXnVLimwRtziIA9jsx9mJ/1/Jz7oXNiPIuIHHo
rmfPZawpIpW7iar43YXdTp3YGpqgBjhyYtztSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
apny0FENyamH6VDTAXJD8wLNN/DOUciHBZATNYBrwra8dx1Emw4Nju0bONh5wd+Kh1LMYXA/a1Uu
eMZms67xSqOvCBOHsNrEw4MZvfQG5IQK7RgsQsC4AGGGMoJYMckSPU3O3b9MWo5BUOgEO0MoZR54
Q+fvta8pQ7FpOvaSRWU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JayRUTw7TA5SoGyUHwiRgcRBDqZJpL3N9yEmakNdoxTmuw6JOPY4fG5Wj7zFtk/iZbDcjawwC+nv
XkN6hfKD3xbCUiBTGJkUarL3fnX7jtnLLHDRmnmKhNCu7yIT4o5dpbNpxyUhMfInJnyLVQ8KV4rE
oI2mfpCojoIhLcgntFtboxlIASnXvvmGZ+3MafPlhGpOboGeQRtrDniTxffDji2QwriKFN6tc+sG
01xemKRr2zayujdYRaVCB7fiqY6x+vi3jy6sgIZEyQx7yJz3DXzhugBhawkAQQDZpHF9/MXsZfpb
pYajRdkowfeNe9jRzsByDwS0qaM9du6BvSa6xA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/clk_x_pntrs_builtin.vhd | 9 | 43244 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ViFrx64C6WdqIIx/7zTnqwBCnfvApnsN2vPQaHnv/fKB058d6srNWboCdMDR09h4m26CaLKsSs7x
/PbbuZ3CEg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z5DMoeWEcaVIeFOGO6CEnr+1otORFYo7D9L27PUU0Lhqq+FKwy+MNNTV0ySjZSFU6rbY9WPAPFU6
vgsA0dek9WWw5TEQ+eZe84mEoKN3spVx3eQE3DD/3XVeH0OHz5QCU00q4IyKQZqzn6ieMlKr8AYk
FRu5GRdU5yaDl/VoWLU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rsAUPTRWmM7i+PtkWB2EfOZniFSmdT95Wa7r8L08W8XAifYIUI1Agze+ZUJTa9/+2w4V1VtRaYs8
cbZf8vwY+c8FR6C2vqgYTkQZP0W91CU9wkrJPv+J3JrEMwZ8IvX3Hh9wmGEt+jQUz2uD1rI1ad2P
4ef1QmH7PXycBNiyx2Bf5lJz6cIsvhg/c4tlIoEhJNSVnZ18sCwQt+tJ5f5evyhvZtOTK+JN3VtP
t77Q2Gsm11J6zVyodfciMIMIvehvJLzZqv/+0+8QQjyHgByEZmhOluVSe0ouZfmNyfqFyW9VcDxM
P8QCZrCJn2xYBIOsCmlosRvRsY6LHVzwlVNOCQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QTd9gmBVkmQKb8djy4YjX6SbiCnEBamh4vNh31+ZQZDzMLW2RT4+YPZ2rCh2NjZVfRt2Qxu03rGr
3QrISw6PNw7eqYLTjU+nsrbXiXLBjt5luEIQ2tKSJLJbg/yvlhvnh12HHJT3D5Yo3DR7Mt1385bZ
AQLDHsXNm96cpls7eG4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
moueaEvHnQSAJc66meKJTScD6MOcQ7SbOiYbzRO/q1RdoxV1Ra5M45pD7OZvvuFExLpWNRzyzwcS
I/bMjoMohj6fV0GXnuByz0jC3EnFP0iDKB9Q+jVYc9WeDHdOoAkBFF2yky1lCzDAYFoOaaHgvmbo
sMyI3x80qYdkcAGEOlHT24qNm0lQ7MnOgLQpMaBK1wjrnMQVI0S6fRYEL2Af9VJBnf5NYKihbUfI
ms0u4wVW2CooI7K9zQCJqhHh1bXIgRBE2Bj28KUE33H7qIC2NdswCTpA0Bqrpu1uz668w4ujrHxu
Q/za6S++RN8uq7gcb9PgQnYnc7eaWE2OwB9QRw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30272)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/shft_wrapper.vhd | 9 | 13719 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DEnnGh9mJjXcPYHJwkIH/JZJD/qAuSlfHtLTbMeEF0EVl9w5R8AdpEIUCb66tbu53fg6SVu8bsEm
6G/4Dg4C5w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VtOo9e3gaS3SM0u7x24jKIgPj6FEZMKTbkhSD4hu+OpwEaJxFI4oULNqUu/oThD4PsKcYfuJEVy3
IB0UvAz1Aq7l1qNolLk5IxXo2zzVBlRzgfeg93KKb+BWO7erm2ymii8S76e1zvFWlbElqdthLaYy
TlHyGnfCJym0GHEgxbY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
me2v5gKPtNf52JtFi9Wsx/QhN/L9aDakAiVBZr9lfK3pz7ajWb1O9mxn8evf2rPch3nNAkkxb6cb
fUqX0RddJJpWdqRidRlW6eJMR6NxA383Z4cPhNDnKnMCmKOD7uTa7g5aScGkeD+oy97PGatXvtc2
1vhG0qcNed2m4/ho/stBnAPLADbD7JKCfaiAdqTp0XOj3eWAzRUWnarX2HO1gOooCEUxqunWViC/
/Smcr70JvfDK8pF7GgIj9Vt/ITp1dac1kLmSqDNXQY7NI0xkZCyc2VhJEqPsgIZPY/PYjtJh/diR
vVrygP9CfYpUMrXslyZahfSYx+azwYUuw0MPRg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
loSvnMhFpGsdZAkZT8xztTweRmf0qFQ2E/XHxookY44FdRm3l0ltynnX2WS/4JTfrArtbxRFql8b
uaX4ArdRr5mI30EAViLWgEk4K/A+XRHxUd/jZ7VqUF8zHyqyhXQN7QVcJi+zqm4wNcO/DspchPzx
A9f47WyrLLf4o59SuLg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TlhU5o557lTeACOBcI6QsboDAPbaXMQ9OHhwTfOW3wNyMgmpuFyvUuHM3wU556O10QchzhsWXWGW
23o+mKC2d804qjKhlfSc26/Iz3kgWVKKk1+e8kfMS219+El6g0mdLxShRQqX5PIPBLFZ+ffFHQtU
YsNMA8++8DbpLci51xJ50gDxA4ShnczHQTpO1QjkctUuTvNEtnqAvHzRQGwGJF8CmerWdnD/V4uf
ksceiijjJ3ZiHl1u77sL7p+GL6vj50CDisTHO+Xe7KqadUvBav0UYSsGF3pRvp+LSM80lb991CBJ
kfgA7xTaAMJDGCT/T9ELjVnCCpk1gmQun9tzRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_bindec.vhd | 9 | 10044 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_generic_cstr.vhd | 9 | 120061 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kw3yXYLk0kP6AlPpha92siE6fvgrA/b6Gfh9b+rwrIStnZCw0gTv90KfLNjkAUjFOhNJJrEjwTJ0
AbqzoJUqwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
I+EZGbWvUb71CdDvxRbl/DqRRL0rqD0YbBjUR9ivz6ATnadNPgFQs5SFnQtoep4/VArF7VnNv5KM
LO5iM8w2eGiZQP5DByxT9pptYCwZ43thns2NdrlTZpc56tsyULWGrSW7FPeQJYgFg1apS3+4AdAN
3FLRdq1d+ljbopKCqx0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TMaqotYudCgWb42kNIyByy/25niUtv4UAAWx/bAuuvxcKn9m0G/qPlaZbVgQg/cVKP5QNfmEldA1
xoF/X51Wh124c65tgVaxs3FwIlyNbCuY/W7zMwhJHrvWNhflgd+siXJOWqNloqHTlnLq/xW1opDp
3uveAGEOWGYTcdbV3Znh/riR+TH2IivaxHCB+M/Cygo0xSx02eDnAz790k7v4eCw1hTwuZ+fM+BE
GOedM9pASNDB/l/tYJc9mS7ylTxzx5Ojy0RPiuqsk1MQcmsLRSNHt8hwUyyTsRSMvOeDEii50KKB
5zdLXGjpsc+GH/hoFzIIWD2p8c4kKOryOgQacw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gTM4DpUUylB3otsX6RmUbSV3/x8rxdjzZmfdnJhzVV/oqhyLofn5UkflAFayBi5UCUP3j+18fYB6
A29/K2m1F4By5mFPUl1H+NbNPu4EwUuBR2u3c9P84SwET+EQ9deZXRCL0B5TkfeifspVL2J28sB0
84EAkdKawzksOwvW3zU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lhX5OOoDUJqMZ9w/R+kNEwVM7u4++U27NGFwvTNE0tF346tk+EQFl2bUZboS0/Nz1y+gTzTsW3dg
2RztRxW1X7ImlV2urniAzLw8h2P+giNDBQtYnm3+R594eGPwR5uYX3pytLy2hD4M6QLxgfdCuXFG
fe1T9GpWNTjMG6fMjgyc3xKlj2aZ1PRZGpZs7J9mZP4ZTm4ST3Kid8WdHPGCWvuXkAgXLIkjsHZT
GqZoT4h23l1CucEvMZTYzjvBs5OnKwCK2bqsjzjfHq6/kQiXkqRJoBihL2OVMFu6sWuDg7yGxUVu
8Ddwo1/Se1WHeyXXi/JrMYQ6SBsTBNQzaH2Pjg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 87136)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_pe_sshft.vhd | 9 | 17501 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SsDHRcAscqoTyJcBdOHh7H0W7WfyQrfTau5JR4LGpVxatGRWaaYzHE0KqFZgQq4cIK5aPq6L6U80
KCxkYhMwsg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QTlZm0y5knjABLiiIafkjqpJQZ9Sjcd3KsOc97hd2sVE34VdLnk7Ik21oUzykmXCw1DG+acb1j7F
XAtB8f7hSn29bWXapnLFgMtKF7bZPt4rvKJKlvYr5xOqEHPyzM3O3EWjXVEtO5FtvsCJP6vfhu1D
SWTJNfmv8ksB4JF9D9s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0SkxsemA0Njb5fjwcVdtBtjM8Xwva6CAHLYnXK6GLgr3dYfkKV04FjdVXJbgtMKtPUSTTmpJxnz
ZEBtRMGtOuW8yVeaO815LodsaCSllMMfXXTZokEi/b3uu7Jqi4OxrQN4rDUUaUbsuuZAYe0VzTMk
ws9qxGSoPYHC9KwXrJMXVa0qNIw5tNBuZ1IM/qiOUGis6js12yE6imDc41AJVLx5wuAn1FZ2/GZp
gjlerv3Ic0P1oGnXDvG207pZFjnNLWj7NvaNiJ3XT2Xxdecw9GpNVPzf3HP/K79fazRcwPN42g6g
cx2zdW/Q465FDHcgqXRijzdClcoDMGeP3li+LQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3/yO5J74HUpjtrzPOeXMbMz7HztrazGg9ZLHJO8YE9Xvys3uYZJC/iu7MD8Fau96hkpFT6oMNMzZ
wkWvqDKiCDgMSW4QDHKOEj2c0Z78+li29u4o0GiYFvrw8+DEgvBy1X1cLSzcXnIjDAaVs8zgwXZR
y8YKBQRSOShs6Trfgqk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TxrPDfiS2ZUU1ZwXrRiJTAZzrY6k/UfaNGt6SvOKVERrG1jN0Nrp8npnY12Hqw6xFC/foEr5S6pN
WnFzgZneog20B8lUZj6mISymkWnmdzgcb8+hDpcN2ODog1S+GH+hsD1dGnNn0DLmqZVT3nRKZv+v
3/ZEJQPi2umvyZBkv8qxwSODrm6Rvo5S8OMIwpaMOodhW1wptr+/q97YLAhTiI6ej2IIZ1bNWuef
Fd07lmeMbHzcSJPnh/M1UwwcCuilT4bsscnfbiKlC6bp1RZiKDi88ZqO8TUEF5KjKTcmpPnKq165
8cQJGgok+3driYgtfA23ZbCocNqVADh8GJNJsw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11216)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/axi_reg_slice.vhd | 9 | 17112 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
m+Eqi0upM5HOWodeE4I9RJ4zVG75NJ9MTic6W3XR9C4TyVt0NrAO6Jw4ePje9YJ1wIrMMFdFVHI4
tHUTkIYeyw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hILQbYZyegh8H+VB0XQvgPJ//NPBXFxTHdrpAutiP1t+1ZgDD/2Atf1Z0biTRY/MNYG3KKPbJLpb
2Bl9WsaoCD7uQrxObgEERAzP/51IxPHwrwdGab7R+VVaW8HgYu7EHmaxlSEStsmhJMmDJCuxOkJ2
nIvVfnOwN+x3uqZQaaw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OVOrkc3H7dJPltDNKs2RzlfJajFwJHcDHWMXX3bi6fXqkNMLmwX8uSzxbP+mKqXGCoMjPdqpvArG
9NLR4d/VMjjDo1Ge6vqNN1d5qz65DBONPMW8IrrnaFOuv9bgPneyodhyh7Y6SbHgxg63RpF2UA9T
FeI81gZcOSSulF0MeERqrWlurUziWXUP62ola6qx2CPJ+kL8qKp7XOYp3tHhfExKFkkYf7odIHHx
I7we0Pxu5L8vdEGBuoFBbBwafkgoL6m2Q1VBijYB6DbTwR5wdKv//k8T/t0+pHbwHdsR/rdn5ew1
QPKLnFg6fdo/Pm631lUG3Yu2Vp+aoXX3wXXaiQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rox1DYFAWrmARf9Wt35Dcl0gJYw7Rnx6DgTSHZ1DUkVw1x3QckPIGyTQfFrIcXzuJN35CRMYbCMT
xxCaZLmOUDL1JzvuUILrcMXQGO/F46MoYUIzLNB9BM3SAS5S4LI9M9zNUfcog9fgw0lAi7GNbmvL
uQuNZxad074P2Hys1lo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fXQEvjNGp4qjnBKotsGoFRBwIBcLcP8fIaHNDen0UkAz5PLzPrkeyPciAgkSGTV0/qLnguI8Seoz
iLW7p62IGMgbG3LzWLxAfKIHWW+6RQ0RGhLMwIDvx7TuaXb3GqnpfbKPOX0XHdsMybWAAQJfoAOx
Px6mY97ekY6tDcOxaxDsfZDRtaaLKTdfKCc61O/0bqFKUgSwIGzIQ55YqV8pH0fltxf4nqlqc3vA
Wx/42JGlAfkMkbjLs8uTNOyA9l7ne0LMuo+RURpMRhASNU2c5ZBax7iWIsbRNa7GsIc/uPNOWQIO
ElplMAWuOIuinI9mAIg2jsMCZRG7UdHS+gpeeQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10928)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/wr_pf_ss.vhd | 9 | 30210 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U1Z3KHik5RRVkShw9kVXBGwR/sFKyXvZKAS1Z1LGAw4E6yNd15KljvjZUEns4//We+2a5GjZ4mqz
f3pFL7CFpQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4E+zQDzokQqXWsjQwjXucvu1JGF120ZkvLm2AanpDA9GOyyDIPokuqG6xrjLgZX1E0jrtCbLiXd
MmXKbdFgaEvLPa1R0SMLPwnOeskg3tFyVj+BxWx/1iPA0lrTxsQQ5bb1ylpj94kIkcjUTmRB0yra
layoI68L+IPMC/LjgW8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l3ggWSP99avtUtXFjiQiuOvpna5ThBIw09QMo1YTIQGeXRcDYq2HqPvqlq28af7Q80Gfh7fxOsHi
mBBkwGN3FO+gips9EUmymLoGyJJji7ho4/GA8UJgSMZN8PIrrEtJVFjtzpJ+9W3+yxwfvNO2d1ph
t/q15fp/syqrVL0IIWMKF4XIssGDyHX7mxN+dhA5Vf3hAsZCWQpsBYSw8Qz/1mXsqmsHb1VQscsm
KwtiyRSyq1vrpETxF1AYMi3fXOozlHfnwZq4nYIPiNj/c2qaO6WxB8hkqRjIyzditeIzlFDogXDS
08Q/JvZ91hlQi/ybOdshWecehsNzx9AgvGqmpg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
swNybt9TUhqrqCcZe55Qz80P5t/hJpKPr66nzSuBQcnaNmBzjQbWvbw6S8jICEhJKfsosH41UCec
h4cS9gKOaAsZNt8A9kFCZYl77z1JQWbql2BRmQgCsf7G47w3yLKZ669W/caADJvbkGJVxMd2oBAd
71g7C5x/rb7r2LE/ZG8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tQt+UBRRaFPqAwRVpHGuILl6NpzG9UrOhLGn6EydVbaviVmpdEdMZz6eujKt+lA7FtodY9pvdSNP
XJ2123X9q2LtnkK7PT9rzoCQUARaL+t48nd07qt2SAFNvqriYaw/NcWjNlifHlzOXdULv4Ln8Pog
NGa8hPfy9Mfy95AHM7XjkWN1E4U1BbO0Zp/ftWXpywkEGB3+fQcIZCLfWYfwAjpzWTdKARZDA3Bu
/uwkonFnmJGjo08yOJ7HIBR/97EK4TPEPtOzOcnUmHJOwakGecjqhncwQCEL5RpBkD8qcR1/CG1D
1MNCBUumdi2eV4EuFbYRdWBw2Z3KLR77VlNe3A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20624)
`protect data_block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=
`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_logic.vhd | 9 | 37388 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SX+sVk8BqD0KSgqPJ6ExDZD4oYixc7nYPYQmH+cRzK41Umu35nz1KY82zjccRYLbLrklvuf0BA2k
uye9KUlQ8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hC/0z/RvuzXduagPFI8vjFTpvpetS6xHdLP69BJS0vFEgc9yiEfhjhZR/mf3l3a98ZhlExfAiRO4
VmpkcqP9ROzJSpzMzI/3IA1nNUuvXmLQ59NX3osljdp0GZu+DZ5rJL0C0LV0EAY4tjcZOTXROaa+
pw9noei6MqGHNpZYyJs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aXlccWuYurnAi+ZDvr8T6O5+LOwcQK7PMkKobPnsupkNGXjvudwyP5kQA8CQTAElV3XgnQoWlLfR
pZnXX7xnyYOmL8jZt2q1Nzz257bV/XDyrK7Oo82KzyiaJqsIUHUzuwQQRGa9SPtmBPMbzaja+8x0
bVtO1wxX9kh1TnVwxYaYhENKqsp9P15orHk3ENWEOdbDKTtW5p+it+QSphntK+0rp/Mz5lRVPfow
Z/PgLNiklvup8yHZq2KNtQikFL6m5nMdTT4kCCf7fsEtQMRilLT/HQOTJgJ/KkuuLmRmtYh34nKF
uwJKolcC/bCIdBJA3lIFV0tbCG35mdInviKlHA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B6Uk55wzJZX2m+9tfzBYUxGt6jzH0RF3pARnaDQ0bTxVkQIGG850HMwFtg5dyvubsp2lUK5aTHbm
lhhb/3b+0z7mYeCUScWak1Z88uAj+aDfFm/yG8aS5wI96QAl8AzDOZBsT68EbTWYEG0B/LgOmf2+
VmHXWJ2znD26oBTECtc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AnOR+sKCwUF7bDBsv9W1VWSHdOQGe/6RzkYRp5HDVk7T1+7mSuct8YGLokMsfhZnOANpYkbR+vNb
4/aAhZdpIwDexTbeLtvhjih1MUUoc7qEYQkjocMImJgK+7FyTcGa0dytun7hNc0fZwPWg29PjLj7
1tqWdQZ1/8W9OIdmiKEhnQ1xkADXp+9/MdJ3PW3yuI6imnu7qfh2iw/nr6B4A63/8TzruUf7pjie
6ucxPVmAHrZG9ALy0LRqVgo19NOQ7VwISdLnlJZzYiZyA0e7ZUATUZ51uqH6DT9K/dWoyolHvmnu
v0644VhVjZzDhB1iHSLjXkY6rAcKYzmldhZtvw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25936)
`protect data_block
M1jRHyeRGy1cO8vwuirXLqvtpe4BUyUVDxyemBNOIXHH/C6KJjkaBL43yKugFhgWDFieF4EOxa2T
3/2KFh+2GM7Z2gZnSjd2r+kMw8T8NE3/G4A5BlDy2B/3CKuGShyAcj/NoykWzm+98CuCWd9RHrww
8exgRneq7D9rrv9wJRK6yB8c3MrXs3O0rj1ckDPybDGPux4yNT36fqylbZXKfyK4nFS114uUESvq
RVSVlAso11Mq6p14G2GHKTy+5YbWe7fvpr+Xbv/0hHTTdCH/ZjaaAFMA0fHZLc/wpZMzNMUFazes
JNY7f58iiYmwy0aAfXMoQe0t5KNtvwvt/rxKDhYStlKxHF+itF+v2ShSqRAv7tNS6oi0jHvXalfr
e8JRNDT0qgW7jeV+Sc/iRmfHA4xZ2OC2drrEeb+rrRANA14eCeDaKOMpGrhumuFXnM65mmuDgQ6p
yBE+C5DlQhR9j55kM0QDiioP8pxwnz2OyQ7C+E/zKSfwTO+BTEpJAxGdZoTNqzoT9/E3lPdFuJco
3m+HSINNdWfqu6pV8ntULYJqndqcwpMQP5+87rM8BKlvOwj5ZKB+1ASIlsphJCheCdmbpDpc54nU
S7jr5qhdwL89aQEKNGNeAC7xtz5wv9SD+z3l6VvZFGDVv5F6c1PNocwCWhPFisfex0TAlc+ndIIL
QbxB6aRcuYoWqDn4n7TACJQLPRzk2WwiHSYa2k1JFxAeGlfzAuHETka2QviQAbnEgULa2Et6z5hS
2Txn6pI+WMIDgZyCVhGdvurY3ZVKayxXYzKdEos9CMnqHBC2/SigxSKpcJ6FWDlHGfFZf+cE83m5
1DdSs18RgxJTrWfJGKDxlAw5o0PKDAie/wdbttHB+luJcgAO97xgB7Cpz/8cv9mlJts+qTZWSL6J
jswiIZqZZVZX922+zT2wY6Ry/unZpOIX3p6K5Ho2OZeooMFT5XdJ4fNZ2BlgDq+sJEbUAD9vaoU3
daw4iB7BEwo+uuRwVz1RLSeG/SVDZ0BO9nE8IcPj7MDMMN46gH/vTEp7WsDLK30hHLxvfNzUuFNJ
/JqqSNTOBgOEMcbSbakF8eOet7EkwbwP3uQ7m9YVVkVtZV6yNCvOxAvFrXFMcHQUv94fSlDpGhW9
srWap8xmwKPVXbAlMPpUrwnkq2HRIVuHdJuZznVSIOq5FSstNrRPNo6O68dnOsPBAkQPj5gMcY1a
pTrpwX4cXo3duC1UfzrTqHn4s42HNHB05kV5mtlL6zC4fQ5SBDYImoLjD+sc0yKv86VP4qHmx/uf
LGOGwr2RffvadGr/rZ2p1CHc9lm0hY7ThkwhGUEJl2Ao0RS+tkq1J/WddHml+rd0yYGwsqi6D9nL
ZKkWU4Cjl28JWSjvnD+XNC6BjaGvhJujyB/WWp9NL5VguNANwoXB6B7WA9/JWBJ4feqHd2MfpHwO
hn/vqmMPjUwMF7EO39I3h+e9Qax1B8gNoFJl6KixX1pKO/GFxnMkGop5fKqIwiqLqiAn5/22vyc0
WP9pVVyF+BWrdxEVSFX6wSN2Hf1eEjsOpqqVapKYeLZLnYAYev31ENIi+na+DqMdGYdu+cyJd95x
kaQCowt7dzRcEW0OI50SSVbDbbFYJnJ26jGNTUaQOfZzENN2NOngu8ojndeam83EWZvKwXE6IhZu
672RSW6pfw97tolhR+Gtae9tcjVZEizyPSa+4EqZAgz0qUTM1sjkIU+fU7C+MjArq1wLc8CrfZ4x
YbRqa4PXI+5KhZjXzfQ9MigH7j8U/UiSSQGrD2cphwOCelh4LvndKEMKY6mzD/Wj2vqxqCfIgID+
fA1ULW2qUIQYqTZslEv4su0IwN5Qz8GXOz8bZU0bGQNUMmD0Pza6HBAMG6RJ6bhutv94ZdAEHhQ6
i3yagO3bGFAXOsT3EGzJQMB33j1jfjXdot8xaLpNrmOCF/7zN9MTK7Gual9yeDbr2UTQpaj8U1c9
cNZSCxB02Ffj3yBLpykj25/Kk7Vrjsr9m8FxViai4zwxgBl4TapHDQyHhMfX4Grt5F8zxbVsWAs2
U2HjSNB/9JNMvezziRCYrfrSphU9TP4JrlC9nRxZeA/ip9P0GQY2HtyWWZtRR/MYkDc+kbV+vqh+
0ptR/+Ct2UQrZdpuDtSR1et2jdZSmMtRHEXR7qfX5/I9I+oTANU7P44izradYK4ATA6txotxFyHt
pbZgxuoJ0AEnRMHj1eNUiiRCzGZudE25TrBUNvRjuQCp+9fwrhvaKfof7htSTbf19qMEKbAe8qf/
PvI9koWbPcYLkXP373Baof77qykcQO1S+/GRTApWYvR3zTwVkVqTrxxHLD7VAZtJRzyK/bRlCTtZ
qFw+d0+ptDE//0qgmdp6epkNrizLX+dRgx9sZb8DGon+Mh4U1IEibh0VfeH9W+GPgLPtCpgRJqUR
3jo+Yp8PegZlm0lm0pwUo6Ypgm2wgpL30DvAWKWD8iqooJCDkLOCjXpC7Gawr4eV4S6+qAmmcDlc
uzN65ypYtjr1rQZeScbmMHPTfq3ApB094Vl5j4CeXxLTpUwU/98hxxpJSv01m+JhcSy9ahoyiEn/
/9friFvUTAr68R8feFHP4QdhhOJ+bn/sEwFBLtCoLr2QNMvkH82mSR/pcgM/gS1EvKme3TZdIJjn
rXBSTX3Vld070+P1Y7/xuHrXgf0Ugly9YNOZx0TCPdZtXw5TAqyJn1QtQLCe0/sRig7Vu/V8VyhS
n7ktLAakot1YCItI9vQ+IRd8qjxJWb+wuTixUuMoWccoj7LrIx4iUzXmMxVK0nIZHei86y+2Ymm0
eLYpb89lJMqWMbb/sMT7tWujofEsQOiHXyj1eFILgwvE2CH3XbC0llErdFLlBv9/YpOyI6H7lJ94
5G4a3406TarGkMfkwcvSs+FcY8c67HumXWC8CIf5kjXLBpIfoKUlTekap1hEW2ndRJu8lLnPWp71
UCGtZLH3cNu9xq7Mvws94ToNM8shhwzVCsJ0yG5f/q1m5CX43Z/buDEYVZJ2hFxbvAKFyVrC/bjm
+7Hl+BCgUm5nw+t2kqCx6nDPEKdEdp45mLgMUaly3TAXZSi9PX7di6zbJRG+LhVAiQ9zjnpJI8qJ
52CpRYvuB/VElTEPu7FmbO0IRNr7YAWUG69PGaITyJ7NRp3OEBz03ONznajl+d9smzEAeSHvel/H
ZgUhnN3FWf9/vw/kZdyMnS4bGupsYkdSzZr6vysggjZ/zF0zg4+KSpmtoCfdEU8pIZ+/kkynRYNV
wRM6aEgwhOPeAL3+7oSfQC6DDFLdjcwDc0HOopzCxAH5J1cF3h0gLmMcFA05x0KwfKxK/VvRTBK/
GK5b7d0WlGWj0LIVWDaVZU94xWC8/3iziwh79HJlZuTg+KA2Qwfg15RMvXYA7i6uh3frjB652B2v
uHxIG+oOMg7ycUgS6ot7eL4MJxzoWMgUE1TocLZQ1d+uYfBnD8HbRRU5g+081moTNh6my1162lfO
JCpGRKxs5j+jpf+fjBOcnb6qI9srGdsNyTHmrqSm6whM7yzUlpB6eVjitdpMSafVhNZD3IHJeHiA
oes+Aq+GQAD6yGQAgbqSfp4JIeZnYGB+ycGXYpkaGUy9LhuW3i+NrOgmPfkVRVKlaNoPD7T0O3WW
lKEe9dsQUyr60AMxlEmc8od5esdiSCgBMz5nUlLxaeS6CHGZrw3Sx372/kpK6lkULFEbJ3pQkgvO
AIU/RugoLip8QUqIbpje8JLXUnLZiTwAF7srlni9jfIx+vHCnCpH2InZcNV2tc3la7vwGwuZg4q5
VjKaoWLwtlbT8gfUr3Ewm+f2fWtohbJ9RtxyhUTrFxwddt32yKnxeBiVsNV4XL7WUg2mgflL3vMZ
iwDbRvg3sh9ArEx4kJ31DcJbyWmH40+HlTaUFoqa8qzOH7cTt9USICI/0x25u+z8b5K1CFX4l1Px
DIXr1hDsBf4juNS6qNP+L8LvUA6p5gQed6qzNZQcE8+bjSsqHOUPxIalT/3y7a8sNwbFxzEpZggW
T8/VfNxXJ7tHn+5BZZqat9U8F12xrz+UTxKUX5xSIHzve6fQ54C7Ft+nBkG0ttMbxRoOAKImxdO3
Phb4eXfUy1HggQxMfFRPJCuzI4Ytn/kMb318Qt3w0Pa6FRyYDWCWXktJ3LuwBQM26Oe6RoiAsFjm
bzcCnGQ7th93Nz9GO+tzstW9U+pGaUT2u5Z6gi5IX/tzooeQBDqDOpHxJ5WQP5ZkAZFr4Wr4YZig
KGfISY2RmI1h5B0DOoBk5EukGVYdlp+UF+u7gzEdh57BgVsgIrQZESSiacQmbKavPJwHxKC0BTd1
IYueHOPjMOkRl0nZS1CSxOM8UkeNEqIJ5kednit/XrztQPlYU3VuUO7AT4HywkBwLuVJLSvcCZG8
0pYrDuycPMQJi7XooCUVFh1J88dq/sjBS5tCYknD/c5JG/kcgDO+LeCTi0tCQYPbUY2TEfF+eFbJ
aSzdoEbARuhCONUl1AOwHnds8F+7YlDifXsHiLq8y3BRz/1zYnEh6WJJxs1ymDJ+7CM7T9LbtjJz
wrQOePuWuw1tF+nCdA+t00xeFD3JlOQefVldAn4cAVZiFoNxDQD5JocDFnNxUaklqhBZ6k/bb8K9
6xDXd2tECc/WucFX4VIJJCXJIbvFBTs2YXOnwEgFNM73QztlRl5mv/bgPROewv6LjHTgiWXkNduk
jOuyrMEqOfCMVSJHYUIAoj+cMyxcyDwCfiKRF6NDls8UOO/tcjLZnnNFR6M729UOkkPgKuO+w9pU
/NMpZhk4HVzcHJvi+KRfHxn7fyhcwDzXqX1nB/3tXvPERThPe5JPoPg6cNWfrGXr8ELZC374DsqF
pmcu3HVvCbrzCBKWi62gAp6grDSgiZvBO+Uem5ulHiwTlXR7emxjfXiV5SnQ+h5WStkTxpGeU7nu
otZ5ez4D8Js+S5Ap5kmhI4ssGzEPrDcJcyyLcc1Pmhxznx1tYW4uy6lyA22Vv0GVH2znSNV3Iv/K
KyJJ8hbMgpLpeiBPowoYu4wFLR8ILpRXarCoB8FhHf/LCbX7xIKOyI/YbHh0o0xaENZcWrRkq2qo
AZv/uR1H/yr9qC29I3AeJM7DTOVwkrEpB8OjmZcWO8cvtb8BtvGiP71wQCxg0bjITIrokDOlZOgd
BKYKY1/Q5uAG3uSlS0GRu25QDCfSro1y8OIE+AP4gteQLthiWtjl0rx/gbdr0LTIrdpWz4drwpOg
/wLXAmDghxvGWe7ptJ+aUpvNXqQiM7RPrxUYxLJGhdZAlFKkKGb8S3s74E9QFsnnEEHUFHEFVv3w
fp3UT45wKIAdctSJ7ZXosZujjTuw4xWiKnPi6HNnyyojYGxiwLoIyhQ40NB2iTUVg0mTygpLhynC
VaP33HCZE+KZZQtQOCfb0F9z4BMpMgah2bKKJTcDlR9nNJAOrkJmikuY/xanKWSev8R2G/yelFNJ
kuMrHLhYbTyBHc+RdKU+g0/wqheWklG2o8aMNHQKVjJ7JdyZb43S2aSM3pvcJdCTrIme3My6OfR9
JvYj+CR6Fl2tSnRlhaiUeH9z5UX9ZjnSaaqpqkop9DvX4OJG18YdkGD0UOi9nrB4+rzY05W1B7L7
6MD35MjTuCZjrrApHYwsSyGN06zE9TsvbddsnmZIXBN8C1hbSOMThqopmwAE9nZUqavCBYEce2JF
3Cx7S/j6wUsxkVssPmTcqdzEN+m7erqgckuu3YVsSyqcdZEjCgLrM72ijlMZ3vkvGMQQS8h4pgxK
J4UUKE8rJCiCwo/AYD+8FLbZaxsy0M1L9/hEVJLe9MFa3LvB5vvUdRJXedAvPVAx8NQ0slMV4EKU
+sa+1Ymxb3dt7u5az26CbQahzPzml+2/pHIi6GDVeygwp0MflolpFGY0ZhjLB74LIn2DQdlrQ1wS
KuiY1VH1P9xSwMcBAmqdRhhPcOQcvC8o5u0zTU9R/mrBEp/21AiNizQKzjptX4lJ+NqNT6tqSxDe
wpq8mdkDdec/mx6LiDfhdfU4j6sqcoCQIufewDJ2pXr+Et83H+e8DPVOVQZWgJ3vdcfcYuqFokTE
ZRyH46MIELqgOmKOdrLYCnGVFLHqgeptr5ipdp+sXrK7kQDqzUFtqlemi6RjA9LhfPMBk2cfGo2Z
Ux+RR3wy1NLQTmBEONOfa2aEa9iqpnU3b1thCBKy1TcgFJhms4YsanCE0L/8s7nUIDSYdAwmP9/S
I+xBMBnTC44J+H17Rb42lk4scA7JrTXG5zyX54knqxdtrPrg6JevPMUzyTI7l8NwvrfvbFtMB2ME
qURv8wdMKeB4sdJOjNhKBa2rmOEOKB7o5zN/GhOavBywxtXhpTMWjiJenYvLx93ejRrJy5/eqO2s
z6eEc4jGNZBrnTRXrJ2K7ZZE9ZPmxeUJBBWeS1ncH41YN+fZOjLTJtI4ePFbZdcoJvSJh31A4QfO
/gSS+6VUioXHwpgp/XA8DAGd0GeBhnI3Jgc/4iST0X/z/9ueVBWYVKUfCikVhMnERIRojxRO64bC
P/y9qsRjyG6Bb8Ed5lGmQ8uyYl6vnZFTpRZU2emu5oZc0YM9bAiF6pfbOuR5TGGT9mkidou8ANRv
JHLr5EOPNuuSjd+5JJ+07j+zWnRRMyUwO03wnubBBFMGT+d6s+Yl/80Wbqodq/6wcqY+AKt7anAf
Twwy/kP7SfAuhxQUZPctHXPH3dErqK2MhApl57gmPANoD3X2m1q4W5YAj/hIw+3WrXCILeFnYbZv
E9Rtq2mCucEl0aSn+sEkjUgRpm/D4OANpoOUwYuGFYMpYnXIHRUeUVNnvdn3ILdLkunIeCvrbR6g
uWrxn+OxaDGQDIvubUSpSGVdeOhgSyD82aK4cvg8u80W4kBfAMycGSOs4ivB/oeGoEF1UgfKQwUD
Hgg+hzQaMHZyXKP54aniL7HW0oMFpAxb5h63lzsNJml65yQS2KSEVaceoOGo4Xktpva49LXVYmz0
H0Bw1O+NHtykkeAv3E/ur9ims8/fgTb3PGtc57IQ0aSo2ScOXWahS5ABp0PioPUiyJ5zec3I8oTD
mnZBnKFJBllE2XG/j5yh7OR0w9VxbByvoQZt+zHAFHyS/VDy9HYWFK9yNGW56zS8NdPulptBZdop
mnLgi0mpQcE43lIarf4AdYBDX4S5YHUWHYNFq341IuefarxBD7YbXclkqk1hS6e2+6Wh2t3tJ02S
ibEFmtjUPHzs9v53o78dNNo5V8nlv9F7HUjB6CojmxHa5Y4UEz//vLa3z7V5DtwoVYVz76oAm9Y4
4d4A7TmL/9O86dBUJFGvBwyQWn35GnS72tdflNkeYBgRkRrPaxLSwduZE3jnAFpAvsNAzPL25oVr
prDe3O7B/hdK/r0yIgUBy3AU3Ot7sGzQ4CcxtztvYD4IcdTFwO4H6Fsr5vLrivMaEQwPUoSm/Q/V
wYdyEGliP0ip82Ul3RXaxTh2nBpYXD9XNec8OpcZsOB0AHfsTpUb5Tau1d5chK+F1VhL68HTo4BH
Q/ITB+/1ue0t4PE0v5gNHVp/avJXptjsHCaL1yZjo+oaF/pE9AdyY/gcp6mjkmPxWqWpebGDUEiA
+i7waCUzsU21v2JkCE2ECJk4UsAF9Dv1S/xBaT/5rW1MrK+xwO7FNkEfx6YW4xxFIZ08NFvsKYj0
p6dG3UUFcwxKl3JIr5JZHGOEXL/v/NlpGTvnzirubI/nDeaFP7NUUh5tmn78Gcsb4lLfOG17pk3y
AHkIY1F2vEICRG4bZ+hy1IKrEXWgIbEemhJ302kChN6DyX5vdAyXCZiF4gN/s4aVPs0UQ/pxsgzL
3DBc0r0wmF5s3kxesPeUTM/XC51RTnR6tdCsOEnAiWOcYBQ0wBRK6EZhNq69bPN6zcoTOQCohGji
CTb/9hxJMey4uoB5aLdeTRVL6e3ile3UaGvJygnycEnAlEqly3x4j5bq8V9oDuhVI5MzmHzEfuDV
Cdqp6aIH9piikLo4X/vSYX0twgu3IQInKQTs9Wzb1gjpSnxJyqyBCG44AqqZit8X43VkeqwAAkLj
IvMrrgEhZMzWtTL6Gn6a5XpDopnKGILSPvXZkD2eZ2e/mljh0xN0inv1rtXiLZ18KbYV2J3P+nPo
bJpgRAe52ilo7VO90cB/1Q3mgcsNhzHdcEQ3jRkq0rJKVe9b/vBdsSE3NqvRHrpF7TtKTE0szoyX
bgTBh0ovvR0I1VCrzAm8hUlNJEiGLLKebIBItx9Htr6wp1K3fuVPzuzJ661ANAGise8VVSuJHIUj
NcBhhveVLvXzIFT+hk+XHvbKclXg4i/SfbDoLEbjYB4yQKycYj8Qgh0ERM38qJLJwb03FB0mwBxQ
E5mjkv6Xhda3ZglGnVW3jqExIRjGyTO6PiXK3nKVHwVrYEHqmbEm4x3lTwxP2psxBSqHwJJO3OpW
ITzLdUtWVoGLyZdMwfgAQz5bJMPgTTyXhseszt6h68ow8DWTPglAkqKblxs28wc7vVz8EikT39Pc
A7GlHV1v0TFiCiYjXpKssNP6LbLOvSoA/7zLt263SlGTyg6WcTMqSQ/gnAUrRaD9xOII1b/OBVll
Orp2QrBZTBSgV8XlleICLHymUzklC0en8QCGqBQItHHvTXWZkzbvWomJs7xRrFsPVIcKAnDb9NKH
F7Oko3oH/8gZdYAcGtK6dknXgY/pJC+UhSXJP18nww8uc+jWZx77d5bnajPjiTpEssY0C0Q1Qs/U
Wx9PRwE6dXUi2Ko0YO8yQtqDaLRzAdJVj9a6pgJ/B/H1DXXqi65So4S1j3LeesPgGdwmFrWkN/TR
IX94F9/xB7J0WIdVv2OV+4s49tmFHPKEd+3ISuCVPfJdQX1rl4kY4XDlgzP5oUqbiRPVAfbDngfE
HnNo61yjXuZO7QBVyMfrouuwU0EI6OhNl/qXo0YfrzamGDLbmH9gp3Xtc0SEELUj70lEtMfid/H9
XJFkQvXGpNWMCNCjdfhZeQQ3638mLRxPiopuwWzxQWkybAOYnJQI0+EoIONFpqgxIOdFRTUfxi0/
JV7eo6GENg3+NRzLfQlvWkrUbejI465eOx5jRSPIfJhNOEdCU3XhqJirEndCXOeuny7/QO/uJn+V
QKFHWLsZYPxJHR0hdyAFsE1FecPo8l3HPDeDmS1LazH8r2sPzXv2LOsZznI9NLIinKZScQWt8ORP
0y2ImMr7BrilJyJUKofxwQLzP5NdQhmsk4m+hCAcoLqtSlLG+LaDRZJpNwZzMshIJC785AQNPKWG
z5xxmcPpy0EbO0XQggJv+V0Nj1DgsDNmo1mt5+Pp6O6qV3SukonZOVk7RIinGbic5oLASioz0svY
UVpwjBOgX8hFDotlZ0o0r0W3h/3/jwpap5NcX1l7RGxVz09ZCj34wi6Olqalwv75rUQ+oMbs3RI1
IN1A4CfkJcg8SYG9F5uwI3c/cjeqSmwNta2u3+dBVvVQj+ZdQJrGrT8iX7H6eTgqiY36g7OlKkze
EttF6NVSea2U68FjvuOV7SRbaXnpA9hqV+oNH/tpDii7a9YdITKA0kC9Qbq2oHWyQD0baEEmmh4x
hHxEAbLgKdSGvl0hodyaxOr420Aw2qc01NQGeGcj9F3C+ptUv7eCINhZuO84DbhO/MtBx6gLiUkA
14GKQTxW/O2Wh+oKCaRiPIYmaeCWe7uelh9t9Ll6tCb5eWCP6TBJ02iRk8BM8ATbL+6GPandXnLH
g8Muj7PIlhQkHNhGGFbI9HeW56Tkke1laK52zXfgR96MgW46jHhcbsy40NcdCaMT4463xdsKTrVT
RakCA2m8TB7caHqK2raOqGjDLxKR/NLqAHZzN18MlpOxkR0uiNQ8dEvfW515zWdEszerETQM8hQU
GaQirAZrIk0j+5MO99ygfQMlQFOgyE733F8XIqQvZs9sk0Jz5KeM+aTwS29220UYv2mjS+ucb99Y
/qcF4mziajQEF0XpMesBmKX4/p1+H3MiEMVH+M7JSflOWy1cfl1U643NLIm9nGDoWXIitdwlqtJj
kpFhm+le4jO0ZihMdqbYodxCwHKnqHF4QnGIje0vPCnfrQSaow9lrWsb4gNFKfnG+vrAvt6hkKsp
Yft0FZI/QR6kL19oGhzn42zqhwvWIA8jEB1rvEpiFHj6iLoZA6OrcOLZ6Tp8KbM+fEQ0MOnFnbNa
5NMwTULcH1AQ7kYArGxphCyiy9foPiUFeo0lTsAOjVsLmKtLny7gcVwcTRKYUoaLM1IuoVedMzD0
eRPD2YRaDXi8v13FmEoFkYBWSC79DZ53NcmUd3PIkmD2id/YH/ye8Oape5OfRzKtFWFOkdgfp2lI
iIQZDAi7ApAM9tvZO5cXodmkJfaHYeP1AIScKNdLrpF/lJvAThLBExoo6jKApLjGrd0N/oHh+sH3
OKYpZRCG5Vfbk5OFhMYYTEkwQ5fhKdAkb2T/+t4vnLFVZoj/Yco4jbmRKt05VHn846xMsyHnf3ho
9L1MRl0bQMjqtFDgDqmtRL9AwFvaWvMJhyk6TsDEtTQczNz9YHGn4R3f4AaYdK3fF/09/+g16UL4
JiONJFoJNdA8JZbl6A+j9Y2k6AJ9oM1lZsxSnZB7L4JIMUUHL2JlG+bi11DjIsx7QlIU8vKusCT3
aY6dPh+mbTzOlRSWojUhy2dMPGYJvbjkqliTMRDDpFOLC2m087lY9HF5Mv7fIwn7/iwUTlXCzL2B
Pxa2w/O/uMVScsSTA1TMEjVVsboGfP3K7p4hL7qj6hIctoxerd9uevHD4j72qUhmGOiByzvFaKSW
guqAnVWvLx25ibIAlEKxg7trSQId5HNRmY9zWQ1GUMM6lsrlGPMcLCZCesg+PqqbRGzF4g8kEEFG
vDijDJQPhA5c3zd4PXVFoK6BiCtT8yL0YJjTlgI8csxX9ks0oiCNNL+XV+z3uTbTBKnRyRIdphBc
+h1HUIA/gI9D6svyX3U2vr7Th0hBT2QUOI7W1pSrfUNctdXLqxEpp3I+v3BmNjVRvCCGzpV7le5B
G8CnxLPfPw1mFvgFb0fXe4GyrY6gBQsft8N9UaeTLrZLk+LVuRx71+yo7D0UMnUFssz7dFo23Usv
NvKE3nRhIjHPyIV+DSkS31xhH0uUK5mgqPy5/3ckohDKc0aKPnJRR9Zn+Ka63OdW1PEJwSoEM6q1
GGJblFspu1Zp5g23mqcFBYyiC0FoErwCldGD4s3oQ8l2sSSxMK9w3WR02yt3dvoCEPW3Z7r4Awxm
uxdMEEFkRt9kNeCieFwXEun/BpEpuYnNICHfrPLH6twinkJEpUWVresu5iq7kvXlnGaztjyxgBvY
lvK/vWqH3N9SJir8d0DYqzKf2WbXpvJ99hl4tGwLk6hiHQS3TPOEmzQrOJFzlzR5CoG/Q9t+6Q+b
lD/s7zc/E7RBsTDFiNUi/QLa9YrdcZxENlA/S7kcpYBQO2QcGvXwFlyO76ZhpoQqs5i281xzpRV/
s9a1+cZdSetPl55CvqemolaUebDbqYVBoyBrzXMWxBg+jf43yngDQmiPMaM4v142DjxB74CA4idw
bdjjeaP2LqxQV+CnQfm+kUCRDR8bU8y5hgqApHRkH/CBK0vPDRdckSDnnV58IvoSY3Qs44jWZed9
PvbgSrvxloWKcfsvWPFC9PKtXcz4ilO+uG5KztlHcznNxnG5Sl17l2ws6gJCtJ0Fej09OoHmZlFD
NIET+TmtiOm+uDdtZnfkOdzdB1fS/u1BoN8NQraoL6KxFhsu/+uetQt+ZjKYHx/xTWqEcF6RwlZw
IuL1d1ZrU9EJ5CpLvqMwC3aKryt/h7VmU/dHDx04nfNMV9cuTkIv/mvYG4CdD6Wj8kCh0OaBkt3d
dLSkkz7gLxbP6B7Us58MpTbuO+4ogGJvfgzo+2sEdE8MO5JYqFzLyjQeQbSOCu3cQDQMdgrl+sgZ
EOT8v++2idogTbCYuOJxaKS/mLKNssKsxmVb48cC1DR3N+LpotYCq7ywqHYoJRcAlLklUgsVQJ8V
J4FUsoFWfeCcMydn0S68rGOVqP6PuAEy/EH3n0iawkzjssRxI1cRX3JjoUoiaHMDUHdZnKddTSDf
rYGMWEpxxFnPu+gOPQ32kQa3S9etIWrrzSlS5WCLJ8t1mhvJq8a46u/iPR3FvxJ7ZdyHih0PxYUX
jdMzzUlhuDYEcO68uqoVZsGnSvFsrNhOh21x3VxhF59xuR5aYLW+3+zBcGS+zh5/5ikFW/+SqyQs
OkhNt1Aw24rrs0ApxpYASnRc5ugb7xRFKo7pgmG3aJITZQAIAajcVcY/SFiZXTFi4nOPWtC7gsLV
cv9sUGkooTGOd+zrtOKtSE7pDwdUbvoxbnuHGqF/TMx62FovHZmwaLmSubYlzZpHX5dZHwpcHuFg
PiTksGveM7+M8ACfYCm/QHfjSowIL3TvtnqhQdqIYH0r1x4bGX5T0k6jITDP4JiPrRHnC0TG7cRn
EaWnQxWF8grk9U8f9AwF2Nk65v75/P4Gb8rwtNHO4OG8u54JrAalzGiIykK0PkzA5B1L1zDXG9QD
7XqW37MK3vcsI9b/og8cS56vP2BIgCkb1rWDLQLuQVxSBUKcBsXPH02fiie+GPwbn2jRpUlJ/fpp
fMureb7pixREp79+sVAAPHqvQ3RNTgQWN7fgDW94/wfynxsk1ppOEMWRp88vq6VBMBwIeeytUZzX
owOd5r4XEPfX5XeR+NFiF38bIaS5ZwhjHav8YT0+xo8yklJKjixfS4j7cTSxxQpU5JLAMj2xlQLE
XQx0VflgkzigB62jgM/dm0TtHyOzcdcs/HphUT72Q0Sne1k0Oo9NckO03p9BpjuE48PDlEHKBZ4y
MZ2VQrBzhfh0suyEksylMnBNIP+XMbYQVd5TVoK9U98geq5toEABw1n5eR+e5p1ospE23Rso/+AH
WfGF49WQAjwOZribwb0ZIkSACekvS6uXfytFQCXCapEFTm6SxWA+Hx2TgQyq6uMxP74YdEEJa/DY
vz6wWlKdcuNrihz9SONByz84oj78XOzRbXfCH8+ljmkuHkpRQWkDJ69298V3pJtX/bumbuOLIonW
NntbnurpiAEeqaMkCGO4g/b6iN7GkxnPfZNMj4+qCKHTdsdmPOjlVOLKKhL+TJzAoKFdbPft7gSE
ahh3dm+TuCTWpw8aaO8cCrgtk3F45ZaYifRkErDrI4CJbx7Hn7RVTObwfhvCnMT2F29QgGZhiNdX
GlW6WBjun7TogeYS6y9GD4EOY/rDlFwQ5r8P0XxvOU6h7OBW0zLYEQpd2VeW/agRWHzjhR9iJxVh
UffPEez0pWu6qlkDbl9ADhk3ic7+z2zI5K5LiVfPsssbZJ7ccRjf0bqnBWEk0rfHjojEWj/I268p
5LZNDoBYqt1lIKxC0N2dxPUWYBbMcxQwYPNzSDV4u+8FZ+yUdqEDPXwMzb0iYM4FMNDc2LtSbJwN
eZLJ3ElSLunmvy4XzPd0arAxRmR7ENcYJwuD9y/1vrFLI+UTuiAHi5Hu03SqaH/kBAhKtJmrw9fO
65NH4LB88omE0ZNZC2ikRazTCSa4hMEQxI99s2LyiwApgiGcY1RKNE51mRX/G1azpTUkZsXggARw
0oPwgJjxjLjkkx25cERG3465xxI5JBhpyIDDt0gTfhZVk3Pm6rei7TWNI1aA0Ys3McKDnN7vuQZR
BiZLYLKaV35ROq+vNORtUlstIWbbHUH5WB+QywZTXIVaHJ5dxpmbfqTePAM00l2cCR83SFQ8Tghn
Olcjf2VpRW5rlXkOzHhE1DsAz2G7s6f8Li59IURd1eQX5HHcn+GMSay9ovt5ZppzMflmdcW8qUeI
I1jGrRvWafEstif7+Mx1X3CxDMHz1oA45Ve2BFaw9Bp7eKyuGBbseTOdtRjpPhJexW5A6l/+FYpa
6wqTR6e66G6vl81DKhUk0Z0WmcHro7h3oQPdWayqItOJy2wcT1dsUhgeMFDqwkml90SJogh1HDI4
gNoWIb5zRnkjMSFsgDVz02VNnKtcn8klAI5Y/IVfcipSg1ORR8PZ6+nzhpM7x1P3/LQYdoBpabMf
lMW5hhZDlcEDsjTjFEH8xztUezKVdvbZXGz6vyR0VuXD6319JGEyZXh5iHkKNfvgXp1A1rnbrpHV
B0R+okWisNQQZoZ/LN9U/rvNhSHfIciRD6PsvewL0JyzbFg6Q6EzBlGRESEYXY8dj0tT5FVuQXCW
+R9KOnE1GDHDtTIeuIHI66fV913IgbLKq5N/h0yBtGeE4MwNq8aoOTGB8DARRu5NVgSeVe2OJnUv
912/B6U9HfA7JEk2vP6U4RCZHaigODSUg0L1tb9rkQlUZPaB8cD32llDDjKkgz+WsfvEAAP0qjYA
N2mUe3Xx+en8T37fWg6sZkohLP7EpzPgvWHfHX1hSl2SuXw5XVJF0L/FsORHT0CXNwo91KAwnRAs
NdTLTFTb5rwAwUHTcTaxKBfgXX8EewYNO4B7ePjAV5Fcrn1IFwTvFVCIXlQCOYXg3HEHpgz2FovQ
gNIZjn+03Valg52jam4keybjj7bAnDwiJ5FDsLCTlPb65AH2P1dm5G1gEAGwGo9YwMPACtOYHZRv
TGZRVD1qFi24kYm0YNQfnMSFHzjdNiu1ii0vVvUsI1rB4vLy5am/7+TGqmt9z0kByRB4x5OYpbuS
OZFNN3oqZ4ukOV56oMZGjNqTRSjr+GaJl2Mb6FUZqoNBoKPbxZkKinsCprUE5RBvXm+o7gmMe366
zAQ6+OVL+GiyLh5Rzro9VDH7B+WFSrBjzfljudBCU1Sm8y3+v9w0WBZdDxVwgv5yLcDPrKfkaDpY
aB46G/k7VNeE3FK2S8zTFAE+wtX9de1Oo/nSmJbF5kDeuLADc/rK7rC8pkZkcojPLNH4TDuZg/f/
fWaRZJu2ApHqTMqq6IA53aih9B61M3GkyOEBUYJg0ZjH79W/aYI2VSO1rb3SZTWy18YnPW9zesDb
FJRmCAVQKoi+ADi69mJ78t6iT8QeLSanF+ORRyJm7Rhx6RAtHI0DvZPj/UZokc95hIP9Q2fmGySa
VH/hSRnCZO9UBj3V0NpIMaZpdQO+rOsqvqGTGarPDi1hgpiO3gey9EkbTCUzwqLtxC/70lSlF4vr
QNEizioAHnup7sJfKUwrFy8x7/oIwrksPMrKhYfKPYqESBLdfsxp5YH8F+TiVMEGUNyD+sydtnu2
GdNMEeZwJe9IFDGNP48r0M9UFuovOdk3d5UPoR9ZRha1vVUH7gcgQC/+2URouukQSNaMSQUQ7LXW
sCwxU2NaOKkowoWW0MTl0aB1c3zmp7NgYnbogFsc1436GTYDqejrQHGnbTFMLbbPFzcmZWr7EVOs
wUEhPIcqOjiaxI3YVi15enuWZ5QESaLn/kedgq7e+FXtkE/meBzMLhdJe4PueDIcKhhfq6AEx8F+
0zrwffvCHIukbrtUy7tYJJZ3CXL6GxPYTH2DoVEPDXQvEroWA5BGji/FhcC4LO5JFPhnpvC7orvd
AXmQf+a55VSTIeig71SB9c6ryrx6WZLPGQR2nBI+U/GlTNkpPrbNgub2Zm8OWUgkTfd336e3gB/v
ex4AfmVUB1q+lO6kYoOYMSA9AsfgbYZN4+XsOSUoHiFWHJ0QvMaRRs9cyyQAFFPjhR6jSxicpy/n
HAR0QYawaIhKyliD7aH/gp1dxdaj11klXcpseCFSnJrz3ufyK5Fqowr4bLpkZaD8aLeXXC/SqzoV
yf0DhAriZtODDuuNpbw6tTwU0oaGMwdSRmzfw6D37ggH3n69JM8sXvhynzR5pH5amXu6XQsvxVkp
9FjlDM6ejINI3qYaosIdIW8sZmaBCLLwsm6SUDcOX6JlIgLJ92Njrq58yEZW3CvQyLWi8PNHE7x3
15cDSc7BXAXAc57J8YOg5t65oQMhvI3KEE2B2j+xBp8WSepkH+ZcjET03dInDIYx1QmzaX0nfAWH
V71j6dUPyg/f+AE8MH7Zo4lvL107252pZnfZpatXH5Yb3Ez7AEeqQxLvOjZvWXYeJ5HsL0fdq8Yx
PosupBsHKVTYslCy1h9YZlQUEDqZATThk1Sz2S2lVRZUaTZakh5q9oEzGiscY184LHE2xBwKbBSJ
LcQmaC5OYWxNLc7k30Myxz++hLqik7dgw5JQYELfNdBPx4L3FB7fzHE2gTLPXdjIQeS9bEem8SmQ
o0ok33183MFbmlibqbXyyzJgGzC8j/epuPtm4baYY7iuHvEdVbLgrihfWi5RWQOSfQapWo8nmdYl
N5Cjb4+Dge1jy9XIxLLoPLUz2FbV3kP8+CzcIzs/lFFZ9UfUf4r/iycuCi4pXtbxZEBFSuj68P01
B4Cf/8pF73N6tBUhxzqfdE6GsAfA2pJxYHWXKro1Vx9sZcw22m+Nii3U73ggo6X4LZsCI1JYhzlt
S6DcHwNj9qSW+7Trz4YJasdPewMhH8h8l7ASBdKnSy3LOMf2hRip5/8EdnSH3lWec+CGl5JKn+wY
3GQ4sq8shC7Dn+XsrT6QC4WrYYjHXZARbgSBgLXlMMudoVPJY2vSqoUFzCbU8Wd/A99j8yUCW0z2
SwX5IifLjYHxTitfJ3mppNjO957sv95Oe+Wx/ozz8tEk/8LQM1RkLdxwrzFFStfmhnOfkuoLphSc
Wid2mjCxd1yci683hwsEkXfoIE8KJo6Y5D9sURDFrT1wx310Ncp0Lvd5YB66qne/yciFKM2nmW6g
/H6H2SusTc2GUJ646K2oQ0RvxDujLPsJ/UoR07uMuTbIvGI2OhYtiPo8yMCG6E0i/8UaCIZwYW88
uOGXj3rh2L1TT0j+T4SBxURO9rnMsZzDvSWVUa8FUEYUzC2cUB0MEXI82fkARqtHfBe0ubMeX2BR
cDuEmgSIWmrzWj5QUfi/Je8ajfHTYAJ2t1OFuzDKfn2rbSShByc7y82NMlqeN2jgneGyneTRYgpz
dw4+pYTWncimaBD/r6GsVCG3YlcNWsjAPTIb5STP51l66iBW170Th7YFB8KuV/gCq24CbKgshjWx
vPqZnxaOev47zenF3vlS7aunVB0NInIH63D3iN+MDiB/W7U5NL9ZlchU8qd6wRRMRJCq6r/1JIr0
TmTLxLVd2nqDVPsS5GSMQV5JRBJjjSPczD5tRD7lVO0EZGHs+Jbo+o3wgFuCvCc+AF5gB1v/Z/g2
cgDUmvDD4EAtdEbESdyRnE8+VaJp2R/BX9mqE7gh6+FxiD23+1PdS01bHaL184spzgham/Cp/FaW
AQ+T0rPCclLDW+ljoTzpcnvUWQ+PDE2Wq2mg+nrz3R0uKz7tOTPgCfvP+B4Pe+jNpKOyB0VOMfAX
TzRbwH8ls35kjmIWK0LWpBHmEcjk4LSkVtzU2enW4TWg/e2RcdrfTJr2zsCsetK/xiQ7LJyZxrZy
JnGSbP5klYI2TZiXsdjFPzZiJ4Nmqw3L3EWH7fpJw9A69faLtOGOepH77Krp6b7GQA2mQzxEly2u
a+FuFZg3BROsE9gE1hwelNRx1MwaCBLO/AGZHJu8NKc0zekjfTAQ2cBcoliaszTBa6ZsEdPjHVYO
IvE+EchB3aqUIVzg5I/kHXenav/I/Sz+UXBeosfbR5IAQROcVQfxNpaTvGSrXzaExz3g3epPt3L7
TFc8Uzi19rAV20WNPDeb6YCop/+7ptzT0Jr14PHG3He7kBMoAN6nO1/BYY/OTD4lwq09q7iOjC7u
N/zlPPEO63bKJRYnC9Mx8BTOd+BM840qvRzBGzyf/bZx35U9k4F59y552zNLvrhJWGaWFhT/gqq6
XiRHAh+XGpVa7o4/N/rsKfifW8hJ/xV8mfiJENbqy0qgWei5ymtMvQMftDHTb9NPjhkebv+JReZs
w0Hbj9YvsUJHHlsuJEe6Pzt0f12SEXgNkB79t7i1SKdoIosyP+HKYJK6WabykX6/1ISi1JccWfYp
VLSNYAJvViXepgsXLFRJjoBuwvBI2wZZhY33IXIjtfHIywU/x6qVP+HWOsy451xaRINDJoVmcxSn
VyBxXz+qkszsnwLAq0ToUTZ5/q5iDM9uahuu2AO4CU0rapCMdujCdQHr5rkX8JaHTyzW8+da0Dei
/73WOrroySI8QshnkzrWnVCjJCWs6N9hX7idLpbkpLYgRRBsBSaRVWx5uTxu16nIxddeOBgY2oW4
iGMaPsH5uSOW3rSbmO9jdFWVkHtZSr5fa+WiObXtXsKuoTv2g1d0/hHyYo+0LQhfj2taDTvvmrFh
H8bAYRFSlOl71fLut8wHKty62licAHBMqe3iLZkaWcC7QH3xgoFMklyQZsZaHQUFIzBrvsXroJNd
ZQUNh3fFuPStul77IVD1Eg6NHWgHM8DTVK0qXCWexcafJincGDFYK1RfzpB4QR8vFIH49xKxSukz
PHPuCxtS/6bHnQ19rxz91Q9NVpDzoiAuSolQS3golCfCgqtas/PeH4qIAkKknyZ+xmh4kmEFnzBN
A8vga/6tdW5V2tfvom3HyP4vKXEygIVgXSUmfSyKEUZ7oqhR8uvFYkWfU0sWHI88MdPqROsvPQGG
2T3w2yvthc6fSs+uqnD8DbuAe00aFRJ0zkX1sYQGoj2Wq0qHol+d6Hn2EsW2C/wXE3eh6c0736tQ
UBetdrdxCKpnMto9jieS+/Y19IsSb41M9ouKH0nfLA+yhIpQS/B8yquxdtifXVCDO/NimrhpVNKz
NQQ9YkhJ1KjNGIm9zTLHsXttps07pcag+rjHOeVFvAEh9+fURQMR3yC8gsV1XKirOQd4W4IFZVLp
Gf7QXXpaj0qukJmoaiJnFIEr6fbWpYS8c0uh1/B1/xxlxNDI1dr8+pDc+N2LNl5PuZSVWGjk4ihf
K/oRXiXwzBoS+SU8+JRlQehx1k8ODM2/8mISm2cFokj0zLjBs7anEAqFSoxwSItjPNQcMZ9ZJWjq
zvSs71hFjqyRZMucq2Dsgz5TpdQA4+QyQexZririHv7YB5k2a+dxKqbli1g4cRvOZd3XLJ2jqDL7
rdH/RpLj10tZytTjmuTqGk6OERz29mUD2ejcYPMspXEOD1qkLkOw2o4WO4MeQ2Tg02wCvzcSGFtA
BWxP6GpfucZCrmm7BWfy74TodYvstERGPGJ6SRHDmOHk/QV7PVxPSIO1IJnNmsdMQDICVyyY8Agz
iiIPbWMC8AXi0HQL1/SNf5O4RJ3NTWTIHMGRz+jQqH7rzp2tZaCRwOCTT3Ue7j3erC0gpWmQuVOD
IDojAtnmvskrVJiZc0cc9Htb255Ekea9coMaLV0p385TpRVzE0ZjuBkgWwM+NIynu7vyhKkKZ6qm
eRcQ2Ai+iyeZqF2nDmwA6Ut4Pc5PvhZxjv8NLQ4Qz87fVQ6ZJO8YoflybFta2lt676kjHfBDSzHc
4l1OwOVtv5HZRuAeijHNLQjO0GE/bmm+0Z3NGFpVAX18LSK/1BERBZ3tEgJa9+8EMydWWClYpJM6
JJPgUSsW3VIxcsv1QbaN7QpZ3KeIVgesrxmFBueDASqIshqVUAcZlDPliMBciBh929f7xFIDP03A
NIPeFGqn//oN2p6fi/3o3xlfBQZCRyRPUey+7uCbjXciWA97Cand8x27CM3737b/MM8nzJPyAb8N
Vx8Bwk0r1+UAK55yEiVnx32eIlKwmy9e7R/RbaLddrUWQPPbk6J41fHfWHX8gYGonHl0FVpR9mmh
n9Vp99qgiMZvoKIO7iwbirZjTtmgEi1YZYYL8tKM+KlwwV03y99iZXkqHSM37nu/OVWpy0cgJj8J
itayn2w1VcdeO7wKUPa4Ne39M4wOGW3l7vzyjZqVrigUOFmQsVucbE9DN0LcRZo4dBja6+7J73nY
TVSi3wkjBcq4tFAcFIlDrUhZWLnI0XDEZAQL+n54+k7m6FkFDJler/7sp5ocymNRLLBIOqkda015
UyjQxhUOziEH3p8mI6LI7S1pcNGOJCGqP/FAUCrU5yhms/ZRF8QoiUcQIv3XM5dze+1YZ00xJdvb
YJcgbCNuonqCWzBXFaZH9wmiTfjZ/ZIG1j6RWDSs6LIAE7sqjzfIxBxse2IgB7kJl0Kvfa3sJh9R
oMl/w5mJa8LnvX1+pXJ2GMoD2lMm2kmjgzxSB6IPr1xcpcWxCWDfc7mqv2yKmECsLe1IP4q1p8Gw
dpk1W5WLzeKHkg874p8oojyH5Y+Gr3lzHcvvKpcY8zMZseKxNZZ9Z9niZAuOBNN21cQ1mU5S9L9K
bbHytYrIJGdke6BBrZylIhelA840kiujIyY5DuUsZRvr3qooY4QYyo2YB6ow+Owy5uA5aOb6cFBk
bGSxaZsyDF0SigJD5AXIgPi43S2OvusBM67S00oCThjKouvOYUC4vkQlc69kWmXi+oxACL1hUVjS
4t2lPM01icqdVrC9sxNf0MgHGvNMztcs4o8XGEBuirMGpaOt3XH9bqems9CxU2HNSWiryUWJi8Ow
A+wmWgRbvP9M+IsbRMz/X173F4FvUUGUo9U4/rCcEs/6ovG8/MDPEzlv07V+vXNSdWyvad75LZqD
hY3rnSih/GzYf4Pecg1Ho6TOrqpR8yRbHE1qXipTbvY8mxaFFlL0Z4GwjbTFC04aOtKqmcnNNov7
K1a6g52/+SpHAiMm422EL5gbTj02DDgk/jNDmVxh0UBK6XCXExVQjsBFZOeVbI318QCFxNqMdTKt
WdJBr5Td+jFAYttUpSWEJC2Jf9OexMJd9mzElXtqp51ecEt2WQwXKjLxqKLamthjqIty8fhgoqUv
GAl8VwW75R5n00rpJeZcPeRtNt6Ioyp3MtoFxDchQ+ZcnxVhfiwEnB8bMCusmvXukUh1+xox1k+0
UkLWKUyZJabWgqtBlbkZe0WdJ3NBmv5j3yrw0yAAYvmXfNZNgnCw3k4QkcaxbbQ1FZWMQpZDBuWG
8yw9k7OBDC9IKDgR0lBeIbpfWC6/wxIjQpggDaupaieqCDPDNKCo/1GtxQC84xiYMBODH4cavm+n
NVnyBR5SmMZUbK9eLgUKUTsFMUYoaeYfPFOisGCZlMyxpAyX43ZCH6TfiyPoC7DC5+++tfzGcYVW
ZlkO91L+fv13b7l6Lrl70K1CNT081eye2VZbm5A4J9xDDZWDuFfZ2AUQHkU9U2xZJd/KLoeGY+Lv
mbjrZsfnZh1tUCbI/UDStJGy3aJcv/1zscuEFZ9M8a3aYhDob7zDdCfiEMZa4CQlxfo/+OpaHgrj
YKNk4rh/9LK3i0knhJoY1pg/GdXoqP83DUP/TUBNG2wZUShRMgshrUQhWQAImv+m4jdJY4WplAV2
fub4k7DTFMgvGIW5/ETfLxZss7Zr4HKqZ9oOxeGCq22Q0xsJfQKtnuKOQO6p6+XZC8L/OS5ouH4N
F07gEbxaZ1jPqCQcJGNhGeg6EGz9RBoGi27hW1oakch3lddlWH+FNsBTM+sjg5/jF3pccF32ipcT
IskVfD4eBB9wmXkbqtTFQD5vNGhVquNIAGaodvDbuQPpXcgfMd+zlyUgiyxAFv3S6sR+scK5oRMv
S+xNgAdxtfDdAODRyh53adY+/e8jo2NgfLQBgY4U+gM5/ZW8Vx2g/5jmqbY1tE6KwZQAit5aeELU
EkmSHJYk0GF0eEF3b42YAHG18XsLw9FnVdVUmsvG1JHYUiYkNYXmeFNa9pqmbyGjLxoWZoXPHpCN
qMpQaTK3ZzCBfK2r8VPsI70HmAY8kVaVdIpqqHHCS06NEFqYYYJI7f5rb+tv8QgkCZU00EI2zIIk
8Ctt3D8kM3prHJDj3zsvQCEvhYh9qYoSyg8sveK2ai8GDBxx6g6iaQSmBRw1z8wL9VNnyBjrxsGC
0MLxZGvLyM7KeYFhlUrZg2obikf0l0PotBG7QXQQ5i+0q5MeYYfnFfouwgM1b8eDXxZUD5L3OXyJ
aPaGoukKWjw/VVoHK5gZK8OkduGG16sWt261jXwafYdLdNotcIlQ0mQMbY+1dy7QnyWk/OwkYQD+
6YeCMMBhu4oFeY3wg5vUFmF3cO4dBiCY20B6CgJNVY2gEoJXFgfUw2mFtNeAU5775Kj5wNBNOJzo
B9qNxdcqhar2YJiW1Ubpb4QSVIkd/n3kr+4PkruneJMTYs+AQBwXab/EVsJLWypYlkK9BE7kBDQ2
pXJujf2O9YgOzJYde2xlM2d6hY9agBKIhg1wTpSjVN+rC8OKUTFulPSpfQrqtd3l21wX1vDbO0pk
NTS2BHs/y55w/DRz5HcNt7oe4ymRt8lc9ArDtA8V2KzkYlt+V/3flNC8UGiuRdiXB259Z9cEbT/P
G+CEPqbyZuQBoTyEKAIFibHsAYrmhLgsgU6mPCmPmy1YY8HghabCYdQCckprIs04wVYk9EFAV8Vq
ntQrEykP5BM3YUFofOdST5IgIwpWXpPO0BDBrozjMnXLu9zopHVLweKK3puWQp0N4mGxkO/apdtO
0dR7AhRdhKbESDLAeKv6xLMaUc7U+/9/n/RemoeYfZO8GsTlmhyanl/QJZ5m1WWCOLwng4cpNoy8
I3akPrQfuUlS5xtFMl8GvnkNKm3T2pz9xXUkIxsWtnvmhSMQ3r2zF/1I48rvFpSAHrpTZYKt/sFa
UTw5p+g9vd0tBy1rgM1681ubreYPikB1/+WFp+WaVKebkjN6vcka5V5/Mdb021IAEuaoj5LtFl3I
+tcWpBST5VLT+sCEc2xdg20nlHqIZ9Zs1KS67GYBzg07HoFYdco6sJFBlL0JSO4jWnPfXKkxC+x1
Bx5jG52saBwJ/fHRiG5VxaRdoyuubNUMqbxjgCyIntO4jj5LomNCafZqc0G7nO8Cc3iLNTf6l4L4
TnbMA0EgBypEHGf8RvpZ2Uwe91eH5H3dmwQM+UuU90u4PmQRtHJTLa5Box7W7mFLDPnQle888deH
7pig+UnGEUZl5zzAJVqSh8aN8WgKHdcOgtP53TBXnhpRO9h+UcrZAL7EjO2IznEwfVo+4KEQfd22
WBcfPPILEGKkz6KK8OlxMbB8U0Ei9JYjF59rlQdTHy7aq6Vp8pXsYratgkSgT79dRPKfovrYH3KB
2gIm89gn6OioaYIyvtFdblMYac1CBWXBVq2YOB+pw/fOWftrnXyB/8kN3EbbJ12oCeKTb65OR8I1
GbxzktDIFc2yMUfH5SQUTqXpmZ62Lbfs6/Nr20WpZWX90GxTAaHgWvUImU1XIsIDdz8AqfdR5Zla
tZBA9/MUyyUMwVeoeo7hBFmqEAuv1IvvzRJ1lzbDHWpTGQjTp2aOZDJwAy6R0ORqk3xi0oNLu3Gj
RwJpgTyUYBSo7JzQbTtllAF/XeNOHLHYRGXKx6ihHp5812tt69Qkmf0JSCKvDodsdSSVVD00deqM
6uviqf80u5i2zcyatdxWuETz5BcHbnmCWBEts7jSiSQ0kRAEl8sPUt3UMfAN96DpP6SVMgNlCLz3
4/+EsgMijIjHnVt4cZRLCsvt/LHKkqv1AG7PeSUUgfDc+Znbse+vtF7pYov1U8/ZmPmjje1OgAV7
+em9Vd3rts3ej0lha7dX9ebe0QuU7qB/7B9JTMHYkAGyzWFUZeAMc/2ZKyaCD6TUO/HPFROvmB4G
u5ZeUaDERjAYZCX3UUMvxuKtrTtx08f9UV94N2ynpgCr0oT6j/ylv9bXQZJhAO80oz0nVKcOp6ap
hcVo3CbUk4cJyeM0nPbK23lKk7H1etE9IGFRDCEgSGLTlYkS361Gfo9inF66MhV0Uy2KsbMOV/fL
pqJKTyjHz8IUXXUgtOaRVVjqA1YXl6Hu+xOnTYWbJKvwM1VurtPz0ZKTxzek4yqrhrqq2sY5tOEI
BWoS3AjQu5OJnoUovSDlB6eWl/BeEAwHI5dp57mk6TvbhqxdHGue/6opadv6MbFwbyYtKTDhawwO
nmviWzmFGdnAxxQT2OKbN1TsoVmK0o3GKB4Nh9CqUBrhsK3L8jGyQOgkNRLSwjHPmiwD8AREFWLU
zmYuA8wQhP/Sr4+Tb6KyjDjLthnPCrxQQJqEdAoDFwbFibY4ZpCgVwJj0witTx4Gbn3oeXH/rqCX
ERMglYH7OtxxiM9zaFFLxgPYHW16zl3SnQVGdsxzzCDunCaa9tRQ0zW2E1QgN+z4SjpkQI5FHYEc
XdHsWjGBpozwud2FbzBJpk7FoPnmqTNr9rmeFZy6F/YP97leYXJ1eTgdi2AHykCVozARt54/o68V
MFTiTvmrPubn8GxJRZEPTuGH8uQBuNQNaQg4tpdwhRTS1hAYD7QROKUL7WpE1PigDGft0ogoQhfY
kCuGU0rBZr0DOd99eHZlpf70xaywI8luRG3jWITjlzQ7mH2leioFrq4Hz70X6vN5GKu66rdUu/8z
ibXIx7hDaPtLnxuy7OvyTGbIk9ptc3X7zSF/L7KXBTQrFRFUoBvtGzfU5dLJ02wHtepaTztez1eL
X6c/pR1HodTxVFWK3h+Nl+4I0a48XRVperkMKeY0MODPdKbxE9s0UIwcjZGjytxijNhPbg7Tvdjm
OWKhkc2GOOsoqODJhDwXgM/q2fFa+MRsYCE7MtrGa0npZDOULMNPZbJIYfXc445Ui8YzVOp9zJ/2
hyVx2pthJNFcp5eDcvZVTUF8nTwdPbhtPJ29vjbe7uvsgbXn79hvbgbgy0ewk9meig6v4C0Q8o5r
lhJEhpErVfJ96KNbuW9IICbLnmaYLie4yBcM817gajRmKCasVrADH+8vWZGTiSU9CMUmHwBJrS/f
XYL+WDoLuDA1dlKrl7Bl3GnQGQEGgJpcw6oZ+JSQIjirTu7XSSxU+5fMaio5YFXXyQSH7luAoVQQ
HybnKaRPl+9T8JIlPphN+sJ5OhAdfaH0Ld3MmrHcnGEswEwW8D7PvbC4B6WyzVkNDRVKCKt5Ur/z
VR+jIbvFfrR7E0fbgXqsGHQ7YO+OeVz+rz5M+22Ud5bkKoTwEoa5mxMTysWWbS+KKD3gWmcli0a4
G/Xr89ciTzjZjpna5GpwW7Qok5/YMgl/a8vQLZw4J0N0D+PXbF/09dgp/3D3PBw4m2FLQSPcRGPe
XHipdRJb63r3LIFYohUaWQ4VkdortZgww40Q0H923cj4pD8XXfsIczTsSBwvHhi8LkVH3zC3voll
yz+f/KfInx3eyQmegv7V6MLkZKqIc4E3NMd+GJmpUrNkduSr1fz+zHnxtvZc7wuMcZR9uK+71Xuu
J95EoY1F2+Ck86hlPkfQ2QusPiQQfc0ILND9saMqW777nx/AM/CnFTe6G1d+9S8bjtyg3+OjCFWr
Sxa5Dct2vlirUrYo/lUNYN9BoDOuvbMfzwxq+8jT6EBh8p3RlGBYPXbqvLLA13kNUojFob7atfFQ
YqxRABV1HBRfp+oldkl1OMf3Sutqnqtyoyf6q5jesjHHZtycNANSrJXTg7toEm1/sAFWpgPtmD/s
2R8vV4k2bTKmo4dylF2knliMbV7djvZ2kpPXFKT3zassxVajesMN/4XLGrY+f+9BV+a0THO78KHo
xncDuNQPZotrSEQVkSuwhGZYWhn+4Gh4cF6N9iUkK9yFA3qS7vEk1hZR+p+6yTrcS2CXsIIk7u9x
hDQRMCZ2HZ3BDvAY+rKBsMB8QTbSEvQvepFxc2mK/nl400helEZpKZrwEp0hllC9ZtviK2BPN78A
iJI3DawEQVJ3C/FbRcJhkIbZxdf0giu8YyC0khqEvNKQqo4474cFLgF64J8HCU72CQCutunkVx9a
eGil5g31LKH37icnhb7AGqP/bBB5dMTFX0RSzUSmva5CPhFWlFaCEDTTzjKg2NDKVlzgdvdlAyHK
3Z4jJfhPocKRaknPuQM7lsPJVqG2vAHBaOu2+XoP1cNtSVFNSxeQ3+qqOvMFyJVhn7vUwVSK2v3o
8p/c06AlFMutQK6VoaZonSWAMB0TXuFahjzWLMUBmaVGKCsn0vmXOLPMPgg1NsrPT/dmxU2Bwtz4
te/GTzdiJQvQFMsLUitTYr8kl+olthMb+EIB+D5dDvoZNNue3aVC2IuWo6AGNHroqhw0H4+PSN67
fWnS2hNPSPcxe9gUZW+WNn77NpHThsFCDS87TS4cK/+a881irOkrsoxus2vT6oxZIPUNlRhBeMkJ
VdjI7PDlzIup3gVSQ/fMqrdcW3WCXfi7VD/xbeqhZ8LhDdO7ek36GEoF1WBQyaN8BUFyt3pUAzx9
Eawiwahj4CHBD+qeL+8Q+WI8qOzHF/pjtS7FGLQZK81rKFsmCDj+oF9o/GNSm1VJn5gTX8CxURXq
LvcKhOYX86vzEehZrAlMKHXjR4ZjstTOGrcnZSPFs/W/J8+/gSELTHSQIiT2qOADLFl7EpWeJLAq
I3syFlSdWFrNzpCHPvHQx7cq/1wcckToDIQX6pGgdybeQJfF9fUWHNhWYTgVLuaBNhgBh6rmObDN
rVx8LiVdcieybUkpWfIV5ftpe4DZKMUWxmu0QR63lOxO7ChORgWfDU5iscAooHzIm9pVRtcrlz4E
C0N1kdYR87WW6B1QDKYu/tKbZl0Dd87RE6TvPQlLDVP74u8MXqtyPMZlz+h7RDUj7ryYS5JgQudZ
/DrLC0IGpQzl6Ip4IIDF20X/RBZ1ocptioQpX6nd6zTXILEzsRx3FqFJDM58tr7+6Td++YD3lR+R
t6ruNw9ScCl1ntMdp2LKJp3gv9fGOyC6smoKYohX1ASUa2cw4cyozJ65SWROsr5HRNYT5IEJDIZh
H15z8mBRh/vFkYcVEiKk0rtgtfNzA+IBF8oYFGA0da9KvFtImcOEZnx3rHc8/lwF5Akj073mz5zU
TAq7JtruJ5hYrRDGWAbAIUxb1kfptp33FXWGdU+PdQBF03BBhxNtw2aOr3NoRdHFSBEvBHRqmmWF
yLVNfUrO7LHxRSbwo7tXKrit0YxZBb78qGzttaoJAcQ0T2FcUB9WZeF/gdPg9AecDJHMHXiClmHF
+6UTtI8dLfXvRDvf/C42GOLib3UYywXbGbzPg56ERjFvdQU5G8gpWHFJ1pgvKvI0I+0ony+XUPqg
RqJUMDGzc8an6VulGmubL6m7nJzGho+d44elbp0qR52Y/RkkfiLkk6Yse2mfGHD4RuLV7zvyqO4G
SmPNvjqTb6/mrEq7HuRnqWvOccwQQ2iQZYqU+/9mHU0uyVW/yKEpa0retb1cdn6WwvdbtxWGHPkG
zdS/dsuKimN/PRIaTL45cyCOmoOhs/8GKvWlxScQLcB8Q57lnNC9FaJBe0vy1wOqM6/N5TQ9MnQc
b+gZAQMG8ExrQ3bJQBQhTDo6Vxh4fj3URx45bH5Fw+fMfHy6pPl9dc8tPqbbwrTnWH3iZZj2FX4E
dlIA0ONRtF+811MTW7BD1HUlql9J5nGc5ZfLM8Ed233O/0v6nJ++YSoDZwrzWJZxXs4LRrgxX6es
HmdZsdNRGkXjVbIgqCyme+v1bgU8lmJPojMDqtXkQjBraxbc3Cs0tnui5MVBvXnGeVizO5Hx2Y5w
vhR/44pjQYAACrWwR/0Yvnug+jFr5hoiAFdHjdn1AFk9NLjM4E9w7M2V399Nxe8jMesISXhQZL7+
+ginlXdfO5zBodEPwLqEQnMfONiCPcMGElcxx8dLugDWlrs2TWoFASBdTLCRz0zi6I31I7XQ2IZs
tzk2lxjnoY9IP1Wo4VCAS4j6ouAZ87Ivmmjn1OZT9EX3HyEPrZfRhq5Sr2FMJbj+G/ZPFOzPnkA/
ZCAY8olC/mm2kTj0Hi5VV8HOA6xQu9rkiOxAFMK+hO1ab8U7GticS8+Cm0Xf/O1fCCCauK+AvHFM
R74b5YOIkQ4D9oyOTEdnJBvd8p3zbst6Io9PsYI9VvkJNE+c3rwqfIilVcHFQzdWuCVM3hz5Wb9D
bHS7hsln6rFH+w7c0gYDS14yQLCWN+eoqgqw2G2rU7rZKCsO0hzXvyFl5o+tDuJpIVsgmmF7jgNM
AA0yEuQsNZTFjziyZTS4vA8ojkvinVmrtgO2GJspA8Jq6WvHyevtiJ2fpWuCIcmbThIy+qMA2bZG
kjMjL04eLrMpuQFJgtau+BRe0dkvHAqOHWP8lv3lRFvQCRQw26FDQhSGjxIPClCjbMbjA+S87Ao/
T8je2d31jo/pud+JoHWzu2NtJob7ufEHZjO6wgwIF7xozTi/llzjgA9e2JoHSw1IEFB+BnTYxwmW
IhexW/5hS2CugyBir28ZfGcw7z0Kphb1xflbxkU2GntEyxOKn3Lq9e2wTd1Yyf3QeVLxmIEAJnFO
KYbJssQOxc1WLU1f3Yd90XILRzxi2+mOgs5sMJkh/y2jk048MyyvxXxc00Wa5/4Vhshh3axJPDTU
6n92fpu+XzRJ6KxLnKWJ34pFW3dG1iobrsJxXu+bCDDi0RCAwxgahBqOzMsiMffF2d+x1TQ1Wzc1
AFKcW+HD+Uw0IFaMk7BCtjlD+XZB/x84WmF793qbBzAx9jlabzITsHOvVuMVmZYm55VR87U8mHvy
RzqZlZY/u1wZulfxfDbYkNr+aEB30V3VkbirC8rWaboRCtlB2NeKVXD7SRaGym+uQlAXSmPYm2R4
sw2jFrhAVj6Ma4ZVpWr0zm5j0v9/N8NOhYvcCepg+JbswHosi8jrOunUinyo1BrNcMfPsjVw2tGw
M4RETIR/hKKRrXOPsPTtLfyJ+TG7VhMGlEEtMkrxd420O4GVuJWfw5wAt0zvJPqV0xHhoDGLiOJH
PQHJnclv+tCH0xj01Nwkm7S9iNNdXYpQ7xgNIeD1//E5zTZ8nVKKTWBccN4wHimNjWkD9ErmCNTb
yPyi3iOhKihfQQDyg7LEtY1UbOjZRfxvWmKZ88h3ztAdinbjfxwk59mDEwiathjjKMCO/fB2ej2B
xCf00stHflXJeooPfZfaomljZYQCEYrqsgSRwErIm3YSbZHKU9cO3HMnhnsf7HooVAFuQHLOFcvw
9EaM7WAHKjEN2LiMHTyvgA5R+AJytextoZOrmMCii3e1cacO2JTEj8xRdAD5VwSxHRZjEa117woK
4BnzuQjzdYJ35yPOap3qu221RDXxnNoQSSHDezYVE8sxQzo8d1hUapqNG+dglNSl3ObxN+GazxSq
qnz6s17gNwycxTpjJGFsPSdPuiSkEVWE4etmwldAsoqszAHlBdArvhE8TmdD5vmGljlIucaR8ZQQ
fiSG99FWqD2TY6Ivr9QkviZxn/pmKO2a/Xo1X3rpQut7K4/Jq0SLv0j6wbcBoXfSx2vxNbwXQD+w
Pg5KqU1gv/jKCP3tvK1e5Ee+EFu+nym403uCqCoaQ2PYOt8FegegGCyDDyKLAakYcEqQ3DlBnByo
dvPi/uL30zIEmv1UkWTXMfdP0GfeBJ+gF8YGTkLrFjr7aLZASCyu2raLo5voohq1YSeCITxaVjeS
gISa0RyzOsAImKqwixxRvxkeoZdHK6Bt5iXJRCrNfrDNKcVbLUZeHiU+NRmOx5JVZFYvdYEry8EG
IIhmq+mdiXrt0ZsCOYYi+zGHETL6zXMzP8m4KmtpEnGtgw67BajF9ALflXVW2J/VQYQob0a/KdzK
BhKGZP0lr9FfZgq6Sp8uEwh7XyTX0MF4GW5qMDsx+0ERSVH+NS12kphkCtXQ+Sa51KANPd4YS3oS
pidd/xaCYBXdxTeMy66LPCSBfP9RjWYnuBdCXncFcCi+otehFo0J1SnqlQlGRB2SE59sKIlHhtF3
EPIgvAvJn4xw6JV0ya5266wRt+STvaFGJAqV4axSxEuex/XzNw67sSxROO/5IGUvKq/4caVyTOif
oaWRWqzA2ROn7lpUhkSrl8QkfrF4iI0Roa+oRoYho7z5ZbDnqXU5tyAxgu0Nt708bmgkRW9LIsBh
4sYyAig2LZBNDS6i9RVXk1N68lFYU9WOkRd6UaF1dmr4+FEzgC/wlkUPQebsPQoxQ52BVLB8bLi8
VCiC0Wv5l8xcxcBCubCMGEG/eYQ+gKOXZSBgbjG1OwjNhEBgdSFqyaKZtVrmak+R2CKQRE3AU3FZ
H1zsoT6E/MujIjnM1Oo4v78T6ZHErmaUz0jf7GCMPje7KtE9YD6BNrJDNgpNBvutZ5N4zpHcNecD
70sOScHQPlaGyL3c5xFlRl7gsKmw7puIk1R1/1mlBv75AMZNccFEYahlAfLKRDpJyq+HySQKoyBg
1bJZZxdinZmplmFVMNQY3JJva17JKO6KU+bUNW9o01J0eR4j/ex2qvtDxBU+2aCmw6gcQvlpSVhf
Ogyj0Ta3ZAo8tbB550bL1ERpideMe3Oj+YM0K0b/pU+DA726SJCQhrDq1cU4E/Oysh/y39/1G3RF
JGOJP9OIz3bdImjfhwsSJl4FDqsZvAqOoaiZlSpujQOLYJasR7UCezKLMcFWAlmug0JxQT/AnNZ9
hTe7x0JfWGVWbI1Kfz/jRqDLlWDEbR0ADB2OjRnXy5cqpBBVe8CID61wE1iZ7VFuCb2CpHavplAE
xqBq0G5DYKil53+Rvo/fi+Gm78eJHu93vMvbA/yW4KujD/yYdi4PyplenHOh+TzcGCUQrsY9v2fw
suk6fVSGOwVbpS+xZQ6ohjoGCQpnbKGZu6gc7imSGh6lB9SWkhw6htR00m/E4zEmUeHVCbgLMlKg
twdZZHAgEskd97aCRAN0DAJue74Klm0kUkaH6j7d97sUlkI5ZQiEy8kF+QpzFwlKMQ3+gk4tqwys
Mcq8P4W4zKr33Gysqe8KfX38bv1bshbZF38LOZUfRvB1NEz8SVU9g0omMeifMLPcXhDKgU6J8Fcg
Kcqxl5vvdnvu5rPjvQNOvtOKTBqCGeh6I30HaA+/CWGLpiQSgUd9njlkggsISqpBzHNerLk6ooOV
ySt1AHVhb90c/xrSwJ81+lQhTFf9vH0Vf8BKMKZP2HcLZljkJIRVolZJexHQyL6EwmhubUeMtbnC
A6/k9CmKAdmsjNw+XDlLAHfTMHurWOPUA/44AJ3WjCFagjTV2c94ico38QiOGs19oYrbykANbFYm
weoEvDP4fgkSa5NgxYWSYCl2D9VQati7Hxexq/ZVNQMXBL7Jt9xm+sKP0qu5cQxhS2cKuKhQFklQ
NSNCVF83lmn7+SXUHgkPDXOqjICd1zYp4rvUDorWiP+N87os4WOnQVxXv3J3KLQ757wKai3p+JHz
oAihmOnhBQZSCJufz3zfcb8cv4uPO9KyBlcbGRciImG4ioh/BrctuwPeICCQpVXpLEkWuQfdVfgS
X5XbQ6InyhM1W2FtYiV5Hq+8+pNqf2CTdypsXyZT/bMAQuLcYlcTQxzZNYNuVoqbVjM62SP7zWIK
TxbrTel9E9us3oZ/b+H0xNG3TIRDVsD9ZXLZDzC94NYAe7wPdJU+na0ZmUQdry+m23ykodBs2qWe
XZnftunVj9Bda1eBv2fbrezyjKi29NZVG73zpjJPYycfy3ly8GtYTU6F7kY9BL4ORrHqgOUphv2w
h1sYJz0VrcCndxkCiSOnW73qm22MDmoGxoOSN3gNHL0g53Ikex/ge48h2/dOSS1J8EyM9NxA5R7c
c1ZUmL+vqelMY2EQ0XhSEZWhmXEpDIHLzcctGyrHWT3XHp4ZvJE0HJH1JffGNGxuoAsIOuQyMKKW
gzVD4lYy8cyGNeGVGTT3yOjxKAPE8DanLWHNLk4FKM/w0WoeluC/7l6DIv8BqWoHpppCmIrp3Da6
NVd73grPr7tErLPXCEP0KdbysKd/RH14200bDpcOeQgpuCkFJydkDdrRAObb/Zv35ZhYIr/VdTNV
BVLRIMVybiZljauGs/6X4ATUgRY7tEbQzzRWIlb8zBdEyXWTRB6W4NlALcYCcr6r/A5T+yW0+Vx4
FGbvAmQTJvgp+RZjKzzwaTrxWM4cnaF59Ek7o31YLIM2xSnN56MZCqCIyR8nulU0jke8S8hU0tL5
t9ShChqTUbPmmj7P9gd++ndKjCIqEfWLQ/qEKs3QoPkPREIAwJScBbuJ5ZpFvXj8JD5uYi1k1Sd1
OoNf0ICzRVnFVMdLGt+XRgJ2ROV8PBWiHg5GtkS9XQ8IJGwcqItBtcQDuOwlpB3+J/c73CzqIEpd
jPjTYhQrX6TqduTMmmKgktfq2Xidq1C08+DgyRaEn4/zLonTFA4m+xcF/Vjxt3W8gN+fjeWmNBXF
WvkSc1HHMlXAiBk2H8N6OrTjycSTHnNs5BpbZ9FRi3rcIWi1tdDav40DOqDVxzyOXU5FT3dH2JL6
htaocJoTCuLI1cO4W/CtSZ1hT0CTLV8+j/kLT3y42allnSBs98SqiTWWneO979x9LjumDGONyv0N
REJtePlRrBsNj4coeAY4pezsRucTN6U7lQtfcWlXyndTWCIZIw9zQhZ+Sd52tprzzD3L2HfjRA7E
Mq8msf6CRgMLdH1zgtSlK3H9hLnSAjprBfwCVGeQL57H6bHLmEzRsTQiIJo+sI0sZ1kaQ+6jrlP4
A/elT6CimvePvU39+71bDypeeQlJqtfj+j3JiMhVWLPi45QAzhhkYzhzttY/boPATYtGMD80E4KQ
22PzFU9+y58/U8IyZ9RpyHf24ff11KUKDSKs4eQszbBWQlMJSKGiMTvOKiBMDTxqbBlcceknQugv
qQXZp61quVQaUfK7Awu3t3UEAKP20AP/nMyPn2Fw/YN40pX5r15GxdFbCVQOzl0a4bUaEHgA/5dj
byhDFJEQJylu+pHsG4hJccjrqwdxbJApIKZxD8S/z6MdtAP+jiO8pov1H9hDbuMHreMJaC1hAH8G
tjlFDVPy5+H34a4O5AOrmwC2g8ncLST24NXmdMN+1TdJ+NpnyaUjSjmHMOIouhljJD2T0vAVeLZp
FjCN76yJeX3cJFUY+fjFPN8VF7Pwy17UsJy8nC+jevLYxf+vhDEJPzkfg2xLEUHX500F6kOqgEEj
QxzX0qK/mCo0dp9BWpxTv3h2x2Pytut9/Fy1sEaD8TsUB31iDag1bvgespCvWwQraO1D9miV4uS7
ZqcObbvCe5JXF/9LduZcIKGQA3rfMn4xd99FgEW8gTvJQ6oVJFm3IJNRThT2EVtI9aCTSnRnUwZN
ZGN5stKx5yboXLIopDH9lp1svzaI0K5lyIia4ehCvCuJaTItGtIfDFS7E9GQfkj7DZCSqwEaOwYb
vsLCSV7evuwTEgkpkkMnPl0mmhiPXtNl15qXFDg2FWKpXcZOVZzIPkmoSWAVNwN5A2vAjX5kzqfT
LTbrYcx48J47D4soF2E2ZFH7lXsw0KiN+K7GgjHMVXyMfR5NfwTexLFlYzp2MeYFY4XLI7NxYUsI
RQ/s4XK67qbqxfzC/8wk6Ok0KWSU4KOYDlnfymWdoG8aT6i81krmGRC3lD4OdGlgW87hm7q5eis7
rMf5tLFk8DUHFBpbb55IjJh2JmngVN6RFoKZwE3udbdvypfBEdVbwl7IBYXwQ9GWM+VETuTL/XG8
+wRu+nhzCj4i1wc+6bOJVDNVqRacaDb1BBRg+93b9DTuSl9zMVqZXqq4J+OOEm2dS9OunMvxo6xr
kVCcQqBGBI2HPJk67JaHzLXk9ZTT0mVd0vAaJ3sLv3Mry+JSuvoEDkhnMO+zRG9riNd1DVQwCgq3
NKhkyIprizhoe8YldLYJdYrBV8/VpkkTt0CyXc6TuX67S7CMMR07X2U7StyhBGEGu3sfFmmSnZjv
DY0EwdfZWdJDp4MzX8Xgp4/fih0FgG19gimy9IM5gDm5FHDxlbeRyCP3+BfDT6V1bWjE0NY/dGtj
S5jDnwbaliD4ziaM8czCryi+cP0My/VZfjIbG6gvPvqW7lP3PhOwXv+Weg0886FBVBRv2vcaCrsV
nNIqI/xIiorPuRrcrG7w2tZuCoBt4UQ1s31OWPjlrtLvG9IcbchuxpgH3gXz1CXZ8xK0eu1OHYeS
CYRpwCifviMAw3SEYheotI7pA8x01svupZQ+1ElFD9y4PlfBrCNMUVFkIwLtLWr/DdQVZQzbhX0x
X6E7PEF8ko9K16uz4TDF5PSMJGHa/mokjK/X9BmzlysvWpJmnv+nWRfqzL3JXybbDN/v5moIf38N
qXfU+b0u4OM7VesqcmcqTSGC1z5RHMJ+Bxcl4CdDUNh7jgmbIF8QA6jL8Gi7Bv8zXvMzVpiIX8AU
PMGxooKSATXytbBGDa6JXN0Fh2rSUoxM2KW0a2KFajpsX2JetOWAP92x0djHOR13cM0vavKfj+Lt
AYIAMkdSwy2ewLdvCgN1+S/KEqoXmr4PJXQwiA2jVpUos2+p/wJhlYcAVS7EdyVK5P1J/Mc/dYVS
s5RElkwNx44ZZw0/LVMNQ/DHZb1tK8PEoXPCo6bzBTMdF08M/Sk56HQ22JcWyzhQXfDnFXI8Kar/
rzsaHYX/IkMJpqVyDH6jst+gE8JjCsEaXVgjOActACZviBUsMCMiDzkbmg3co3BlenvRXaoSHL6g
JVKsdfD8xYAlt4Ga0YDf1XL9OuC4NmsVGzCMIdv1sF4t0oF222kQ0WIIJziWY7+2J90TItUfXO5r
Vl4wCiEPB2LjwY9hUiMSvuOA3rKikMPFVvGH+Ec07lSHrRHTl0PO+Kc1IorsZo3CyEG30qRajWYi
aQC48Xpy+HWMEbCXFqNxso1wKTkXCs/QtsXj72u3qG5delkxnjaXnvc1HTgp33fR6W5AQg0OTpMJ
hQ==
`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_bin_cntr.vhd | 9 | 21696 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PmPu0EUKsjwq0Ps17L1PBf+SSF9+3cBAN7IWblzPGmw7QEbqM1UUfolB3cLr1b6IwRcmTEalIY6v
YTHvRWwpZA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cs5bOPVXekrjG85laxWQz/STReXJtCO64MM2uA+H1UuMsD5AkPtpYMvsjKRW72UJS/xGW5LT/AGu
r7gljflGebe7aPbdKadkgZpcWa8yyqw0aI7KR+zjfAVYmIgndivNjvl2jFyFPf5T0SFZcaqh5ait
8pbBgw+OvZ/beQQvRCk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rTFmU/hK6pCsQDW2p/8Mqg4qy9Z04gS7on32fUx4C9kxYg5piw+Pg/+agNDlVdV7hu2bR6Y/ZBEL
EO3jiS5nn7SAizWmETKnCvhlRns7KvyU5/GDfzuWB+GQQuRwWT/oiR1MJ54WLPnugWqXeEkTfUEk
oVxXRh7tEec3DVWotLZMnO2Va9j8aif5YY1Htkex7DO9ncvetF1aPH+1ZBny7FMXUHWOtwVq5iEU
w7qZDcpBGUOxO5OFgm6XpKpFYbv/mIC0n16IkeL5a+8Luzmo3sy3MQwqdIXtBW6/2cVYKY0W6SKZ
zW5oYWWY8l/kDJtFWGu1cVfeP5uBLzhF6sJkiw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
i5QqXbM5QcNRtRnVqpZ0lKZWG1HV0KYRcTvG3kXZZ5GhnpHtqV1jIleouanE7NoOaWm/cW22cPPn
egzRt/ea2O12AbakYf5BGGBRLLz/bxOuNf24pcZDFIeQmN1UZivULXkP1NAYwgLc+MlEHPAB+vOX
pqiRfEG+R4a0ovEfoQ8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tbFn5FcqHs5wXDtYkkO/l9KtwVtETTtWwA9s+f5zNnMn8xWRH1smyaH2CwstdUtmN5jN3zAJwJlX
DZN5WFcV34JW1UBaKlZqIA/I0vp7Rtj9yq14HkQLc1a0zhxuLMExbuFF1yz0hj7tK1pUWp5mnuAn
11SSho5fKP9Z2Qfvhg9rvg0AOLguk/DMeF4ZQYMDuVaRcHiJmMXPE9x1GiVdyeT9kVieI10aHPHE
nVroj0MSMyK+L2m+vqKhjHVzYBE7IKLo1OM+G1HGjJU/QbEN6dGrL6197WGbsyesR1Z2qchVSjyw
XWHTR9y/+skRP7P3BxxN0VMFJbIUyB0Y0J+Z4Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14320)
`protect data_block
zdk3GKlJLDpGirL27zAd1B/hUVkJD9ml+IL7fQ8ogMIFhwj24u5ZxxWcbc35QqO0YlOwDIjLPBsR
Hs0ZNG2yyJPILEOvNjLSx5E5sqEMFqqB6QybcntyOYRnlg3N2mWBvki+AQNC/CCZy9lN4fSjo3eE
VgA9/BnYvNs94+Gy2d+ONjVjI3fSyqurafrgkPK3dyfqXWKG9YFbJ/wWM1zeBC0vhDWFpKHANLKP
p7MyMRRxRuLHaRVjk7QSWH/tccw2P3dRa1Ze80VTPAf98vl7kD/w1ZUND25XSMiHhZHTMDnHEPVh
hIZrn0naUH1pUy97j30Onptn3mSD36okMuqVDW7wbC0Tqy0g8k19L3fUopKMgMH+NHfCyApbb94L
ycDI7KTVGigcUgYJwsFCyH7SMn5qB6xGxn2nuwO6AywWmmvSFQEq1XISipWx6JJXQ5TbIGYkdL5n
Rq/AeRY28l1HYbQC8lUBD6fR4pNM7z5h+V+MkCjjKeDskJdD66puYqK9PwssizlvHy66hQHBVDJx
ga4qAJbPc6Kp4KmvX863uXvR4KTRdwvvpvCjkDlK/F9eUlNKIGgu0TIvgYU8C35/qUhF72dU70WI
dBPdTuOEFIotUFjvsrNBD3F90cX0AxXbaXgwPiOJ/h/KM+4jjO5teB9kzVi4znz7F6vWiol3PorE
JZqZYW5vH2Puagt4b72EHQjaPsa1HSrI8hhqfHpr1ubUHhHc/SJ1JZSWtzf2qVwZrAWC3c0QrZeB
BNTHqxibwWcSzDJBQ+qiTjAFVGFyf/QO9YSzD/YMs5bTRso6QdZxCHCfSxpzIE448N4nX8gEZncd
YwMLKEUVRMV469efqyyBrvCQZmsHruwEOhyEDuNPUGwSs15HHO4ZgsS4wSMQSjpEiUHtAkBrZXaA
sV1/DU1sBTjs6thMThqgcpI+m8nu1TVfkWsi0hrij6o4k3lzhF3i8S7FDGgPNEcXhWUMZ5xRaLKD
xTDoRYNj3wd2b/+d+Kw1eYHr+bAKEen+bZQ5s7kW9jUABmnLGeiqMRyTcSDzwGMlcJo/roZvBXdi
mL+pucl+PXmsrXUot0PzcIdCds+mE3a7l2COI8yOyQq5KEwRTNCG9uFfqselhVOYF9F9BIlmLfBv
DWlZtZ7a9psrc7GkrGjUYqYdmHLKVYLPHcCE/cKzKgxsrOIdSoVnRV26PfH3OZOgr4GJ4VITRL5u
7vRIkBVngXKP4RfEHNwcpA0CHzACHSYka89dF1SBpmzrrfhElsT2aQBLsMXXERGW1jdoCkcbqgI/
qFFxlv1mLmEsGF2+lL4n5H2TjYUyf8Q4DJCKkt792nzw5gPdRgze9RvcTDn/0ppxtabsOOZF/QZb
QKmf+QluQIiIBJAFqRdmFeUtxKVrR2rTGTVmwJ+UJz0jaTOQZbgrTIDyrS/5Vm54pj3oX2OBsJNq
KZxRb5n6GtqKOPyq6xxDQjovB8wejBHEvwwLIFN4iEPbeAxV9j/lQX7aN7tUM3NzwJA4wftcJpXM
xHqZX/BtUBrWbGWROOZ3ybcQUdetwcD9Iy3gJKDjP6+w6coWjlnjkoiTCevWLfl7Z05dgHr/OVrX
ij9k52EtQzS2COhze8d0ntYsk0KcgIBPZ2HvgjpPQeaA+w6a6PnOAJ3hRnkeVDZshP9pmiVjC39e
89lQvxsneQnhst2keiftBZdCQT7hrWvJvP2e7VTflPgRlGYF6f7+OCq7YlXhGcO66lSwv1kET/VA
5LIhxw2BWG6y3JcVW1Y57sedXq40Iosw4Mlr2EV8bRFBaiZMSbQlxM9xSXJX14GbKc68xtjSmlOn
dHgHlKiw1AjJl//VyOzX4XiJ4LreWS+kk4iu9ZRj6GUTQaWMh+9gjHWZKQYriznDbVIR1oQ9vvgz
6wVlk2+5/qp8eWwegQJdXgYsq2xJgRy7pLlYDjBWmHlR38FtVATGPfMOpTSuYRpT2sIZ3sx531Dg
p8zT8I/8CnjycUm1TI4RcZz3J8Y89niKpB/z6AaSa+2OGVG4PyRJm8NRKz+9jfR5yrqTj4r4IFY4
IruoTTNpZ/DyDG2jjsiqfpT2s10cAR27ANDFvPb0fWwpjjWwgszxk/f5UjnhYhp4uyQd235DcOrL
MaywRx+k5srHNMOYk1FOsd6qSnSE9dQujcQAg1XCRB1wybnABwd/GgwBD5aU1sOL9RErpGGoYEoE
PE0mzQPSAOLE4lxE5gXuwYFngog6SyIUyBQSdrQGQVtQJrf7/5b+Tctm84kHgXefLuCCVMEKg48N
+qvBaT1q23/xcYOwbB5nJm9d5tAsoGK5Mhbtdrj4eAT2HFF294tDpwGwgH6tQhEStzVPO1Ag+2pA
t2QrwD94gaTvvk3msxKrMHUba2kCEutOXVMbXwmO9h6UH++34z+M/k5Ym+/lxa1eOpHHJRMAQFLn
gjm8A+zWCCqPatC0v8Cp6eBZkEx6XpjdfIeuo+AI+p4+ilK3nignROX6pEGWAgBnCYALp4jgXFEO
8Be+bIe5rE4jkp83EHqAirlBnemnezneBYg2XB+ZxblZjMBZj5vX4i1OAQicFMTmttdXGHsJ9na5
SNKuPuyl/n2UhDBK/Qiouz1UD0wX78fTg4iExos6H3zTf896ju1/qaJ8RnVHMT3LFNkajm0TmAjo
W80ZhGEctJI+3bYSGhRE1bBX3AjmMBo0fC/rx4D4+UUMjQTQcaosbp0pABn4S09KdM67wjKo8XeG
6ON7X+vplHJgntAQtEqa0jAwjM/jrHFutMnF1/kC1mwWUWz68iH+WHOnhuzoPg57CuBAcOqp+GHN
JWAoOth42aXsIzVSMxK+kL6q46EcxKZ2fwNZQ10rL7BpUaOIF4J2lozyu+Jp1NZ91eAZEzxh6W+M
9OI0JO/GHQfIHYNQ2sA+HjmWgYIeMFF1ubNm07SWcDkYHbEr2oCaX0g2Th4CXQAnEem9um5b4s/K
+arEINwuaurCJAnj4rMgYH/Lfrm35SAd21LCR5tzk46BuPnUOmX8Fy1geZC9fJmmKpyNZWMiNXGD
96yYm93LXBaqczgP0sg0XRSwq+F7+LbxGIGEBPB3hLPJe6Ndn3A/B74PvejWF7PjiKw/lf5x2Ckz
CuqB5ayuSd3n7+NLpjjof9ospSHa/yvR5hnJkavwl0JxUsQONvMM76gvafx2AOFUb+7sTX3tNE4f
NaUKkDKbFOZtaYC2mPvOGXGkXL06nUvOyNuP9+mJelP5MVCS5WJQJ+dPeZVCJLkz4UvMvg7ZuW1w
38sJiV+Y1D1Fe1ECDZ8OX3AUFbawmypBs5tYh2phwIXK1jHgGh2FcEbTOU4Mgy62jVbzNfJO2gka
jJw3ar8GYaChS5ZlpnAt1dZS1P6vzPeB1o2e3CqtrrVRvUrMu4Ijg+2RktRc/tB7KkxlGkh/kJU9
P4wRiZoTBLl0fNswrWmTtzswcQA+GUpG8eqlhe/9ojFQYLRN1fat52+mK9kovDIpY+TeJhfihhcx
tD8IcS15Aiv9ZHyaWPy4quclFpGIewW2Q/9q0fxeprAouUMFPYgzq9mAz3MZTassVr/JRPomlkkN
lcBumL1uRgftAZUoZ/2a5I7NOmAogEIUtACTeHoTeV+J5S3MEcd4roTY2Zl3hU7rhyC6mSF8unWf
ZhEXR/E3bnlwZxzjNhBQcU7o9YnXFoO+lb6xDkkEnOQihhq4kLlpOXUKS2ceJowzc61WabVan78C
6TfEdYJZd4/r+38FtsAMV2UPO0MeesybyR+mO71XiOQkhSE/oOsSqW2cJAixc0RAff28fVyewuqp
N9iykXrUnmhDoYPiLVuDVaUvTtinoifSuWzStEyln3iMpiL/FBZHtxYeV6Efcfx+Uln8HonYOnPR
TLNOqp2zxsez0APH/ep6wjBRv/RmFrRdRethMX/VbpkJ7hSmLyKsawoy6hBRsiDUe0l/gf+S+Cg7
gbRJfJJrOfS8nmMW2RgWdW0tiaDvmbKVGFj/XySDZ6wIcalt5IPPZJXTGVAwfMp2o8h0lr7W+0C5
MOBBzdedB+g46/pTs3BDR829YsQ4Z20xxM4EBtQ+tn16pvbKlmY8nlsBguvf5UC+/1aBPu52F+jT
/Y55RgI9xLtD8fNuS38o/TflgT++A1cFE/CFXApREhbMDWZpNakYo1KYYGKt9aPUT8Xjz8iddHZm
rL+NL3MZIBW4WXecu/dqEyU1iAN0g9cxbhbuxXy6NtbOMq7nQdIIsTZ9yGsawEY1W3Om0lkaeuzS
g/D5R8sdnMlenygy5QfuYgqAMH5OzKdiDFkgUSwkhZo1pVNRqOh0q2TmvtKkHJ7OI9yIm9hM5Ano
C7CKXyPjTRNWpr3iBqTpE72cUoxm2qcKult8BcBCvK+APpkOToj8qpnouuiImgDy7y/0DRufa41J
UjkIDRQEcG+BJcq3EqVKKUQMLbbs/Q5LIsSySRHcXJMY4BhtwJQCKnS/pBYoxAd4M7BNlfNBaYMs
4qqASfjJQntmpzZpGbeBtduWBeBqazKJf4PnAMTmaL/J4gFUwMHcFOh/MnJgCTWy9sofqS+g+fCH
FZX1LBEKyCu9AkU/ACTbbuJZy9wRgcFQv6gC6JsUlPx4JwYQtq8SG8kzTE93ECXsdz5IB2h1neOj
fUEsqojqi/WJPFzPMLaxtduu+pH4kS8nwsDmyGzVKj7iMHO8mGgMT9cTJPB4YstWy516C0UOn7ax
i5T+H9P6IswtndBTpcM1Mxpd2gnmXjE8uLj7dJfoIyfL47onLAgGdDVtip8npyHSj2AbC1bHJ57Y
T/PNkoi/3uI04efp2KNu7jE8fs72vmLIsa19IOWBvNoOrmh4TpKgVVOU7D3OSFROkAB8/IZjmQj4
IsqomZ+5SaLW+JcLlC2NjfE7lnx1zN8UDZ5DGF5Fl97hQlnIV4jAPFm8PFXxXm/lLjJMmTDSQSgA
MhCVXaAEvoih3GjDpYJLKRrdPG1NM055FDBcg5f05QB5vPpXdWNscKFH27WK1RKMSDOI+L8SueBF
S107vtEYJt1HWkwSOdC6XtmAXq8+YZxcWtCwVi5US4pmGJvGkTOOTxf9TjPumZ4ZWCG+1JC2+THH
FkFZ9LmJ/OFUVTH2HiakMZaSk9/3fHuGnmDiNIwt3OY16xabz+np61/BOwdL45OifH4FVAm/m6o6
W8jOIbWvWkw8rquaXlscqInhmjAQINuFG0eKdRaISnRI0qzDkdZMPyNUTKvSb1M9rmbC5uJCSjiN
STiwgIadKW/wmRTHlvajUeDYFp+4TsvClWh33d/EXS+elbZC7V0brsIx9kdLNmAvHntpxhJBcaYY
XZ3tVrxp7zUWVGI+PyNhtkApgATFxDFM/ZC7U35NEN+7y+M5jVF7x9bJ3R19SnXMGSp2iaJUxM/8
M0FVnkvlxZRBSHUuCTjAAQxP6OH5uyzFqrzPmLba9jrYx97nwWjglm30l693jqhgnfZaWj3DOI8a
VzRRwZlI5pY7S+5g3yX09tylRis6wTZATAnT4m9HU5wvarSL6LNy/ln9IVuBvnDrlima7ivQ3aLQ
8d+eaWdzsJv1F7KtH657i1XJwvuB4+uWer0ecXG2ul+jdHNnme39CgyzjxMLupAffPY7yrKw0L/6
o0NzmIHL3SdZqYMw4sIrki08CkL2sIhYe1lnROCrDZDmgXeIYM5JZ1PJWMuhsWKRNyYYd50M/86a
ZCwN2Dh0teW5HxbDibEucO0hRzL5vOjwhbLK9Y0JrwwpRSEl3VMOH/YiEE03ZQdPaW9TFEfL6Yk7
G9ic2GeDxJKBgK8xSgrHcApdU//hknUYb4qCRTzQVFezdjNL4yHaUBo1WHzsnA6nrxbdvNRL3kNO
ILcS5vAK0mLEfFQHh9gPCGw0n2O9JCy8YEByoWn1/QnbeDBX40Zu0n3KtGPvWF7yw6SAmX076eb+
zNpgGH5EXahOhIqM0cJZi6I7drx7x+yrBr55ME00GUXFEFiwpJJor2saouLU1JP0rMxZL6zyz9hh
qGlnXAPXtI6EBviwcFMVB6BCrYWYZdfTenl2iPuMdUwfX6nmrryeTsbl4IEfZ17v1UzY0aWIJxBG
YdpuPz935yEgooXlS9OKbbcMHEaJqY9h1vUsoKo0jq9lW7xQG6VVD7/bKHfl6M3njZpdGxriYozP
1j3Vs6KykYW0ZzdubEur2iPB4ZWcx3GQgeNiyF0+lwiEOs7VegJ47o8BW9xuEHhGnGV+LG46v+j5
hvP7RFsOkHAFgHVYnGfxYG0qP7R3jIELLFR0+fuXUNW6pcWMZUycnxTNONy+C0QgbPXIdA4P/3IG
3oUfFPFIrKyusXVUux5QeWjMLOiVrmpVoNV0aU/pTWqy0L3rCz2d10UTjCo0IeyquKLWshE/5/be
TExhfAHZE9bRk4vKTBlp2sX9fJ6GDmpG6W128cnb0lHEXaGHSufXSHvdoWHqjSikZ+5k/yF+ZdB6
dkxG6eiwfWu7f5YstuWgxmtcAkuTmRdirOKOv6IeOqwy7P5bay82pyQSQ9e7SefdqAVmEIA265OM
Kj2oordCYb4CE/12Bs9dp2mMswGRBHEKca4N0mcp5NIJ9TAFn+HAetHcspwVbzluhG/k8BGrmJxI
64Yx/5lUvtCiZo/HlR6KEsLfp5d4cqYg6Sa+iUKOnDalkJZ7gEaRCx+H535ZHSlIumE0wHY6k51b
sSkWZpL9bUXJtfzkfNzlz1EalYF12k4OQfzZ3ZvxzqeFP9txFU0lqulOOlQkzZujj2+lo/eaAN0K
kDkBpU9n/dPDy2XYk+FB++FwLSk88vs5pI2B2bJILJiUo8H5H3NMTE3xXxLTqaxi/hWnAlkYBL3e
iYf0wwGpdKnH8+lfY1gnI6o/ZtLOQUSY+BH10+mi6EDlGuIq0Puy3Hq+KAnGVTkgYa+Z3z25+4AM
qZ0XfjDq7b7AeTqgqgGEpUhs2gnXC/peKq8gD/X34ADnZFXjCVDUoHKPDy8Fg56ThdvZ+eUYi0CS
wTvC3WOmNwpfixdYEJDqIB738b61OMIMNficTrTJS75i77/DKlZs93sJ8390dBXlry8VcI++R2gk
SzSNleWhr4O3epLCiIirgDOIuwW1QoptWDb3v9Ptm7P9xt8aiq74eQmii2A76cHt15pRjWgWuPmF
7PG3rDGRrUNeIi6CMFZraIdw8e3BdPITyLSX3N/Bv4opWFC2J7r2mCitPPLtEgaFuSyCYTo+HJaW
1VBROu/j2i5qbRqdU2hH83AbIHSLoOJK2ce7Kf9KQEmJE3b74bHFZWvFIpKmkzQ9ITBrTh18ho0D
eJgdMzBLgS4ZiuckTVALZ5lGaW+vVsvnjt/0Y5qgTD3HR4QgA9Hibx1M/lIn+FcHZOl+7Faz3zVz
/y+2tmJIITdbYiCsUXAMAzlXBscmDEqc7TP1sev5fm+8QWShYhVjL2lb7HMq8v9+TROLRXK5jRGE
TgvJH5fAiNSDO4c93X5Tlfkdv6dLxlZ3lFc+lSAppmlDvf7GU3h+Gu8wvShzsJyTi5MpZJVk2fH9
t+Rvq06/LEReP2ee2WDGiErKB4E+I5qGf+EdZohBsqAqVfXuvhXc6vZs069EJzyB+S8yEYUXhib5
ccwqOS/dUpsYrI5N6VSZA3DYLzdazpyaR4JzpZa5/V1JqPFA+ezNcT7wCs0gZ/LBubwit5UPHpIJ
pOPCBqCl+jDo44RaRHYr33r0H0dH41FnVHQsz9wUAzcFrqETIVvZuhXtAa3f1AkbtIvrnk2tPOTo
TaXL+Oy+2SAOq7/tR7TFEev5QfFO/Xb00NHyRVrSbeMyx7GbTmCxRKBsWY+E2NayYczMorAlI2wp
E6lEf0c2+3Y4jJyYg3d7vbhBOfTBKoe5F21P0jR6SOpaVj/hLB9OYI0eV7iJA04PH2/7a1r4rJ5b
oZWjSK2eElGLQGkFl8X1XJDMKik5dtQNqpPtmpKa2CwyONz1sssJs6PgSnvYVaUy2Uq38e1QViQ0
TlHPauNXC+nAjQ4xB4YYHPxqbJEoacMtKTBbXO7U6iwmJjQN03IXCR07+dPnAha7hPjjg50UjhjD
U5+j+dOINuF6IqIhUgvBL7MdMGugHsITzayE3kPeJD19CTmSNcxDB+20JrP1sN/+5gkDVwa/OUc8
DwxZd8mkHIBn9JH2KdpkGB4RjMCTAY1sVluhl5T1VYGeyqQSUTcno8v2TBB7h/SPxRQlvyeH5Pdp
IQzDQYO9ttakZduM4v0Dk6jbEdK8SICQJ5G9/q5FcOZx0Mxw8LSmBWkei/4cnM3rR5uhWDVEDI2d
UtV3HTgYwaOBG3zOW805GJklezeq8DpZ56ZbR8HfaTd7HoQekwZonojYiNT3l2WdS28+FLYxioLh
jTQLx6EIk3JFkxonsFEfnLyOvUQ9hnEOXVQajA+0x/mLpTc2Hu3+6NeIasrMOtOC/UbeJOyDVK0e
u+eUydCtUJD7+ksAksM+JhmvaVTikPK5zgjy1zGF0wEKz+Mu2sj9WLsw4++mdjTj/JEc5w8DhhSL
GucFJhPxhw9waFQqb1mbHhhxLZJzhpKpxOjQUt5uEPIK+ELkY1gP/QBltoS0QkHStY6u32WkCJxL
mY77NNN/mBmOxw8j1B6sq3IMsmUykXWid0rjJWLdKdl4r02LufS97LuGkDUX+PQZFfUX0AoOCYOk
OjZwEVBfvMrkXEs1rpPNe/Tnwks0dbSYXpiKMf+SXhkLKWqNIQflQb4xfFJHhqmjORZis9+YC9r1
pqaepwUOOWkYOdt+uhlulfiFylX9j97+jO8DPLffRk9PBIbCg4CR0TnAQSghVh4hLrHGysZ0J82w
37mNGbeLpec7QvQKulnwGJ4qElsIpca1H2YX+5vD7UOdfzGwjMMiCHkHNLaPDMTkJYDbI0liSU8l
FxtXb1WvSmciHD6Myp1+8AC2nJkAYve+bbVljcdMOg8b3/cZ3j9VqCWvCj0ZuD/oL3vfpd+4YvNg
8ifWpOCeRhY0Kf4u+yxPuadlmH8Nm2ke3uJJFEJ7Nxh2zLrpI13857ON2lFcxRxyydXLay4BLRrR
QLRYHgD/losq9oA2ZqNYTx3brdPoyeU4xoXo70DyzFRN5QCBMyt2L/egQe5K7wY/x2ufuI0LEsHv
rqnBQ7P0fd+gdG6R+1xu9F/BCMBF6rAI9lJrBQ8gS3xjeXmw0QW2CCVPUCUPuZ8j/sMysS5MDuTd
VeBXXditSlT7UBXyehlvG2bwh+/YuSDZY/8ZqdHupbahytqnK+z7POwsAPTKoEDOtrjAk0Qkv+lC
T/gcLoDrkVLHFlhg8e1ornQXKGf8Wnc62MoP9tLxJjmqed3ShGt32pgwg3n/zjLhNUtvX0Qb5Nt9
rcl3n1nwIxGJ30qqo++1uDA0gNPa0Qv2BSFi1WZ7OlpJFQfY43O1mg04i6xgOXTgoNOFTgg86W6u
zATA5YQOSDd+9g8LGAHBUoI9XcFymNzZh7zn4+thKu/1IJN/4nPNSo2kRZNkmB/CvGs62XCXP2mP
OfVK8ZxBPiUAlGelAB13L3+pOft0Xjq/abCzAmA4vVwEdbql9tM5y9tek28Y/HKlP3N6PPMVJ54B
fEFG7tOADEzC/NNJ0j6Q/FywO0hW1Prg9e1YoO9mPLgVUbWV836G7pcJiThbnQsGPD+o02Xtjj/y
A7pvBIrv4Pi5Pk6H7G2ZIlujICmwUAn98b1Hv60m0nqQq5Gw0Nj0TtscxAZwOB7ke4mwzj/+BceO
Y8i80D9jbcycbBGkyfok6CNpK9PZu2GlHXTQPnuEX0LcBprFrWsbKVVk7ZmHEqFA88XqTWnD0Vvg
TrWTKl2K2qO3KJk4P+FjqEOOK/QF+BmqGZjzTVxV7OQsAWRu39sSGj0SCT20f3gtLhJiCrqHUWOl
QT5PouS+JaA/Ww6+iqyp7dIF50IJnzInhTfQmS5C8JgHYLAZemGH3gbg12p4CqUMf3b6zuE245P3
ZtV/CYGZm4p6waBVHbZ4di3HxedxaXgn25YZHzAi+/W+KMTmw0vMEyFlhxHIW6GGb/bjmh4kvkyp
2S1bbMFuU2RNxC8LQFPiUPPghWbMb/6taJDoIdt19nVSjai1+mgMMXap+RhnTHlxKqgibr1m+lhJ
kZ3h0R5ofOJr4mEt/hVCy3oHLKvEuo8IJOAIlDwGhnr2UBICtcDbaydx/W0Iqcul/m8ABF7pnIKn
5OqQy76bKKh8+Uq03sNYIHAX/1MR4JrjJQfaT3n6NthRa5vkVvTNgoIfwkzqBtHqRQTZleeyrx4F
fFvE7n7kD38zbDPxbPxvJpgNM2YMQeb/J3De5+78jV0hq84WAuTpOqzRB0Oc7jgc2Bm9XTRZIR4G
02ASrBenOvYrzRUCehsrSHf6aX5Ey47l+Cskn10zEX/5V9TDXlExCXB9di6VrHuVgCoWe6tn+qUY
EUL/AcMohjHGGbCuQ5ng/Fmfq+CMmcQrNCR/Ay+7fhPcSIvvZ5JRpPlBNPCaapCA/6yyHrlJ32EV
0UtJ58a5mcPj33H02Zy2DR4ijqJzbwplN7oJOOo3H+RL+oKtmeLNxtT7zE9Udr4IqhhzA0I23DDI
hVTU/2lzDK6qr8EUXDKZbR4rAjNoo2IdkTGZ1fjDHzswGlz6wgYuIj9y4kcwBEFVEEwfnEvQKdKo
Ecjj+YL1xM8UT6fmitbM4vRGrrvmCea7LbKjDqks5CPI2mgbOm5z9TldFMQzt5cQ9ZV1sGeMzK1B
vYRhDgG41LFgXCVehtHtdd7R+ELy4cUfJden3yTGZhnIlXp9nOOaA295LPlGvyAHssXTSxYkm4+g
i5PDo+HxZpWfo7V5AuktrHxCe1o+pr83nJmSWDaDQL4p6H0BA/2BvQhhhv0ahIx+9nTbnPk1ZYbY
g5oE1EJ9EmE3tgFToNZ7Myeq6AWbbGf6Cf4cH6/ohQ/qlfxzYbS4eC+MP+5ZT50GeTjvObnsXHGk
DwxvfiqWUfYMKlkM9wadVbYR/kpyg5GMp95AETolev9YQFzzoj12fuB+SaezEcdkZCAJ9XxIWrm8
O1vavHpqC8l+y8vgS29rYo1gvfIWp6TbhRsidFcpOoy8se2O/PhN6uRrOL8mMckiBf5U62M1y/tw
w9dl3OHOP2K6MGh+Uo4q5X7DIte/svyndVyIHRnbb1lZhCFa6BzR6SoaTr+J++804MhpS4tTnpXz
SY2Bqp162e/7E/Mb54Rum5Mxrls0Ob5B+7a06PT4Kb/yU3bmiLZMskIi1jZ9t3yrwIGMZcFBipt2
Pvw+bUf7esrjm9+0kgWbsK6zzVPsBhWdHWJac2l87dxpmwNvYj+8HIEq/2T85sKc/DcTbCHoBF0i
SdzatNTIk7PEdOeSffEmvhfZwPWkXcUcxF2Kfu5Dtvxc35Jp8fZ9tSIQSuZSOxoI7BwomiKNigvP
Y0y9SW/T9ZfJX9qs4fuX3e1LCdPm1KPP1Ug80Mwe5OO1juTA0NTmi7ToTZYa/HnaFI07eqgPnmD6
LCzGrCeoxhAcIB0S8jyZy3eJ7kPO12BXVGYv55JFY0hNWyKwAUZVd59oQgL6FQbPPl4sNmAIiiqy
NksiMtWCezJ3aqp80LxebhpiJ4QGCKJMXzzFNIR8yU/vXiAa32dMvZvnas1quhqwTQdZXG8Uzyxm
JqD7WHMuZu6bVREmGnNSymx+5S0FqfjmJmIdMeMXP48EKH4orq8HDJXIyICrZZYmWuQJDqOFqofx
yzm0YnsprX6NfeoCa1wliX+OCFS29n666NOTb814vLSxTtgvFf608dhH+epZMoy1ibNJw+SfmJCh
EwPTeQBEoVg1Sy8z7bfJNa3naR56SD2kG4rUt+orpea/7bBkc6ITlgfBNzoQtEZ3RUJAK/A1l+yW
5HmOuKMnPyou4dJjhrrZEteNs/lm9bjnjoWXn9bpLJ4ltheMCZhFs/0jV4x/7cDzpBmyE/W7GH0N
9kX5dSqxkV1ksBL/uykkAM83r0iBuoTPnaZrLL7boi3mkZMwnr4QIw06scrqJR1W3M91fGBHULYQ
L96YnLAuicpS+y5AoLTf19IHtXN04++yuMcvGZwgtAROtJLxq0xsfIx7rXZU891T4aB/xElNVXFA
5LRRm2HZKnH50aGgQVdvdh6sZ4T/5SuC4RchPF69TXjZwf1YD1yrYRdGH1/9ShN9ik/xBdaHRjmK
7r9ZS05uLsZev+/ypGnxGb1Gvr8d6U2zKYWjV+MZPGvAuQdlus6JUuwCAr4lqIJ6kHafSRznz6F3
Qp8oPMCwp5isWXipcd/vSOb5/7qfFz9g7zitrGNNTU5mANnJg2Fla2pcRAZ2pWUfpj0OSyf5jelr
QuseF1af8r3Nozt5iO4nESS0Aw1oJUMu0qELhjAaPDV/PaL/h00ldAF+8w/yDo0hI78R///Z9cM7
N1HhS7aaUE/0LJbPEqmWMSKEuIZpbWcINHBOi/CtM6+thSSmfMPDtf/MEdOAcVU83EDZDLxuiLev
1gUo6fZ3S5rXdn09DuOfSiE+seWkXW1p5vEAnnxnwEO3EOfwr8Uwn93dWZF9xOZ3KCG/ePR3L2oR
Idt2mMqMjqld58PKbqk21Yg2QB1xkaK124UKjUL0tD+JyA50UNIRfZJJ9MvmTaTcqIBQjQN33+ux
eMao34eUokRZsavjcUT4zv8ulnHbdAMngJGAXOUj/1nrI6UnwzY+X/ypq1+VmuO4hxnhz7ITsakA
jg8g0EevBqzEsdjDTNW2ERaky7gDknDP+638ua7c+G9/JOxP+vKFO9wyhy6gIDcg3qyxiaVvQY+X
V1IZRFp066ppShOH5Q+6B3PoWWsxauYS6lK+V+T+k+gjyNJ9kRVGDLklRpUhT7ZISNNDomHoxtjH
fc/AjrhYebPsqePSA5OtPArhp8T8uznNoiAbLMQiUJSRuuYfkAZAmWsR6ZbktPXdpQCF1/mCudVu
P0w/OytrZpCAwAC5sd5UoXBn8AnxrQPSOwGbl7JSaiono8fu+wpR39ZgmVCUjwxrZR8w639YOOlu
/RgkfUnwE4uZ71uSgE5eSr0XWIiXrEllIjUx2EIdR61k4PoqAoJrkpSno+I+1tM7RVU5wQTFbN8y
mooN5djiidvGJxYzYyTn1FKygpbKGDy/p1WhRVTyUhOR8SnnCqAOLZ3DfnbCOuycf3SatYKfoPhi
W6IP2TJ3PQXV9CHoCwTB4Y3gWnPfCc1W7U2QR3XLqVYomHTm0dNUnxmUnk7KgdJMXC4q4wt2N2ye
z3Ejuq0gBoZXteHPzf4M4gDSF6DUHQAk5xOobHjweGz5QIUb5nX7ufsvIWGNJHHO9MxT8yu/OZ+5
TanY/GRf6b+uKNKtp26Q6RLGtvv5cHNHCjjLyGbuB8LLUbPLgjqWXTZJBHabPuW0EtO/eoB06LfH
+13sslFZw3Iz+BX2it+rS6aLg23RSlYy5KnCfe6DLl//JX64oL2V5JMhYqAiOIczxGA2TEg/C5Gu
/S0kMoBxMxx9knh4A+amLM8sIorEOQOkBCpv8AC5CGduiEzKS2k39eGK5YnKj50Xc43BCXmS0T/6
uZhW8Q59brnQEO9QCfHS1163+N9mZRHLtm5/XCzS5K1HIvKBIliroQEgePDb+HxulQfLanMs2Diu
CKUPlMQnf2bkYXSG1CQsYf/hIOQm08kyT19I1Sm2VzqeJKF0NViJ2phYcgqelCThQPOT9f9jc+1b
T2lPKy+Kc7dSottrshjhOouDPZsdIrfgEvt/u3cRBcJb01ofFaeilOvE+We3br1AvIlKmm55GWrS
6E1j/3bA7N9gWIgEi+TDp98DcalHQiASM8+93mgl8yXy063EOVl+HEVfY7D3jqFeag++UKrTNwpH
QbedoMX7V/swqF8tLE5yKtX1EEZOUYbvz09oTzFZOQ2bgXY+b7voBOsP0rpwYqybSuZG/0YPRfZc
kvEKBaK5dbPCDtu35i+5ujJHKa9Kp9Im1qDg01OB31TxqbQD4hmT+yAHUchW+DOl1CNVRhSRX3iB
94UxznDTFTG91wf9LSTQ7qTYwXt1YndCTXz1+2pXdyVxKw/xYl7iq2ZTRYRnbZrt/3gF0Aw9QdLk
qe050xjWRdUr3CZjaR61kacE2rDQpFU1svWh4KnPGdBV9tRV7nA0joRM4WF6TkLa32YiRBAB1ALw
boTG8iqMDhH9ffuHr8/TiZ/bHCJ8FAoj3zNcdrx24Bx6/STG0Z4Wvu9es+nQThvEcgkSnqCTuDjD
nYKuPxkXF4eorstGNAb/yEtqBXrieLqpUBykGjwFkNtndcwW/8KZuA1hnXqR/eg/7W+wNVwJt+Ra
3frQIdECKo2yH3BATnvc2M7FGUQqHeEcfAuX3YxLp458klmO76ElZUPPBfFaZzoLIhC594Ye7kYS
Pg0a9PPwCzbmNmCNhzGo5ju7pJgcyOv5vCjnUPWGZi9knTVSqKQ/MIHhUwPiT6e2FiEHGVXvFibR
kfGdlzw5G7Iq8AdF0Tk9oitS6/9TJ38ZKbHPdijMb4sRvOH7/h7yJIsxIqAHqrnuMV8FtbMZe8yk
l2nZnA7qGfTjB+/eLiMzv3NnVfMDiVAtNcquwj39oqaqOmoiNPB+JdYqeQUTtsuUX/y7qEZTi1Qu
fkniuRsjDorkynf5HnoZtn627yo/k/X3jwsNFcttPIbC0jrMK3Ez3Z8QH90F9bnl5n64H9SL2cXD
8v/EBU+DPtr9S1h9hLiShS7ZJYD0GR8QRLlZzKRZLw4JNtdeJ2jQNh+kr0RwrBrkB+P5vI6StOYV
9NCW0r391C31Ljo8SROFS9pb3xQxEM+xjgMEfJNrr0BKuHm8rrCBu6QSlKmr7TE15qbmmEI5fwtn
JHku75Q2CIE9Vfeb9QO47K2l1pHuefSKqtyGtOC3Rh1k8Z+cWKnJzwFtyetzIZtSf6/hvDKbUT6q
JbhWQKS+hVmsww3y61j/QXLjh3JyFnyO1k24qgTYVvvnBD94crh7UPBmvmTsCh1Hp/aGg26zeCnr
ohcKR/wtsZiHw9wiGXa2Jf/dPAII9LOPBf8CCgGJcbCoaNURCA3v/QNRBHp3V3tvm3/M+EtZ5UK1
lR/kp7KsA1H11rZ7ZXzBY3zKzAfGscSTl4h8kskOG8uQ8/aKViZ5Z1tS6J5vZJcBOIVEzpXimN8B
IpYOsqw33G0GeMtBNi3P+s6oY32nnxGcXbUvE1MXT1cs8wswmIyvJz4T9JQ/RMpbIJkmM+AvVt6o
5fzd3Ob4OTZxs5tzfYA0qI/uT+88udkljgHFneZHneATMR3keFCJFEVHk9gm4KRQ0L6/76EFq/wm
RP+9Cg5Pa1Ja+oJ8+c9dJFk+rXbF9fTZMFS0nsHpnpc9zvYse1bWhyupzuVQ4JzKD6kz3Ah+x5Vq
0dpGh+SA59yQC4QbFcDfufGMBkkq8A6orAsNcGc+M106Nz/hGou8Zhsv7he3eU7lL2JB2vvGxAFO
8HJ42UzhFvblfNNppfYqao4tyYxMKTznTtBbxoqX6g18oG8de8GAdzXFkCZeiZllU56u/ROgCTt4
btVpePLnoBVvQCtmb+4pKyMJXqGJeZA7+RPn41UXbxl5wmwLPuAj7z4vI23847Af8h8BJpqFynm8
vALz1CalKcKB5u3NvRQVtkXPmVpoUAofIPiIA/GxnZPTS5y/IcMbZ1qpZNXSHkcG2405gnqkIBcj
ZviuqdkKpX8r8US0I4Fj7PS1uLqKhqc1+sVtVR/BzOkKI6fSJvInNmk4DZGV2oJT+Gxne1m6xkli
1nTqbTuvwBRoJDAsOCzbTB/hXs+urXfNbDPm/+XdjjojI9RlZjrDVJAaV3RBHiucDW6pzbmASjYV
RU90N3m6vY492ZsxM2z+usRli+KbXI5c8YTwH+DBbwB+dmLh5imC+kk08/dTJ5huHP2qsQh2Wj4B
fnBZzg2sYxBmdSaIRkz0OZfFKVj850zOypditm5yKa7IxSmiaP4r+Pwo0DXGbouSA0/GQ1qkxUss
36C6251EDRpsB2PZjM0RtiQcexQ2aAnf8t3JnvqQ4xKq2qxbzkbfaLztu3t/aDTTFfwxzkEUWldG
tLxDm1yuvgEtaI+zPd8S8/PzjwAfjSypyZci/xZTSNHao3XuL0sPqwJGbM4e2OhBIuY3jWCyXO7R
U35L+2IgjjJ9z46NAxyGWJbKbXg523jExizUY/mNatF54JRcUZmOmE2xV2L7COBIrqGp4PB9fz6o
mTWuCluKrBa6Y1NhQznGKGMeApspgi/0iXbxwB7oMg8XppvaNgmh8b3TpnmnWKHPuoHr+jEyZ69Z
rsjPCiAL/B0Jr45VbRiXMlTSP355vyiYhfnKG1iqb7wPT/sz/QYmWftfQ1by+6UPPN/FGqGHoQ4q
uLo39ydbV/YQlu3rr/5HiCjdKeUAZiR0yuxf9PLmFjHhKpj078Z9ZUEs7qHAHZsxkWNtiHvdmh8/
0Lf73asi6LeiQPDznubwVnS11BI2EQQB9x+CMrjZNxvoB+EfYyyAfDKNvaSNe3icSsiGpSfordPS
kSibhRdNxRTNjzMDLzCl/a55FEcBpKeaYqCxT5MT09BdmQhHwUeh/Iyr41to8xfqcN2HrpYF40+t
1kP7p6DbeIY6GphwO5YxPbgnlHq3lYG7Brq+D2STHA1IfOmH0mbuN20W+tGCMJupq7hjX0mI8vu7
dCkgFYHo01PYS53yHF9tS0QIxcU4Mlv2XCBKITMT8NV3fb6S+JChpi3zgP5Qd8NOuMshQBss+oDt
dy0UhXZB32xen9V0OwAU3T8/lqE/JS3cQFJlk2Jk2sdzv+AZqHCJsZjjDgXDHF/hVn8t0eeYVp0P
kc1cQwI0SVt1R7Z5O2HVdWnSq9JCJQpv/turQPftBSII6cYErr0mGt7sKH+sjyJOeOxfr9g4QKVy
PQ2uOQHPXOCZCzSzyOTfI7tBEnaxCVB9djNZ7hUdxomaiRi2glVK3ehI8KAJ4DvRZ+5F5LTOkiUV
8Vl3P0blKcMnvZPpwXV8lmHR2xvwpKZ8/7nsdfCRHvQCP5tmwLoJb+fMgtaYRPEFrRG31oSSas+l
tvOAFvaUVIG2J9etZqpmKR76uCyvxHeRyLSB+EhH3dzw4oXN5ZKequ8jfkSWl6gDSpADtGWtOh9h
xyKnsqPE+vrbtJHpKTvmNtQ8OM3atg9fmlrLbae9fTi8DEMHAEe+vsdQqyJscFTgtEMrr6DJ4HF8
rApDQLoH5JXtaVwX9ztThEAtq8U0noYnKN64dVJGE9MivFxrLqX2bCk7e7Iwx91xsHu2Qrd6KZRk
4fxhWzppyUKl4vJh8rBCPTfCVee0uIaeFTYuDU16L48W9k+U2inCamMIAFtkpRO9ibFv8+NRM9bI
iIa1CWdE04imERr68KvlM0Wo0uLdT+fUCyof94kmpRIb+DaCzTlN4lHLaIREB22K7MxcM/NAOCRC
ry7tSroidFF3jW2qpowNVQqD2QxusrwXfkclu+7/0u8pT/QQLQs3TyJSM2qTHk0I/EuIq202Se5R
GEtlTzmbQfiP1NCZeAMM2xR7g0aAuLFSWhYe7ciuWMVwShCWzH8NB1x8IsiKGf6mt6uX4OTlORPq
hqdN/mZvnkUu/j+lJYfjZByoek+Nsl17mXSn9Czlny3tgLDwePxLwCKmkNz2jkrDgRuAwok0q7X7
c5nNZCKEN5zTCn+Nd8rMx3OjuDpLJhSYbKgKup7rcGn6SNWOrWpLi+Fki71MPuLF06D1dMnthpEk
/MWjNp1qfLE8PSQmGhnHUJ6MlyBHBUPWMDsr0AQDpHLm7SiQyB+N0kIV2INuNOKhnHyDQESN3Bum
6SWTu2TVzcIiI+gwNd7DlWhvpqaZoZBvRl1rZh8v/fsKLFtJBXj+b+wkpq7Ex6fQrgSrQktttkhr
LgPCIpfYXLTKAKraABt1A/Exa5tirGOK/WikHRNDkwvIQ2834Qk/dcSCn1hz/hxSiJ9JlJLtr8p1
W2sG4l/8NL+W1r64tJVyC647/lTHlnV9m0fMxTwE3l0lqFLFl1hZw3kpyaiorFb+shQqvauaxSfB
bhYugYovxRdkuXv2Nu9p41QP6fV4mWTWhb3AtzfrC1vSVPjWcz3d/CwvGqFkiBxFvUUHUCfXhmvo
RKthGm4OqR0v8Bupxq6tTUqUloNQcUbiiCsHSRVSJP5c3fEhHc+XTfV48ZVzGXjaCla1AOfRoia/
g0JJ34uvNmUDr8g9UylakBYdMdycZGImY0W5tDrJbew5HzSBhS0f0H1oocFgV3JRGiApoPP9QW9t
6KvQvSF41Q4fL6w+R8gcokctF9lIWW9ZUS/sr8w1asTE+/NLI4cL0MTG6cQznyG1QLVO2pTXQPJz
9cSX/6AGqkNDvMBWPtZP8z4TYVsyYKo0yPtPrcHtBHkKLqDKZGfkkYQU3X5/6iKjvLxTcxu1k7zx
KBdS48qnaBBjFUsCpeIk1p89n7CVF7ienR6zbuIbapqJGIBcj0ocWVTOYCjPdGmJwu9LTkCYfox9
ANk8zJFWudMhypcUrOOz5ZWAISeHhJ9aKet7Yc61BTVEMivtG59JB9/z2GoXu58QiT5tFAPbZ0ob
Fx/HDwCnUejM3AKm1UNLbgvWApWQTG1ca3O7SvaBMG6c6JtpcbFcgsx16gaesDLoFHxzVU77ekb7
h59t37b7cWZbbUB14EFGBL2ev2CjnpXmnz6TB9dawqnqrGsTOQxFuHem5Cxn2VYuZeEXKTivXE2j
31uu5ZowezzDN++jg+/wKnJ/DK99Zx6YCiFrfXj+l7dHByvg1fCR0VHpv62PF7pzGqMKyJxZa9Ey
miXAPDjg1+wnQ0VRMOfK079eM/CuM095aW9OSVRD3S0OkQIwdYLg1+T/3M1kFg4m2z4kCWdje3b9
hIoumYFdwCy3ZICvdmOnHmRuhrxiOLPbJvaKWRZay/Mh0/dyGi2rxJK4gUVwl1rWKK6pXkX7vhzQ
AmDFLujx7B8ZhGBvVmANVcKpQlwCCYt9040fJkjPQ8I1EqF7RFjL2qnDmMI1ljzJkteGwtPUJEWl
iQliSObD5xxqj7F2HRnF0oJZ1Mt2dX7m9CSHuEBBm6iMA4sMN2ShKwGsrKNEoBalCLfrrgLzrkyL
VHn+brksRRg7O3HJwA==
`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_top.vhd | 9 | 71340 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Z8IPDocDLVFWGe8WnROf0XqyCPDUfEPAnKnHE/oYBlAX078DNFudtkqap/ePlovg86tQ7zyOxRVT
AOxycbEIzw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
k6Xnjv9CHmE6btSiANBVQOP1ceSlfLGJghvSeX+7+/V3O0GABROgYR+p5Sv95PvfXhvUkyyfSriN
fLSqDfTjUvBKimU4+b1eEHFit63lb2YvRibGb+9SoZyxFP56IKycgXG/kYEUT8yLlaOY5SMI/+HP
CSY2R/dexbdh4RtTUvw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DXy/3TDycnhLXAL6J9Bcg69/ltTL8hgkMQgiyUl0sph9aB6/XF97IOVR5FoZjbMgtZfmKBJbM7pM
mySXBmpgiutyWuJ2Pj32H8gVqGdu6czANsVAzLT/fXvYx8OUCSaHwl+4zp3QwJpLLEdiS4AXwcIn
6DTGu12f9o0MzFeXOzLo36itC8hvZAIktx6T8JoH4SvsAbxFxjopccHKloqyCfcUrNvk59xk0Bdr
+d/YMvgf2IsHHvD3dL+q93GO0LErmEiC9nDOt7jRPc5WzjrAWs5gt/GigQQaTj/WID9BPMmpoPLr
6KQB04nE2CiUuZN6sNrWKwHdjWSXYgWSzrcFHw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0RlHIKjsHT9IJGC5l3gr2kf4z0oFbFC7PH1IuxtHEm9oMYo2xaivbNsyqyUKE73sX1LQvfJH3Dny
Vo9lxLjEezEPGXmIAn1d4QvrvLBwUXFmpPyzMtLjBq0w3S+6dHZnWKHiWhFByDBoRagIkKC2jabN
q6r92blCeOBL2wjkrMs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VE5YuXxeAbpfPdR4PYIOrHoutbukH2o3RsBDUBUAh6zRt1a5WXqNhRlF16wGD66e63hnUCFM8wRo
A209znkx7/8msFsVx7AT+BctB/SE8CC/Lha+WXIlb7qChiOZN9glcmVasGa2JS0eRHgwGZmGaCJd
pIJt0QZaWw5Y0pXH5dZ5dX3YWJaBpn8Ad7t1k6t0HxYdNQDW21mrdGci9KSOoZTcmc69EIKmsggd
gjAb+GSjhO6Qcn6Ch6qTWEcALkjzdUZvBK9xNnRGW6K/WATMAXoo9mprBLPeOy6Obj91YHMQrQty
gG8hMWfxmbu5qeZeB//JvyhQOdTMy8yB7kxfRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51072)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_write_fsm.vhd | 9 | 61290 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lN2Pk3LejiclzgoJBUde8BaenDDfTvN8/GqETAs/B17FKtrdskZettlo3dJMct5FWa8tlZz+SbYZ
xL4z4MYUQQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D9yzJa6bUqp5ud3flOCMaqwieLbgIypb/289o8Lbhjag5DTuoS3Lo4EAi9S4tMDgo6QmvUFGPJCA
TXIlOUUtvG2AqOKUC5MAWp4mR8xWAZk1XC0pO9hfPMhRQyTwVPu9eQY9CPm42XLKw56uaKh75Scv
8JRj/ns/WwPMwRUKfRE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aG6xUH1A7aXH9Q6Iuqh79Y+bCCHxBfGnq+jCAOtzGVutEBvN8v3STpVK67uffDUNBciixcmqaeUf
qpq4M3bnlrdtQtyPYJdgEyiToaEApDVRcWaTwZJtFrZJF+sJmHz7pVFUEV7W94BJdA9Gdoru0U6v
scpAS9B8pS/yjMFV2Hwff7+q60rQcXYq8LPezbfzp12r0Ict1fvmo+Q8grqKct0SL1pf+WhSAtgj
ia8GrMprxixPce6US04Q8D/YtJNnTSnB9Vrdk9Zp+kImFbROWHwvqNn6UATn/5fRMCVTRAgZ0dls
rkn96MwJPOss4KRNqTEiahbSQHDgUkzEKzn63g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kgI3xtgsmALHHak7M0WWHQpEhd7Sv77DeMh04/OTO+r2K5H5qIbhaLq6q4cHLCNOnoGylRZ97lRd
meTFBm+dT7hnrHS80Jh0DAECtX63YAoUleJMGsLWQyeNQPqELFPeGvWmeeSGtUuh14dTqGiWEim+
xyKTl169kedGsDtQA2M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
db69Lkoc6ipzKv5XnuJ/biPgNL3Agg3SrdOaTNJqPBKqEW5+Ob/5Y5O3Rosi0gl3KflqT9TaWrrP
EwjRfq4gpIs53P5e22HWSa0eaaZBilrBkMDUuMaIM227Xob+gBPj1gBrkyoxljHmEeQTjOstYQFL
Wwh8re3dQp9EwSm7EhSHHnkqC5T7Y1wihkYVCKQOYzehcA1FFo50ib72CkgpbkMG1uitdMZ1VEB4
l2ogCzkNfFpPxRB06dAFaAg0/58Kc0AnHYoJxXALEc/STM3h9lHc7yG3qBLjC42srDtJUbXw8r5E
mvQt2MLvCydHx5MJbrn4Y3w5uU2M8RRkCPHkxA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43632)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/synchronizer_ff.vhd | 9 | 8467 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Aj/RlqEIbEyNUglQ6DYB4Sr6apKLqpgdpZtrp3TgP9mwfgLTWPQMERHmACeEzTZdBicrTS/WVuB+
wvTuI3HOTw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lYZLkDMDw02cMhn70Axx+o9DTMKxFvjAfrzihcEtP+GHMiN4XGqXD7VcpJ/GHXeI91qYzb7bTWq8
rj8X07gNdT8tNbUIkFCJ73fO05Dwtfe2hpc7LwTJucSkMq+9Tx4KOQkN1Jl7fo6TAZtd9+L0sT56
heYJaurI7LT4n58FBXU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kW8kUv4TiqlSXY2MIqDZeQDJmVqEJvwyia1+Uk8EnUvO9sdi4JXvvsqGSaKuqvObzZm2AyO0LbT4
lxExegEs9+AQWFOHq6Q6taVi3RjIiRQHfQCh6zZ6fUPqs7gT99DzaJmBqfX6BDx043feC9LbaJ6K
VfKh6nCjLKzyS/0ZMQ6fS3cdKjQgAo4lZNcK90EmbKl8lUQ8D6vJs7trmHPT5+wNt8iRxiVOWEX2
wBRrA/3PQtYp7XZYDuLhQz1EBUbgNNPvOPp7XIZkwTJkReMgVPvsU69Bj8/kC0c0TpCO/dySzJLh
Qcaw7gLUb7AANVyw5iiTYFxS99MxtXrZe5t1Fw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3QavAN5alebaK4zREOnVfYY9CECl+xqwnxtWZKv2u6VDEPh6kWhgGKXXnzHdUy7GwdY/g2jiM9M1
akGCrkF10z37Wu/FE6GpGp0eYNBTj1pEyxR/+ATAU1lpsO+JU4EK3vgvuBI1IJEuVErQljFgA0+d
CGojfKGG9niKGLh/bak=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q154svWiCICgQpGqRNF/1AhAsHmIIuRH5sn4t7i/su67jtl7oA8spuDVkLY9Yr3sdl43EN/UHFqf
TFDJ+Y9ECNTwKZq7oJuiNY599DOf1j5ePH85LX+mEgqIL3GH3BHmDQHkcrPK6ZqB3o6LYMtWGIoo
vPOLqTOxHBOIbPdd6VId7HoWDKTyv5MdOn5tR3ZcVw0Wf4h357QAQfnveL7DeD4FuvqgFpO0rPcR
em1OaCGPzHHtmc5eFPc2t6vCKBJk41zH4v4v/fWgIMAD/8mYB9/oOWEnr2WpJs2qZ43hvY94jCUN
MJVFmXLDbOqOwdSJDBe4f/CPd7uWXRj8pWf0wQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4528)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_status_flags_ss.vhd | 9 | 23617 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HglTB+sHHnPao+U9Ql7tKFBTxfDsgdIetnEFiYKmCFrN7VOUHZvruIyyeYbdtbIquVv517+HXpNN
xoGC1Qg08A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCQWeVa+fYZXdQP4i8JwxYiJZ28U7G+cZ4n3Z0OQxpXrDTmHOhnoIZJsC2PgyuzcnczbT+wolGb8
c7ABY5wXPkAljAKE8JF5HauxFwBQgF5M0EJ+HVT3nrLpGGO1DqEnlf7TItIPdrjeCVHubapnRqQJ
ZNq63jx6eCrNxAhw3L0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
2WwG1pu3ghK+304ug8twu3f/N5GJCrwkbUOWcP6FVKSEKIeusllbiuIjW8ie+AxH0zlLyDuA4r6I
R0IgUftD3xsK4SNAczrnpoMFfcKW0szQF8Jd0oSinOjSDX9+FsWkzJeeqiy1z6LBWZrpwjQBs+Za
Zpu5XQe2Ol3e21/18yxKvLxS67tNuo2qv9TQoP+TpTudcL946p5GWQfS2pPji6SBnfsfVgcS+Bua
aSN7gWt7KRQME+TIA0LEK07MmqdboZE3f9zcHEKGu5iobELP/MzGC5s4PU8Dx7g3e/nzL3ekC5g+
6vWcqg/QMEfCNTtTR6Dxu873BmmE8/H2OBZAJg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B0AYmkB1m4EKFz8tCgOj998VJzU4yowmHujN60KUk6b1BHjyDh99WyBULLpgwy+iOUjlt3slUocV
9njGIjJYUFaUQUxIcbAFen4RXoiDRz6sOMcbLm7SKFr9ggK9OAmzMjJ5D2aeiLZZfUTEdJzvzh4w
JX378+NRQ9ZteuBCAXM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TrSegPYNfgaqAhrmZz1+2s+VIE4oGQgYGDrf5A4cyg8GMp2K0vySQDWHwyKjUutbQA8oplTv/peS
Gl0VYNRvXzATRL0gPoUFH3VA1CcoYS5NXk+kKhTNk+9hWvE0yQGVb9A/RBCy7930RuFcwsyiSH/S
2KnsWKERac8qiD7j28kWeCptZ8+01AyOOdBSUNdLT7RrMUiE60XjoJSSjV57fwgmhja+qA+bTX62
qJYBoeIrhPqGyCQqsNhuPXMEhwsvaRkJELIvJKbfngLAFqLIhi7lD3m9AUJfpuV8baQKKf/UAJuh
X69efDKJINI6lJkQTjYUyRKiCcJ6/+o4oaztoA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15744)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_status_flags_sshft.vhd | 9 | 22948 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TRcoZtqjn2mG6m34VrAup3Ue3mXUdsp9Svi1yOdUyuJ18aNmZzMqBL74/JYXAGMp7kyUseTthYI3
PrI0QWq4hg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FPMMqj9RaKUiXlcYwEXFaXQj6iTZvDXB3ovRbqMXkBKErB6okVtT7N25cw0P4DrPAX3uTf1/DjZP
g9FGVgqB8LxAQapqrXO27frLQX/jtpP5BRN/3UyLQQ5tmeo2wvGsRYc6Ptmw5XXPXjfubR1uMIvq
n/KnJrhMohYd24Nm6S0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hmQ19Z9b511LayHM++uE0XsD77aCTvi2D5+tVo/slhNcSgfFOCWou7QB72DRXWqLm1hI0yRUpD++
6M4B9gDAGymLNt4kJfLjIH35OM6bL+USAdP5LzqI73Y5A9Ex2dA7XXtW38Kapk4g+yOtlcspGQ3v
mipApCouubIDIKzAKfit6i+3D5zl06BKC5+/oMSR90fI/572drXx35dvozy88MbJh8nfL5OjT9y6
tufG7IpOsbuhtIaWR26UAUyCxZ5GOOwUvB2fS9q4Es/JzlrcdmqBkwl4ixHzLmdkNChDQXjCJVtg
DP9+/cLV+QeiYTROca+/iv6JtjPVTSGXYAp7KA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KGFNy15frm7DKeh26HgilQgudk+s92inzv6E6/4SwA14yIblinRVK3XaIL/wyVEMSS/Nvx17YpaU
YVWGfrmqIII72ql+J97vctL8UoKOHRk+u89CmFhhNIsJnQoGfxdh8DOXKgydwIo8DtyknF+rigwD
Wrn0cHGnf+DzHJ1IlOw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IRcwaJ9nbddmu/9RW2bhH0oH/sFHVn1S2tsx6hwo1+XOm3bHWHP+ulk+4hbdqZE1Olkl9QC1qtoY
ePy+TB2nSwbY99tK/zHUTTzEr9V7pe7cC+aCydi5fu9j5sMPnFmsQadzUgekG4OiSkic9/qlG8tY
Nia8+0GODU2GsN9eE2823xR9kslK5c5LHAFyOnzohuZuaNQpgH9FgGbNWtWQ6Q+SNaCkDDwUMJRB
XMWJMBZ7ADG8CfZuEbYaJpB3sP361wZWR2CVzTkDaWPuOvVZnunE0ob6QwvKmIm6q/myrsa7qCip
ZmcNqxskerCWcqETss8HlKwYY/ryLcmRLUXsbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15248)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth_comp.vhd | 9 | 18409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Hrnq8dCJaKDcbWju4fCWpvTyG/jpOPI76yiyms4zR5rhP18uroxd2pidKHfd49ncBUe0MqqZynp4
90W9Rrrc/A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
C+vg4HAYcic4aGtZRxGMdzYD0fogeP7Z6MVwbh/q+v5PQNn91tf0Fe2jPpVduDUHCEn+fROm/5qv
HY5HNo7MmV7DyzFb0MzI1uxRMJ3VYZnG5tTtwEcxTvoEV5vP9EL19RhtKRBGVo4ZgVV5gGk0JKOF
+TqBUjFvIiA4vwpbMWk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JzgROPSPVeAOVRLayuXSpdp1weNvhVEo91AUmW62iTMGytjydIGArwh/IlChZF3aEHMk+8YMqUam
Xk+SFFfqw+3hOxLduRdCs/0PE71UaAFke9g8pRLsAWRGhTcL3HjGGAoMD5XfprmZ7n0LPuo5e/Xr
FS6ENXD34CULnNTIC53qRXsWJ/P5hMwdUcUMedqpcjgwszObQs66Wr4Zln10aNzbmR4mFKXMYQWm
QbiZDff6DJw7m7dAy2eZGY3pNETlIASxB9c7Q6eX8oYoOBNO5HuBW4SBFoU7CpBabxn2JM71BtGs
YJW6BCHHtuXJ1WlJWrsxqTZRpoxSW2TNHd4p1Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wUrFF/b01kfuHOSDIcBE3mA1IqhbqTBO8gfarlSE1mp/QLV+nqvxWRSJHOa0L8fx3h0xd3EXyWLV
otTsqh6P0/0U5990yziMzvmsCY5YjI94HV4U6pZPE06SgvnvmSDk8WtUXhCBMCitKLgwVUnzv7nL
yq7NeaZmrMyWKwH9bn8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
soDkukFMAh2Srgb+iZhmw1cTZfk1UzET6uR72TaeHvTRbZwA4tb9QP8RcQatKIw6L7eScVTDrS9G
TeYNjpJL/DzJm8yGgHbE++9FhpXm7gMc5SjxCchkAJI78G5j8MxjO4yKOkT9Bzi2dhU4TBKCmyb2
DA0vHWKmcuGWazGM/VMrGBaBtjHrjTZvrM/qUPOGDzpNncoJFtsoheP0gn/NR3/1da01ChgudW8l
neP9MXpNmmJfth4TBgYp4pnag8gMizcERWu16CHypd0TJmJDK+l1GAOeuTZsj4r4b1OpdnI+34sU
xpU9H+30x6Xg1yDD9xAPdrsS2lPiLKJt7ry9ww==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_prim_wrapper_v6_init.vhd | 9 | 605511 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
I9MdyPZOXqeUIdO7ZlIIhG+OewyiOI+g+if/MqLQnR9z2BrWLshrB4PGe8iQTRmhhMK2AEx73nQE
7aN2+MC6tQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZdNWmZFAqcPY3+l0PvILykSrz4uBB82iroKw7JlVNk34D5JFcbk+/WzGdtr/fIokbEmSCNsjbM5m
ZDLTBA075c9NSLEVKov4NK6etMs6UAexA259ne0l4H7CtrXL2mpflEJfoQXwVtCQGglp4nSpAFeo
6EwIaTkvDWaxnANgBuU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pHfz8rcgad5lQ33ZLcS8wC9hyUnRdP1F/Z/UvbxEMaRItbE6OB9DDDwdWUoT2udr/9x5Y6aas4ER
P4Zs2X6wH91E68YTGxdv0llKNatthxXUXTWrFvjD2Pal7gcIEASn7GQ3PVU4udk5ifrFAMma71HP
qpsv8+3pJhgIRoQuX4w9Rr+anRPywomKV2ty7A7sLUrUJpY7YHJ9xAPymGfmNYvodvg+O7rc//0p
oMU7kDCTUGiuvEHsFBBgIbzqeEDRTiPEaUNh+SRuaW/LnH7qAhLKPASKEPoBz7bJks8Ow+oSkDGw
csB0vkJwes+5GaS9rWe2eeXUkYBqziQN6nKYEQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RAngXcaD9XBZ2u7vnGzMm16g0PO01mfbvNu9/0WPuDswhe0NRvSnKISweFNTbYEHNf2lM0hYNv2h
98LFxLwSK/qS8ZvGHjiW+RxMMhOZBIfYfPusVXJ4WR5DfbI82hKV37zQ/opKqgRl+XnSq0SSLVq7
HmJH1UfVo49rbFnXriQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
htmGxvxRrKc7LuQtA4MLeO2xrHMOO4EsJmE13ZGM3YHCf/6Cdo+W1K5QNJKXHOVyKtgtsLVOIoZI
u7MWbAXloPyU0s7DIiVs/3jDyBXx4+8rdMBwLzI6sakumCo/C8jkc/rzZKZU4QaVQWovXGtmwVZ3
fTs6TrmqrdanAyl8NoSLhIeWEZsOBM/XSDt0ww6//hT/CzANCVSd2WPlVbnPhsfb/81ugZbiNpZ1
ufeSij24qPHw9u00Zto8CQkugtjrIj6ZCvFYV5l8Yn9sv+XcS/IX/xNB+Wllj3wgs8tstNfs3vrM
7mCSAJZ8vKhDi7tPP+xeGye9lh74n1l99pJjRg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446496)
`protect data_block
PRtmhOjcmkQPHqGKRn5QNq/JwY8JnwDhLvz4zIM/fX3cMNR+O4COOmFHKnCOGGFC0SVnE7zpsrcE
26DkxcQyPreZ4DioqygteOTOv2NrYe4RJ5+E7Vv+3qBJ2MM70LQZeupiguQ5f0nVTGQRuIiP75Vx
U3/Hhq+pP72qnjc88h+Bo9742Azq3SvR4wf7TsDZtTi773aVdFj6e9VaGSmdd5o9JMZdF1tb/xz9
lxy3SsP0yzV554wEauh9jsrigK0+y0IaUSp6+h01ubhvaXCkdHREexFl9BzFkHb+sqxyH2nwfcsM
ArOkEx6ceTNRbWWO4f0rccJD1lYpz2E3SYvjbkUrjTIBUabVTG/tYgfsXo4iHServkLBo4kz21du
uLODVOy5bk+57a0CCnKWB7TLnSOMvagKFOZjBjP8y5qk3Ul3p3JMCk6AA9cGBxnl7tHcTTFvVRIw
KRsPK/M4xiAPJvRJbb9CkX4WKFwS8+1Z5bAWeCSTNWbiNVMiFmPuonOg56z6e47MH8eEX7BbECYA
2IZJCbfHdI0QmBe0oP6xBzMZi4Rzx/T49CUBF0/q9F8K+c5zYI3QpUYprdfQ81LLp5N2pdmrtP6n
jDY83X/d/OL16e4IxwpqPP55nYcyHL44RVLsdnlbRouS56yAM8w+P9EGHKCESBbEjX9PvFFBI1tz
hGROuPSdkM4/7A9BFTxi30YZETBC+rRq7kku+rjtOIEteGqg42bbtbZ6qsc6EV85Z6+PJXJluZ0C
AjMRGzrE0b6aiF3VpAwFkCzcoFpJk03VZtpGpddB6ECx4P1hs8Y9aztaEPdUKiYifFfRB+GAkTfe
XJ/wY3EmPtsY7OOybinHtFtVAjZN4U5VLo+uDIz+BmUgnvxJvotZEHB6HvO9em3cABd9v6ipuqZS
VXFkZlLFxn1EgUDjcmOm0Zeyn8i8ToT3bX6NyBV7OVvMgeJj5zDllE0gPSuE4rgnZ+KvS2rEeDJZ
TlLm1zIc2Lf0rresHrxoyOPlcvEHIeMvEu5K2CRQH0wVHRdgKQt01/7yXfiJhb5RV+OjPO1JTv/L
MMnUqApnJs7bkNxRcYnkc5MHe4f3I8iOGG4OWCIywhOpl36SD13mEL8gUyFGb2n+CSTotVENS85u
2umG3hBzPjSCPYMGT6DvhIhu7M6fF7muaslyfWVqY0mQ0ONkQioX+vwyS7AuaibcX8EU7dzGL3b+
lzcjJIoMJLpMKqGZqJ9CKsjHODcPgn5+1cGUvhT7Bi6vtGnRCLWnm2WM5zzM4DW7x7CsUKR5Pfd/
bMJlpF4U2ZNOwMiZbDEuas3lJdhl/5pvVc+vdbmPPN4ZSv92clUbZK/1tvl7Ntx9SOoHHjcDCXSl
PgPU/al5D1rZNN4nhU9abJ1TwdSj3DS9bttYoi4YXExtvBtF+ta/Rp2/aUodN0KMRf4GtDNQhOUJ
QUhz/fwvOdXZPSvcnxzBOtVFmdeSa2cQnWNyvMGbCUVJGvXvBgnMYcQ3Q1wDdzFLi9WhOEV4Zl9U
ToN1js7ob2blj6XxrZaYQCdWq+W9MScA9dcJzThhkOruCbIc1jvOEANJbSuBxSE8GZuQhu3ikjpo
Gp4cgTzles/3FUDkM1/nq8J+6RxtuH7EBIRM8ogVbL/az62pvtglprlTyg+5eyX718tMS1ZdjPxL
f1Jss2neKyikSzSaUBRfWBZ9hlUR8mCJ0LHu3eG3iXaNPWQ+whBFBNYw2As2yustZEjwDbnLRosG
HxI8qZnNKs0E077HgB9hSSZ3PjsvrCm1eJHIaPAYh99kCOTOQC5BeJOiLJeR0HC9OOxGHzBtmcoD
jU7V0SnGMzJgpvyVxvGz+96eOjtU0IrPpnk0tlpMl3UXno1YAQmKbjfl/w6kth9zmLfJg8AcpZBS
B53iM7OuCD8OVxiS5gl8trMo4xPS78KVfwSN9+qt3amxdm513VzjjUyY7u7O2Qran1jlv4S19xEb
ycxb7s85Lxy5JOtlIRph7Zv/CGGB38atkVN52WHoTjnJqr97iM869z24s0e61qFCHnROi3VlOvOy
T7PiiktoGggoHBjqLU4AWxY5Knof8R91C4EM+Yjgv97PkHUGO33WYGxqsvzWqeRW71gowAj7Y4Nr
5Wqz1bWxF2Nkv8QfLYNcdLC9ekjfLYcsQ5zDHNYIsxseQgrOz4Z1tLQ9awLyYbLCUNX7OVacrQQp
hNN+IO/M8oW5EM+0M6MqXkwZdjlIYiV36bPjRcxeD7ArEsLbdFYHv6Tbtk+PNu1eCq8foIsA+9Gr
As1/X8iGhykZgXyGNcAlRDf/ym1rPyauXnBPIeB07sxJKOFNECPDfAXFoxb469RhXW2me0o8wl/8
GuaJFeEIkfP3HELFC+Uu0kgpPs1R7mcxUnQKy4TLvnmBj2uSq63IYzqdycG3XPy7BWq8ezntl576
pxCLcO2XhSVcLx4fz7YqNR3IG9pn64WvisKSf73XhYUVAGJVHPz4DqEqzBv6Z2FmpnPgbgBsk7sn
cxSAVeowW1wRT42LKzNqoz76cZIS/BynRR+XYbV3CTLQ+6vMnxY7zcHVCUOsWMd799AGrLSvXnVk
XbPk6x/ACQiydUum4aJb+xMcbcmVThgQiLS/m13G8yjAg4N5bkJk1An4lfS8nCtAnS1viwS743+k
bJ1BzioDpq4V7BpdU9YT8Ii5nWHyJS0zalxl6bVzP4+sgb/2HStCiXGZpeS3HsnkPP/DMwB6fH+6
472ENYSA6iYgMleY0SKsaa8CwKJ6vZxAPEozkEfe4lsn5TBE6efs2dy2zYWBA1FPJEsljWY0MAGl
QIU0x8sc2hcX6wk85XRkbHgpD0DIJ6Q6g0xFJgw1xHV6/Q/oEWioVyYTHVXjmykctAGnhy9BXhvi
akRGLMplymL58/zUk2lYmsWGz/eVlPQ5k8tv0ijnmQ8LjkNKcZQEhkKWOJKCNeqYy5NCaVDhoTeo
iNRTBnxhJVfmlNcjDVjEKDsYXy04webShIwS+MUOrs+xNiW4KlgEoMKZ2rbjqmxxVSFSvvxk3p+n
T9tbcUsoU9FW0EKITECD0xiokEs9Z0g7CO4CndpUXNDwvbAkwIr23HnQOHKmwinlt8Bc055Jnvyn
x3yaUtOX6UKfAHXbxpr5zBAaRW4WiYG9tTH3byiNDCf7nAm1ml5DnT2AhAMwOF2gW3ZOVv3uEPyp
S184pJ7eVkFGiVVwKVzcQvk9iNvxB4MPeAJpKx/oJIwa1xMZjwB3e8jgZxdAl3qTa5t8qoX4vuUE
RyiPASYa9TA6KGgLiztXe3ZoFzzVe2UFTi3Tdm+yU05yPtFI9Z3w+h0zz1VY6Ld37sUdATE6TkLH
RBcbS/fupjoqZveURbItTwYQg1AKS1wXdwduk51A8bFVouzq5wwGrr+Hvos4Mw98r2/veym95LCI
8Fjr2Y2ge9vkBL7qm/xzi5/+M6w3ozfhY3C9/JkWya5dS16k1hRSd2mTphe83+bde6MgXPNWtvr+
OzmDRi7LQo7F5uzGmdHsxXr4a+VLcjcEIDPiFKmQNdGSxFEmCAtSJJrS16Yh7y66IJncehpZBNea
LARPOQOzEMXb75pGBNom4fRwlRNyPxQ6IPqtyw4StfFqktXbDRhJPWOHV6I9xvyp3jm3Zg/j8FG0
io2ML28Fn6wWWvxYYFaxz17d9IgYcZKrJry7IWcxs6Rq7qElv2naf3Q8I3fp21AZ7I5ajNeAuIWM
y94O073FxeJl6Qw+LdO2kcvAQUbvC6dITqk9J0lcmLJG02M0Sdt8H6J8njZxPyeyAwL/qQrHhTZu
bhmg12rL1VtUK4uqHgBZlwzkdkVRUhZZ96mTq4R964exm2ZtdctNOfv6Stx//kBe68F6ihzdSIv7
kjJlq/tN1MFN8HgDV+6soADzgfOSr/P8wKAGjTMZ/Fzcka8YBEZVL82+bzOKTDrbA7yg9LzMqxY0
vBW8fndRn4aC6aIoiInzyKyOjJFXVgYBNneSvARYeUxk7n1pI4gChXAPjeu6Ks+8ao9DwyFMJf+7
tU19Ylf4Rxp7reCjY5Z03exnp/nolzfW1HrXHlizgNRt4Q+WpZYYeY4S9lQnBTgZ3eIEczEbo9pF
Hz825mha4ypIVYgdZe4m8K7U6qJEfq85xj7mnC97z79xErxWAj9f0q8CIjkuKD2mtnZNrTpGxyf2
W/75xJVp9D4NHiAALMeg19bfppfkE0X3ayin2EqaYLJQkMNL6EDMsCVEAxYnGMUYLpEEz68MEOiX
wFWFcs1oRiqSvVx0F9eBZ+x1RKneOThkxBNNuv0OI5DN236O7AFJP2kyTOE6ReCPjxHB/Co8rtoT
suDzzrnBWSNiz9zgYoO++ConUc72sfJjCrVMwRUCkHJsUbX55aMjmnmKC05SSQJGEhacQ1tUIY4y
Vne9DwQhfYTR4U7kF1YjZdtL2Y71iDBOcBQBGuCHsaDP/QhMQs0oKW510l4/wZwbqafko4/YF3zm
m8soX/Xu8NTIkWZxUrBF4EJUa0tlu/84an7VkOR6YV/wNkjNoIcxuvWMUWTsgEfFiU5vrcLsNEGj
q3mEMBvmruMV5hh4gXmsAjayDShQCCAzqOg+5feRfknq2oLrM3PYXpj8b+lJPVvrR4BnUjwXAkcC
WHbTIJGS+smAD0COHvm7N77FNH9KpTzLpo0clHbei+VjfsM1zK7nhN3IYnufkHJdkLbfJ8UyYOW2
tJlWktOe3BDY2NJZIU0Hbt5lTt4rJQhHnoJAP6cZ05EqdbKf08iKzrPsusu9kAiBJf9UJbLI61hX
Kffd80KFctsDuTFkqlcHd7mTAjai0lkHbxT/ijYBt85wO7Yojibrv2wS53bnB9bC/u80mH3LRvwv
G3dlMhmvzeCnE3TQkDwgzFaVKgdmjAc756Gf344lLiH0IUqyncnRyLnenDuS3M5AQW3XSSVaxs+m
Jg/YJULIDG68+0hIpgA8PBL3W4aC1765QeUYwCadU1vYEcGaflT/Vh9uRKUL2WDprwBv/oRvTF2v
ARok7Oo/j9/qzNrCgZsqb0AY6kETKg9Zho/YjBQ7RDdCNF+tSKet8s9BUvc2gkSMQY96w4iRAkNt
42YgL8C2koHMmoX1/mfyo/StL36CefBtoMnP1/oNHJ0+sLyujMgZxeFTxD0K/yEuZCtf7ojXEDyp
oVZRovRrlwsCd6d2QfQpJy+P7MDUV4xzDJyprLpHHxShOw0no6p7NZt7gCUQ5Rpe2DsyBDfP0ADS
RRTiMV8ZhvGY3mXZZhKKRKQiF2hF4xbyj4g52sstwLCMloOLubHa8zFzlstKALgy/mwm+pLyGjJg
16q0RAi04sEEHVxdIRLe7nWWkPplHYhrlSxso30NP723p6Dj2HqWC8QcXfsYnHgCObEjJjEBc8sd
arBYku7K7SDoXrYQv4Wgi6eh5Uu+gRWQl+b5PfGh7tJzNS+Nw0I3IaqM92o7Uwuqar1R3FJfgV0f
rgk2jWzSX10FOgj8deD01oM7HGaHYZzEK0zGD7SCf6wXAkeSYyO+ggr3xvKpg73H2LC6+IC7GXcE
D4ufW7BAqaQjBY9LiCw78z7yJd6clfCPf7f2O+jRaEggv7KKamcjjkK4U2odKf0DeTKsqzSBcftM
ikzfu/wQn9gwY/19vGhBxu6zs5f0OkKr3IFd1OeDt8RtacP0Q3uhPCFt7OWMsWR8bzbrJ4siropT
EVgmRl746iYmC1h67FwrkQfXo37unprAoNrBoZldNV7rd0yc5amrkEn9Q3oQVWTifAH2ZYQiJ8FE
LYCZUelNuqzV583Nqg6AdzlGM2aMqYyfRxkS6WD2wWynILbT3O3aCMWJJeI2yPYTY8mGVA6qTPfP
QAAzpE1x2sr7vCn/PcuixFq2dGH0Igu4mJTldDHRMy+fy6jXTTZgb4+Ma/yXiE+d1qv4KLE6YIXU
1encQOfgx7Y2ITacJhX/87BgdkUdrVAyTjdDvY+jNdhOI/AsWCPYaXAUazltwhjYG1D2VHJHckPI
Oj4Orx2O3wPc8ZanD8DVzPATXw02XJGluDqQNFIA17fypZSGPDLvgJ44JYElp2vJqBr1jMA2Pgc8
8uhFsT/QSds+wjh3OCwtCd1cflvVEOuzptPGvoygH5XSJjwDoJv05R74fEms2gdgq0zn9ZsmrPJy
WHb2gH0w5JVg+eDZwKgBmw9+X7lDeZ1/DcbLJa7VJCulj7pE6pzUQEzdCr9MdG+/BOVxfSOO9nYT
QS3XZjeS8kbWLoYXnNSnMx61xcwqIN7v4G9f9DYYNBJaHRzlinD49EbuVEeB4pEqLUqmBxKpvyWt
r7OgybSE+tnZzBOG3/x8UeeBI5sEIUqXJOHDP+pzi3ij7W6mb/uXHUpzihMw6OtryB1vouQOZrz9
PFnWp0MJBbYFXQbH9h9HAFgVjC6pgDxIye7jvLGpaeA7pIbam5ppudKTuQGOucTG4WDyJROWSa0V
ClvJ8OTxGhfw1XWCYyYWM+IqQbWUGFdN5bdg8WqfDoHB/vHVyjgQEAMYcE1XlH6ZVnNVDzX6nAeI
CckSs/ng8uyCP1jD/sKTpNgPExRwuDkBrOKRamOzmGJjbuB+eU/zYc7oAJxbS1M7vFIjRsStyqWx
3QaiPZLlLiBkcOY/SeVqilxfxQbh/nIdv2fm6XDvPhCrRT83r7vd3FLaKeVmMFbz/G3oGkXtKOds
nrvRQuJ7Uu7ssAIB5DvNlwXnrCwafbrdFPbwr79hCn2ZQTombFYD0KLAkxhvSdgCMcFMR7O7o4Pz
S2clh2Yph+Tps6tXQTXQb/hENQjlFJOKsP5/EdydTlJpPzgv0RCGaU/MxzHI76HMiBOZ/saabDSK
+Y7o5kDQ7VyoyfR9M8TgYCBwkmMMls5dP4xoZ6dEEbZavDCsOhg33GDZGec+kp791p0cPBQEtCWW
hqEzgJLiFoJCAM4EZReluSVQaqtGs+E7vVgIRUjJb4joYhQsq8k9vrh8aGvIyFGrFhovEdwFAwaJ
IdhtkwA3X0uUQ+onZtIDH0mSflQfD1g1zo906+spVXScBPvuCI66i770eQU1fyyuR0l8N/FdtvDB
Uz1C2HH7Mk9ZR24KHrYNFIzc7RBRFKkH6Mf+uNkVplnrTk4r7shXw6GIGWOpby/8XcXitvb6CjC/
GcNQVWj7rcwwWqD6C76Mml5hjaCFhf6tvHhy429uS0M0CgG8PKZILqsLD8OAcLP3ksTTVWoNi/Gy
WstJdolpmuhBIq+j1RXd+9f41YX8Oy4w61oqIsrGY5/Ck/XGN/5FRNaADrJtd6B4cE2RXCej0Cc4
0tQYHIpj90a4y2zSZ3veQOW6jBM/smt2vrNTOoXVJQ9K1ZFiQe0sG3RRL9M1GYDNeF4KCz4cppd7
FFmaqd5AKp9iXL+tNSNi6eURSTTD3XEYKwIVPQU7B160uBGHLMyxvAfGamwwIr46284vxo1VAhjz
RCcFWJmtibgVHF8O7YeguOGa10Fe8uDZYpVhllYyxKMgcTzKitJQRalg9yTe9W8OckG4lK3XDSRR
y/DFDBLNj08gxJxfyeBQd2brOus+XhDxK+GubSiTjXHw5XwhDQP6kaJAJe9BzGuk9cwAdP9MD3Xd
yfYFZwvYltixJyJ3KIU20U4Fo123F9zl21DSAE4M8hv9rg2LV5IHwdIXnMIEzOYS4xsuYLb7BYtB
8H3CuZFwNlg3wLtJADn7hAWPnV2nVLJv8u0JxcoAxKjtu8/Kmhg9LL/y1O9UtdNOLkESt4RaFdA4
zMAyHJx0N9UtqkFOk5GueqUCzLGvsab0nUYqD4P9CAZDYx80yNXjIKpmDMYxGNbaV7WkNZJKZ5i4
vfjj3eqTmI81pOhH2GOCmUuBFYVCTp92WugWF0h1BwkbXaWHgY8qmc7pGMZPudlA9pLNVK0z/Y0d
8H2X6i5HwG9BQaBx9XW8PjGK9fsyeqvW7nO4Fbg+RtN4WgwSc9tOrhYLh2jxB3ERdSA7UqZxZiQu
ukpTIKHffc03NsYtY9/fhJfNIDL9y63nSSM3G9G82kj4CS/42OwbZ4ytF/gB5IyhhgaTo38Hfp0B
IbgMtWvE8CEbU887KSNEEnVmXUGz5gWzYiBvmCqgWj+iHc00DywjWf4C7SzQJZaZzsRDfYHeVN1w
S5k6qKP6ZSHv77YeSHjPh1ltWDW4Oy0ekfDyq9fcunr0wl0cA4LLpfeivYB6Pkxpov1g9I/p95YJ
f26afglYz7I/dRF5nab3fqoD2yiQ22SmKTN+kcTs0iVghA7pAQTByiiVdlbx+jmHiPRFS0uPBCwg
bwry+O/I2zVkd9G47gllkstK4Fyize2hT3ebB8dbiQt7jz3jK1qSJX5vfb+7PyJTf6qwVPNpMH+t
b4g1oi2WRCvkxBdzSnW2N8KcMof7U+bkq9gqAJ/gD8uFHi6blR4wJw2Rq6rL3i17IhqXOwa+MjBh
xOtiyzQY09n1H3EqgJTtwTdWuBB1QSkEcfq9wdXv21w5rMdqzJ9ML6t8Z8Hx9A3nLrVAMHZwSYD+
OfbLIF02cuHPBdG7a28WlFCBbtgTIzwzfh8pIMgPmY4yw62nxsmXtCiyudUBa01s+yvO0TIF5Ud2
JPLvs3BRk6T5YAiwgREEMDbFZ9MTpCSRCfxEJj2kC8ydUm7n1+yS3P6opQNuTxudsmGKlqq1aV36
Z4iFq47orF+mmWEUueZZXheP7w8O+Z8fUTF4R8HFsuB+rkCbgQpzzt1aPJs1E7u0AUsy959lVWl/
nm9HzjiD38BFQgoxLD5kMolS8K1JpElzaYmUK8qD/MSSqI7Bym4hGkm75tENTi5bg4AxcpnkQGJf
/c2VIYhR26mDg8yW0d6eo14LddJXyT5W+r6QYdSYP1dRedtIaIXltRLw8nvbYca54AMqogVqQmt/
Oa2Tecupn5Oxkfjr5cJ5R1yx7l/ATP5GprwrKqORkNqdPLI4lzUcg2JUKRIk2M0syblo7thwrM8Q
E/F5RcZFqYTxd75SaQDCS3lw1c19UJya7+6cwHVNDvGIgAEzQ2fEzsyU/EJvPBp2EFjtmUVstLTO
4my9rl/0JbnHjRp0qDqng+1PXv4SzLdU3jWkeePVv0ruUca9nqDeGLh05lbiU/uIueuMQTKnitHl
INmIbLNDmjBIKUas6TU5CQkpgUzAuW7peQpZ+OxK2ZEEhJxsvhUcKfF3GqMwbw1p8Hm2EOQHxZeS
gUhNH19ZbXHQUv/HSWlXaav722yvD689AQVqGBe4tWfBxUhPH+VDC9da4lSyfV8YGI8pG4c/nW9o
nMuDB4h7BiRGCbJXZ6U7gCTyHcw9ITYcVC4bpNL3zoJWKIdGwG3SaEp+PBYbpNtLiBvCJRoG/QiT
ojJUGCwlu2Da7GIrH2n2ywCcPbsXV5THkVwbY8RmgiHxDkB6a/QtsTJF+xfwpsQ6zjSscMBzlDfZ
qW6NSMF/RH9G6bJ8l47v+Vpskey9VdIINJqFURdriK2jtYJVqInKzP0rYDNN4eIy3JVh6RlY+GnK
REFqrk8v/sqHsPka94iubMt02/dtRzo2v1PlZOSCun7iA7cIqUz9iEvYsTVp7+vtYnEv1R2TRIE7
2FDMCStHjIOZSZL4OspQykXNScz5bzqxqfg/DKy9G1V/r7IxDH1KyAc3/37f8r+3LDtyNCUMBTEd
XOYmGTEWtDczEVA9PjZ2RIbMYJSDPpUoF/1un43Q6vlGevdps8PvHTx1Tas7Mm16kLzBD+WNl0dl
SzesnjnP52nVDoYbiU7jrLKIdus0c5iQ+Mp5OLPW5cLE4YIip1ajBtPNW9VRiWhryEsRs14kMwpB
n1SNVSUzNSfkdaxEthybISgkFttWGJYiGJtuZT6uIQQCkgM8b5W1qrqrDb7a3bTlov3XZsNyNuG6
C9s2RfT6mzZmLEejcop5iSN1bY7FqZYrNx7uCRnGuuzlQAlX6W7wqsf2IGsBVKW6Z2cwISFKNVOx
t3shWmSp7zfyh0r3Mkn4VfXodOthTSAv7ZTI4QlgX0pY9TzZHf1R39sJP5XJXZlqzmjXszE65weU
4rtyL3lsdS4Z+xMtlQmmPGwcrdMQLAtabaQe87qVTckmXugyt5InKQxr3nkBFwKiz1fnYTboGU6L
3HCRCLeSS1gWwX8/iURJoT1XNfRWtKUk289t/eONY5AHcyhoVJTkgFp4ECXE8WSQHdr3AupruloP
GKJhSrrT9NxxcaEM9eIgjA1UopI1mv8WoA1YxeErrv15cW/nvIAg/crio7G6Q5twJ9BsQ0yVhiOr
ri75TJoaVJLalwMteyH9qfyUJ4bDdiP66b2dLq+Oc0z6mPWBkb+0vVwE69sFcDRNtC69tqffQ6AH
LBeccu50yjN894oK3SKFesuETt63tvG3uJzpdnfy9YPAxf+JUVnjc1fwe3Gk6jayg89EZEndQhvF
JkxkKm2rYpPiYnPGovmM0O/W8BS+x+6NtyK4pW+csIQdFRmDBR4mjZK53cTM0Pw6rjmPM6ChLee2
AYL11PgnHR2rPrBxhYcmTjLKvuvxcw1ruSe0k2q9YKhjSZO2P2Kejpq4PenEPmWelLh5Augcqqf3
+yhJzAHvs7Th/MV1lG0ZqnwfEkYQXxTwb3SRxHsT9c2pwZ3bVhXUs5dJ9N+nI33nW0qIFQfrEVc2
FphxCTXs/FDCSPesrSHpoVvgUw3HQhAgKSOKge58AucUepZmu4Qdo6wo7tZxlQIEHGqUwE2u2fVE
nhbmqya8Y5QwO/V7PYW2C/9nJ5AyqEDRoZB+KnUAot0QPe7vrdSSzyNtE451QzXWQE82nF9V6wup
Zcw/+xZbyQivdG/BrjhYMJtKiKsfgVLz5rPBmuQIKDxl4tlHZDbcNuiC3SnXRejIZyOxuBMXMDS7
oNKdMvG1IHjFtJpMasEaRMV0WKyljwgFkL/Rwl54b2nMnLj20ZTaxwFquw2husIGYQRxFhtpqsKc
u1ChlkuPnZnOUpo2Qg8Jrhpq+qNnkPAMnAc2H4/Mr7w+7S7mVguI6hvGQV6IkvW+b/LFPQknKIJa
8ldQMQE60uRtigLmP1p+c8BbJ1FY2pTKuyeXgipfzFgLvpWSp9tHZTAnCZE4U5LzyURhrXSXfktF
ulJL+1R+yeAxCW7+lyuhADarV2biNDi3JMQEIUHrXnl7ca+qvm69sJz7ID8DlhYYKCUcvLMVdJpL
+drbvRtoGCTVtkNomEjAs1Vp1u/MUrv1QcUZTc/6M5LHdS2JyANv12fYN8KOh8lildhWLaliIOmm
hyewDxaV/FCLtOXiSA/+60wlEsm2N5W7UoXVkM16lP8QSR31Lbx6Lgo+qlXMUTAUkoh+lY9EO8DI
yf69GMVjCM9UP/MJHpaSatGhC1LZTXpgNpidptZN0ttZD7KhDMPd1vEs2gSLu4zYk2OZvuZR2KRd
m18VJqZgLr6MQfMSZgt8JzC0pOdWBUkdqhA6hHXtDGyNRVkUBYR26vlIAooPkT+EitWbx/uQQxLB
4HMNLlmr3IhK7GDmoVsXuElbm1ALEHi7ufgnu1llnTiKPEy59geHaU+TXVXLx8TVeuItxmidOhQn
3yVDvOOyI0uRLhv+LIJpRewxhxVs2wFWb0NXVBGfCFHtnpQI9RHRRJ84mboYP6jtXsmp/MDzrb2h
aCBQVKHmVzixP0HtBy+s9Oph3fKJP+TFatsevNKkY47hsNSEtR/GvP+79GddD6sn4t4IeEyMCcrp
rhtRIIXv0PQ/Ky91q6Ot9hLBDf4mP08SLndH05Yei9Z+TP++kwrHIovU+b24LYIqA4NV9X+CDI8o
mVhFW2MX4OT+BUPOFw/rMOg59rBiqyLQqft01cM+kTvwQ/xKHXbi2lccNpPoSvtUyjfkOXx3sqJM
3AiGsM4yGjc1KSLneMBt5jFL9bTrQ+riPA/eL2IpLzMfNCgFn6yglNVJ5nsN/pNbytO/Y+ocmGv/
6wYG8//AtCXwpN8HnHv2LC4lGZGf32sjl0UCelaW6WcWXlPX+7eGnlrJZCyT9boqhFBa8YRPfcpT
3qGeGH5RxUVrUovOVXaQ8pT5XNNLpJNOESm35KExd2X4KgESkGKeehZPCtBHBFBF4kPhcJekWi48
ML5QqfG86pyrqJERGZCkWMhwyzizUPevKUb+YBcLIxEalnzWNdL4Hx0irx1iUmQii7WRibyX2F/f
S1qxZZVdZWld7tuOwQmVM3qC0DUtrDYZo4CNF1kYZQK0ZLVRgKlEBLaJEOxBCE0SXVKr2s5EsC9g
3F9ti4vdsQv2dfi49TMYfIljSp6peHLF7dEPANRkrKbGEilSGfbFOQP+qgYisP+DcPUDaLICRJSV
tN4kxEbs1fJjK1Fzxf1cE8pb/Z+C6l5alCnfTDMcCcMbF4+fdac3x4KqBqhZApGP3cRaBNNQGQkx
QcaEBECjf1PzPBqInPekcbkNoGuF6idbHHkVTux55EwJc+UqR6MHxragY2b2q1RWGLfxOy+m4IM5
tl+mqpd40IOrSkbnp+9iLRz/NdPogtfmuBt3ek49CZmeQ2WBM7TzkO+8/N+qop1le03OfoOS9OgG
Iph47hqZzyo9R9bSgVyFvSAbFcUhtb9UpnAU6HqHxSB+ufGlR/HXjRE77+2joMJIjlX70a0d8SaB
m9aYuDhZwnqEN03DL1gsvyAFv+kRNzFPoSWYT2JMolWZdJIkvFmmIP8f5X0eWqJA0m96uiBO0uhQ
Oxcmk6guYsZ7WD+GZEQN6S898IMIZt2G37B/5Z0o4FQ7MPh0yXHzQw4j7kZEv0CH8ak25tvgZsH4
bOv917GAT1NXq4BeOHkRTBxQLZgjVJJTXJf1Vv7huujhEaRZUp9e12blDJ4xKIW8D+c4efBvrBhV
D9qdH42z+wYy+0uGe88cKufjo2vbHodAYsAOwQuY6p1fCTx5Q/pWE3VD6EeLUP6oJ2FcMB9k93tO
4QMkmuLl0yoibzPoZGXI0OjQDHq83icKoiUWPTTLWBimiRM35CG3sbeguZAlvdwcU8BUDnpzhbBR
kTo4hHzWY60bIIo9ksPlsr2pzjnPSkVFAcE9LU/jagWuwHb75pRSIKq5e/VDBufZkuYPLE99/Tgl
SYT8hTdWkXe5SKEOwIEvU8o47z9E0Unaq3lWEo+gO/gWVPGlG3v7fC3YxNVTjoENp2Jvi1kJI9aV
pB8MsdbSGPahHiNP29wNQI+Ry1lBPvzfIDiUlXGpc11thxdLNdATCeJ3dHMH1yd8EDi7DbHiD1s3
foJ91NYrGy4QlgdzepYuyVB9MOKZxHKurWKQ5JDSSdPyMQToc1WQHZDp38yHIuuDguA05dat+6AT
Ewr59PC61CglRESPVXsNEiYmzQ/kwtXJFx6b65OhPX6HZ6JKq1I2SxoR/9lPPGU8wWbnmA/DG9rc
RxCXjYa5w7sFiYMZW78mx06wl9uMguQE9LeprDQHvnf1jIBYWQWl7NxABSUsOW/vK5aIVU24top8
qIDLyjeQ9ZesregaSehdl/kRej0YCFlGPng65lnMLvmxo2yM6erSz1cuQ67QCdHfdn/s9Hp6sKtn
+34/7K1cMdsP6TUtB1sqUKC1KcMybzXpAESqxJmblQpkdNmYOHphWzeMn4chKlJ6x89tlTEqiFpS
1sh+eKe75E9c0LsePlHcyojJ4/9R9KnSbxVsy4DBlEVI9KEHeVU/pjHP9ngSBxtHDBcNCnxUptpP
vj5VILTFtDpDm4OUNWOgQat/Vcg10mQyvDe5gc4xQIaAdaazjCgs8pXNtrf0X4f0nb2HC/98WUiF
afGuCVTW7v+Kc25eh7SH2Fk9nyvXfenhqFJyWOc1Nor1UmwcdOhXh8xYS9xRY+/1QZBqZGHg1XWY
PuDlmFUqIPocTwVisX1s2C8nGoGt8mgrDvub+dK1GfIj68xAl9/i3h9oTnR2Y2hQK5fJqQyPvZC4
une96DpCWEgT7ZEo9flJomFjvIV4X07jH1CKdxafyC1d5tz7RpDRTMgV5wXwlJuO/qPRMCJeE5R1
34JTN1H4ZwqMKcfbAt5hd20fP85eSoBTP7w2eK1EQc60q3qTRE+hSWnV0zUaOojOxvkIBuMKPAeu
XAMoTfUAa+3tS/kchhAivJZD5CW9NP2EHxTRtcQV+Jk06M7t0zF04lbO58sz1zQqARswck14CLZy
qkFrjmJtUra6g72Gn+0V9Q2ypLY+7Qcs0xgeF2uP4SbuPeNc31Aeux3qRW+kgyFkGRg4eJCY9eRk
Q5/sY5E31ozVqVaooYBZkObxkZN3EY4E8Cdjqp7CKPAFlONM8wSQnjAGa984zbDmO+lhJGKQMsVw
uE55usVyJXBfXY9qDIZLU9QLG8YZLGL2Oja28kbOCA3oIsp6y1ICxIwXtZ4xjAu4+8PNP2DLSneY
V02lTB77EkwD5/6epiqgrDFxBthBCkQu3koDlnr0lVnEvncQkHPN34tikDhyiVUOtxW6xSj4zCAO
L3sCrrsFqsNp7kay77pDPUPF4Yh5U6OSyfvvsOeHk2jM4bHX9q0Je9tsCaZj95A8mZrL/qyoTPgK
TgsiqjJ3zwzL9MIEvtjEpXMwZfdmuqURTKeZkrIYM2MvU8wwRg11bW/3ikiqA6D0ByG6+RyKQDtv
c8N0nYZgV/esMSkaIH6xQyRVR5Jb8oaRAJA/5tz8a+iu5cdbB8bu4TdmZV4NdMfCnaDtHkg6IYXt
mW608UcTRG6javvgySCcE7GPtccoShf0hpt5fgDkPak0iiP7hOuqff3NEL+0O9uFSLM4EVmBYEeR
dpPcBNNS9Dr7XskIkW8FHqg3mn/z5ibFb80k9GFMQlnDlRVA9FKbc9G6iIWJ0x6hXrRzq5bh+AOP
BtCtE+gbshrA3F5lfg1V7TXbtnjVBAWeg4doDlNdcDUc1K8FpAm8XtZ0aUSLBSzHgqzPVYjuvz7R
IiJZIs12UnUNebMTRUfGkooFAK8vKqzYM3+hbxqUmK75aPTQpj2yr/2h/tXOeny+n1D7mwuwuxaD
CalZQGNfdr/h9L4KtPnLeEwE+49OfgpOHgrpdTNPSS70vSKAf4gS9bIUzDYaVZIEaAOAGzffHJJu
EYKUFXM2RQldWRDRBA37bINn1APnRyqMZdqcbxlC7lNt1/kkU/m6AMfFfmiiwbazNDxpU8o05KHK
1G8VQRuP/mMAMWRG5yAXacGzFGPDTlWxtWb3x6bcTNIgHsxUGcV2EQa+brCEFP/I02rKZLZQiq98
rHAH/mpDiyzcnXuKodshAiVBhwpsm8KQl/WEAjcGHy2gJIE6W78QI45+lhKgYIS9PxD/W9dM5DY4
ge9FBuXFs6Xo2FmqKDmWypurg8wi1nUxUjOUQlxPTI3PZySrK9J5PAV3B5DrV52YpUWcvfx/qmjO
kttMcfVr7Ktixwp3U+ZARoCcK/eNnrDTmIYoovUYhSge7S3Nc956+LfxG3kWnJ5jQWDk5mIN1Dnl
hYGlnF4yB069RUpPQMv3bYwcFlFfiTniKowK2TAjumWxH8YjMpT5c7axsDIYdiQPeVFkkGDGLlWM
d5Qlai+37doLqPA+/xCzLBkLQ10mNWq7RYkX3g3czlBJ1YZzKtqIMfVNyo2OlNOgtrSJS1MQV4PR
V9uKFG34ZxaL7qL72VIR4BEvGJ3pdbiSlbpQc6n/wKVRVB9DQXjycdIm0UlVnKOtf2p7kNVFV17P
i08bOIQI0k2N0nzIgc8H3f1OGsKw/fhyRq7Q4LcymRue1O+cRHvSHEljQFfAYgLCMTrfXfgxUqhN
7jkUqutRHo0Bjbbzd7iWChw8Sao1ce7WPnhXOBeJNgQZ5uxu8r5ELJ3b0ISPIIs2y19BqxRPZz5w
VqVzeZg0RS2vy537AAVfFk7huKyBnhtGyxytEcEPaurADHESKYYos4ft1GX8ph/nuINygBbqxJjq
S+p07omVelYpZIt3B2pH34JamusgiOxHRmYINHICoqvWYKITKbwJ25hbAc7+Ylvw06zN6qhNkiby
SVncNReLiixhqbZnS1x6cDBEoyEB4FXX8O9Z+zKS7Pie+S8MUu/ilaqO8QOgihK4nA4l+Gbqinnk
DRdxT6U5QMY0gAOXfPZoAadb4xbJ2nsO6QEVnMfg2EeQR5io55DKmh/Z5oiOw9c8mRms3rS9eqKA
IaSbINWpPzHD+mBnH+SLOPBxUIhYIZAY69HW65oakbTnlwlakxbmDoMzgrMLZOwVuK2HXW9ZM2z6
aDE/cTONcE6v6tPFHXuL5iVOH1NvSsi26iMKExXvw8o/unZJLhKTE+vxI3EYVV3Gb98mILyuIweC
TkWCcb1wZRq6DK9sr5EtKXcWK9+wCg9XlL2/Q/Lz1HTepgZf5TmQ/PLY99MQ6MEZ8YsRN23qJ6b4
ZUP/CMdfeoDiUnkf4CBu6H+bbMgc1ItFltcZAeIyLXxnTFf388ZC5Kn4g6u126EKcoFu3atQyqw6
jcunQunravitoTZLJNE0BFCLCbQOz5CN0SfAwWt0F/UBvD682rY22GOvEuQUK6jADQrbd3mmoV+P
EVy4GTTd7FTA4jOsSW0w0r8BVXsEcdWmLmiZmZMeGc1+uJpHa60thU+PWgdjjql30lKJQKDC/3mP
wlyyHSsBjTwtA5uyR2gF9sHNw2TGOUgM5SGCqptqpu+wYy6b234zk9PvnPFBkq9hYyr5kB+0dN+P
ocpIEIukJ5HAN5XzszsqPripG1B5PniSsZ3+zSAGW/QsxG9jCtZA/T9S9ra0rontSwgr9ft1Dj4w
2Nmd10bVrjPMKGCdecghr/3Hr4QuyAooLjHZqQ9czozc1U9bmzMlsKLE/2MXI1R3+NSJ7bPz5u2g
ACcqYTpzpp3qcweSPCbw+WOr8JrVgLSWktSZ6QYkTz47YGtlAHt5XwMQlW78jgMgbQa4gTzfItqM
UILLFtXo1SZnrUSW3+wWE7TDtaL3DOhvcoClXKiZLob7cGtiZ5j5EhJbUPALAY6nKjT80QzHxy0p
aHag2lyZeGFP9donDniymP4n9opi68KpJIJMwL4qekIyppFMdpb8LcanxlX2vm6/GmLoJHJpDGyg
XBDacpK7h+V+5DN5Eu037l/OUSn60UlJ7C8+4EOeGJ3igiJHkfH1GEuWkYFkXwjQp29ff074Rvs6
JwZ08c2UyHf0jFQKEy6OCKq3DqGUweu1FjXRMtumJN2xaOk36aH8H2/E2RErf7F4N4kwymURCAQg
T9a9K0rtbKxGyW5cjMz0C5JrIOQxsp5k1AjaqG+mM7ZT+2epjvYTdw2gFmCJk+UUXuY5ZOaQbbJN
STeGG94ngzfjzPJC3RcTFEwnNjrt4lkXPuBiEe8InEGyCd9Em51yQYur/RSyQ9mrmguuF8YvwbsF
aaOf7YiOmAe3J4AKnmmtBImAfkOknJ8b4woCldemRwGAb1L1rOAJr3Uaq5orUFFrvN6m8GaQw4KR
Zlr/oaXifDI+I2frZAmbbEWWwLgn2aMB39r/wWz0ypJQwZpXWTAJh+0IaGcnTyb+SSdcuMfGFT9T
4xptHrKnBmvmjuzLzzizBkYB8S+tUIOUzZL5PJWaWg+Zs5pKKEw7sejXpEOr6gYrm0crsoruu+au
pgMmTJd/ucM1NspQAWXX4w0AZ8frUeGoN61pQzF4YHDUTCl2rcDKN7oixjfZf/n06a6v2IqQdc0A
c+Ao8rsGz1ApxzWdJMrRew4nHKmNVUFXv1hoXa3RDqABKV2+3UQnjfJe+11yb+b1yTliuAkdbnCO
ZuQmBtsL8R+U2T+NF8U1eVBu7dp2LB7FHRyGcFp1FF/DUGYBJpaH1ehRNBJaNkeQ3vcA0lcEgoJh
fEm0erA9xgAQ4e2xQOsWrKIEuwoHb2Vv8ka2XOlqo/MwKw2xREKi0j4z69V3f5OPuNyteTMclzFt
jz/49cLLEIvJYmKZdd1uzNA/0CWPqz7HAXMLrJBRigtbq8q6UCD+8NJGTb+97svV6qKg18pSvBxl
w1+k5j4iZyAD4tC2x6EF9HAfrJNMsNDTGDugkOWsExJbK0q5IOgpR4dFY+Wpa+Sl01FnqBJTh59W
99wyw+1HwXVUznFHcFSMXY8HXECgDRIYZMWmaSwR/hv8JsgkuaLarc3wKjPa3yJJ6sqYaXOkE2xa
rVug2rgecbfGjl8D4mNBrmk4o3HW826bS1qtOWaMSjSvodX43cZpO/VBFSLcf8a3xu0SmHYAnxI9
4bVDwkZ7YHQ+mM4nCXFywCVAl0n8oiarWwH3Q9lIREqDLrWmmVPuwKJTO1y9SosmtK/oSgN4elHS
xb+y8/rD9LaLV5OFarSoA7OhN0THNADVMuSSwSLkmRxP8s7kJhyoETDKrqpqTvcnCHv3RYz0jzQs
tBU1NS8I2UHQkxcOvn057Oua4IZiPAWEghca4QeFwRvhS3Ro/wzfAwxMh4h5tfcothUHK8hOpBMD
QicbTagqDwi/zeH6hpjYJdOpzGlVNrAIHN1KkjHL+AmdHP+EWVJzjb4HI7FIiYcZwsnYM5LLcHL3
U+gIRz2CDYvCvo5j79Hwu0GZmkrhO4TyHSGH9MsgXOPTDGIy7526/3+rLbDmlj6B3z60yfgPvaXu
4+qf7lwqB7aIINsyAkJ/JuCg4mTJuoUi8fSy8ADGHSIfpTIJpIT9evdgf+032wf8P8Mezwq22DH0
ur09ry/ETm6c0BIX6SeMCJe6J1qGSeJA+N2SfBtw0IdzWO1swsR9XfKbyPMuGr+wcklo+xVSEp5A
5emXgUW8D2YwYEQpDOTQC/MubdIsLoUHOpwB4d1Kxyyh9SE3UJcd/HS86xPszsIcY6FTC34gBMAx
JrcCXUOjU43qbr2D5EKj+ftsp3+Y2aR1MtdHsfL4LdgbzwYaGbJkWx41n/5suoZgMFL+SYqjGG9U
wwEFms9XW5bXC8Bh1iPJjhUm4iLQurk68kJjoYJvNgDZQhYQIHc3lQUVQXvPRUmxn70vocKEJ1eu
kAP7tqn2vZhSQ1CpktcrA3k6FJmGrN8o1AgmKmwsI+LsLb+y1LAgtt2sQx7OfMu40S52wrdaaQqf
BqlK+4opy1jPfzj8SOBQHabyx+h24oA33x3WOvcLTj4RUtLMDs967df0pIo0f0iNWQ60QqiK1MQ3
bDz72ZosQ+pQuOlPmLggkAjCRKubVTywk5HRRg1nu+MHkVcMit1ViYjRPjzfkd1991etcmZ62ms9
U+QcxH4ynffB5dQ8oxn7aTkhsa942bONQsui9sj9Yfz75jfKgTJ0eEhVCZA6Uj9zVxfOXXz/As/P
GQC8Y61367MoQuuZh7DmesuU75uNEe3UnmT67UZLgbwqeRqYeXlDYFHZIRX2zjnpfmcB4pvlkKvz
/pAG0K+dnQi7ZnfnHtnt8hW3Vl9nFinkkA/RV0cm2TSVaNo2bksMgtxpPNNPMd+XCLYpQPPPMdbW
FruylmPc8RGr7yhevsGtPO9g7LUIAlpUD6AthV2YVSIJFIKbyWlLnW3VtfucFmH4+/SziTp2m3No
lV/bsX1BE8w/nnKUmlwzASnLb3omltYX3TfPg3pj3MxTVkEdho7C3hSOpVZbEOGkskt2f+gzHOW0
aBdE+z8c+AlgUjnLRIiELP/XvTpyXhUR5m05HrlWg/bQSF1ZpKN4ZKkROr17wQF8dsQhF6F1gJ0t
K/16SYP/liW1OvkEvHAGlMsK05TTmqutaQsN1O9/Jk1CGmF4IjDX/vwFAAPhuJKe2F422rtjBiHZ
m32O6cXyjR02O61WB/y23tdbfxsalStJUi5EsSFi0UGG9N+/HRGKRg5J3ZZ1WBzRNmtC6FgZcbji
9lbHiIOUNkhGyrjHmD26oL7NpiMQ6vmM03nSo07gBmriGWOqDr5BlpfjxLcJ23WeeL3pQuZzfGbE
TTpgLLs0RRduAy8MAwmS/MMu8G4XpfAN0jM5/pp4+jl9h+qJZ8Jg8VAaKnhpi+dy5w1X0gfQdIu3
Qd4+aJaoQbMpoynDWMkt/0wJsBfjVpRa/3UC0vYqeKYAkFyBDhq04kAp1IKX74aEjtDE6Oaq5iFN
VXmmPduAlG7GwsmSqKJm3vDDhWVbCah2dfCBTo758Cb8EfmDmzCZWE1bcxFx9Qb0D1DaldS1jPNv
kpOKlCfj9bTLwWdcWih2i1Asu/8uBL5j7TQoG9Atfmg8oE9xqBYNxoYYM50igfUqIPhF56REaTsL
X6BFXKHhQhn3sg46LBuuxd+9kUvG/p4FGWR+YlhE32+e3DNTJ2qpxpJULBL9j4AzCrC6Qmz5DPab
tt+tjETgGYu+32FFIE7bYf2+JzYx2BD8r6BQmMtQ6jL0Z3ilyajGHBf1SeChrVtg8EESFNT/i8cF
qDIanIEh4luF7Z9WUJy8irUMz7gSfXzA+jvhCafcyrgpVoTlLi93y3UvrPm9JHR4/0ZUkkCGQVxF
0TeODWhHwdjI11Hr1+QIOPtu55W3IjmerBUgi94MUOxQI/xeSFbDkdON0kwu8DjFmniRPio9zSsR
N0Av0oAyB9yjpf1JmeRZKBOnAFCzKYpxcfVtFBWAJKT5Z6sRrCVINx7sQwK4Z5VqIQzpPOtWq/h6
thmvC9TA4RJfz3AJladV2XA7IYIQbDcBM4uUm0vmTHEivrtdM0pv0xSW+1s2SzHlgnRklqVfTEVi
Dm+IKUpaf0oXJZ6W0r0WEGpPo2KN0iZnXc/EzXbqnUhGXs7xr7dvQRy4m7ees/ij+uB9oC2iFimH
QravagyTIkL8OnvjWhSTdMfEpi7Kkfbj1mE9m948Tw34Owow779AK080lBXS4kEXBLlh3JVCZ7zd
JdbbudOIQ0Wbc1lTnB3BJV0DlAqBKapj7w6EjSuxK04VVNpc8X6ZRR7sdNpRqIc/zIGC+uhbIV2i
p34MscV96ibEJ6KJ0tqdLgbzXvU3irrEbfxXGX98naBKDk1rDwJyyhjXWqIuybWgoDT2iMvQhX01
5B8iw8+MUMH/cXPrBaNNJb2eLJkiJH/TymDfEVsTPMQb807TC6Uv5NFE+GCaoF0+EfYfFS7XPeg5
lK95ImMIfO/uYTBNvYHAXc0g4Wrp0N/zGARZ2k0qkSm3uSCzo/HM/rkhjuHbt+SsG0oljoRsYTqZ
75b1myWljhHRRV8Xd8+OLMAkUJYfh4ze/WqVkdFgyUOfAj0LAQPXhoDdv5lHy61YGeGntey9hzbr
/RH3MraKqu0V97rB6jHo2ctOZZV3Li3T49lTC1eORZjaanyFtpbd5YbLq+HaXrE50OHYRWKyyOd0
25G2ABAyXZrZsaeVBsAb5HoEyLS7CT+0f2Z6kvGJd+yddqpn+TyTKoi8LPrRISmk5uDv+kDCv0Sd
RwfBqMh2Db+J0UmM1X9u8R71a0ufCQxTnQdSo78jAfHQZh/3xza5y1fJtYBE/74WxOz5WdMX9OkZ
YGUqnwpXqfRsUBPrNV1Cj+jkCbEKWWxbmKopcLj1LOMS4i3Jj3/slHx5dZ7hybZ/FIjv25ykhZJL
4VlxtuEfCnjMcuIL+6T5UnXdxdYu4jtiG7s4VZl7/KJUSeyqhMc27ldHi++U+w8iOSgz8B9/cAp5
zUNDdEzj4mnCUYfiAixtz9nNOjJqHGiSy6wqnYBB6cB6ExuE7kTZ9WPVGHnDXb8wxXjV4NpOEu77
6YOLbduOPD7qlgkBgYZOsZm6ET6NlIvzMdzYWd7JwTcF4RkoZEwYJvJHw5KJLtcIltXENn2W0ZLJ
M2XhaC6QTJlfHXS8yY1VT7ZhRDvvyDUftVqGcuJNqVaW6mkJX9Zw2hxDNIqTtxAZ2ZlqADnF5k6f
LnAKdPoJ6RJqr8xrhP1GGZHx7XqChMEyLgcnIQ1AFx6PX3j8LdVyAFCNxkeQ/qorqHYpBObEVPk7
ohiNvkoQ285mnfhhG0gKkCad3JHuXXK5oB8VE/SQ/PX8AZ7Gp5LN7ITXV0JOn/nIqL75YHlQsCcf
h3GPgisAupVJ6L7YNjPRioepPw3zyCjOyEdUigf/IamFoGwkNAVgUrgEfVkpgdDb1k+AqbJSsZ0K
ScCpdjbE0lIRDs5zcPTwdy+snta/iXA+nm/izmx6KcFh9ip8341m1mQv1Hp7hSF7prQVcqhn2k7p
KXZytGQeRPkT1QovQEPg2nkkDpujel9l6KMudYFDgRLvjMt3GfoasZKnKsS48lFuVX3piEP+k7Uw
SFYqxX66/kZdoeCKZhR7i48t+6q5dPY86efbI2xFynE547Yf9rN1Vk7cK58eAb+yWamI5aoa8N3E
vvueJFh1Qi4+i74PVGMsEGrxA9c0If0v9fgiyWGHXgNdkQM9cHmakKHlzWkMDtRJPbx2YzFB9weh
lxtt2/poqXLtXcioaar3XVw7sHgsKCS8GRTBqm+CTalsiCKWgSaQ6q8ejfq3VDUeg435fd+csSnV
Yl2JX4NT162dDKUxogtF7BKN4GHT+DbD4NfibGWe2cQje3WtVWXPwv0h4kEuMwqfenzv47dyGR3W
Mukf91Xi2b0KaY2r8K4I1GteHSADTZMx4+aV8q4fcQ/0jdhoj7Zg6UbK0VUy9nOqoyUfNihxAAay
HDG5TCYEvpqDKxRu9bHqq4FFKkXWTjtuf+ve5nosvYCZE3jo1sfnJR0v8kf9vtVXaNfSqHmtetak
iS3mysX1veWFe88/xLcO2aqDBfuzV0PT47apn/h/8DBznpSzXgxHRyY1PmxqjT5jO8Qtn5OMX0ao
z/FOIv1zdaaOrgC4oWAv6HAFjjLWFewJUSRDK//wNuL0TLXjerVZIF7YEVW0eAC6Ajwhx+H0ODA3
GQjzSSMl1P/+ZJfgAN+3RTB1vFkNNNr6D8FWraOrdnKVFRnGkKxFQAwvUcJ3Xdq0jkPERpeQIymS
OA6LpABzU0kAnffvH3wWa3qFSw0nON+4mKVtUlfy3gGVDcltf6g2fXu6r2y8ssfetXQICBbWuisF
2mhSa3AKZyVzD4vi00OZGdVZLB4BLXyYMA5MJiJIMnzEGIpMXHl59Ap3VOo/gn+z0hr8vtOkpDPy
lxPI8hS9EOU+hoUeavWo4/kRa487WZRe716sWpsWAhDd8kmI4+apk7KrMFBP9HlOfsUV7d7GO5e6
0ws+JOT12Ek1bt9QSnj32HrnODzr/kyjhYmWfeCWnt1T3cQ+Wgwzr2TX2NPxL9MeqyLANtR2w9B6
rNi516CRmT33BGLEIWKbtAG+PcDd5jVAFodtIMirpmTKM9WObxgC148cllNbckTZZZpUVYn0aT3r
zOo45uLotEFgYggszD5CF2iAJeK/mBoPn4tF420H2JBIOJ67QdsI1GE8h8+r2BCuFIQ7EkghD/Op
ZXUOK+DP0qd77QueTnLmN6Dwbh6LrBXCkrpjpa8487jJcQp+H9THVATNXX/Dm9lBL6rTeqFgtZQq
CqtxgT95LeKK6LgXchcoH553AtyRF/RXG1QMrLXsQ++kZVMU/i1p9Rj4/FlmdnlEf+DMEQjjfdLl
8UNAHj5lVnpP/QGe4wgnB4r/Dj4P5+hKfzB5b+9LUTR/KbVDqYukC7IUko8eU6uNKqdwAJ/Or9G2
aOyDMBhbrLWi1QLoJwzgMLMf+0zSMg3WCf+UFHa2UdNvDud0d/olwgNUYIm9WNo3k0syVLilEqZ6
qzDG2aTXMjENEob8EwZzEuS9CE1xBFGNBM+uMBWrs4J4fgupdnzLWd2qF0gsLKZE6Im2lQJs5LTq
OKDRZcS2q9CdhPc1imUSiD2T+Ze9l18ZFaA6HLFWQ56ZVJou3PlLh6I7cm4R3l0pah+cq/SHGc0N
4gMHmaDkxczwFRR8XJz01c0iIyfCgsFVPu4BuUn+AylFqlU46dxvboCdR49gAVfpxg9aaigHSsBO
b38su+LqT5zhxenu/S/o2Jqybi0aOkH4U5AdSYsw7SsXRNrUPvlU3SiUEAyznmPjpjFm4l79M9+v
sOjz5K9eTpyjLG+oXhWNL84wUF79P5kFf1/l+Z/uiFxVj4E03ROBFZDWH/FGT4oNRx39Tt8uYsi1
oXioS0k9anpzdKJtI28/CaFYw+9BRKxCBTzJB37mxIjdXvRdPX4K9bLcK6vaPjKcPgVTP6nOhYJG
wP5OeGvszG0jpemQl1adjZ9BFfH3zJ6J72zBMr/QLolUreZ457MCykwXKAPeluuelc1aZmbReRyy
rLd0Wf8B5Fqq2/PmzC16Pplea9fATlvt5dSiuGjlPkgc4lwtekLbRQVHcIWVxUshN+Ze5ww8QaKY
kMHgVNrvma0Bv4Zbbn+E9jssdUCgRxe8QpLhmXsJuyFj2cVtYIv5TLfZ87YXHPM9+TSpTJpve8Zf
thdZklznZxzsPkRVoC2tt6K5FJvOnKsQL2wP7tMU/DpBlaJbTc9kfuRmFM1mGBV0MOzqd6d74y2j
Lb0hvh46ypXKdtPzdgAlV3Hk0epwrI4LNV4weYQ9c8fgpZ0/UfeMMfSNBm5cAmKEjz+qcvkzuhx0
ZMpvXh18SGaX2ELTlFPhvsRWZVWKms4Zc1KxPVi79GVkeD3KeY9pIJK0flqli2P9Frc5lh/rLaPZ
7PBslbgzqAalyAToP1HSukGL/wCI0cLMN1KBlkn2A0rfxYezvBxW1mAdcKqZCO6kZMzSua0dChUV
oqa1YGhZ4AeQ6uudkGYUqzQ71GulAbwFeuLS+031G3Aia4n7LbukiOhlSVrmJIj7AbM/TBboarjK
MSn8iTp3tGxIlgWa2mnjk9DwB+R8OM+Lkmk+0DzF+LdRfkUaczg0XwbMFdeKDGw2NF4fkb/hwIXK
hVhDQ3m1YxL8KCxwIBb2xogJN0jJ5rBwpuyyzIjbXPs3DXHLPQUfqNlMTeVquP5/jWwYx16ddP/l
S999WnleeT/RXzoIK/8kKv0kQ4M5IZtf7VqVSEWlfN66LfsuUlisNw/CxxyarbdWF9BAyaJL0A2T
3k5jzOs1y38RaO50ua6E9IAb+AdRXmZkYrIj+JVEvSap0JuXLPTwS2T0E9JREOypyO2rB0cnwuIP
VbwE3o01aXKXw9PW+wZPrLE9HTIfkomou2g0TcqFv6qdVh5X7CORVnvt2JgY21VVPx2yy/QqMpWg
b4wWuY80wc8uCeEh78lrCyiPjHJG5+W6mIax2G97f7LNly3vuvMXDhDgNSwsXsf7w9XKKY7WzA5l
o93CZv1yF9Ppqh4aRhmQ0JxqZ+Sd+yDPO8U+w2c7ljkL05q4wzNJlazQf5tw29cBEhdiEukdMjj+
hEnKMpfVcK9oARAoabMYdBQzphS3Mt0aDdhZHKQ8+JbmcAY83LPEtFYrlxdDyXHxx7O42QvSi7TR
IuhItwWAvlNIBN7XLEGvhoAcCZxkOuer6gcC99XgI0J2MNa7pLEXhozTr2hyiyFvB+g15wlOQe3W
cFLNKc3IHEamL7rkIvL3gGCrfu7OmC8/twvD3y6p4F7rNcN454QR2eTK4SWfmwu8YinQGEo5tvfH
xnRO0wen7U/6lIYegLs8cQsihZpPnxqCcrD0mwoo1Yh7zSM8ogHv0TtNXoHJ5fehpPyIWzZwwr1/
3dIljCTYXBcLyVtmpHYmVoMrsBfECU+m6zzthwpbdpLx2b6LJ8plnDBHiaSaMb6Juk/PnFdNvMW3
2xCwjvXGSA3skIvyJOG8a/kEkDoOJuEgp7NBdvwOTSXRTksRFHHKid+lW44WgOOegudXesziqH5G
3j7GvGbtFEkFUImjzqumHaQkpIFjH9oyXotsWej+i2rX+bRRcFE3Ie+C8qsoSGNaU5Fqwbf+E/Lz
MHHb65ci3IJ40whuAii9tN/4A/3NHAcCWEWw2bH5L0LkKy6iib0hjitbtFlv+A0dwXBKD1yho2hd
oFuuaNRI0PWHsCSrgUnlLnFLJRTG1GyQIC1fKdw1us+rIDthkDSIzrbn6jzxm1fERJ1sKpUcP6HX
R6MtUn2qh/BsWfWgzVLFVrGHkvJ4L+A3Kr974UU52Fm4wgXbW8bX8bR9i+doIZyPs7braXzqo9A5
EhpBFBWV/K6I/l4LdnFfULm8tswx8yAswk3W6WFc/DIs2+H8McxmXkFGXLi7jsnOJuqbodHrDCpW
/W1DKFdFkFzqfYXnNFp/KEyxh9xqnpviCTJtNOdDO7iK6Vfm0b8KnW+kAPkG52lv1Opdwm0LTUIa
+n+PdDkS+8z1y9zI+0rr+TwnKNuuvFPjzNg71wkW/hnSEOJiPlFW2/JWuydK/qo7NZxABoDCrTTz
A5sLUzNjZ2HrDofgtcLeZufTsVFHHVz4rv9EIYleTjuEH0gSWsKyOGN67p/eyx1g5JefDpyiz8n8
Xspm1hzaDooh0/aVhGeISdSYqz6d77cuD8MjYfbBAZtLFbIF9uizi5YSh78wxjgoBI4H8wg81DtZ
KtCFGc1ZWW/OOaSSb4j2M4Yn7RH8G4/+RW8U/xKtzMkV0lOarmQ+3/PzcG+X2kPSnrAFLNljQx1A
3qOWARR/ZL5kWoeGLYr+4ng0AZBvrkeXzVBrOGTXq8Iy7hXjJaOPnSil0EfFQqDRLrq3zljmLziH
dX1cZled/gWP2nIOlkQoanOJSChdaAr3vuSKf2BC+1EW6BnXwd7Guf3fUlPyHB91BMuXR7/vsPkd
Cs3h6dFatLSflDVtwevZLahhWU/I/2BHiJuklDP7Cd1Ygaq31GpBltnY2UoTCMprU1Bkxv0/WMcS
UEy7RDKHl1ADotQuwfQOutJvEshOC9bjzJoUzQsYUcwgIDci38xW4pt8Kh7GR6Mj/zythdyfluNk
o8+lyejmFw4SbApGOgb0qlwtmjxu1lTpu/ocU+fC9a7rrgIk6LYhe9rV2u+DoFNYYHfVk0WZWJUf
aQcOI4aVI9B65LhsUYxXiwrllS9mLPnaRgIXExK8EMKBbGcThWSbEDwqDIrGb+mUoTMV3KMOtHTW
EZdOTgxXRo4nqv7MU2RK5dJ95NIuYcyWY1Xq/0CwT7OMXz319zPVn9Hqm7qC4ODnc1nOCAF+bG1Z
UI6wrbisSgXDjkRHaBrMhbcnjmHxdvaqfmACIhZwJu1m5B26johwkIt0l3UTNK5E6MOu/v735Auc
o65LzfvFQe88iKQ1QuSCoNMB+HCnFy/Lz8ozU3Ju7LfIIpa8Wo1xJsdvwrVFzBdciaHdo6dRjA0h
uMd+mpAGMYv6I1syYd7yeCuDJ9Qwbhj+iAdPqzRkbfI0vjDqB4H+03rdPhV5i/G5xKLOA2UOgJQP
RdC9di75ag2REJlZb8xL9RrQSRAWb3ckM+ZFwdYvF4PuVR0DasrKud3w//PwoZJ8I1VvbYOMIlBf
o5lrcjRx8fQcqw+DKjHgQn9842kx5wF8d5forCFqBQibLYzeMBkIkCtGscZ5gXU1MfrWjpKndawu
8lo9JRoR66mYSQfMc4WUbbpAYEKAMzLQuxc76DpbL5EU6WjyqXeq/ax7Le3ichJ1FmfHHBaKv9x2
R3t2DLb2posPrUBnG7drb8FSK48SyOP6C0NiJD6fqtcOJOlhaSGHo02GnA9SFVu96v8gnxyDs61U
LlnpvOQS3ic13eexZ/Jud81UtYWlL4EJZ11rcs4zY1T1avZ/2EdKIwY7GZBjq7KgScrDP/J21cBF
qfD+ehc8utTKJSATXxhJz/fd5/QhvTt2f8ZJTdBFnotM3nbI/vJnXMi4Px9pddUWUV7raeHcMaAj
Ox6c+oWlvs6y6P4REh4KmZj1mGOLGttyH2723gAegIeRu5Jci3Uk/mUPzk+FGm6Ff+wh6+j08DMP
/OgFwt3QMjUkQnXBszNUCjYCryF9lMG9eHx/XQBAYZCkVtZvA2wu+Ytj0u7egX8FKs+D8heFdnk3
aTfk6v2cIQwBE1bAP+x6Po1KoJon8BGmqRxTv5Yj9/Ef1twslvMxWHcl1MCFEqmg+Xd2Arzqj2Kg
MToJeSGS+QAcC3RimoDs92ka2cfEpUF4BhZLuKX2IAdHuSqEINQglzyYIO3urjMZCBZL8O0Ka2Lq
NO4fR2jH7JYiUG7NM098eLzKFCgDRlBA1U5OwaKfZ08qKUJmSOPOFbbT8s3NNcNPKMlOyA4i6fOd
wHn9vfydOCGCllGpORBnnCtbl+LHhqIYzrFB8Hzc3rjAbNp29qaVoE1xWwAsHoYuvGZzfCE/8DJe
2PF663EiEcm87DE6No5LB207EcM5P/ZJsrMy4i7rcTIxGjvyaeqB2CnB0x3DXyfC4Zgn5VYcccxE
f9i2WnETlvM7pabRwL4JUivJi2ik0571WAhv/6Sf0fcRZLDcXHtyXdCoRzenifc+L2NBfZvtFgVx
6VCbKb9z/aOviVJOJcH6YzKQB581aAvP5wrB4PlZRag0ku1Nv1zCsUpQ3bg5eTx08Ga0EsdJVEJ6
GtSZ0AsMlxKdQWpho4Pk9MQuJtGDQWp43RrXP5oPeU4meahaRC7cpAyG7hm/EVyRvaDTSuntKPw6
CgyOCy5QP/PQPKspM4onjmIapENh+Hqu9exlayvvvR4qIS4fN8Xo0jPg2tH7DlVJYtz54UktjTHl
AKuyndP5Ju9zvQ5qDlfmLCfyVT0hquyiW8AUz0nkoeFV3fT2/G/tB9AdEaJQu8PnVaT4+ZGl7RE1
APvpY77W0sMqVofuz66wVEjnMGpJjQIMqE3vNH3K2qhZXoFkXxvYL/dJDnoF26IwfMS7ATXYg5sM
zbvEbSSbbBFASZt/bJ3vqkp+2Z3sE2dHzWPkcqglsXRiNEYXXQ9cif1q7D/9A+zXnzpAYY83mjAB
ZycmET/5THxCFhSb7iTa347NhZlLKBHJ4utEmXW6OQP84aSgDU2pP7aXL0ZuRzChST8UgoY9oCER
/WdAnz6u5DVwCQIugYhyln0xtRglDaPDuiDQggVWXuUpDu0Qgk3P1eYUeVWzYwpnC3BBaEkYq7kS
6QQIBNNGFtuXydjjRWgwhC9B3nfCgmIYo5Q+qSuxPQleP4Sww6/elL7hKyXsB4wX8MnvGoOs0ZuN
vIXfq9nijpOYr1L+K54Ajgr4xvL4OeEPlHBCjtgzGz1lOC1LFP80uFW7gow4lr897NhukAmTHne1
jFbonI6GZrFmdO5xbDUsfImjGcGdEcel8PIjF+I1qCR+pHDwGCGZ+Gl+pQ8vKiyfV4TcWtfSc3Wv
vy7wkC9QygVx/M0vJL8HmV1xCCj9KIXMLB3lUAbwyazNLRFwTzMGKxd8pyub93Y15rIWZF+j5VdL
u53CZZKwfy5T1u3cTwQpyYe6AlcMLDc0EdaRvyD2FNIe22bmNtnSc7Py10Dq68rFdcuUa9Tivi+J
v8k+rte3kHIYAdHh02l2qn+9i5WCr6aOD2Movg8bO6t9ZcjaL5XKck5kyNMHYBBTryyaSODP8QHE
5kHpL126Ooo9FYU692gfWx7TPkeKoQxM6Lb5604HwOz01CNMFumSwTUkWUgrr37z+DwlFXeZWX2I
vHdXeHwrsCVDBLdGbcL41wlqB+9rjC7PbkGeYrTDDu/bsQu7RyKpFev/A+uhp/BAGziPwQKXqg41
hsoR5Bo3Z0aNYJIXExj/S6Y+pdc58NWcs6qfTDiAj4ujqO/yBFCJZP71YpFKi7xdB+JMVTu3YuvW
A2OmLoD1sOpQp/q7m40SooxR+hzP8gS9Mgiomo5DKyINEuE1giPCzawrG4GLK5bnulsuMtrFykqf
B83z9PvkdWAMHZR7nhqeEtfyBwAWwQQ/GDDVVPvdP+51WLL3FOR1beujOL7uSIcY8lF0LUs3cflX
sTeNXPco0REXAhn45NQwLaNZfDuVK2OlyZ4vv9OWHs6xNQRQnrQWBFadX2oYTaDplx6k3JyINtSq
tZeMDaE9a35eWSEp9DLsqTU0powxOgOFSR0QY3Oo+/Eu2gsn9Tgw0q2JW8g0B4v36c7GZ7Q1sutl
dpFiOEP/xgQAlx4ntykGq2UUrXtSwSt2k1i7EuaPUvC9XHa9QcS3yzaRwh2riAUh84GhVkQPK6Pc
BY+P0mmMCWZlkTIcz9RE7AXXx+vfzhJO0VO3flCxWP6q1afaReeDZYz0e5Lw+nA6Cfvs27zV6RyJ
TgBPQiF8b4h9uPTaCU+Y61GegmZbwumbZcFVykzypIlcWXsHRQ4KfdZA2pO2xj/sFqGqHgDD+ehB
rY+nZ5iIxI4DWnR3MKD98GBiT19pZzNyWOr3oCO4QAZLQUkV4hxEensQ6hxggAM7O9cX7Jo7UtJ2
QEBEf+nLW0B7VHHHduYf5sPgWEpDtOuK2WF2Q3jNtWwl4LFYrIdafNHDALVzXc30QW9vmWj0LoDA
H3OICNCKN3j/Se3INHuxHMXs7pbS3cgyvFjaYi4K9l5s1X3nSkFttU/mFTqdLZYvFDSv4D80VWLW
EXpGbC48Kr6UMqTSjbxSSfev7WUny7gJmX2D/FwME4RxzixgWYeE+tIBGYYOhvNQ0HcZgF91xRs8
MV/em+YLuQvkzzVnzzn7AQ4ZJWrkcjGoW125grNJTixQknPjER9JHpqflqkdRjcIQX1tCDfo33DQ
GEMfoPe4hdlDNiZthcA+GnG82zcolnz3j+bBtu81QR1xPeUj2/YWKlTo3YGx+/4B1fZg8q0MwLWr
KPn/neK+/p+sM2TQeVaMSF8WMF1a11D6JLgDb7H5mFG8MjzvthhxRpex8mR+k0MwaRwjym7oLHlx
82O0vblmA3qkZfOf/KfSsLVAQ/TnZA1aXF5M/xWUTA4poYEyP5uYuLho0wnMKOne1nLZhAVOdnWk
mnFGJ7Lw/Y6ex/pr8w6fZD+0j2nW9rNkkY0EZHBjSYgoDeP/gjNmzT1FVlQjPNfFqJ4WX25MmnJG
OxfFKB9VNxoirnxResT1tkgAU4+PpwrQxbG2cTnzCd7kelLIW+SPLW3xjA7GpFbvxYXQvRvA8BQe
S4UovZVjztc+vdVl/Yi5eKTpIfCCpRIzm40revHsy+5Bktb2zfFVp225gp+lljG0SzzSLpAYtBzp
aJx7IfbTWEqNEdYVW/XerArGdDzODq8iIhtj3vUcer+NhFg0j5LMeI1avTYcxXJd9XPnvqAsrknK
TlfzdDNM1eRClWjezQ30Wdgk/v9LniXsKS94qacN8QxHGBNF9V8VzKtBEnf5UX80nQRqriUQ3d8x
ymP0kCLUk//r81+tjL5hBCuwi1mjqpyq1EpbayIUWqCUlgHhdBLFPOG9xlftksf4AbXsTTjPH3+O
VtnduO36Vj41A2b9zuPpFOAwBXyyYv8ESO1VLaB9PBNQswH7i4BoQ2CIjC8A4d5eO0brTD/rnCJm
9Hcz7XjCcyZ5TBMXVpjtDALoGmmZvcmMCsWrNIH8dZtzoIyO1cjz/kZelcrWDFzeA4euIGR76S89
kVoGGg/sd+0Q6tNQonS7rLz67C5uzEDd0YZsF+GgvIKQsy0oeW61WQGm2cUiQV8jA3SYu1BjDZZI
v6Vt354oxi2Rum/BD0QgSNxtzDKHJc/GdN1zu4sgzp+2+aMQ79tliXjOwNkQtWFJ3/gYFWuePCTU
+nQffqeI0Z228a5yAoSE3CN6FrcjrfMLd9yKCfiFNvsgYLlhjfp7WunfWXLG/VItiSfRiEN+Terz
czXGZojOsdYVMeyh5C84oFyX4r/F8MJ/0TszoLIYYXLvCiUXCMNk4FI68C8dSi48V8Lu++OI6ouD
YUdWJv0BkJrI784hhvUowWbpqvBhxRButAjtug1iBH/dog2tnElmDrezku2gP5xYq3Knh7eCkDux
TCvhWsBTI1lv+RkFeFccMwoolTC1NTdY4RWj4k0gQrAd10rt4poZlBXClBoxaMk6FmA9KQwjriJN
ACM7qNAKG5M/HydNM+L9qwmkHj52Q2wQCwr7pQPvx/jr44qA1O/3xkwTDjtxdqAg907lbO/Qvbwv
jXnQE9mcZqV06KbSNiiuYGtBlOERfQxyXUjyU6tGOiAP2Vif5RJCBMNgaI06K0NNUW/TnozOS2Bs
7NvaWTWG7p08aIFxvOBfiOE0ThMalcHDtI7MDvOk6SJb2vYsW2W41YcChjcoqE6NZcrThin+Q+Oz
UTBYmfaV+XHaP97McTRhzoLtqyZ1DZp7LehLDUk4xATunA9oYDsb0hVIGVKDX3hxqxDuZ8SJxlGx
4Q2GSM+mZ0oadrNGvEdtj5+v/Amqftt4HlX7SWfzBMVtTT62NCX3t19EwBH0uvrOvzdhtBayzA5d
X+cyIhTA+SeIOdmrWwTDYO7jZth7mZApT7mSg0SB+NHrwcZaJYaXntKCSz2eUjjD5cQ6T1wPVnB9
sN4ELcdDfQ9q84f9+5qD1tOQgSzn5Llbcn+klgEVhhSx7qXLTvNPFOmAeO6WuqKMR+U3ZwKzBy+X
8oN+xhTssaajFHvo4dPIjQcMjserwz3Ym5nt7fyW536OA+eCRp31EgDLXuyfwm5LCNkNqfAk7KJx
HnjTTDOkj3LzpSZwXzIfhVT5flTsYOcsGP1udL+HnXIjbQzXtrevms25Dy9PcMKaZrn9awJHMGLa
leeeB9k34PNjTmV5wYEHt7RtuzUoP79pwWhoqwdMOGEQD2JF6MBFZLX9q/Ubh3qA4D8Ke1QJL2q9
63SdMlCMQzgbENJjw4DjN8c76MpmV0IFa6PX99GLNRchCEYrHIdQaWmkDWoN3dNptLc3rMeKiQC3
ymECEyQZhzpHYIb0S3VW6IOOJ1eCZH1SKhyDgsaNWqKCP/Q3Wy3FrEGDUqo0DppOGV/fL8XQJY90
zYvyeAj8plntXDA9tMh2D0wCaqBKp55ZegIhzYT/pZIN8P60Xi6cTsgBKJPrGA/+NNobp9iGdr41
TU+5uoivvOcxZSslSGGHb0XlL6sXdzwNKjYlPkKFy57TLCFt6dHPHA1tfmYlsaBuMmYVyb7GAmBx
fx8dw2xVkDkf6dbNcZB+uxtc41ZfMQGW7D8QVfbMVpr/W1xZ0Z9PFLZYnueGror4hCQNLWeSVNkj
ewwiSi2cJHL1R9rrIFIy75ba8UaRRShQaU6iYFMb8R5UFSnC7GaQ2r5XkkCPBEM+fhtAJgrXhIHU
ODsqIqhgRh5TALi6P3ln8kOXpcSgPfij3TkB6ArBx/PPTVtLnK2tlatDx1huHBlz7tHlVnlBjFRy
z6d/r1+EgA1RvNwviXvsFHKlIHsH5yqng0d6z/kKKLj+pUSiRqtbdruowp6NeB8P/AZCi2sw0Itp
vAhCKK7yCJxbeRcnYe6fTnDEtZZa6pc/uEqudZnBx2ru/67YWCW7i5+Sej/IkcRuuqYOyBIt0vM1
BMpjfGQLo0zSnA6ybJvA1Dh/a9qhRe0v8ODH2cKAQH4+Zn1rlDAjCTgNuGhUioxsG5ULv2qG7Khv
u1b6m+Ia7NKW+aOB0e9mSBpr0aNL+sb+8CLHwevGU8+N922eA9TNouAEtzCOahPp6RJeyL+86rf9
HDgAF7GXqKmPaOc1Is3wukg1BPU6fQ/Egwlm3yuygqVHjQUvMOh4FoPLXbb7SsdjWABI+0rRYopV
xL1qBWVHqDj8xdfuvINULRiTq1VKQ5FEFp2wOSxjDP7ymbqmyIrW3twaaTcoK+6qtvUGmEfse6Wk
nQfKgrHznDe+F+SC+Etfw7TEACt3OwplimmhZSpPrqI+JpRoLjYQse580epw1VuxNCMc2hyZ3vkm
U3NmJXw6XfoH9mIuv1GQFQuvQUkBeL0WCg5vGkt0vFAd7Kw7QHUTjD+D5/1t5rdYmHXjWUMjEmkv
GYVJnkkcnCmkgPrGL+mCpRQJY6jt67oWU7qhbmKqvaizeT5UG4YpjZx5kG+qQVwUDqzUmpdW/L+h
EhClRoRPw0ayI/ycxmNxQxUCw8IHEYsik9kTh7jHMnI/pfxLeTGmmb/EnlWRb5FF9LSul7Ns4Es9
2+hBkoO9dUjkR62A8bpBt4n31fVIsMNyYvqk8bIGjEFfhbWpD4kkm8+8fS+BZpZerN5O4QnJMn0a
OL9bONDCv08rLasEE+Sv2YtB/qux1MsohoeOOFLctw6UgH8LiwxL6/oqK36kJwuu4OIpSN6lIXRT
eZVW3mOlXtCF/rN0AgD4lqDFQpAcdITzGIEkCrEJDwrYRXGWl0ssWTC0Zdf/jHhqGLfsrg0WSPg7
eMYbSdlaMmzvQ8GUYfVLJPHoy8iNVElxu+JFrBab/0fDpCyi+pv+0Xm+fttdICzQgYMR2rGronGi
YMdH6bFajlI/zEqtOc0ozvaQ8xMfTbWn4XQqzHcqz7Zkey2vj2goQPBoLtYdeveDLnmmz9narXi/
bKgTZcbN+yA4rl2LVyDnk7pyRcuoqj+6Xb+yrVhuxBPV8PSBAQxjUQ2XR1C6leCICb/HnnsPMYGL
WC8aPcUOKIq+OpbCbjJJkukcAJzvctRF9FdjqguzeCbQQHaZJP+/H9FVREypORPK7nVZG1W+1prC
+3sRQicGmmyZpeafGlfqXriCU0ZitWviyV1S4e+Kk9xCY468Rl85+O+/YQqqBroQ+fVSYEaWHuB8
Ji3vDt0Pv6ksxmqhsf/qUR8CMKdlPxHB7yEeit+ik2rvP5b/41B0BwuMZYYDlZ24w2vzLZhPycys
BH04PDkf5zwHukZ0l57doeuRHHB3RQiYnULnFjWjWm4S4kh5Vc8Ra2jVLsFDkaAsOPx8ysaEocMz
oPCetp4PGh4qH8rYmPx8mPNBn66xA8mohHM6J+Z+URPlmkAZHKMsca+WXD2IRtuiQj/0oXuUaqIn
trnGWT1zBLyHK7uRBLGedPH6yzvYY1/eE0247UT9stS18xZo34I2oa0MgTTzUSzTNRgKF6Fl6RPE
dceeQG37MU+BaTL3Ot8w2MZOLO0BLXzA6C7C4I3Uw5ObuDES01EunLgkrHMQhJ9OkwWlhwN7p0sh
L5JULYYoR3ayRqKlZubOg1PYAQIatuUK87V27CjG/3CB2RB7279fuYMOCRMMPK2rkJjz/oZvBeKB
w20H7MZPdVlUqtj/tnWZzgYFaHxZXHmtJy7BitHOy4Mw4oAzFFOqbVGSG5cacHv2osp0WBNeC4mu
YsY0mZpEcuPOg1ZrJ0LyS9ngkxqQ1vftl8p/nczUlJWTWKbVLU/kNsHn36EzZqFultAWGHYtZxDR
rHDwdTYnqr30u2mQ4T2JHOLxDrrllhippQKy6poXj7OY6OGtaWPITlJcZQKBfeHvgG7z3EHYTxtM
bA8DA6bKFy+wiIqwYGEf/QO4oDjwCIV9Z0kaXSY443N+3yzm3k1rhYMCm5ZbFWTgHVLxhaA1bMfS
2UjRjbg3v+aWNu0wGW1XmSXAtw5AwOQjQq0g42wexCcaXyMGymCTa/6qEwhG+5KHdcpTWpzR+SLO
CNnn9obU53iv7v6mxHoIL5QPm20AEtwF7TUcGgWGjoOubKVoXTweMhsEfCCmrCWiXgZZdNC4ZJlO
uEpsOKiOzRZbAOgyEnZGBeItrhxm1k0JiQnsXXG67t2iNyjpdvHRyu0hPAtS1j+Q0B53LhRr2wE/
CBqiFK6SQ+zQEoNXOJE62piD2sbwA+4nJqHoOxrsyX9gOLrdfnMR8zdU8iWieYrE8BEhZSZpYKWX
wSB3U0PrkQoSKn8KtTriyWX2CFnt56btOon1GHx6YxGmkrP9fXBGrta6G+LLWA04IyBqy9oRQM0t
UILLePtNcj9ZenZI3K5n9H533SL7yhmEROGid0/rzJpcUY/Jj6sNIEOV2ebhcGu8WMkJAQAkPTHy
zLsBNSaqRGGqTSsBBOwkWHzBZZxkXuShssyQQd4cbp6RwQ8LH27vbdqR7howiLJarnw1lDPcgGa9
LL8TSwg0ZJWF6rgem4Jmb36bBbCHWDVWohbvs1uWvKT5bO463w1RFHNNz1018hOwoyGjQ2np30H4
8KLN/uKy8GlioRBl+6vski1k75uJS0NcS9KXVB83j5ZcPGwFuWa/gFy/nO03SiV2TwOL5tr+QcjR
Z/fZsM3MFh4yCk/esL7sG8avyHma+QDCti9941N5tquE9lFbZczwj0kbnSQ1ggbNRZyRJudGeDRd
ys6ilociZE6DYpc/AQYVYaHmIwRouOJ1xzz/v6UQTJg+X3rspFptMy//98fO2YpQE6i4XVkqAuHW
JyeICU3VCcs97n2lzuLkT8IPeWFOKyBxiNzaU10RTPSYBv2mVupmNPp30XK1h3H9as9q4eDM+idx
dKzd9OxulCzQl76GELWim7Soqg+HLGGDbdU6x+SZ/a9/NABYgitGkXo6Op8rcGhoeBbz8HPpIBje
koU2Pku4r8HYzQOlSjBzcOffeH1F5Qt5WS06q5MPh7ByiQOuh3xdtrgPV8srdQJY3Y7fr7c4NGjC
BG+laYIoXveuHzmAM7rj1vuBDHpGQQ0ipLi+HWfHXMcBcKDvIDR1d9GX6Eaxg98sdilRe0RaLK9c
LFwA1cb4bSNCdE1dZIJcdjJADBo+ZMmPtNZZk+vfuxLSIpQa4jku+2j0EgXW2nyHI/lbKVyORc2N
O7D7+Uy2JgZAc+DZUHYc45TDijhg12Ppnf4zCPtG8t9qjyjC4uuT8I6FM+iLp5UrU/d2eOVclXsS
xOT/YO+t+VRzdvCjUvAZtpdW1ZPZSaT7Erzzy9GEo4qOFk/Bz1U/s8H2zOiGPV32W8eJChkqg6/b
rLPDeZPZYOmVs8XzPfvTMP1yH0SWyYVepI8mOuUFw6PcZg7q+fF9Zr8hVaFBkSzWaGryPFcREwSN
4Iha+/l6eGpDhqtgUS/+J/feZwdFT79VaQqadFq7KSS0EW9l+D12dfjGKc21m/0INVFhGzhRhS96
TSie3//aGyHRYaoYWXEX5/C2mq8cNZUQwKYgNoGdUvCLvECPAJUEksz6oylg97B4U/hEyWdm9jLj
YyyXQdp+pygynRkxBSn8mAyw+gQlzbkdyEsnzkXLfCjUZlCwsJlK6WfeI1QRzA7cLkpiKUsZn9qn
9lyQsfbbxp3SQZzPyJUArYH6bkA2xWL79imrOdJUwbo9VVHBUoRO4bQ8B3gKSTOrLIZ/1iBUHopq
oSRYJyC1gGDxC6CER4B6v1x/+2kGa5pJMSIAGrGzXHHyRsInXYDpWs86neXj/jnKC+eXAdEGYCR8
V9r7VkU+h32MQnG6u7Hg1i+bDRtg6ueHXqzu1Zm8AjDFAAd3uHTc8JJxDdVZSOirkE+f9y5L3qCe
AkVDZUmgQb2pkGt9k6DgKmxzmoKcuAvQle0gWXlke30Aq0bGbw24dFzLjMaxt14w2jcWSLmGRKZp
odwEM6QGM1BLk8rApjxb2gvAgGwxJYKM2dVH1edt+Rt/1FsGHeSx1wwU9eZna0qbKUvei6dmFmIz
7fCNAJD4dNffCfryB4HIDkIOBV7ew8xc+JthkY2CKGxtSoNbDA/nHTInN8TTpdSJ0AGywukm9zwe
OkquG83s0g23qVV4c2d9dEGVSylvD3g6bXpcBYJMx78MEbyI0aMxFq2XhTvlO7mgQU/SrWcPtTVc
eW0DifUhHthzaTQporqIS4JkHU99d5tkP6yHDrHmmPfNVC9eIsRNmPdUidsVgQ2OVa4Rv/o//gln
XkEvysJKyV3Bb3IUiKwZsOF+ndQOY13AWy8IyKqiDBQIBVfudbJW3G7Rap2DxfVZ9DKN1kXWopd2
DePRd3R1LEtjXaaq1gyR6jLdBXKkCOXkUUpEuvu3IBOGK1+aBfkQNGV+vTNkHalf3LXExaNz1Rc9
064mLjUv6iYVeva9RTZKaGlorJ4epqOxaO1HCla++iKpNALFvPJeYK8n9rhebbjmf7vySIplVzi6
wLHkMf3ctAhlnzrobNHM1B2GoliD84lAPhad4S7ntaR0wrXICDa3/6RVdmvBqPw5HjZSgly2mPGB
juLY5QBJcQT7M52B3NtOfoxF1wOMs5WCOmwS87XVED/M7BTMRqE/gQNm6FubqiLBYAOIe7AoUN5E
IrOz1DOA1Nz3rSVHxqYetDXF7sMTEMWL4GWlunnCX8TPs1PFrfAblZBxwaXP6LX9c3Y08VWzLKYK
pFW0Wa+rquFwVRsmSckaPSHxSiQI0zU9YwZ8gDeDXJsAdt3HCJXgUXswbRgaEpsRq44dHzFSVIsS
cJlqOPLcliSNdD0t6crrx6X1V/0EisMbEeeDjfZWsvtpniWzV4s12eeAwI8S5ofxJbSaW2y3XaOh
+JfHMQ7k/Jap8l5n/T1AiK5M7e99DOxbGvvr9gEmubFOrQmaphDb0wHFAQWDv7KLoErSaEQvXFnM
OHRpO/1Lts8FYfEoRgkF3Ypob7VNgkn1NbhNDJ2B6lOOSI1S3i4AP/Bhls5jeq0EUSaH0YuHfhHZ
Q3hfVHE3rcvviMBIgAQwhq7ADbVtq9BZmNKGtiP2/y4ON9PcupvWgxAEBMt7BaCwTYIvdtd+1NEw
InUOGP31QxXSYp/Z9WsaVGKqSx/kyZdJl3HLXiwkInSy/8fdsfIGw9uNqGJpSjTIVlNlb5dVjFiX
7jg+tjzAlhyViKHpXS+BjPd9E/p1Gpl/ucvzzP5Zt+NznRUl7LDTBeKqY+6tVXTpgsM97E7f6ERY
SCmebjWcD7rZT1o9KMw9pgS8X0uyLgUarrp6Ty8FdLeySZrDN7+a0DUO0plNKZgBhk1x8OCdBW9h
V24ROT+YHuwGRZcvey+sUxiG31GRTgjVy4SFYSzFKqsNXDdtHWblVjWypbDTg4EJ0rfnFgK9hw+p
RHAudLPHuE/1Vxz9DcoHuuFvVGca8+qcjHt1lPO27+9bIJhj+bjmPwTUFmnwoafJL98Ag/MjOoWG
A8l6n5kHLbdm5UepzGtOMck6VtO1MvY9WU/S5hUU9pE8lHIfpsBxK7iPvPBs8uC9EJelfsbrUoSU
ZoHQR8un7S4C/t/367+KNjmpKB5fkXFGMBjrfYlwpu1gOX/30+0N0QOvrULTv/ngDB2NAo0MweEs
uoLBeBtthyDSROtfsMhjd02K9be7yaa9SeL8cV1jFNDl3g+GMxGseNgnuwidEqCYeNpFzDoqK6a0
1SPbe+vIg02QvMTUlL2bYjDawouwVExS7zSe4nMCIjsUWZMuRnmgVrEZORqwehBX0hAsSqh/3L8X
w8Si7nxN3/pJ+0uZGY20X2HE99qbYbElKbvs97fOUgpvrw9ohbgBsQ5NenyjZUfAq7HVS4TVFYpK
6f26viHDYtwoabGOUh1gGYGLfSod+8n1gVxnudTcoTLM3/nD9G+V1XrGMaf7VJhTTUsDSAq+z9Ph
BYoSUhImrc5hdaUF4HGjErmGSVoRdGWtXPeSQKODnMbXWedkgOoO0bQgn+T4IGCUzzTXrFehCvHB
mCkI9Dw8PI4GE9YDaSPWiTqxvhx6UNc3B0zbXyEc8clKyhBowwkGLPfrDz0OmNA6JWJ3sivDWF6C
M/aUIB3oMnxgEiDhhD/husyE0nDp2/WO3CQgJRdI3yzpnjMvidGMcHjtv+GRKRa4Xu7KBiv2Nu7J
ZIk02pjHrLcg0q5bsdpyAM3WuVD/084c0LDLz1CQulxyRvYiqaLTTHskfKXHbImewPB08Se3vZz/
mfpKpXroLWdAOehA9bl7bwkGuejihVUQZ/sXbr0MVhM0DF/1SLOnB34coz24Tb71lcW+m6i7Nkd/
8mXHbxnNovIVEmoK4MGRIW0X47GExUIUwkGYN0FhwB7nliPkoKj5vxdjsU6/kvD6LeWJ0XXBBmqd
eqGHzKoz/hDFqrjaCpH5YoB0lwrrqbwuIhNCIZyFQgYQDRLhE8b1oXIo7WJu9ev/6UPBLP52SLzL
2wIqOTYJ2uOPJqcu3aAmh5cz7P8PTGGBHQG9FMjRNQ2CKtY/IkjlHcS304Fv3QckAXMGtlRShnhk
Gmdb3gi+eEFIxUYgWLkeNopSLfpec97MY16aF/qpGETGS3RsKm8FbX/PsSlvt1+4v6rI4RL2Og+Z
KPUv8FBizDrERlbbzYNa3wapJSHMSvxFpj8RwjBUMh2cZIa0RRH5kx1xhRV2OKdMVAEQ3BUPz//F
1T2+Y4+fqgEbBN1Ruab84XdG9up/7bmRU2Af45rcqGT/iVPc0EJb05Y6/PJcnNcOuKcNGl2G+Asp
xIQz0v7KRtUXdlEd/Zc12CFMmXbhfAy64EkzYCZbP8U4BcaZYbgxDsTZiqMJ4Irbiq4gvJcU/jLz
nw+fFkpqLfDsfIUXlEAFOXESy8NNEdPY9CUiL2BF9936eSjYKN9QQYLz/N1AcplUxv8Q7+hnE7QA
ArOVKgBSTtaNJWoiW+CRTYdjsTPaOBqvjeK4uRoRRaaRllI+FIKgdjEqEBDEf1RFudYGzgPL3Mgx
EAfTU4m8htlR1sx9kLdHzEGYObPFrRaIq6q05Hq7T8cFurFKJzMuADyqHS0Ru5elbjg8OyVAXHmL
/HK4Pw8xQqIMvEW1YN4stXEgNOoO7fjdfhr0xa9mQvvV9KAW/W/w4hX4tNOQaMp46ygvGF8o4II8
gcW2Sq6euDGE6eNlUknF9llTDwLzUThunaPAuVgq4Ng6G4Qfrol5n2cuV7mbD58Wl9jBmP9861Hc
mezEPlWNzJs6n8tg5UXpGbOlmJJTwKlR8u2YZMS6xxcbTjLLfMdP1UTQR95FRYbz8p+ZmUUGG9y0
ugdniXJtk8GIduO5tUgtmzmkK1ln/vGGWAgcSv0+S34e4DLLCULgDFODDTvPTbDR+B0ip/udPxU+
wPTnJnLgWaADa/ZPWUZGF8iaKZBPbe8xrY/8+pjdIfcgJFojgo/64v6M2cpNPS882SiaCtDHjAX/
1mtwG5kxPkJG7UcvqfNXolsiSvtG5R0uyt3vqIjtkWoUaK42MW3ZrcD3L7LKcZwkQlxHmCA3bRGY
8lD2Dgl55W4RVQoQKW+WziGyK9MPU0umrop/pvhSUB6WIPS2NVTiJHojKZCle0zrJqcwYoLTH4DI
ngeNlnq0Dn5QR4XL2DmPapI0msPVSVKO93EbxxBVEaJARmgQZ0mU/8TPPBJrkVdpxq3CbWOb8/LV
cyNIMpkRv+wuI2dFZcKCWf2s2gKMgtxmE8mjxInUAlzyp9uOn3pZpn7CWv3pWfR6qMwo3p4HB8AR
xa599iLNrQczS6AWvNMFw3YXxtLeR7PWfJFVBo3UKvKWzy60Y1zYPLooFZYa8d3P792Hc43oG1kE
Q7k7x5Sf0jgtyUSeZFffGiJ1yUWpZYxdOldaWQ70mRJpjLxA57eyeN996zMS9VZ3BNBawl/QiXX6
MJa/kv2KRv4xzumYbQOJ7l2bBXlqUfqPpdDIvtvTUprNP72fLpJBRwZjo+ywbqzBga+YteT9FAgk
gN7WE1rZmKJ3N0z82QA8RW9QyGt2BV2sP1fLllskJC/v6J2mXOPbLUOhdjMBVTo/4xeHsh3tEWvO
oBfeb5K0Ir+Lgo+MXJQX/HjukSPTxSUslL7xAoGjg7e9XJzCVp6fDjVetPrislsBxSqtleEpYbWJ
PFusLIXyUXD7sqTCV7zEXwWdhYv6ICDfj/fx3x1PeCyVb8DHj4WxjZCI8Ej52NoZ8GbthEALymhC
9vovqjBFGKnmmri8THDfOKdjEi6U/AbVXiUO+G/YpdmzTdjRJJpwIfV2HB5Qsvj3aRQvAiIhVmub
ghowaDs5r9Lek4vgDLiqGtfgrRo9g7nsKUx7uhNTFOBtb4zZVknFwgm3Vn4WGiibUF3sl4bsDtBD
kb63ywKMJSD/dzYVAsOgJwladlWjEopkw95nLM9LnpDT8VzugjpiWYb5gwB6h6udSM9JlirOtT7r
FYmnvb8Hqx4w+pbA7hucJMfL2S3siRIMBX9wk9qKe3l/i8GOwZ8+4mihMIkZ2BySEZIkBKTGjDG4
zVE1b6MkzmTUqxGevHyml15j9gjIVprtbIL18Ow/lBAfNcMsJSRRxWJOKMBY2TkTa2ZGYfOEVBkm
LwWc1g8IqdYCYkqe+cpw83T+xtnz2XvPAg8FOhsl7j2rB1COL32t9QimGgYLQzjNtQ//08WpFyHE
n3H9a4mqk58ekBK3AKmNGKfKh6bUbfCK4ysDK9/K0dtk0yARwL5BDF0mbAKRoSOSb6qr/NDrLHZ3
Ikz4CCPgRpl5UXS3bFeFe5RFYXcAUpr/BWPBoDarwPS07efWiweYe137MCzNSuIJzeDPoqH9bPJv
i6ndJgrSO5005sN8PguSpB7tAFyNyoZjpa6OBT0DzXWZE2/LhSFLEPClzUE5aPLx0ga5rtDurECU
aWf2PWR/yYEgS7OiS91Z1j6og041uBQFT57FnvvjvB8Tk2xA1t49sH+rw0P08YviD/xnKZBNEssx
JMwNB12RR0ZU0q3mBraLYdltairtVjcwcgsJVG7oQtDZYG3lUeZFM1AAovSkjfJuFlpWd3AT3g9Y
bBSqzriQwRikXbj1uTp/mVbC/KZNXyfWWWC4N/byFHF+Ly58HS/wnrxXL8bHDl/w4aJ/QgkbgjxJ
zMdm9+FM2l1iX6egjPesqe7auSNyVIzb+xOvuIxm8G8jOeMBAQqTJ0oNf8iSHgQ+lTyuw9UZsT5w
FP5erF1gZH8V0KzltjIN/MyIoBw9+ouik9Q/bpP9N1M1HdFcsr1A6cTefSgnja+9yktyvjhSqIIv
vJAaAGa9rMNK07GlNV/jvTIneHofepazofNhEhZYfPkz7/O9FAazjH5i8KqCAlxHHZpWwj85FtjU
8je7w6YKe9y3e18KTIlW0zmob9jj6Nn1sTVqwWKQu9hVOKTw8NnLoBcQHoq5SyzsK/FYhqDTokX5
Am4MONIP85PH8LPV/TOxYC8p3nus2CUDTsmIxhTfd4p57Z0YVemnNT9SO4MQH9CWxvEDieOAt8HZ
z9SVfU9nLF6p4sY3TpIHlBzIcsRULmI0Q4569WPT24ebofemEzV08dVmRcA5vKMv3wbjMePSFcBv
6JPoVklRypP006SZBYL+cfNhcpHRPlMbxhttwcROL25y+QLb4Bcj7vJQj+U6Fyn8AiqvAPzaDVL9
MKnEzLg7gsc5arjjoBNFrafM8LLwjypX+Zl2bQhgK7tTveBCVJSoCfITKCbe4xzX8iGIqYTlzy9g
nHPlS4OsohElkDs2lhUL6uoZdorjmfe24l3IlP7EMCYf0eIdKwt7Y6FFwtT+zBbvD2t9tLS+bAoz
64DDEtcAh50mkRV4DYQgk2emcfX02EUdbvgmhCNE/dpBVxE5UJB8hj6798l3wGQUFtCz4UQn5Bqr
edKrsaYqpWGIe7xvLM5kZcBb7QR1rYDAersVS+A92KpD5Vc+4QfjzR4t707hPQho4TyZZTlEHNFI
e2i7UlENtqMibXHugghCn5x/rjfaxRa0pf4xiIFZQGFC3vzMc2OeG+7zhrYSsBQDSEx5D++WT9Ik
C1tK6gWLPblvwzTj3at3GoObaeU3a6jEiSfMjvtMLzneNIcFv+aYP1iuGJSxLJklnI72vhMGcOoI
jzODv5ZgkriZsUs3MrehT304lMe9Yg6SdT6+4qVCUskMKy5gCoSshG7F6uG2InL83bjSVxCR1gN8
QEv1ZlbZ5Fo5efmJOVIiPPUXLD70H/BaCq9YuS4sfjeeGryg0ocziLlNE9JnI9IPd+XfO63vTjXm
Wg1OifTi84teqxf8unLwF8a1XrFKQaDplDbo3ccwTnfv4HuQtvSv3Orxr4XUt71UVqUzjazZboT+
ANyBIh69sEWz2x72hXOmZJSRkXo2Vd/b69nNYUnH1/xJohzkLmC5zFdlrWCnGNoIoJxhXchJSj+4
UapZFBdDtKxPpYneeR1v7LgEOsFLc1/n6vfV9uDVqd1q9F13VAjCD0MkdI+ZW7Gvks5e1csT5skD
B4f7mcPps/Szoo6iYPorul8F21IjuJ6qRz6eFJX1O6bermf9nlfuGrAeOOtxcVhJssymL0qdpIPc
UvBjqtzziR74/wF7M7eUkT9JbjBqD30hEh9qSsw89ZvNFx17oNk+HH5aZcMYit7o7w0cTSpVP86r
/UaW9+pndVNdxfpx3pRnH1Me6SwXZvIXeNnE0oWjsBRtnRY00t0lEvZRZcx4hcOLjZP31vz8XXHQ
MtA3wXInlcGQiv7CKPjIBYwsVK0xNHfJ/Ms4oZFonGvsgLKgRDLmAl8aiq2hFmUun1d8NxUP1y9a
x6w7TFtxuP9LnLvdaIZ7J8T/eYCavG3bkvo0tZ+Df6Ff2eDaZ2LIMsVFey/GVWPhsk7l3KLPjurf
p2IAK7r5X+1ChI9CYf3r59BTgFqDJi3dS1bSe5t290ASe911W3WzMdUOM/D9QcEwwD7p9xsf1Qnx
FSfsWtU/zN+/0V+Rtfdzxs01VdIUxVUTe7nRjaS6vfpn2qD7K3GNDHd0bkd3Gri/AGyiQuHcIpZx
EwXCinOh+sD1kklhGd2xUh+Qe/YbON90pPo9YxEKpM57NSV7YrvrK0m26puXzvAlQHK4rn9NrKVJ
gQvZ9oMHhXbBbtyvoioHeQjXQjLrLiXsJMCKyhcXyoqRVnIL81LyDpvf+zdNpvyrDEortupE933U
zbx0rv/6bWkY/cLSzP0po3mc19HCU4znqhGwhvtjoByJhizPBq4knG3NeZymnk9XFPG2kJlefE2S
EUGoAW2KTfUnoj/Thbr4Calg/FTB3oExVkCxyJIj/1oU4XGfoxIz3++Zib4V0NcZ3gGRYsYgkZVB
M7uwaJUI1p8JSEkf8HjFeN1TbXUTIe0exHFzsmAjU7Mj4iMkR/SAoHpytahjEYBNZ36F//LKwlL6
Ls+CF5vfVj2SanP9LLK8MVI7jZtLE/XwkXpcmHkdGpbTpPoAOwmTfdW0J930oo92/wzCAZeTuaYd
iaEnDFNfv21eYYS9iQ33QyhtENy0/jE1G20XwJLRSFI+5zfS4upaXOxZ+51AuWnEVeB2TuOtFQyD
iaDSIqKBNydVEC20PlTvOUkMfwgLiAx7VZnxkk7H4loSpLw7anD8FTTFhw3yk+MIuCn57xpqhoz6
nppc36bDLjDkHX1qOijcC4KfHE87LWOwauh5/t75pB6x051cRUGdcZ0UqVlldrHZdkCpbaR7Mi2V
E1L7i8tUzN+spjO5RFtxC6AZcrlisJrDM7dHhhWLsEoNx8/t8mqYkkepD9pmyTqNjdKx+37RUJ3o
OOUlgvO8fuctr+//grw9quruh24wzsuPRdaniheNXTnFnvWG70v1ZVvaqCs8fVRq8V4CKylNorXQ
nhMgoGjj0Ce8MbHvoghSzhvZ4r8JDaE4MK0CiG0op46P0PfuarSsvGjvwRFohCPPHrZKjoa1LYes
4m/VIgoF2c8MQFNNbQ7q0pDyuklT47b+YyL/PEKNRkENcz0M0AP1MbT6fNYbQk4RiboFrX46de2u
I5ZGKNENem7y13NXdpc1ZW2ME32oAVc5IJabD97axHTbFVD5H50Cfy0zUE1sKL7oRugOXLfKQQbW
ONZADFuvlRMagSZYePJk+2+Hc7IaX2VP5tSEqf7yXdx9e/ugLHjS3AaouRl4PrdtV91euu/yZkq4
Zd/Uuks00lDDxqYLuMcCYCSV/x1PeuSskZUN2NKLi111KOmAlHvgd3bkMKR4d0gIIwzf7T9D7FaL
V80fx1SZ4JHJ7jnbUBPQSSgx3VWZO8UgXUFlXuXozUEqHDpSJzxHPiGdeIEGWLNnRP27RdaBbeAH
6OHnULtZd5w9Y4/aVUl9cwYdFVod/AoFsdGadjcrtGLqjst38s8jtadlevsspTt8S7CziwFe48iw
r7qwA8jDswsGkmlnLt9VqXoKsbSiRwlbY9J/E1LHXEqUiD5tFyjGM07fjuR5Ok9b3/jij0/bCAtV
wZGqX00AFc8/+nty9XNojDRfSkZTpuXoBFFb1/0ou+Op1ql6ABU8fQhv4ZmPAFQSmJqVT5wg2/2x
HBoX5lnWfKtmFY2P8XAqVQs3WTpqZaOYsc1YXns6fUMkrWoPUPrdsRA8Fzy+JycHZebZBhOy3u+E
x9FlJdMrW71gcxgOyagTkdmqrsGBwAtIGc6E7pRPifsaivDKo/Kcq+1imGRBrM5CDSsiV+Z+snsX
UFd8bNNxpytazV5FqkcSZaSkX0Db27z3aLZ+JhUSC7RrsExzFdyj+4Cittr5VpjsXBAfOxQNqUot
XrZAkProIZByq1Kye0wC7woO3aJbDemC7yWKilEhZZjSNGRAposijHmwWMjIY1pqU3l/gv6S7UQd
dDLTNvvqq/Zw9zqegRgO+zpglH4gFAis0DMj7BU9YZpJY3gNNskTlpshC97s0GG8Hs1Rjge9YaVC
iyo9Xrhfr3UfNqo/y5aUOZmCNh9+OAq5BwhNR1oGNoiGLkaT9DTLgkjnR1gtUbTWr2xd8buXrn/6
C1rsFotE8trgBsXMgc1iPxGcDiI6k7eyHOBi8ctyWfFtn5Jbv5H3dhNcg0JwcNz8j2WFNpCm63Fi
0IjbqSOFYCJtWAkv8Oc2M36JluOBVp+wpR6xTz7EPzwSOyYohT807nqeye7ZsRHdeqwGm8sbQpeu
Gy29i7laAoEyqC+Nwqbp38rbDFg3oyAoPeX3TpWlNA03nqzAU3H+iu79lLtmOMSI38h01AKorjpR
eq2A4oe+OI2bf+t+s7eUeFmnd18mTzB0UzOc26osTYf04Jpyg7YvlyPNoTGJRusjg/SMcw7Depnp
9q/WFQGBomqfY5uCdOGCzLsxQSxUWeF8JzHoax99AtoutHGe1mklAJstqkLnaxvP1c6VgOmi0Tu1
R+uY377O9kAa1riHiPI3uFj+2sWdNAVqSdCZdDnIyY+A+6d2ExV/RkVxT8oegImPeP3zpGRsaxwW
TvmtHe1kZa7nr/Sfc7aVjOeTbZM4kqWrH5VZupmVIsAFc3uW/j9pHc6yxR0qNjpGFVgMK3yhjda6
rfVY5GnytkvdDfx9ro1Yrk+P83YddxaXqPIXDSTM0JtsPvnOEHqGB4jxOyTrWSRWvSBNp99kz8sy
9x/dhGBBRMgMvpI+LIGLxfp7V+uniG0Ur157nC4+qyZVGZPSruUamsHRMqBfFBu51jNvTSE6yQaV
L4sRnhHJ7SOvs8HY3nR6uGmO2T0/gVAv3C/XpV+tW5DYCOs1ZaNYUYKx9mgIrZA5pbDcO/BxfsXk
YTHibvmKmTltd2+0Or1b0Tu7tlbaZothF6RkfvQDefeKMWMjRjECtOZ8O3YndAZe/V4XZxwtshMY
izPNvYjK/CfnOQ9zC+i/aVWh8s+tj2k/GcDwFPUUGkXzRUJSVtI62LhiQcsHY9o1TEvjxY1BfWhb
qQwSJaPSZ1Kx0BgcUCZhu503V1QcAYSZA4XOTU36t/Wwz7WOZLIaDsyS83ju4CDS6tnr5mFR8Vjf
n6DYfJZNbl8+9Bjme/YNhtHCXkPDUpdyuB20s9+X4nxA8m+Dpcu3ASxfjPGrOyQ1bPKfvKQtIKE1
ccuseQtYW/jJB8V/mgo42Q70bQksUxZbWIkhA/bhWocyIEEOK2n+6W/6qDQJVuLunI+DiLyIC5Ho
YcQathraMBXkj0RNU+XCxhhY1c2B79QCTHL7xJdAz0DSjRjxQZXo8/0wbirYtza7UmQ461RFreq1
nROg0v7QMnik1jANZj/102Pnjjf75r+n5JTH5u4MYH7dEt8aRHBHBqr9PE2adH4tlzcptejOwi/t
jCgOe6nerp+UCc4luggJ1Yrdnar9OAWyeTzrM3X+xsTZzPcJB/dkG/9BEUEh2juHUAtq+F03HvJQ
90LpHvu/oDzkH5LEgaKqKoXtLQaI7a0EA4fVowvFVYvm1XJ4TySuVEgHS7omkIimBo4gEi1mm8X/
aS9FiuetKUwiIH1RD12zhq5BEtV7JSfFbcpkc8LB/bWsptiAEFrbP93aJJC5Mbb3wjHbTl/k+jLg
0rw1suQCe3YLcay4uO0S4veARkxUDXTkCfvurDzaqjash0Dq+9nhR8n1Pmy5GRtnGzebAKyW1/Vp
PeuOR/CiLTSfeTQMcVeOuQlXO+NhKhxdbkp713PCAIWV+ccdLv/gaSqZdS4h19PKwKor+xzfm/V0
ZiWpjJ70/Z24l1gbs21yd/S6qyzF5H2p+oZtVYLYigEQ9hV0uJlZw1HR294UtU6SWJ5ffm40zPz8
6WT3HBbEDucsRX54PAB88ig5W9zxANwrLxC7vYV1467yLs1KEDwkqzvUedQGCcNPiCYcN02qxROF
6p41/Kjk4IJdJoKAlCQG4cvXTJ4/iulntZaNihxbIxXbmp9KQ35bZatN0wbWZ9AtjR6Edqs8VrWX
8NyTc7K0XKGzowONrsOmNA6uovkbmcsN8y4e2+Mm2mY92Iwnk4XH7cSz1K0H2e6k3RIB7Tvw985B
9XYdA5ssrsrDRbmPEGi7zQgIR3bQDsz92MZbI/2NPt74r/BG97umr4Bu/2g3ok8ULSiEg6SHcwlg
yak93p06LL781agzwbOEKbjZyfxEHiKT8WsbdOPosxfJr3o7cpFyXUdCAcOu1VeBGjS3yf7O0ptW
w6NwLzCXpAFj9Df3tkRpQaBOIWa7eg+5O8w5Rmj3rD7BTrTnx3Ij2KYNrGWKtMQMX0UsEwYAmuI4
fc7dnamQeiq8wNnMimRKniobZdQqnz6KacUReSMFv7/6kx6lDXHkhAWiAlDJvp/noNPvUSFCpWFF
1I/1vJLLa9YRUS2KRN0x9gWJFwuM+M/o/FCaQTaYbRrUTs7cX8Yw9HwZFzsV7tznhRdUFyIs3xEM
3m8Jj/5ucRrkvdssksd3U0dfRrLAqNslMC4pS4U8WuJk2OU7uD+KMu6S137e/vKEgsGHJuZ7p60z
c3cbnc4PLMZl4FJa+ktR5ub4jWEKc29dHzirYTjlfDy5WK9wEVzQ2oGfPMJd0ZZgmRZDXqNKwagf
xTkfqvlmdYsdTKS466Y3DGUeIL6STvnoLGbjP0ayDTQW6PsWLH/96Mo0Z/3HF8zK7hmCTocgTwbe
WR1brT3Tl/E45ftm1NeQ2S1xO0C83F/etdXNG+f6Nxqqr+eNKo995YPlV0n0J4VRecEJ0gL9M0mm
W8jkpDDK9aMyobfmGrm4byifVxOREJCWhCiBsqRTs6TWbQtAizLM21Ffw8LZZ1Vk8i08bteFmx90
nt3ow9fcQVfy4Q9ouRbfCvtGqTlE4o4a5X7eCPXHNMFFfNmRCIEv96Csm5u/iJqJBT9J50uVO/4a
Xs5fkWK/vJUoIkzQNa91Rq9ONx24MYUQjfb0t4Y36anCeFBLaKybyVdBLviXMdLqyDsUTP0P+p/D
CDfKlaTC5z/M2Wm7PArCbbvqWH2lJ6K/XW0k3GA5782up+/sMuz348BvX3pkZItGoT+AmXz3kn6G
64Mj3ocfhw4oliVlClUA15WHirVURLUqG1pAk1ahKtB6MPx1clqsbzpnMiww6jDLheL9fSktgSbL
sfJItn/oyQzUMEtYzMR3mxLtny2ZBxJMEQ1R1jmtrKanvBwRaEfeyeqpsWtLGIM2m7HX6Lr0nkbp
AsJSKLAESRS9awZHrYbgthwavo9xeVpA5dUnLmoa9LkyNatnZ4Usu1QXK9s91VXxnwdQnFmv7D4B
FVCdPGc7IpQGqz3KvnZFm2A8gtJJsiwEc8Udqd+u0/Ib+bDmb8Y5XUGthYYkEzV6Clr5m/1ReHyf
Du5oEn/DR4gDco84Be0vrWLV4E2lEyoaQZj0R8Fa0DjlZPJSi8dBaI5SmfFO7OyJdySOXQIHs4cT
pMoiI80r8dZd2/uMnOpQnyB9Crq6OETelbZxXkPtfKJN7oMOgyzmr1VDKyD2TMcBsb9z2yUvmzxG
AvJLyc/KDi2E8NP2a3bfDe8CRXou4jar8WdMxL1KjdTreAxEzhvrkXEWoiDJkE08OMK7oUzujNcj
AT9UbLlIHanPiTxjCUiJQVBgpk8IQUOOuTeU91lUNarMqNv29Ac+R+Bs+yGb0ejb1g5WIVMrAOsZ
JFxcYTvdUvG9CLcNpcNUvStkXUvyi+aYBy8zDVPSY2wVPmIjJ3YmKTZyRneec1le7P13IgUmZlJJ
kG7VtUsjDLBodhG5Yu6SwkBBK5yBCd3uZKyq/YsKZIWQYyyz7yj9sZtpj2hANrBf/UzkzcpjOLEJ
y+nKoNo8T2pgivcf5+nouhWNWfV+kBWhQIOqjp9Y+MC3Oop4QiJOWEBId8P1yurCQ/5L6UGG6keX
uskAQcaInjTKyG6yXeRBphZDc5I662aVYlxkjMvAc1u0OejuCgkouHL1AvjncNMo962qGNxJvD27
gs8kj5g4SPtItpXqyfdezt7AFLHC63Z7cdpGyGgRAwXRZXQ/ybMc38UbICd/hMqGReQQolVEnaEB
ufyOUxT7cjwrwvnVti7sUx6YidOneAVWumTeSBou1ctM5vC+bHm1wnztMkKbVaZq6dEQF/R4L3Ol
SYDEMRyj5H54jB0jugB3x8LD6O+tIDWnFluu3Ujc0uobbB1daYNFH2mawpEPPX4u1mQH+4SDDgut
Udj0+0lKJO1jvD3w+l0X3WsqB6hUM7ukMWjAdipDYD2gO8bCDhx2+/k4EBIAiR02WcAM8mrHp6vE
CFAjOTQHHkcWaLf6E+DzYKkPUL8FYm+uVFdeB7K7nIbz8Qee9DJ05MsYTgAle2FSmf0Ys58Ufd3X
bF/0f4IqsRKMioXTwlD83TD9+y+bIxK6YoqZ70VVX+dmTB2tOBG+rjd9ko1czrgEFhhHl+PNQt8+
0FLTE/85ni3JHRj/5xjJHg5Lp4TXI4O/TY5ChqArwVAZECymO5E4APPCjrOoFP+VL/6ozTcTpxCg
ATscAixuA+BBJ3irx3JWebS5g2rpPQQTX7xnxfVJJV9PtJDuUB9R0o3Td/bL5LtVdgKFutbNa/Hu
hArY2FE53Ex4VzqGnSWKJDmJG8WBXxYUvm1ebeShPbUfDHzw/gWmWmin4GZ8vdgWGo5SCMoTKf1P
3Nsn7yZ1Lq4rPQDvZmrs5+Fh/lTkUG91yVd8KxCth56J4vOfHWiVIKow1b9d/yLw3tuwyZT1wMIP
XSo2LhP0hg6Qi4ysewn6C07UJUDxdZ2QVpJXnBH98+5+Tni+D9ttpop9irNxVME8NAvEBpqvvBK2
ROoUgTfVmGGQS+b52tRYpnu6FXpPTnKM0DNwLyKak4sBEmTW0bNwmRYtRI6v2NkoV8YLD55yD90b
EOvo+2P1p6LHYOUWDImTOZtHtIwkSD7fhQ2uxl7tRDf1HXon9Nf2H4u9jWPBTpiK0Q5JiaKj0sJW
shF6CGBSBg1zND4IShYAAP5g+fd8zh60p6JTjtq8hguhqA6xqNSPyBwjpHhbZgM6jddO1PvKIcIO
BvKDPCJuhcj8JCweinETgtjDXeW7ceLMma2Se9iZJpZvGOn1WdglPNbdrjTH/RpAne/1kfYa7SH7
sGN2wforF818plrNy7Gg863Qr/jGzuQ5DiaUcnO+jCTmVH1otBkyD0gAGrgt9rrI5gUfEKqJEgS+
jTI+nP2X5Rez7X6s5TX3cMuM0pGFwyUMn84FhNUY07tUuUwu8R3Y7Nq+19JGfFC2MbaTZ+6Wr7Q8
Jxq48ip8XNwE7BuazgtM1wRP08j4mqgpAbOSBhp/aIqvhFB8J1U8dtT0ZZRX0mRRXg3CaEdnVIQF
tF1NZlqhyM0up4XPoLWt1lN+Nt/mI6EWtRZkJnfFJlRSvK2J5xtpdITeMjhN5LRukiYYuWscKNGg
ajY9JSRjtRXrcVfoKQts9eHNzYs0NX/Aqd+KM0eCRR9hhtO1iGKU/NXDUCd5SvZNZFcbGqcTkMZD
cQe+nHiio1auBrmgpkSTgeSTYzMQGBN5DjA/IU2GrNs+Ew0MBZ+DPyzemHH1hhA3O42+o9okFiEa
Rwh2EEjzcAIMqb8VCQ7xgYhFCQPzH35zUmQseiT9zUIyRxkRS4/yztCUKZ9Atj6BnGvPM0Ef+7uQ
t/iEUboN9Lfu3sFoB04WUP6Bm7+S7IdoMraDdP2AID5oLjVeoa5OezodmMnWnPFyi7wY6TxiGwo3
qEGkO/QEefmCaT1W5hCFMUvhW/vKDtPunVOpUnRx+BIHOuodR7gX77AvFmAeds6xi4hR+a8k+Xdq
qgG9Mcc7N54vRRBpRBqQ4+7fROm+tGvlBsKMwP7V8vyiG78K7skXRHiZkIYl7zixsMh3BybYpSxW
ud58bOllxYffHYN7FgifIKvpugvl/5fUjnJFgXE3KWT0Giu0uyW8uHblx4Ty/GVT8o3ABQS5Q452
t6RonGXWnsjeNO+lQbBzWr8G7E/c4sC/o7ZvkQTqJoRd2CcvCEvgyv16Oul0bsPLysTvQcsC2wRa
p1DEu1hE8l6t2au+iHOZzmFgMz9UODqu5mR2hbLjRVgCKINsoC38Xev/TCUGJPOgpl4gwuQt3uk+
3g0QwNSu6f2X2FoHpV45ioKgwfT0bnujL9HyWGTZpQsUDrsGW8h1enVgik2LGbNVVT8P7TUM0dvm
9JtDY1LQhsWiiYBuqnncAj4I31QrWvV70+KdsMMyKoJGuB+Ljfl13E1l8+QUgKAvZnvhhU95uFUV
G+/kAVBFpd+o7ZE6SUdlC/bYAufhxEEfXk8ujjYnoB21EFtnmrT4SX+EhybvPXy1WFeEeHrslVBE
CQj07L7k6Qnp8LLauoMh58U6AmJnEyzeA+pFAK/unHmrIpFLviBRFbQGiJpwFNTxOJTMeahNixSQ
mvki8OaXHc+KG+DPy5pxzFJAuYUOumZbHy0KF70DlF8rfS2bJ9kR0v4H82ZwhZgnkIN9UiI8DDgG
a1QMbmtK/FuaJqiA+hO5iAkfOGrYr3XSNgVRC4pxBjhzFmJwcQmfzeSc/JB0B/9FvTFoB+9yPzfz
WiKRX97d7QeJtPF+15ajBtE0Ebity58IdVVOQIEpy/WxPTqH4atlf7QV+NIGWdbzjjFBAjoavviV
02mypn2yKrxQ0hVjNV2ukUehvIciX/ieTKu22G8Fa2JbuR8y4yoG7OjMkyys13gG8nErRIh+1SOA
PPcderDMx7Jc23v2vEQpmCOT98er0luSUdCZcNvHYLENB/PaOAu1zYJxAX2qPQhSAQhvnrputppy
0Bu9zyXrCZPIAn4XkmWmT+Y4xVoDkVgYjLCwf52te4Kl+4mACyqtjnHhykiespL7vvw0Y4f2VRA2
OoybW+r5F1uVhDDqcqdivgD5yhRrmzLTbhPDvPcVJkz2t2JNchHFzcWJEabtZGfmsL6CdkXCHQaZ
fX/yk3kv0N5MFKGx8pr9EJNSBdbtNLMGqGcxEBZKz4KiYWCe4aP8lk3tllTtqzuDJObugIZsnLMq
Qafa0jZfN1RVLyltjsiQbhQZ4FYmGHzgHAxXSwO6yXHQ38u6V/+rCKPixBL09Xm1bt/arqumaMtp
rrEaCvIRLQ+JkAp1JPVqZ/uz3GuHssaS1bVLUABXTtNl+L2+ZQbGwk3k9sa30OGFKq2oXOYgKOoe
yWZXB2796FEQcQkKYHrm0DylqZjMGygZjOMhLoLlj7MHc/E0d2M+z/AWXXQWjdPx/9oc/EcGLU1M
0YTZsI5sKRWkmW4tqH9nEtaSasSHVNKubErXhRO9dH0ho4CBe0NeZLctVjKcaD556yKUnERVe5Kf
rn6as2/rnf8nUewzIKZRGP1b14lcmgCaFRSGnZyhz6xH7ozzjgIDFBz99zpiod0h3lXHUQ0KuQ9T
tLjGHy41omamOx2WGlezK4A7sUmOjYrjD3xowpHt9MP8qq9QIvOelKOXB4JFfJ1ZBBoNhvurtRI6
im2x3HXyt/X6K2MHI15KGHZMjG03motiV1yavjulGRGVkOIPH9CylUu6/CHKwpRT5Fj6fhORzdVc
aJbokKgLcMK74T5ylWM6mJclMLXV2Erof4I2B9qyIVL5YznWBAXrZPFMIwY47C6g5ILlBJqcz0rP
eih7Y3soHJFAMHpY+4UukVrG+EQnind6k5kJYN7EhWkRxkEk+9hDqr0Xrqo4cQMr6Dalau73bIVM
RbAZZ1WUo2rPrrYZqzd4slI8zwDUE99KlfcrTOOtB4UcSnuP7YoIFKmLo7J7YeeEcbyc/vJCJPTM
B+8AaiYRqBEPKVRBq4aFDuFRbV6up0FONqjSlRoiPi91OELqHYFGb1iQOR+t/HCy1naTVbdIPLii
msROhJas0LNkRRjfNuSWlcrrRumFYqEwYJb4NR1P6bHfbX0QfG84Zczkwnjpp5o0fGC7WzEbSMnT
srRmdUtZqflOjA9yBvdVp9heiZ6iaj9aALgEQcR9ltpt1/XhHNM7lyjkYCaNshANDNEgM4Q0yEe2
xFqhPv5pBeOAS5Yw94cnmf30KXr2kEodLc+DdeDHVrGyWmXKuBgEWl/U0n0ExTNSowtmr8SFVH1M
bD/Uuu7jU2czpXJADUjfUpecyW6BRGsvK6By5BmT1UhV+k1nufPmK3LkWTPtWQjhxd97g+2pLe3x
PSThATwrgEyCdIHHiUdnK3uk9ClUS5JEvKWiG3AcGtNlUWDpX1RuTloSx8W+ghfYJ8Pnm1gmO9N2
8ip0oU+RtW5gaFEX82sb0DU1obA11kHawK+cY19ddVAVcDLbU4DkIJD1YXHHYC4Ddq/1ky7xu7sy
YQwlkGH9y0C0bkc99VliKVKigSp6smKrDaa3MlbFOxrHJhGoFdMMXKNYJalWfvfASMFNrP4hbzS1
UMMMEnrdcLKCrZkiSsc7K5AKBWuH02W9U4r2fz0EzUuY0QjxNQ9ibHBD/a30J5RZ8fAxPqjk84zM
wjzX5j4Bpqfq2iIL7dzNf/mgiOeq8UbHtAhJVSOp+lZcudmVTaGQlUB1ycnu1OpCFF5A4PBMvNtW
P630tzz4CDT72I2VHgklZRNmZnCHNfaJmCtwSlELT7bdkrqMdw8pwvOP0Tc9ddR1+Qf7tyQVgMyP
e5/GDMMk+EAa6tBBZHr5kH/VtEh2ri5zHsEmrXl+evR3lI99IsLyCwip6FwxY3jyySAFiJq2iQ9X
IubINSjFCsTrceeY70XbGPVDyFlflm8Ob4R4DRs0hWWUXgN0BHxu9ExgFDPwUx8cJHf3g/qpRTOK
eEZ1/VIB3iKwxqnyIG4eStZmMzRpiv2zJ1nkmI4h0ZkXTLscWT3+2Cm1mrxvrScz9okd5cnySaRh
6SN5/US4gT34B6bxDpOk/1zePfoYRkaFrH9UQc2c08K0iUaBWwm+tytI+SzbT7yOZM+nssg98NyK
Kzi2sc5p9A72tlH0fA0V7RGHrka3d5QEvAFO0yHbMKsxgsgO+kw+sR6M5BnSd1g88eTD7lhf6j/d
1unQmPG7rwMlKV6neNdsKf70CBnOyDTITPJzckVQGh1ctTkVHJG9yCX00s8SHJ/TfMo0NLy8t93r
hfuJeXrySXcfskbpRMUhHJTN1KAByexnXOYkyggB7MwCz6EhK+CYJwHpTKknkSzvRfDsq6rMmPwd
4JaqFUoMvJ/XrZ/r/6NSBDVhnFqA1kiF7FMfWFE+3iDofl04MuvSUXs3q4wW4rfBA3VxQcLWlap4
nVIoc39UCw8IaGimJhJckSaSCt5Aq8KYsMMr4KuouORh83HRkuoBVrTUkvVBFHs61rHl27pkVncv
j558KDRFc41rvq3IRDO0m7hRnOSKMvLOcCCyK8AdlSKgqlVdFtgh9icc0jgb4Sz0w+yJwsTV0Q2o
6Wi0Eisw8iDGny9iIjvbEi07m39L0ZBEtM6vrrFoK6+bKRIz3YAVVMc/1CM1WMw+1V/1JnMYRwZS
h6tbmNEQQj6UjM00DMQROAjrlMUC0D+LRNC06J5pP9JQoKW2N12kQsHkN+mMqvOJLpxUvdPgohYO
kDb8cgVaHGPKtjPrlHSbHGw+3JbzGhpELma/YOgFFdvOTK9aVnpYpldSxFcaCuuxwC7GpLjKF380
JusBE74h+CarGBiONVRkOEo2d7z/Kj6kZQjUZW5Iq8dePMZtyHwmk8uY2aEjpW6iQA4i2I5jHjyc
COKRiCF6Mdm5Og+b5fm54Da3HFvivHtBbUMetrYUNRSAOYnMpakxSyQCLhwXvlL5ByAOrz/2kYot
CKIEBzGZV7LYRxTfrNTy0lWag2jhfxubTJ2GjWXz4xvk81IunevubS3DfN4W5kqyMFnuz89sQcHM
+XZObZctaLpl3uZlKYUGineima2kuljJI9lH1LkXJceX84DWgsCjw2BWQVeyUUlCd9PzyVbgP/ZA
NDOeuMqkw1A7NHXX6PDCFMbsI0PVSxkg8Ut4+8crUiKHKm4Y6z4W7Cg7HSXqCktdr8cGwinLkoFj
1aydMjn83VzbBl0q/3DSKnFKBEfiq6JD7tmYSZnupL/5CxLUEWvv8vZGI7AkXNl8i/ljFzNLHmdN
1u1NMRI1EyhphN+uoeoiByMjPI5jLmGrkxT1xeIBF2IDkVU/R7YBzny8um1RoeRd9b34vk7cUBhf
UnqQNldFbkU2DXgJi0PKWdbdMBBBEOnUyS+kXc7Z03v5KhdN1DeXlwAG/t7qlu+2iCSWs18aGcX5
oSga7MZQiVYSJmaxZTxamW0U/cWkFAjowiekg41umJ0p3q1Tg5i2I5PDEekKKSpnuNffXVzMJj0Q
QX8OWGKiicGVwB7m4pmK4YPDSRUwhz9BO83q8F3YC54OcgMk0+CWBVaHlE6hgVJkXGkjiw4rSrF/
/Vuc9/jDYMwBwB1aoA2RsIa4Wz1GFt/ouK3WLKeGlc8/xKEKOJpqmwfiZRIvMP0t71dKtRlgd1tC
uBEN0VuD1St9xOZzxhtDQEU/iMcR/QQGvlsG9Nic1X0UeNDKPsR0+jVIYFnrADJf23U8M95cTsWV
yYbCKx1NJq9pUcd0xMKQZcJC8BNelm4mfKBSjJD21v705EAqeXp4Fh7dXBO2IVkCNShjE4wIdJx1
pCaH+eLpgHMsWYOFRRJtqSamHOjnEfT9swyYIT+9yDbCbnsvCpre2zL2sSbkFBRYL2tnqFjWkpHp
s/FX7UWYHmddbaxmrZB0q+F6OUCRLBI1CaJdT8ji1XU09j6CwvlE4uAOFo6WRfCNUHVa1EoyeEHY
PrdC2+xKrqOBsa8/wpxos5ZZB3wNdi/uweF2n24qyla3MYHxfMMcJvFZYlpvR1ICeZbmNQEZrxly
4OJKRgQirESAeV6X7N/lOVlzEgj4krNYqgRgnjPd441WYMDf4q/BUIRfd9wCXjr8+5tphGpM6n1T
KRaEM2GA3ulWcggx0qoqMjygt8yod+Scp13wbmfTdCEUgQ4/eocuQhfSeVl2OWQhELEFRJHqha/H
wpQ5c+HGAEaBErOarDKStbHWcnJjUjL6EcprLRO7E+TLfy12r0IG/aVIszUV01y+F7d/P1y31dMd
eU7fAnUglTpyUQfnG7DSYlO1qbM27Ne6uw8OTcgSUwcbx8Gtxs6X/gBntEAboQB0MYJMf0f67bVF
BvfInadoE/l79fAqCevOhOLt+FIEYtm+mvZjLNjL0SoYUv20OWYpZ9tQOkjNK81NBl3Z5FGiysa4
A0KVGP8x8iLmUfg+UUjJCrJX6dKRRKQNNCvSmBFHm4lXMFG1tDohovmWNCejszOl4yKF6TL0FmgI
Yr//NANtvh/eC4y00/r1VlsbWrP3271+RlHhtJM3IX8U4hnlr5ZQ3zgTXzFQ9NsJcGOJxx67KGzC
vr2xHe7npkz3/7SuLG2bn7YxjYlaTA2hUgqmY/cs8mREcgq1dbncoQXdhPOn+h52mUal7ll51UiC
2o3A62L9Ms0oEwYnIYnH5HSRwJL/gVvG3jYtVffhjbROICTt2xZZ3787APHZBVVgD0mIwVyufrna
HTA0g0nzybGIJHXQ+e2BuuP+d5bXejAo/NPtNVgJPpjEQgUGB3qz052ad/Imbh/hSR0tRKvsO9U+
i8jvvDsAQJwB43ueX48HludVkI3znsi2iAc2LHpWLSRYGYmey4t3S1ijMC65CLqNnqAIhRNDa63S
KUrK72cNqyqOTRlzCv65PR7lW7FC36Z3ZqVgm2Ul8d/mIKtQGcw7XUfcYi5K1MGdHMRnMYRgu+JT
bNqJruLglR6/pq+Unvgq2+nflGa/N48oEOsUgg2r9FB9yM/jxE5EVk8hTe4fJS5jQa63/euMH3lP
BFpYHdMDALob8jSiNnIxnfb/tctElV/dCrGImrIIziLB/l0B0xcBK/MFq7YN0gi7N4MEA015hkb6
HNPM+XtVgWxyDs8gNOIVXwDKQqVPM6RidjRxHzPIh6ph3mBHYysMb9w2/sP1+tPm2tDW5BkETUN9
8JyiijhTo36/Udp10ZcTTBD9fugDT4ojUf2twrD6ItXMw4mRrJuW79S/vakm7Yc5iRnj+X6bFtyG
h2Q3S/bgcJMNkBfIgBKtzfi5pgMlsb1v33+ICkRriwJf9JLf6Z0LvJdM9oZIJitiLItFJaheVXZK
t6n6AGkDNUa0LYQmoiNDl4sKNplXWrtGcodr5TWA39HBpswi3mUPnWJS4OqBmWmLmeuo2URxQuH/
Xik4CP66za6MzuVqBhCDqzrD+uUUoY5SjAcKWLSKV2s/yKYOXtaPBx+M0s5m8vluEE7S22is3vVH
qkf+r0LB+BWLegf7MwU930qVY83iTrC/UI/eNZT8idBisWK1A2atzxoKIaxhxABA0MeFj9kKcs/X
Ah3Ugix3k8/92kwBMRKnT4fuZMgDFUmcD4bWOH/+e9rUZ19qa1AMfs2/gy33LcJKz1MsCI2ytznz
mbuoa64JCvpG2VaypvLiqIQm+czsOd1Hw5VrfSFKbDkqVu55E1FvzcIwdmYD3z8bF77tv7tVGdwc
ust49N/gDBI9VWIP56PfbC1EVY+jFZ8XznBSMVrlM8Wj0s8Gay/H+DGdbiOBw2rK2aAEKYpRCObm
12qeAd74jaeYAOReNETAdC4XLcv9IJPv5zCuI6md9MteiqeKAhb6b1r6ey/a9jezPtnie1fjKuJy
xMG+HmWiKSbDJOeN79s03z7dd/QvBHRLLoSSj1aw0edYuldXJR9X2myvOi+XsDPU93IGiXiTR8V7
pzw/6OkFoGvPkDEyX631GvVuEXgkTnH8cu7PRY9eCNK6u++UJoXkInaidc/EmjbTyktPi6blps/9
hqm9Uhhal6aPblM1GhCdgvo8ZHUh1jkUHnvUOxoxVwxoNdvA/2vjAnvyJidqS8L6oViKyLQ4UQv0
akpGgKLOH4SQbjGO16uwc1fAdXDYteHXpBtDXNKrR3Ad/zHlL5lD9q7Blb+uVBfvMhUEFaQ74XAW
WpN+S6wyhxJ3kiUT2UGfkLdQac2LiubVN51FONxYOkYS8h1D2jcJ+IyR69Hwa8luQHuxsdAbOIPE
LTFKZloo8Myz3T87yi4pM7jTWeXDBTQ8cWG16CVtPMSZFJrqGXgJLTYop40xRiD7rZubpF99EyCV
SFeikh4avkDDMhRCs7XdKggP63CcqBOGKvaEOx0Lyil78xCMSnwrjik21nXY+MyPPFsS5r1P9IHk
r11zZhqX7nc1HgUHYouoe/YUP5cKOpTACVBMP8qzpbKKkodOnVQhj8rSNPf8l7yhOipeoEwBF5dK
/LvoORCpIR4AlgBVnZfPBJR/kXNnfZAgdQyHI+IRkOpuV2egiuem7YJqNPqmKpiBcfysNJ7pBiUd
i24GdxjGFvLX3wB2GMOgV69AnSuc0695qtc3JPntFJVpnVVjbHbkS490vQ+TymlPBxQZrPAD4tmO
kID830p0125T68D/j8o8M45fwu9yoqrGghtHPa9BKyzxZfqYv6XFDGPzw2GhQZDkMxwOpfB7Dz8K
OgpP1+mG2aF+/hOUdUSTEu7cCh/OhWJJza1KQkKv7OIDavRW2XP2bN7LQctSm2ElPfy/5m5nk8Qm
7oM9oKDJOwKnc9QZecLciMMfZTGgRB62KUVUz38x6AyOV61a3CS9xm7fsr3vJRux3Kwk92uWUAhf
4g7OUNSQZaC0EOA9TcrtkBblOivd3KQbClGdD4JKQmOyqb5Pv2IFA6BKv6XDBSRWDXf4ItHJ5t5l
X7+jYF67AXSa3mXbnzksUNywM5S3WTKpVjmLb1BA/Z1mYw/LVqhkqIRP4YhPIzPiyfiICUtshxvk
Zjl3fxRx+5EgbxqYymqk+2aX1XlA2r7RFr87sD41nDxHfcyvIEYQE9zv+uS0IRbPD3K4UyCM3p+g
XWc1yE8m0Xj5howyBBQGU0wJuBp2V+3LUxHrPi8m3Zt2daR652vHmu6tUAGjw60cdddWg+jjG+kQ
MLYPb6RTzAdmdESa5BETwMaORBWriVJtes3L53AcBBIIPzi9ULozY6qstY813PBk9+Kk+6di2TES
hC3jr0cj52atcik39fu1Pm2vmCrN+Y1g7RjdS2y39OnM7k3qGjuz+QDG9yacue0V1X3dm4ZvmxLq
U6XVFn4JhzXpAGMm2MpOxHEYUa/ud7vDTTkHLTbmTaxVab+J9I6RtWRlFf4bxSTLxU0Pg4btZ0gV
TIqn9P0raggvU3C8ehxi5dMK/1xZzdRYi4po2l9sb9H51fxTHZgoRbm0rqMh/2ivFO+mVFxS4alY
07sCOE7d/+pk21+3RhYy2v8/04eZMSo+1KLKyYLiKz2cvf1YL+geD8uOx+oeYGfcGerKI3YyYAMc
9RnLf+mRKDhbGu1J3TLrhFBia4JvI5W7bRGBEzYPBqOXu0yNt6XHB4ghYcw5B+Fl+I2vgZga9P0f
LSXXCaOqFZD1GD6VSU+EDM/hwvdonNHf1l3fDxUcPZzRKQKwaVlXnuLvUyJnwGW4erc4v0wKaAly
Rf33H0DIdRssaZKeygIUh1qfyZV0yz79mT65PNaf6oCeiae5bejeAbRem0Tw20M9q9CLjRIOvJCl
TTBTRzOjm6itmdimaHldnai5aeNoBOVdWx+nivJ8szaO7EqDzgGNNJt+lN5xdA19uRNJO2FUyZQ3
ShbE/dZpf43OXuyyBwQe3gpsDHdiECDmwzCdd1sndf/GNSxatkdrHNFoQh/NXK/f0lB8Iu3Jav8U
SAo73ikwtCtxq2edFlZOeUT4jVIK3fXeyQCIFl8EBky0Qq+I2HP9e1q8/1NhEAtbBCMRIJkvRoyH
1mc2+EhbWBtC0Ok7+/p4IzYakz16kRdPrZj2YX2e+Q4EO9rLs65DF2qeyc8OTZ0oMO7s7+OSOlQe
lm50NNUwj+2Sb50yjq/xDdIDy/HzBd0qjkq+mIZKtfHihUeDuM2h4jtb8qZwoBDIn5pfhC87ssaH
PiB0+WsqWPc3lTox4+j9BxpTtNilN0fOlgqQCsWzaShd9SjKlcuA8Dv6BhGvErpbnuzeg8JHDce2
jEqz5nFBQc4lf+HTp7wg1wy2ZW8L1+IrIDEPis+iJBvxTIv5oZ/zSmvuuPXcm6E3fxe6udl/yvDt
BK7A+AgQX7xh5DCmGs0xQPw7dGhIiRhpo5K9gMf0EioXN+1kxhGum0C0rp5ChbNYidtwI0B0DRUk
mMX7t72uOXgDQhZDwFYBPGZbuBidmTI+ICveinZtJc7wQn3ETibcvAH8O10P5K8FAQucA7IouKYF
EJLqtH2DGBoNBXGyk1SIoOw4CwgRQu5rCLB0/bawEMZ3bEaDjSjdgsOeHXwbv7fa41j6Ve3x7udF
6diI1ZxmNHPctfaQp/qYANbANqPHVNGbSTMJIcbyZe+X5EGjwwO/JxuHXy8+J9GSO6FPuK1em9Ii
0gNdO/lbGhYmO+NKZBCGo0S3YybOQ+1gEkRkQdtkJLBbfkd2OCIi4xUwZjBezYbiZpbNW4zGoYQz
7K/vC+gZ0BXB9AKGp5IQiarESLUZat3ySkT29ZRjRQqtj38gu5tH+AL1ZPyYNwsWE/GI8M1i9qV4
6cKlnQI4lZR0c+HURW0CX3Fg/W0cxn99irH9ywm7ry8q4zTBBhKY/hmlv6kD2m3i8tM0/uYd34Ts
UioUW01aurvUfHfx8LlbUC+wm+eOmvnITWkUPvHRBzZusTPKrU5V8ISsjLlTBLmSkFMWu/KjQ6vV
Ef1zrhTOS7x+XS0AOtyUTrWvi5yKPHF3Q+IFNX7okK+FaPEkDpf6gecfgslB4R8xdZe2VHNLQjAH
FRGlimgkGHVvSPwX+X8/Tf/FhFfGB6go/Wdrgv5ZEzIbphH4zWoi55pPyblNQsGYzmQ44C6FaIda
6MsJ71ttobd5r8b8MqQGLu5yEEBq5EdU6xfzu3OY3wbbM2MvRZ/Y3Kv/Z/FANRh43p3LB19QXq3U
hWpd7MGPb8wE/sCfQRGaP2lCj4uTibfnBLc+oRx9Lmk/l9EInhpIZ2B0mBFr1B7izqX+1wbz9qUQ
8Svql3GDrA5cwID5TfwsrgOq+06KLQKbAk+oM7o9h7ii9bVcBQ48D1A1IwIzTthIhPBRxVugKQQ8
JYko4tpxoRbSrWrxDlm5dA/iHSFMKmmklZpMYIAtLXi84FqViujw2x0XPu9YyXgM7Dk63fGHj5xr
kFleY6V3BoObomdf3BRw984qKdral6bM8FuA/AdumYy6R9g+/tmMRyt1ICpPjCDc9qFmALnJw0aO
JI2GZOTPqpv6kd9oLJ+uRlf/0vzeKnnGbbAseaG6TlZQDnK3ujHzrdqb4BJuJqXSUNLraX7ui5v5
f52ZG863EfICIpJ7YrRUU4wT9PUFR56ztOfyRltxddjIIKbntF0HndW5DgKdUiXr+i/fWtdtRArV
tZMa9ceR18P0yQdLWYjZDCP83vDlwsJDlTB0prYswOwagFVX+PFn4ZKXAa0pCW7BJ232PAxXCEvb
nwY/CL7MlBSz1WhRHFHNJrFg9zFt8IoOCb7fn5J8TboH2bQEZbEij+0nFk6Y4A+cd3V+9xmNP4mc
3DRMr7myA1EVkmx6MqJHmMAdeq+/clViJpX/dCQNpgiK7DNUw067v9aqrT16PtyTrujbJ2B0ijbk
Kpc/D+w5eGyhwSMC/Wxn0kmbAChou1LSa+M9ONWWigI8nH8yniRw2UihRZA6C+0Y4vvJfIcahZTS
U8s31Ijcu1YZEFZOryXLGcpVsWbo0iMGufOfa/JX/rAUtF3X6ZFIKi7gfeXEBzI1s8T/0X+Mj1qB
mNKGf2vs5vn3ePbM8FqGT4Gf+Mjc9tLbRmiGwUAIklb3ggY6U28I5tBREBGdKicyh25GW54wUJe2
Fyfm77lGh1S2aYnKtYp//v4UGU5dTRM2ewC9WBpx28M300b7Hc8TuGOdVvI6yQj7ywT6R0v6ZznO
0WFFsEh1A6cAZ4cOWHAPPwrI8UcvhWoNXT/up8EQDz8FBau+Nx/QYymDSb/55+5nct4j9g6/sghY
VITRuZgmMQBGiNfoGnGETV7TXckY6wOq+6h8jaUSWjFWHs/epA+fqKSAtbOO/E8B/0ZUaCn8S6Z6
bN9ibbLbZ/FftIQgfWgBWy1hEebJmMuG700gbEma6VcG2A+j4f2GQX2MGnhh/2DQBlHekGBTwmKG
3H1sFDyDaqOPfBub0/enSe7O7njFgWhDu5ITYjvBcgoCni/dbKI81y+haE2J9fWJ/8VEpBbTZVtP
jfe2HS3jWZe+6ucHC5Y5++s8EDnI30UHgWp4sDooit+Cr+YDYl0OWjCfvkVTKwW3bWrVX4wDycqC
9TlM/7BHtLHYfLO/yh68VfL7blKTqrgVJx8NUTFR8uGgxopv9RWefXBnkz+SOYp4BkxV5kcqOJC+
cbmvwMWuUrccbhNXQX3nVY2T19i1c6A+Xa2Nea34e+I5/6mSE87AWzTy5JICexdWS/Q0b0P04NPT
QEZyDoda+4Hez4elZcoyJhkkBBlB29fS9MSChNF/fXvhHTf8sxoco79G7/Lc1TQf5SWtik7fwtCk
SyXRG7AZJ9kH/4EURA27P7kxu36E+ZppoIvoCAnqCQdhS/EtHMGO8GmJqtT8lhi2rzyQaEmcLwGn
//3oKYisleMFQ1qceb9yr+WZ97RlzFWzPBI2W1p2BMwBgSruPWFCFbToNiiGLFA2OyfHXyhTGN80
+CmtCEtDuFsbLOtlG32F+5OlS9i4lXd84BsZ21yLs9LzftsHsKJ+qyTrbi6hGnflqkR9H58pKoUA
UcBnUeNTB10QNKO3d3etqQPkuSJplBMkn9/Vns9llobyHHaSTzepn7tPlmoS3xKwDWQEg21SOkhs
GgyerjSkGaAR98h//qzMLM9SpAuADhGsjLt6JtiD73FCfrINBRa+seWldURM7wY4reH0MpzUIr+9
VZzl62ldymNwF9GIpzTb2bXLRtTxS1zE3ofThhjlwNK750y8BHr4E7HQM8GPc6rB8vnof375XRL/
nExkzzvBytAnWgyRl+oPeT6BKjwyFqf/vcitTvR4oWD7mqcfrDPLKduATWKppHkbleG9nIJVz+GA
IGpQqQqCBPVUouzzTlNhZd0U6+xmcd5vHrLxyUSaap78QdoCecgYUVjDGQgtDl88pwIVX/8eE831
pxiEiFwHIvhQtwjd8upBqiM4PEorKn3gvvRwxRcxLa1qiQkJXi/rcoZlx48f/Y5OnjeuXDfZoaFt
w67NFEuhhUkL88w/yo89puD1wHZkfmWkXxrQdFLfAM3jrQbetyobfFjAjIHXOP3QEtSssTfNDUsl
88OB67f50LC7ZMSsZfehJSR+bFYGGQ5vUGUAxEouP8qtxOiG71NgxsiO9C5l6zLXxiKNUjhqyB3H
7/63vqUsJ3eTfTTsmXeoT0gqFXKbbnnxrFBe4q4vgfSKryTugUq/I8yj4lBE81ZBgVoz3TcQa+ac
CGDUK0p8Qty5/rk2dT9KHd6c1DSxhrVzQJlzFjyXj1jBuUo9LAJfrlVk5PkWsE4cuee6YYh+Ha9D
nrXlZWK+zIKz4uOiQjO1xl8osZWClgF9x4PUKwn4cvenugPxawYbJ1QqOg7Z/gNvptSWupaqA8lX
eUk6A7Z+QxQhNB60KpKit/cFTEn7xYWGAvMqwB8mh0H/7B4jUaVufJuMU0MltptVPLSmKPj529q+
6pELQoLarVukg20BKzUAcgz4zO66Z07FHTjs2Cati8BKEk4dwloreuPcHIP2Qs+C9CTg13EdFLGm
M/6JQ3BEoTmAc32qYOnNmF8vCwfgwr2MsjE+IJJz3EYwW2rL5l75C+I4HfRCikaA+mRjH1fLIvEy
1gcG/LGlpsbSIRES2drFy5ghqg6RXPEfXhLIrvwAxjJmwoEdAOHDqrkEB2oxpRzMlZDmN+SZxvkk
XRMrCiztip2thnS0qBSNd11H54+51JQ9I7Gax1aVenRbhcJkZjRtAzz5rs+Q8B3CY7zW/UFUinLu
2/YVF3rW9p9kdVN0O8LmHuyWRoCiPww0oJPjOO5m4uNx/hKsqYYzY+mqznQceugA7RwdUC1uj+v/
zHghf1ZqYtOQyQPYdPsxdWb95DbXf8pIoCUTFBAsoXRl6bhibioSLHD7/HTmETpJWtQ2FxpR6eKO
ciwh10QNwVbmxQ2KAu3bg0gf4O9nwYqz/zTM2H5FW8EEuAd4jsUH8vBM8/lZylLwLhHU2/20fcQ/
cvLnlFjUOJzZ/ihNfT/FUgnFN1UYsju4G1+MW82T0POmS9N9KFtyi2ko5jQmdhMbIzyGx8u69Rfs
kVyZ3RX5U/+SRZgG18AYm9MMl45J4oLwSHKU/79GnEIWw/aWNUMS9KwrPw64IXYDfswgaD/NrtYj
COCvGwVqoSWq7/SmmgP8i8S0QLO1OYjJxZLYyg5GtpydJ3ChuWl+CIFUIIzugVAiolnv/AiPsgI1
BhXV562K08k+F229AXudwszSRg5gJBRuDvvtDAe1TfAFnCy/T+U6rRXSimuV7F3mPN/JwYg2FeRk
vkESySHdMYdexipcPSOsdX/ic8ZLq6Jis4QfyFa+0+v1yvk3ClcPs1r0WoqF/yp99Vg7grI9jLOy
D6NWg1xFStDDHbtFaZpdWFz3C4BgKMV0eSnX/ArgW1/qgVtQqnGHGONmuHxHl46Ayw3BW20VNDHv
VD+kuzeSumSiT2VaAzE+EzcF3xItQTtDGw9Vd4fs0cDuAYjcrgN0hnSz/Ov7dBnAmEiKLOIMP73O
fOIC1cXUSh7YIzsM/ZJ+kgtX6sb7kQ7IW0enqMWiBtvTUuRUIEKUJ1poMY4wS0VFXtOyRIr8vF7/
n/xH65aCODr367tIULJnJLSfjKDZGolxvf0+bb/ktnJ+HyvAzxadZzdaY7C5K989Kn0V6vuPTDFD
8cd2GopUqcBgeHuSY3oe2wHSeT2+YImHVy6ByF1L2p+5lz8fNDPF3WyXkuHlNXgWdnqTfytI5pRy
TWN0jUk1A6n262vFNMGEDkE7Nab2K/S/9GGxV3wQk+3CE8KBea4eLJTSChhBMO3UBgwNzg+LttLr
IMcHvU68BVLlLJf0OzwcGhNSQF4PA2VkMUQyQ9s/NQrJGCar4F+Icq8rgKJoYFMoqOKJGpg+g22s
TaXXAv7TN23eYK3A3EZ6KF28OUJcG0zqHIxJbA1ZbXjXBWq1snd4QF6k+iyl7XMyGRRQi8iRNvqy
kKkpU0kdXxbNTkFThl3GXFS3u9NjNCA4dmvj3Sg9o/hcS9G/p/IPj1BvqxgPVYJQZgtlEmO8pP46
S1ZRcHuJbpjnIxCvdxY1Nn+1rAV27Nj+NDQGOVLMPDrV4yK8S0hJuekQ8KgSNpRucIdv+o630ybh
ZJPBg2m9FdyiUv0O5LR4zjhgIefPqs5KcKe0ikL7PP/E4GwBlkdgVhKp5jCFL5WH503tWOKqiWaz
C9yRm4fyyYof2QOTNW/8HHn3VxsJZPoHqT4QUghYFB+pYIFqw17ZiJYHvk+bEkCg9/yf6A/x5IgM
ls85OwPBMynP14CLyU2ok2gohBLpg0kMJYd52fgdtNUx+yISOac0Q5NLqTQx+j4zRtZgxWxV4hAw
R2sdvKCLG8CM+sPwm8HydWds4emybc/pvorJwwXpoJn2ypKHBI4GZwhduxh84BmcaIMkfuK1srJn
FlpEcGqsvAaJm23x1t2LYRcUEi8tfyd9LHYvp/Ovag7ZuY7Ivp/oJutUj8tdPr9ITuf3jJxYy7hs
qFMuizzLd4YVITXjfCe/BTLf1kH7ymxTfqfiYy67DLiDE86jUztwkcYoFFs9q2hD0l+XxIZ3BzWi
ZsruCDl50rMvl8ym0cG0SLEXHJqK5kGeTS9FQiIwfXTmLsInhoC4RZph+yWp1b1wb6Rf7uQQh88P
kj2L2fWWQ1NxHP202OlScr/vsyv/cjGoFw874ZQN8vlnQv83usRGxD2DB6ltUHn+AmEMjuDgh/92
BqfNoWK+WGPCiwFKmCCH2mf22DYSy96Bu7fxAL5PL1Ka6rPFbfGFgI7sCugkqbOX95yURJJBHa63
tfzaaE6cjLoZNlJUR7CgkCusXZkfneZrMKxx62VvsccMwY8f1YWGsDxTEf5CP8en4M8uEPkw7NpJ
YfzZ2RiUIEJIk8J7KUxKy5qvGF5Btd7GKS3InGbpw1N3y/GKfex5VUEHKQggyx+a7MdGncmcwfuf
u7HL/XzI2NPxjGiG6JGot10XdnQ7/pxTo/px60Ry+mEEzvX1M+IMiDCXpWOOktGQ/7z6weHiDIuM
w5AdUisg9NpqBo5PR67lxJF4HeW9tGfEUK5Cyv/O8G7s/ktZ+iQQ454ByeIaRQW53/L00O3/dpBZ
RnjexuVcq5nj/9pQ7Eq3L2aIcEtG7YdxFZD/6IX3+yAfCLo7nc8izIzyjC4ixQxWfNtQPh5TD4+c
Zt8X8q94Saerxu9qhAcmfsOVhLem+9EG9TD3OoUhM1H5R8PybbG1dnIsrdCEnoJQERb2SgKRYMIU
wpUpOrA6401iS+sc4CkzLemGtEV/yKJq5DgVeu0XK88sATPXK4JkruKCKaWeioBTxN10jALcORru
IGLMVmztE25zC3egsBK51nQIymAqS3WxnA8CfQj4BlEHFwanoaQ5nJ1oAunkwBAd8QUcVTcmYtLi
F7HDePVRk0TWOvSUbRGtch53Zkp2hAAzeJvo/spSRbvpSXuZQWQ2uUOcFnk/oMFaznc6ghKL5+8S
wlk7+xDZOnvLTj6nI81qPjN2yGdP+R0ISBvPxOIOd4ZarypgRYZTH1mTZsQzKpOe/TjwqZ8QgFNF
B1wlXTbJc/7pR9o78RQFGjdWXHAWebrrh0JN3C4fdyD3Ij7cRE3hNUCCLJd7spuycE4v6GIkB5pj
0pRofNoHGpP0CuPHleDwtJG8LSZEzFFsoG78IHgMR3ZifTHlyT9R1eviDalh0lm2BzbZdix1Hhih
kllAWhNlcJwCbbH/nxGaehu3fS1NyLLEO/Pxmqs97iapiycRKdmKqFsEx1O+RmiHgjMo7qqGb681
A+btN+LCrsMy6LsHl1pvzgMzqkMSNu5xTK/0+PpGL3xJU7rsAMtJWoMV3Hy5aWnM3FXVLG146pGz
rMOVIVSeOwimnMSUu/omlX1QiHOnTsCVp+fb+C4NxOd6UNBg4Y+ZcHR7GzVzSqSufm+wmzKF/8Pf
FzYZW/4JDoZmluSUYf/cFjpg6hJPXHTbxb9CMZU7u2rncAJamntNV4S/kUWAd4G6Hbb5PS4gIxJB
p7ZXOrUROK8Bn46672NKRjIfke1SFREam/7eyjfQRAbDlTfy0Xuj7El5MUwuhDs2tLz9WRsefcy+
98et+l6DboftGpojK9ILudcG4hVsn/Ar/gMnCjaV7nfR5WYn5rxsCzI4TLH226Zn2kDx0x3tTEuG
KKmmVVJiYfqbnefMNjag3t5zN/Zz/Z+EhqKBpDlRsO2/mSVe1XDUOdeea6JCnEAZslo5j6e2EzIm
SxMTk3C2K/48mteI2JWKis+cJAjDa6QSTNTs4PTVzicwHvWEDuXXiMKCi34G0L76wjAGvAAkOPNK
5zk6mAZvNSBzv5ZppqoOKYCwQTec72JGf+eeyRyvxMw+sAgOuVXHmXqTcEetECoDb/Csxvzz3Alz
4BaDQaW2C3VG6UVn4CqXDHsiCIgUQCGj58oqDxX6vH38G8ZkrnxwohhkgjPPiej/KAtLASktf0l3
eIpA+NNlhNtQRJEOG2l35zL+8puZhD5sSPCVTdfsoLofGUS9WKpuxAS8GDsF2oB8xI3Whdq8J6pk
J2BTGoNbKPRDeqR5SklzrFB5JY7xXnncVe10++xgKBKyc7G78/CMJl4El0sZ+rwVSvKI1rDSFbae
peCumTMAX0dEr4jG24ZepmUMdr7ES1ysaaI4strYs+xvn6SQKo+anpPzLg7zIW1hK4tDecnvC58R
yG5s7LdeOwsKWcHzF6MT3swfwxHi3zcqaAn9BMDFUH3ty2rWb6tlMIDc2UJnO0/i6SBRvZHPKv8L
vIRu5t/SkaQleL70tLNkb8m6ZxQyqAUeGTZdAKcT9sUtQabzx4cNuVXCSkPgAB6NqPJ3HRMk2Ru7
2p1c5MdNbITp+XRTzt85dqs3SHEnlJLTfB2hyQLeQlN2+YrNGqCLhX55Cm1zvZA1m970qfF6bb0v
4T4wOT/Sx5K/g7HrFeiOECgPld3XgVfprOk8rBo+LSdKNfuln/KL/Qwe/4HfkdLcMSQvUBPzqfdi
wlXyVrJhi9IyxiA7097YAsZ+d1GXKxXDJL3cTeEeIArAcu7nZm2xeaN5AgIqIZIQKN+RbH00T+ck
F2SEyS9KWFurDsYY5lGjmnPSaaijsXm3PZqaAQjsf62QrGP8NfufUYx+nCT45AIqXe2Gv+Kalbfq
aX5s6Bg166rPpB9DsEmMRFnTQSfreDccl6zmtm1obN8WWdUgs+m5fWTgeYN+IZajHGVu/tpNqJid
5NW8cZ+E+/+nHRdAn0x6Hbg9Czy8a0o/b/qIq60Zgznzb0L9o4hbjdOICBn2P4hS+rfJn8p/w8ai
swGLtq3RMsM4On3lJIVIXE0XPYj2kI9C6zMzioxON2ENEoxGOt+X8r5utpg1qH/rx1mfNLVote9q
izLnQLg+v8/lxQ6rioD6D7pGUIfBq+Utetw8hYLtcDndxcD+EnFb9TRFPwFDycjJln7pNfi3zAKA
i4FYRb/dDUXZP1kQwrq7sWwkZzDYMzEFvDlIli+HXZWe5C5S4ejyRAFeC/pO5Bh1Gk7ZlROGSJKu
5cPrzL8oNWvfy8Aay4e+is9smh6XpfOHrxWFxli6aC5fI/A32UHRo1i/eJ3+ZJi/S32Q5t6dZYko
qAX1w6r2AH8SIX4iNE6Q3rAJkLgo+N//ob542sN253J/78fMSWCEE2dA8Q85dHvlA+ZPdWCXhh6A
kAow8v60LvsL0WnCEuSdDqP2wvIQTTpPyroEb6d0JhUoCz/lXrcx6PClhQx9Tb24cozJ5WLsMuu1
6zrzRAWPnbFaFCkkKawzrWEbeAqYMhvGD+quBqjcM34aMNFGBcIovKUn6HzBeJ+NF4EIYzM3cep0
El+Ohlmr/qNIx2pBD1ox/MlgGU4FH9JirTzvKWoIDalvMQrmmTN7ffVtikgMXGCOzr4HOxKkmJfR
wJTXoTSAWM6qEmyWv0pramDFlUrXHrGGsANtybV3a///6fjYMYQiN9ZC9x5JvDNkzytu1Od1Fnwm
6/g8jwHSSbei+DvbryBr70O7CljYbZafKNZvdVLxivV0NC/yMj1AuAq0IdAbSVjHtd1zSEITpHzV
+n6r8A+HxTx5qcNV1ZmoLaL5+8lwVPA01UvodB9lxbSTscIZI4Howk1NBuhLYo/jh9Lx/EviWrLP
ZDq/Gc2JTHhxRH/ZKamGRTs8RvEbeBr0E4zvvYHEhn6ClBTUD6VuWGdaxwcXRzqdzIQ/rrhmHo50
Wbegxw4KbPT38ICQbwn5a3U1C2UOYH2ahMyTdEt61r0lyrXCdAveWGXg6d0muVoCgz46o3+IMNvM
aRw69RdW1qYKfdL4VCaRRvIddreTDwVrowZoks04nZG4zXWhSgP+nvlCcvkyyw9dIeWXW2ereOln
9BO9v51UwOrvzE7HhhX2ODTrJdy0nzfcsXb8PnexAv5V2rVYap6CIE8AlffW6lbMPCcyt+iYH9/5
Sp7wJlskKnd1GvM7U5TGiMMjApBSqJPu1UBEZ36XZAy25Z5JpiZ4dL7ye6cEvt6Es3FH/q89Bo6Q
Z8NJctg4uHVz0IPBhhjKc1gRCf7Qk5u01tdQJMoCXCNjW/mwHV+LqKv9hgEHND9ZMCqyNpRbW+ZD
d/F2yAodsXkFwZY9TMJlAx0JibVwpOQQ1kybMGK/i/FD5JrNw6GKjxo31rZlBalpNMyopYL+XvVb
wFlh6eERLjPXIPmnCFkq1kqawhHe8ns8R3k9cgq131tbrYlpfr6u6CH566LDe6UtZEIKFhV4PsR/
7ZbCp4QBjpMFZY04oltVdtrhi9DHw70Hht7vLjAJFuvpMjZOhwElF+zHj1gvY/8Z+vUmjPLzt4rS
Axs7z2uC3LNqkqCW7PRwE58P5N0smkRGrqdRjCVgPEgGQG4HWS2VpnlU4BoSRmgsfcVbPjPh/DGi
B3eL9y3SkDKx3wAkkc63qHSffudTAF3vkGjfKCkYL+KfHLwy9I5XTfvRrEFce3YR7QYxocGcVv6V
zl1cALNe+VIGnvnL0H0sJ5tmtwy9Z6BAb0Mq0RBc4MSR46hx1srxUFEwcNDf6bn6zaNkZ2UrhZYg
87VcBzNFl6rawt8rdM4JrSEzO2s02mWPmksYE5pyDZDdcRSZfA5jRZLIKXLrjmstIrIZ29Tw3Cu6
hRP4xWoUErmUQxG952U6JDa8WqcbvJRWpgMt/Q7upaV4QLrRTIKNE26qoZEb+cBov188MjiKo6sL
M4g/Q9LRmN8Dtbti12i8dYXa/GKxQKOvWGtZ1k9ENwnjWK3x6qU8tOFpibCLcyCwnOZpHxtYHry/
ynZZbYK/qyP1XSGjfbKPSJmNT6zNGSdkBIIqNNjRJDy+cYLpqM5mGxPwV6PTWsbxKtNowNs3YanQ
qqiANjHEL07K2TkUtZB53fAjn6rZxqaLkAyfpeif9bh5MX46mcR9wL35J1XpAA05kFcDuJk6ZbYh
wlZHqL3XR1ID9sWmqSnMrqq2r1TTodFJ4OeTc6W0/3tdAboV5DgI4HdS5c07/R4g9gjEVSBAzvYE
JW/L4Tas3ZlkfCOksFUXf5bkxLMqCYj7IbyhilSnKkIp23LG980NBJP+hLKmo4Qa5OQcGTiMQZAS
3P0o6wuzgGrhCtb7+QrGcBx2cX55ybpOjTz6492OdwXzvXoN/5HAE+DYFJb2E7vEiQxWtB6+REqZ
ANvH0PhxFGBvierJaYaa5NyMkLuovhfafgaSCOR9v7RgccsJJCQsgkDF2XL6X9RuSugX5QmAqeL+
RbQYUENhluSsUgFHJ9+KXwvj1JduNj5uje/YTPDFGnYAWAlGlJfEQVpS2xukDWJtyHmD/Dp+sACa
btZvIQz0A7MNRpCdEq/yS20UwIW0qpCL/rZ0urJ/4q60wfPSqLUEuverIbAC/34CGERZyQurdwlm
2692Omn/dwVrlA3FGRND+g+UA+xbDOnAs0EARFiMGayujlciGsHYz0/Crs8fY+1bcnLduqQw8RtQ
rM3P1zZSN5flSAzmLwcJMEfSU5Ullr1J2aIT6xYt4SSb0iJx6eslZLIh01qlr9KrRlCnTAVZPs86
PGaLgHOEkHlzKoW+eIRVNL0JKIqngn3lvG8id68WBtB2CdxAX+V8cioT8oIZZ24Y3dZwaimfFGcT
qA8BvsCDxAFx/lfwRYI18lNPOp+KBa7AinC8k5lml7dNTw15PfU7n2HDQmJiOXcg36YR2TNjmdPd
BH9BUy+aECbvXAtRq9pJxG6cUDqoC0YHwoUC35KuIskDOA0PzKrvOJOCwyhIHP3uITlQ1BU/wr1G
tFBq8qKh9aqJ1T64FePxv16s6uw5cf7LlrRqxSwhYY5wPQzcK+UF6Hkqs/BrVK58m3ggynynhKvl
aLkruoLLHPsuLKdhApwocfQY0cyOv4rA0DY1GOcb2jjmOB/r97oNrYq96u3jZcBvMHfa7X7lymMs
edaT6szq7EMNZbmZ2BzZrhhtcrKzIOKGFljsrNjoreyLYM+c+ydOWxyBO0jW5iDawPP3INqrhJv2
tpYx8/7gNb8zaxIEBuJoJwHM3Gq4FkFRI+4JydWuNkGfQ7F/TA/RNyIOaQqvVMQrjwd0hphoSapz
WonXdA9y0DKi8H6zuON7a12Nc600Ct+2fiKFOj07uanfAEcEmwerE3tVXwCrHQPZHy4/zv1vzPyh
JqjKAmCsWPzmGEeho80Sot6pR2ejWcI9mfzoDBxcJ1JFBs/GT+h2DAMQlsRCUYC8f+c16rHkmSJU
Gy49U0HTeY0xXoBW2DKpCdiWCvon7dL8gxbGeQa+zASrOxVA53t2kZKTW0E045F/Z5//+d8W7r8u
uv5SDrYV1Yx19yapzvIHpPJAj/vAnQ4KrUqukEwbI/avojL+J3Szfcq17XxpK6TXMBd/gy0ZQUX7
0u/qd3zblkNrU+zcQWofPE+la1LnsoboNk/Z7FAzSOQiv55qOoz8PPasSO3bDk+UEmU11PE0/99T
B42PQYwLZVfJti5LUw1j71qE2H8Gj1TzS/86jhy+Nb2pMaG+aj5h3IB+nOaFgmL9nhXDr4qGOpOv
787V8sY6yMjWu+I8kPE+9hyZu6tM8YkQXoKcmdmtmwwAIO+K+rzhvK+ObAzavmVGM6Z3gZftnUsT
bVzw82eoGqiXQ/7uC/nueOoFdVIHoqjTSP/yI1NoeGsxc1rYirZlKjJU0OTLYDwr4vFMP6s0X2/R
Ag3KueRISZOQ5RJLAJQM8Beav7ir7cem+2N155xK/CpB2tjqPMck3CuzoPmk20KbK9yFdm73gRvL
85GG3uC2i6CvddWVu2gciXNMbcEy5NDnb/t5p8p5Xk4vF9sPcSHfv/V4bmyV0rp/AFypmt/xs69o
hs2/Uv09y6SbOjlMMUXDPIq2NNf61iADHrBVzifEp5ITzdOmNUNJW287vm9zlQFIAp7nYOv0xhJj
bhua4za+QR+vFFtfxX46OsR6HjO9CYZpkfHinrfiOajaTHdYf/2GzjjU9rt+RaMKJr8BbF9OneDq
swC/OqtxN+Bu+p8hI1Sto4itmrGW9ybBZUbMWwIN5Uf59kLjX1NFFvA3UuMwWH2m6XDAEOpy258w
Qigl+tNWQA9A+q3Wl9ZwsteBrzrVoJ6gKb9kP8RvUAk9ocVOBBjYrmDeJkCaQbRy5odDbDBaDuhu
X9BDqO3e7mr48uNT3EC1FzLyLdUZ3uSpZYyPMscEd9HEVpYjqvBT9ywyK/SL2aa5fc2dFK33aZs3
NWW7aFkGWqWgi4+08QmvF4gXF8FG7ogRAKdSBGryar0wRyrOC4BVxtjmXLh20OzTYi6c6F2b6w8i
X0Ns1JtiWhSsHhaMs5X0wsZ8WwLa4Wl7oXwEfWaIsW/w+luQ8I3l8Nv4laHbT1nhZNpoEwuETrJs
yMbt2ntm5Wod9k480ayOdt5uOXvhdEcaMaasEJGbUg+NmLUT4Z21dSPSDyCdWRBdpjWRX41sptt4
kqWGmsqv9Ehr4NnF91G2aQnU+RAPR4ttg+rAqeCftRawAK033523XDpXAZG/Wptq7KhDAxH7yKcT
pFhLc1d4Q2C2oD4SZLNw/3dvM+X6Up35HnUW8i9agqE0+A96qbP2IEAnwJaLN0lVEN80aDAMuR/4
3rHnk2U0/xuzwR5s99WX6PEE3ISDsR6HY5P4xY6m2npoMKaqQQfwEz8xSBAyZie7iyiYhpvLzgun
VBmeWgyyDpnGnDs2t2H5hWdIHHtV6XL1Rh2FdkRtyUhclyiBGQ0z9MTmRcIAbU7lNG14NgcpOLHI
B7ajTFMvp6qN4zN6QrUUsi/haswgXW/XXHAzpbDOvPHpBUGumvTDyaINVObauKRGDzVdOe4TFSPB
1DAvmY6WQn/msGMvFQxNSnxyqxGCJUCMMIuYd51X392TrYUlZejiGQ0ynRQwx8V9ut/U0HOaBMoM
7uoSFBPt/E9APxUIbiT5pMZkpL/MENionXyLkcT4+wIIbIuywsnKi6d6dZLaZpmOF4py+9BqMB6f
WuZvFlxszeakKEZXbBJ6s05U2qNer54pdGNC2ickmhRtZkFuEFeUHDeEhXNbpb7g6iFV/lysmyRR
093Xaqkm3zuXiZaIzDnGo1ZUflDpB6Y5iN6fiXXfNTrfszJK77IGBLcYbhrUyGv7jC9E1YpmXr+9
tgcBPbIJLIgahaOksf6eSOwpITIxvX2/zJi+TZWByBMOtFakkIeRtOEQ0bIVMYSludJVPBVOU8kC
cC7z5BW7gps7dKTEfLwoh9EjsfXKe8Uw9JH53l3s018dTfqi/v6cmKs/fgCroklJrsYa97HYPZ2S
IrHFFCq7TSkO/nWKUGKlgeJyioD6ClpJKyYXw4ZJ3qtl7H5Ex3jru/4mbxzAlTcpk8dlPA+c4zlc
g3/tg9EOkfHBvGNe9P+ETNsx4LKWl+w0dOk35TBTVZ79xf0pb9MUFRy53f424wL4Hf/g26c+ATt+
2qG4DXrWzDSPGv0EfpQmfo+HBUL0p5I2DH4AhWle0Mbp7yS7d7APyLpvvt8LCrUN/py2Z8Yy8gaK
CbJ/pEDsKlwhpSFlUnYNiSJGMhBosFha9bGXfa+77K5kCC34lYq3fUW7KFB7xJmO+m5Qk7Feck8B
9iN17B5RTGwNtZJl3pL3B60N/eY78B8PgXKZhJvdF9fs6vUdWXSC0vVAFQI1bhn8vgq9icN9AsCY
KIPhqp8nyy6WDJcdF4BF/ZhyHZ36sbM/CXlAbnOTxKvXqkRxAbF3VZXZ6ulH939VaNd5etGVK7a9
BkhBlbNjhtD5sqmI2l5eE4ceaeffl19mi50WZALe26jxxwGqxf5CWJgfkrycTiMNG917X4shb7oG
aXXa8prpTMFT/zVfMBxnHMPVboGt1I/caXUbG7KxpvO+wwshi2j0SVtrJXr7w5wuwbrd0MeGHwC9
BakrdpC0p59tW5/quRJqJzPwczxfjHQHRa5tL37p0a8IjJjmnGhCk+ecPXe3Qjs1p5qvf8ZYYb7U
+yH+Wn6RHyKeVBzPjVcdpTEq2hPyRh4chfhoS82OKv8gdk4yGtYO53NPBkVTyb5saev1apQOPy1W
W+nHTVg3dyKOU3DZ/Wwe9nwWigmeaBK4knC3PzuzCSzw/m/ufKg6INuiCrOvcs27rfe31PTZpjeU
pv1e4PS8HCVKbGo5in210PTset36IjfbHKTvJKLKEkURrYQW9DJqOdwI2ilbZdCoNxDenHKvs7rE
Sf4xTdVHnjWZN4iCCts8avg3vyOzqKdFOYsYmtwE+4KMMU3I4BepKLopw69YJCkuez+AAggp0aXl
pw6l6I/0SU/oDDXkzjjqMaJddnTXC5ZEv7YiHkmuaAfXxzuAEcE4VVu1WTZRdkHf/gpqVeAQpTtu
FHl83End/KvMcRYuX1kzgLtcjlMKzno0bl59kzS/bxWNiwSugSqiKQ2WLkK/VUs88t6wZgebV9vY
Vb8uULTzFbdmetYDbH2V/KfJY65yUmgGqdB8OPbCVxyMt3WxiPQKdX48ABTKtloGaGz4tp81sPSx
iz9G41wNV/BZ5UB8efyUoaXVaakVyHDfwlFZq8UXgmqDOH6wcCEjhgf738peH2xmMZ3jfTbp/Smo
fKx0NfJH0tEfdBM0Y+WOdzOqR/aJ6A4sgKWNBuGBeT/YZy6TzEZjBbOyR1ALoRbEw8p30EfDEM9x
W2r+QLXJgUqPi7MMBVRxRGFrqIJLsOm0mC8f42BVQXy2hzm/De5L7+wUht8UB2WlX6iSnfZadh6v
4RwwGnr7ow8IgyNR8Ks6WDYLmGMau73M07oPo6Q2W/BsgW5+Z/HP9hnSylGuE+MqWRhMQVrdGePf
qdW5lDGO9t57DL+qu6XJml6S9skOGHbqZElsMnCoJO9eOeMRP436PEFz7x4hmEwzOvTbHvIkLTzk
TbZiG+fiGIrjeNfPg5uRCNI/Z83mjRUvrknpvVu14fG99yc9TuxExQxekf/MmPh1R5eYg3v8GJXs
bNh/TQ4t5BJoWn2DsaCSxE7f7tNZwOe6AdJSMfZTBh04A7NDMhV0rbGeYS018dKAhYcLtQ8zlMWh
C8Sq5z3JcYsb97hHfHxPx2fEzH7JxQOjn6f+wG2/gjVxpVifDOECx7eon4NAc5HP4lMCZQ6E6i3u
eerMbkUrk7hK43YEZlvMG6l60Hfh6kEfxAffOBaapydI44llDdgUTiTKfDvjzu+aWM50rI8ffs5n
kEU2HViP4t/uyidWHWX/0lIR655Xu2xfL+xpcXqVyYa9JKGQhFVqWVrVogjpuT4pmpNvq+ynmRyZ
0+tHZSPQ6PHjQSIbROzcGDnqfLTEbE0qhVHjcc8msIF9gZtSbArwOE2HsCzzNQflu0BxEi9if/Ef
/KK/GDcID0Y1T6sCdOsrQL+qBMQpF3i7N6d8Ckn7a5BKJfr91YkCLlplJZXGsRx4AwVs0Dj1YOKn
d/+sQx412t4uIdWnoU31GEZUKwFpjHSnzFKcMe7VIfYCmCg1VEE9SiKach6dFPU6nvLxyJUqleda
RKFQ3y/HYGyH4f9GlTouTIac8fGIo6pg4SsDNI4nRw6Rj7a3YlWSsrjv/9aUhob34QIWHBzi9I3S
uSKvcENMleYSrtaOWX7U9eCwAFFyhz3+hZzT9Jq4GvEJ4fxX1WcumpU0neuCqq9afbT5k9nOxVml
KkrAPHDoGf3qo8npmPJQSSWxu8Wb+OklndfwELhNDBPelE3wGjyxQsh0nKaTeSdj8/Z1IZ1uwR8+
kCj/E4KjR4s+klMbnSoyYJgyt8Ap+grJ9dn3Z4v32z6Pga1tSrK+qhHBFLGGqVnZ89/3QXSns4mD
lE1+rzU9GXqiaaQGPLOF4LQcM8rO/+J4doMMCM2htXHz0NDvF3YQqgfg4sT28l+FcqUUL0znkZXT
zlbrCB2QBNYVpeao+Dp6qXLJrlCJ1EnHx02ArLwFOYbCQsxiDOv14KDDdptuhTDMUC0LfRBhtu6I
v3BxKgciYTatq4448Wckp86wSCtgnO0vklsMXrzTBOpgmcRxvS1MHweql0UriBfsNsZpEvBLlbsy
dvlPTwG5klo65fJWT3AJxUEJ8UhgM6vBKT452oeI4dd1ePrQDlT8+Gj0CRBxhP1ba1aS5cjIiVBx
puLh1Frrf2/eoJyH9kvWNrKBbMcv1OPreonAMFI5Gr6VjUV1ya391toJt4HdZ095ewmJ34jjGOCN
T1GrLTkxTa65nmK2HXeqiy4QT8UBkTrrRNtbXyIe3cNQ5xUduBMz9zfqz5hQxRdx24vNi6K2ZAOl
GveuxE8/1i6OPg0mTAy57gk3rutEUEOFq2vm+nmR4TDsm9lAk1apKib3wKc4ML7y5nj99cips6vl
3KheJj6USepNdKKi9py7H/sqO8MgW95/RCc9e5ADmHq6ISibjWPE9w82jrHbXQAGsO9rVDzMYErv
RLihN7yiwmWIgjug2bV/qUNPsPjoafZhj0rZ8OGVKcE4RKDg4uh6hIg2kCLo+TTFgegPzpkEaPxp
rmY2FmYzAG3Eo/k3gcmyF39MfrTgzI2toQwkSUFr0nuPSJXqhG1o8PRX8aoNvdo7moxe7d5GjV/3
UF0ZVUyCigZ4QiTHEQ2LnA/VCW+UGb0nvLfduvrxeooJ+biqur7FdIQ4AAowJs2ZKtxCHjFfJFZ7
GPgC4JtMvS4myziFP3+me+HzJJ4KwZQ5D3m2xR6EhOL4YeqdA3XjA57GorfdB2bZNj0GzyqV6HZa
3w09qDXmGd26c/HTeJPW4JhuOrcPqBFmCgs3H7x3S/8X1PiGoahrV0SMuRRequO98eAZKUoq/zdx
w/K1FujDvFWF10arjFmf91tgbPu6PEDR6atBjGStbVHejvkLXUsDsC0Hcwc7cD2gQMYoaICDrhi2
QtdGm6rfisICzNBLnXtdWhi3VPvTipCS9sPTZsTcW6hS4Dir8BgTGNYxQAL2bzFORkPWJ6qpcm/O
unGhr/FFMzyeqGld22+C+/XFEZdtiNkrRwp3wOh1al/cvtBixTKufWdiuIGexjH+sCrJ4qwslOCM
W2UadN1G71ryHkFq0imzIxo1y8PUON062ehEoMURGtlhLhEhb6pAkQzI6sJ5rf9R2wL5XW1L1L5U
iN34yyO71Di/9qKhy4pVyorIzfs2v9MO8m8CZSbr/O0VXEP3MxuXpooYvRJ/1XIDiEkwMSf3FlRk
RkarzZODUa85ZCRSG9vOZsglZzVbMsOika879JTr1XkFiJ3VbBUxylYYierDwu8h/l5MOA4wnIlT
hQfe+YohBMuXcQYh6Pyw+WG7IDSO+KCcaHSrZ1bkz6INcblWPcIxuLdFrOk8Cu/9s5Jvt9nk9jeq
z1NVe3eyESkZD+ICiobn/h8sBVxUL4ahvv7bLcgh7b2FAlKzCJfn4yVuuOw1mo7hpn6uDv0DajMF
OYzc5LZhrbwuia1K4iOFavn3ezSp0eswDq1GEN4My75M6qWyuIE8oS7g4gZw7xOmYMs8kblXXah2
F1C/gU3fDSbMLPQ4yF1JK9r/3EzGo9dYmgZh0PxJIMIw1xIXENivo402PEeUkEinzvl+zmdp8vFL
DGoDHvPvLcOVtFy6hxPxLhf0exyKJz3CekufXs5B1UTaavp462uz6TvL1pNto/QJNnI3VulTmKlb
qugb/TRXXnRQg6GyWe0tKW10ROb/BbPHMdubB5DJ+cUDDoJHLr3HsDRiBGdKWaOY9OrveHhev5eN
ZQDsoKFzlr7l5I4v5RYY5LQ6LtYKnEKTiF2k3r87kkn/edKqhGy1RlyfpCyBRfIWxUW6jGgtx8rT
En7QhMW1HU7qJuKR+J2XWY6njKpJ6oFqS3YDzW12s6EkZ7ujWQFFZfWcp/a38w6p9mEh35ZthJxQ
EXEDcv7Himz5JGmGpjs5a5ZstUnrYd8Kkpyw4ogjcVBYaaeyV9/Q98a3VN10YdyQNhJ1sIiBPsZd
vJee5K2IOurMK19LTP5OXOvEFhyiPl0iwHskcE7ZdM6JLGwtSsilZAXaTeFQp/+aJJJfyAPV/otB
RXTIJfWzjESvuNpU7S1j1qOO3bDiNeNDIAvejZSq0RhJ9uJBqXp5S086kHkUbjEDugQErQJ7YlHK
pEVsocfl6MrzPvF9Q4h2b3KvPAhXXvSyvtxio0Uzr7r6o/q9FUvfOzAPo3mMi8oiUw1w+j2hIbw+
tlyzTj3oe1x62FgQK4VRYsLgOXu517Vh1t8NpQ+WnTVlDpGewYS+6nglvnwkQnyLMcEQ20PjIixR
FaxP40NjT5ioyQy7bx6gAP749pNu4Ri9RnwfAIcfMJ3Esgp5Uf3fnrstI+8wg50D3vooxHzWMDN3
1RbGrth/uOGQppFFJccRySoLp/DC5wAaUUaeTwxCh2IYYsio0zE1kbs4xSf/kXPxb64N4yWRKIf7
jyCCVRnBcX1QRdlJmz3pJOjRK+b3Ytej8bxetTO6tAFvD8WrfMYLdQ9M5Td2iAnKCMtpa5kBwIWw
2JV9zZigIEj34p6xUWLoM4umDfhJxgg+dDsT1f5KoEYPZJBRRPdOSj+Xmjvhc3oGm+/tb2UmsC7J
EhrFksFhto4eXXQo7nZL4M+xXOOScCFkxff4Fp0631BtLi5/R4JqT1ujDVhKE7bQTyGhwEL6ORCW
1hBdJRjAfrkfZi+v3Trt5wV6/yske9XJy6Ew3wVqPJE1ygdTnfjQ/QnX816O3UJf4CI1t05ACC3M
LV90Gew1HbePj70db5citjv58IymDXuUENtdbMONOrztQXb7Ua+lUQpwQd80ok2iYlNGzEfCDs5a
kMRuFwC++ukKSWMeonKgNVS5xkR5k089ZaKby+Wv7FygTY2m6XI7YfKqEjsxpYXSTwER83RV0LBr
EuCDSEGZxCDS501tEo1WhCjBnIu3vu3aJD9+shB+Y2kh0+3CV5ndC3fJvd9TKXpGX5x5w4IqS843
ZB8HG1Hgn8GYVnWPtLJXAiWoVcpqFTBxYXRFqASCUTY4Z5mkjMOQf4lU7zeva+kr6lZrrm19AfO3
DpCgQ84wPGdRqwKomkm+T+Y3UGk8nk9LFUn+QNZ4bcW97wKrFZ9vE/dGP5HAHjcSiIffJxj/AUTI
hv4ENLoYicaeWBiw+hXjJNY1Brqk56OOWvM2GxtkjsRqm9GpcFY0N6ohGf3cp2dcZKlUFpQ94Rfn
gq7yet6QyVwLd3enSVzOFFmrfnVOttzS9Nv3NykDjp6JhIajlsufv/ZkvgZMXBP132Lu5zES16Y7
HI4YUvS8PwWsVRcGDL2H1W3/4aPFNR1KcyhLE2o0vS89nAUGw7zyyZHMP4VfRN/3gZQeeKm7N5DB
XFqe+n9IqE9btxCUzCNmGH6eIDgCLuYSa9jW2E9hXFRsrUHzxR3DRz5X8v+ztdURhjEhwQMxqUHB
Stqz8mH1VJkKBmXZwCkfVy8pbunYsKketyyNZJ/rSH6gnfqtqfYLpdTDBrobr0xs6VA92vOK/Lj/
6tgYiyqsABnHXPVqKw4gD4oefqsAjLErnFTvDMZ+JZ1PmoJRCfBnHOGQxCVowUT8OaxJ3dDOaJLF
8VMEd9WzQ9N0fmUyMba+HJQJbMXoPDYrLgvveWpbe1v3VQ5pDr4pNOKWj3I+GZ04/BHAWnYKZ0b/
GGB/1JnWbpwuzY7aAV7H20Vqsw0GnRlTyUnzRAs+tuxVJw/lk/2FPUI73Ru0jivEAFwkmoDHPecd
IUyu4WtVQZH46RHlPZ5pOhg5i364vhF6jZgC71u32bLIdqsPSTspIt8bCJo2eLdbsczjSBBje9fI
59vrYYPv3vyYkpkShYzsqDlersGuQy+0bT8Gn3gj5hFUsshf67KtxviXYoDSPQYneFvyxV33Z49G
TkfFVKN6IrhFktp13/3DhsH0yxyQJ/V63WysIPu41I4pDtRZDuCnUk91tavNLiD9MhcZMlQwDp4u
klSgHr85Yuye6yLgTIEpJjqieDBZNDdP4lhH4QaANQghZkkmb8EvKoOZNv6wSsgcvrOtrwvAP6ek
spGSKhMbUWE2TxLHMFd3CQUcu2wzEqYLGXCLPis1zyzRx1Dzag3GGXVMolbd9JhdhuBsOWF6aw6y
GL2fkQDShFcRg0yPMYJRSfQFz+CQCTEqnOPG1S2FHvyAEBi3rjnz1RjGXff2JN+PKUAHhShFecxj
aeDMfKk2A6+6iSKZk1BRKL6GMoJ7XhVVmScU/W4HUGtoBWZUWHV27FmBdxt5Ix2C5R1t22H2LbAz
hMOywpgeJ2YFzZn28hvs1k/g5TKpEfd2MImC9j9UK/XAEWARotfiF/JKW3ADu7zYqVPeclyaPttK
2yXbqkr2N2o64y7Si9XssV5n2CIfi2uiPkPSmY9V8vBwAXjFEoUBJQJtjfdDgyy/wOnDxAWMNI3H
eUE6leEQ9rKhRKvn8vaoRyvnxvMdqLJNsEvIitNkwNrDX9hE+kJYUjfBO4I/I3WEIRk8qmwqKOn9
e+OY8WAsra1U4U3dU95nRWxoLfPhnkA67nlm5O91yTuAsI8ZnRr1Jqioet2F6UAy8azIJGEiNViU
+sPM/MUSNsdVr3BAM4bJAbknYFZ3IVi+gujg0AomKUaMSZx70mWayNWTjqijWW3JoxCRO2dB7WBp
dNBSX8WGMnG3U/wVQ4hbkHsgmWOshvbt8nWaMOZpGaBTDG9LDkLPw+6B1BtYZ+8ekONhXfY/u09/
+OrPacoZnvaHzXZcLio/77cUE4gGDrFw123g6fuvSZsX7PklW+aQHeJcvLYyEpYFhcWc/QlR0+MZ
XHLv80LRbS/4NRJI+YmbKsgaKBAbPYELGQVMca2uxnczd02kmWVGLuD1KtgVf1dKHBIPabUYEetx
GWMpj0k6FvxzD5edmbchCvD5uzUBDOxTQEfzQIgOiZDGXy12cIGG/XLUEHkXAKco2Z/6SVi93Bjw
i658gaztGUmqF2WDMLvEUj6vk+6Gz6d8P/RDvXZgYXoj0zlU88cdTnMBKbGOgeUHxvMfBo9zJzap
FKrxAKcfL6xC0NAkTYiBCs6Vydq5r8NeQ3e1j+zVxBM7HnA8TwPWevy0fJY0xWYvfhtApyRG4RzQ
v6CWPWHVQtxKUOlPJ8cTK0yHAZMfiakCzRka8bXD3HX9zwVU7NguPT5jQvzpDPuYdzLyUMtIMoYV
Rl0JM/lY8V4L1z9AXdQwsSDe1agToQhGjrJ6FQy3V0mDmmiKt/4dHUHBTXXbGtuQVI5qu2KxemvO
yfE9ZMXIFRoKRC+Eftg733k7TBDsj6LHZEbBNr12TK7exUU0ThkPp5BATT0nD/5w0QZUYlDaCHN8
Lr/2b1KVEyAYtOohVOrJrix+kH9QRvNUKfqGXGZikwZ+PF/cPKAPFyZagMUjj5B/8o03lCN5IZy2
718+KQIj8BNB8sqd7tqRWCua2+/Htx1LvZpu42J6/HvoJ6CMHCzSoG3ZSNIuPREraSf0q74rsSKc
l+0u5aclJc6PSSsaDQ1fuBH+P8PMXf37CxA+R2YF4we1XP1xq6ig86rUt/rRECzpMCAqZ7WG5ueo
lJvOsC23oGvrK/8gQbQqXcetiO4Jm8QmnTvE8hxKfZAm3v5xkWou60543GywZ6aKN2RabdW5t4V2
nFuisOV6lZwVKAdDAsq2/d13qU2TtldZQnqNAciGTb0h50rxesBRbFSBx62+Qd8VPiNZzTFn0bTj
H4r5kBYYxw4SbCZE0kL7qIs3jHIyRICFU/B5OPyRvx7iaiGmh/G0+ABIgT7y80zcQp1gsYEPPJ8u
9upR/zCCMqLbg1nj5DgTmRTCQkR+Rser2L2Hes6IjmRYd1PWe77D14pGuBqhauFk/rFY15Rq43HJ
39CwEAYlAjqAyQhdD9tvedE0eonfQI9SRtz4i8rmEsh0MjhECgB8iTKHV2/4zIkuXTiNpW8FU3ub
5IzpS9E3x3xzmJabLWeHNkBW6zRECcRSg/1x4+WWBChlD3IlzGiQiDeLpSN5cVIVnZDZCJPHwVlO
tdDnVIJ61fCQ4C//CMZG/+QjKIJ8LCFdrjx9cwa5Zwz2e5dsomnh8vCEjEpS0vpgZq0tzXGMTjV4
G6N7o0U4SqiQUQkxTvqTVY5MRr5l5TvPss+BzUoSmeXEcnzzYJSJjg23a6KVDDm4GhWKHzk3IJlM
zHDosS5C5CmKt9/bdcHVNyIgVHXYEIdeTleM+Qodnr0HqNxsc1OU/RqkcE5sXytXZGVgv7QNqqAc
8ULtabucVXuN84NTbqaUUKD5LYuGTvJ7krlP8wtwVriAhbKqLKihiJHyzDgHhp3U5EoTQK3CE0A/
vFmwj9QHXXOWtxz9emS/odZdCypx3L/1bHVFHLM067ONFDyZrkC/ismvo/Ga3Bh8chVQKCbtDRws
kQxsTayj7Jq+NVhntBkBxZ/OyJmjedNaqPO4U8eb0a6UkX77PYYwqE0FTr/CSoTZ5ZoAwnyB+jhK
BjB5F2DPb89CzxqscDZW4CCfgO3rBd6lZtxvictvZgqrfhV1mS8o+a088V9rn3TWgAcrOc4F+1E8
8kOgwv9rxs6kWfWM7i9AxP5Q34XUALx67G2xecOCuoBDRk6Ef+arOBMHslfrfQEwRIwA1/fLCmat
ettgTNZGo7TajjOzV437UA4BfmeqTcri6f5wjg9vjhxurVHZ03AsxJpZkOxZ7OOmxxmgQHfbB8Bh
rEKzxTJSIZVlSjbSs4gkQfMDnXDvsNacnMi5jmotW9aTa91j9SGSZAcuP+TUx13GLPr3N6DhCknS
vuvfaIc/aRf/fQr/R3L/oOOqlGaX/yWlxDbKE8cJ/M/gT2otWCZytYaURe7pTasG/zl3p8pGkbE3
BYj9vG/0PzXg8YmG58meFaSd50FgyUaoPMiYJjmr6ZKtNbuHKjl1p0BkxhvLEzrR7wH1LSl2JVpi
+Ueal9h26pDJSweO7F3l5pLD36NdrVKC0xGmV/8n+dBu8OqzvuaDmRBwcucSZ6OvGjnS8psi8BAW
E8Muzv3hejC2xActP8uZP3rBPhFK/oi73Jzw9Y5kZ7vsGVLKzR6jyiz01a9PxDQ1TlayQmzC8HQ7
XgOhxFvMW0PLTR/3Q/fyVgm+Bsh42YcllSHa/SBNIbjtN9k5RxcijCeWAmQZlcX80b7bKl8WWmX6
ikyeeRCBFeldB3FiWu+aagnuwoD7QEKULAPcPmM2dx66EDy+Ax0AMLjnu0M8qQaF6aI6UdhDdF3S
pbGbTy4379U67YIy4DqFbYrmQfq5UREso4CcP1vt0s4Rjtxf7vPPXXQinl8j84ardxAUK46G7zMD
i9ekkhQrVk3s7lkHl69qjpnF9hZquSr2lMeByGtVPhCofwTsr2XDkKqTzfowME/it0YO2VgCANjn
7PX4Qzgfl490BPIXkJNgJwH/4VWZS54/Dg1T4RWif+K+TIjjRiU+T+4w4IiX117RE6zair/k1use
EaGmvbM4ehWoiZB16mrozHrIUOuGl0NUT/8jPC0ZgaARKs97V0EoHCeldxhZtezWqYJFnmdrA68T
Zb8EGhx+IGxfeId6/Nuwvnr05BumoOxGr0cPmKy3CQR0Q82Nzol0aO3EbyfQAU1qnw0cK7sWQ8tf
BJmAA2ZoukbJeZ2a9nu3KWYw1+GkVzrEW5j4NnLOUzs6yoDNgSfMJS+S87UsNsSgarOcGxmkPH30
UQ3SiuKS5L1W3XHYwGjxdGYGpUZUxS/BezVjOUknXMBxqemAg5pDTy30yAc8I4i2iUl+BADSXRrg
DaKHLaIAk+j5iMiGYmvKkYlXRS8sWsaf2dT7BKvacSoyZXTOn9AKhIniXUoatxYQQQD0u0EQibGH
KitZb02TVDt/9KV0rl2t4nsXYpDXb92tIgOOESFCwMbeyfymz4DAbzcx+cAYdq5rVwgQk/w0GukC
/ebioqFtl1MYLxMBZdoyIJVY2z4emKiWiCfKBCihV55nMY3DcM/np/Vn0R7zlRfrB7vZpx+FZMWv
z3PLHt2NRdLzQ5EvHssYpB4TzgzeUTS+TnzHf+K6K/wGSJxcjGmq36xa820FK0PLfGssjRnmMB+S
vnDnwSn9luwH5/DiRCoyHWnvgAL6QMNo4tyaXpgk2IcGqi/BLHYgdkVxrkpCTcLKFMxXhUodqMnd
JlIn19biZHQnrqmiN7sBc5e+UF9kShAl2uEpGsw+lYoSHduoCSWqEy5km3PchVftzog1SGUKWfbH
QDNZWROKIxsYC6RPwv2t14/aPIEorCB3etjbt2vxK6a7Nt9W84t7anB1HKNrToGRr4WHiJfImnjC
3OdMSCPcgSLCyZJBAZbJih+D6Qxd33SmfATVv60Ne9p6vGs0NoBL8/mn6csH9tsC8+hWizrdnq3s
49BPbhFCrk9Pz0K+NSsErCF7+j/Gy3sQZqLOiqgZybYYd32FVohcwMnZ8pOM4ZPhKosJE13W+NYp
gAaQMhq24ijup8oDx4oZwlwQ6DdH96rXwH/SZaL4U8w3gXzuG6hI7JLToHkMLMSHvwpDy+kKfINZ
FdX03bMDxZQtzSmVkVKDrvpmDgvWUOtFB45cG57wYYLocOjQFhMDbGzunt6gwKAWqTgwn/946WWe
HKlz/SMNLy9VoknAesIbcHZBMbc6bKMkzO5rmxuBjomFQbxwjX61K2Xb81/F5EBosH2DYsK/5H2U
Ami7VizSpQViTLMASBtPih0/KPe53FiaU1IzsRACG9h/LlsZNlH8LBtL3UTkTscKu6ybeCNPdySR
7Drzzr+hKijyJcLa3Y8U3XQ/uI6SxWhVAo56hV3H4Lz4bV93cDt2d8nwalsOJKIxucyn3JS/fsve
kakvkQmSzWQUVGtau7cUBqelCEG8ccwfYaxmIRP9PoLN9LN4Y5ba5fegZ/JyjR2rbAI3/hPCP23w
/S9IHBYpEo9mKRg2zi97k+pTLMGIQZZhFlTW2d4BVXdRf0J/ZZZHNK1OUlnIwAnktS4wGa6EQsll
RRIeU8e/vsbfaiiw3wYThshybGOqPy6rUu3KgvNBW1eJnO50s+5kFQn/uVnrmi0bdNSlN1GXdUMz
uMTCvjNFg3n5NLT+ZJ1UBdUeT+ZGjHMOOW9N8S6Vo8lJiprLSXjA9odGwX2VRtZ61SuGBMT4kZt7
+1rcerssxk/VwRSErnEMKxI1uGn38Cy6aJz3mGO95bOZuHjn7YFXxMgHf0QtHwyxLLdY/rVxgWyt
B2bfxVHxopPjlUiQEZ9IyxowDfsQg05dFTzWXCs2IGS1WHxa2tMxE7SLg+I430YaexXwyfM+cjBN
P6A7kCRnyb9gHC7jVJ2QpgZQ0ROUCeayURmucPhW3uqyrWxRGt4AvY6na5HHf8wx6MVMX2c2vDIn
HlzVJIkJfYUfMuOqOOvMbrLwosGu6Ganpd2MbqYjW/+DA1IO6HNoB2/bY0TiFIe7Hh9fYRcDP8E3
cknvnEAH5aCKff4mMDxBjQHrHRWDBCLBN0/C7vgYc3PlqhT3fyxWbYBsoK/KMK6k6+JjRxgi3buG
iKrXuizOuOkg+5gCm1AMjxRREobu/LOL3Yy7z8h4vx7kEd7S4ryPVesJYC3uRV+FOm6QTUnmFylo
UhvaYLziy4QZDwHQtuSpsH4I/DQ7PH+/PIBI9zYlhyRXJ/UY+ICE2BLWJNyaquDrsomkSCzSl9tY
9oNlSYWXx4xKrQcLQf8k1JgzjDz9KCuLjgPtq/CxilSWaqK9bJffHH5o8zH2EcE++9vUeBg06b46
X3EA254aHy0+qeit525ihM3HCqkclF3SCsYUny278C/d1Ku4nYC9tNeC4BrJo9AiQq8eVfE1N/b5
Ux58cdAF/GUZSLh4Cqom2L+twfAlx0u81A0LHi7vJu0yqZvwI+QQFzKzkx92fEr4/BT70dKHyVct
ctVxZtfHwRYBdKV1xGdOjDAY0yGnvb/zSUlzrFQ+mmyCIm9kpZgtGBVkuPGCrFMJ1eNo/eob1fCP
Uc6bxnZ250xotBZIuo9zMArGGKkMOY7YcRy1u6QOYOnbrZg/fNA+IuWBrd/XE9D0e/9lX3SWGij6
BmEE3IAzcrNFHP6rphOJSv3wXwTuAlTyaACTVWwBX8TQauY7OSMRqFqnY4iQT4kMydhdKKVKrPki
NWQjFdDRCnrTNivc53QNQqeM3UCGBIKEC4Vn/LY7RgtyVBkptzGogCr9E/u+NNoJhD5U9QhkxszR
IPMF+jYQ0hAmvk57yqBdxKQtK/b6KN+y6eCGv+iXC0kFtmrCi5W2OUF/yWCZ8Hb4hvr4tqpt3w0W
C1isetka+eBPqkHzYSXCSHOHWpsvKybE4jVDSPUQHoLRm4pRP1Jz9G+ZcBaeqnIAmNaRj46U6r17
tmQx3/+WlYQDIX8nY5yAEv5+/mzqVIpEjiBN0DqrBiQdLoJ++p47fiGMwOGv5opaE84bT1bmP7C9
gLVXmoyzqauVyy0SMaCtksnbT6odk5qyZCAQ2/LJhZvkMFHmIn1lVtgj7bRYN7DcOfwQnFomJ8R8
toT8IrHR7Ca2O+ErU+p5RR2u2/6qE4zl40EoYn9o+U5qbzmSMzmTc96kPiEZzOGLJREQol9Guzaz
P4K6WHDsFUg/xAzGK2Mrm6ZCGbknHqvle2sh12CSB3+oiJMKjYI0d+5Pu2pRHmTz3DYxkfwTQLTa
DcKw+XdP0oFVGOYp3NZ/lp6gpwrZwHUc6slEArUTJA6lU9nN5hLZ2gy1acVB3Vf+2Ey8AB9WH5k7
955dnPM3m2GCS27RxVb5i2ZkqV9rY+vHrqACKrG5R9usxpK/x8+9ZmYooDG3TepqWPo8S6U6LYqv
pGP2Z1wjxQX55BsI1rMVuy9E96MMcM+CAe1zYU6hGuf4MylTISMhf5sq+D71DvvuyEnDrmWGrvwq
lt1tG9wSCUZ4qiAp1J16AvBi6GMLjOU6i5/HMNcyLyVxq95ScgqYFYdlP8dMGDn7WipNEFBOTrY9
qvcrViYkc1+g65MVNl9skkTIBqMCVxc7dy9OFRj4Zb+Xu2ASgYpzhrlHiGh2X4VV+Gu3/k5xEuB6
fZrWRQ2mYTU3+41Zu+IlGYIhjH8NQD1/e0lEg29zB/OlQgnXSynmge3GghBHvMPcK+/6yz2ARHDi
cvYqSH3+R6KlR7CPYUZ80GSBgJZRVS/UszCuEbY8ozISZLEKsF7QhskAF9Y0x08dtbbfftVbh4E7
gWbSk6+LvCLlRI4//BPfjAGselxQqKDfwR/goJ39/H+D1Tsyrhf8TFS/VeZgiwkK4uVAQvPp9akF
EvIeB7smSL88RcqEY8118kL+tgpCzMWhp1hQgKyXPIyMXBPg2dDZJ+OnQuIaUVl6SrouxeY1u9dl
LXtbYMq3oaETwVGD4UvlBdOyBrMGUoGRqzjrerbAVPlzaMULw/w0wGtP5j73Pch+AiWkvt/lZ2bv
g8XiOc67oBbC4MDNg/1Jp3MVGoxadstmJxWn4w2yLovceMQ+PZ/6FXhl6NBHXFo8dDe60vFh9yM+
9oErXgWqQwelQyNd1pmfVulvfJFhLdfnNJaWKO2CJJBHIVphPoROGhdSrOM0U0WUzZdlBF81hBjR
1B8cx7s/dmikbMnBFpCGlo546DyvH7eKFlxDMk+odIPa/3asyalwealLDr66O4NOLkndYYWyKCcj
+MieJCciVNSmHmkqJiSL6kDpFb7bPW3h/lrLx+lx8vPgcuhV/8lJeHe0T3LzpIuv59Qf0/QLZpPF
EQZP1E6rwdxd88pYFYsXZs6T/jKJWNnYj0ycixuhGB4YsJ9rLEQ1GIod2BBvn4GS72qev6s5NpgN
yRhQxYnnMRCkarfbsDKLQXJb5ybmrOho9GdTXDpVy4oxeBqZ1Lng2Bx1Z+fyHYSB37b6hZlyLcGn
7rng/QWzIrpwqV2+ER6Efu4vv0q1/VmUXnNX1idxifN11P2NJslgOa6aqcUBnJERY6w+F2FY0odA
VlfRofTSIDuy4y9mM9p4EcCT/6yLQRiDyAIUgYRJiMTvPeX+PVRtuemL4Q5kzRdzBXLtAL38jWMO
opVEjUxRB7WbLl9sk7F1M/zcSVP+v6pJW06lsgzz/yAKoK2SaEqGvHWWiLTsJ9zqlv1Vts+YSFjg
aYMO1BAqphl4VjjGgjfwsxnkfmNE59UvtPWeYKZ9QgioxLWFznP4aKwKTXoHcJ6cDTLcomgAEXiD
KhHADkcWS3xHHXFEArt7IDyFU2RrFdDxTs+JNSVYcG86ISe6JPgv4usn318LfGi5GAQpleNq42DB
qHvHQWFJLtrfxxSkij1twLBSKjPdOI1fbON0ZfVpupShNYc4xU/xcswckZpfAV6rewHehetzXwhJ
3RVEjLeNgd6XhZgVKkSA0aVXgwpIrWQOwW9+5QXRjSVJXgN6R55xOb6Ve1Xp2zHN937qboWFg8tF
tv05z3WwZ9LW+Frk/bJ+lqsk2bpy4okT6lue8SCFayKlFoiQCoF19tui5TapzyJVrAXJSBdz6I0s
9n5ZJ5n4qW5F38jHVkg5ceoofqHPKk8oZWSwRtYsVz+Gi9JbUvmgmoVdtMUk1psxNJyHJTcwxSCC
rbNFfVCP7dmVHKD4Ab95HPLGZNNQ9YkoOSZY7Zhb6Xph/lOiQe88x9kcQNbqq7vocB/vvQIYhmXW
02yqywn0iIUxiEGbfrHOKwgkv4Bvdm6hx0TNdafk+K9aL9j7S+lEi2FfJJ50DemvXfzQ9YWli7eC
jdtPmeePZ2K1jUxvmc9qmYo+H/G3HNt/YQXtZrtjapfOrUcBpMRZl4VyLQ90OGTeUBaM8ZPLo2Gu
6MXAsRtdtXH0t6cBBhdW73mkmCDrm2FF/BDE+fJ+KXv63x5PXmkXUrQoysoOKNhAbrd5aF6TgJbx
VqpUvpRGWnq/H1YFgD+Xlv0ackcKASpMBAumSYFOw0CoF9g9UcrmhlVdYUKcM5qwrSlp6F86wV2A
NTzFkYfkrIsYWaU54qDAuCttm4nifb0LIYN4kcHZpYDK4jeutWitGAGeixYj58hcBTesIp2ocMJk
SZ+wOxJbKZWel8ssG+NAmIYt/kxBIz/1ejRPdDrGi8RVpEtViGoAhOUtrUxwK8lNzpYpggMCPRah
kmWPPLtHOP9ydQQRbSxZPnE3N/7DQuaF4Hl8gIdtAkjtHjUVdrFQuHHn435dDNNS6g86gl644z/z
e0xnB6F720J6phtjiyIz7P6CbckCn8fEB9H6XogY3KvkNdguSnfn08cHDtO0rUMEh1bXZUMPUUAV
tC2UeD3IUZSBFCIfI0aSPislm2uLWoFTcPgiIsxU5pnnGBAg9YCM3Jr50eysHGEqWRGCvRceR6c4
J3X0NuFHSyTbBPqayYXl/y1Ah8sdDOwIy9aEhs7ONfHilDeW7Oa54uqcEPMlwskxNtBXkfkrykyH
J0M+MVjcgAfKyySbhkBOKOLozW+OMbL2ImaXFp/0Fr9iMT/f23AI0uAN6RHTCrTy9Sc9Rx0Gw5O4
UPUd4QBy2aOTq3e+RH2MTSHxfqlcy9MgWnjqVoOUyBT+FSHp2HkXAY/0xrSRnewHOP2o3gaWZm5h
1YP3AcCoDNz/8gfiMG7/1fMMcuZfPigtBKwVytUP8T0VyepnOdcOoxAjEcD0urpZ3Dv54ehYJMv4
lD21cYh9Mn2glwtvjQDdp2Y1RRJJCnYKUpRCVIx4uNo4vvk9noht7nASAEz85j0Qx29BPXK8iVj8
IaMaOaoQWAl6aAG0Ui93ulAc5IPpsN0PkQ4ms4HuITVjEGDB2XmUbnHV/KyvozNt3HaMFHHYi46h
ppUdq48/M21eQAR+Uz4rv/+RbHCx2M7M38sBwpqDxcjCzobZOIfAZoI5vAbXAS85hxzu011uLogf
rcCudXT1K8SQdG+9yyV9HnPc2EgiczRo/rKiMScBafAb2EeK8/67/d3FvgarcG6Uh30ArSqs29TJ
IpCpjzWKYhKhm8Cv0R4QBOz9shXUAKKX3htW8mRtlksTEBaaZSioA2hUrLkgO1R6M9ZlpiubVWnl
C8Gl3eFz66kecAbT4nk5Re6hPWFmsLo/oKlq72yNL044mKwl94fQKJfdHQVCio4ao2eYAqsL18uV
tr8IKUvY7s5o+i4Xe7Umu1fOiKzmWFF5Pi7Hj8S/nQNpjh3caxo6x76UuonJrHvoaPbTmEPQCUxR
Fxmhqf8wrocKoGkY0URSdowHeSNCKl0kSEK8qeu0yarVV+Mkyl9L9mX7esb1uILWY2m4ovYk9KKp
WkwZjyVohkpuw7yBJc0x/ttPxVqZHaf7jUlnzyDPsoBPEPN52bgohaV3hN9r62pwMTkxHG6dtSzY
Dg1Eo8//hU7tBMEcE3RRtFsuAiY+pk0RXa0HpTkuKGiIHs7O336zB2LDPERk2XlpjXzreaHM9ozx
3jwcTr6jADsJHq7xjlelZtupZ1kpIIuG83/+ZPhEo+4WgMKySqfAEvplQK80tnO3HIlj/kUcE8IK
DpDeXY3rmcMOIZ3GkkYIQCuFvD+jWSUWUU1QBB+znziRxBbbk5SNjd3w87cuNR3fJoQVtGnuPbdy
lbRZZ9pqF9RRAhvVFQwhUDDTObQbge1GUvK0PVJl3TQif+eMLPEpKQSj8PUfE/4K8v1XjxsdxQRR
YuwuzC3hYrfZEdbWGXqcPPF6aGWpXqCPh8bdJEFCkFlSWxdlueZj64g3OFJ5pmHBExN7DOI07/+n
m07ckagAJlVFLVrNCPxPUpuFbU36ddoA6hpLFOZD19TsjAsRaZM5o9RpGh9YP6cJEPn040k9qYp2
2MfGbpEWKZh3nvzcHr98EeiiVW2Lwaab6/sTr1cKE+6t5GYHrNRYCu/ludYXhoeut5wgIz2xwkEK
YUUmcstKVNGPlgAAWAWwi9lCWxC64pkYTrBgTYypwhJEgJ6jyLToMBVmNWidWJ2R3WBZlAjx61Zl
jyQAHORu7s5Jm5JLsKJugKHiunRhMDrVv1T+rsF3s1sibn9AO1GdWILiYyXDU1aB1CUGW3vQvrtV
vArRMw1KWZdbBebhYT2+Kt9YtbvUyAFa7BoSvJU+aYItTuSW2CbrfPTvEz8ivvAO3m62fHvUrvUO
KagrsizUCnltrl7sJFKapuaeU6qZfpKxWtxJcjUD7mrOSw6cA7QsGTUBrJY8WzrBasjihPLix04q
dCNRlBEX8VuXQp8IJRr4E6v2M5/FLhybktNaHhu7M33k4itBxjsnXDyeheekhOri1nQS3YWc9VVv
zddxmxVc2l7XtCRtCsGRtmrU93Qk60GRpBrEp4L7c648CZXvXLap8YsnTE1w/50JvWUA1DeVVKmE
IRJ744ZSqeGUy52LEAEaGwrAwE1Be5CUeX7TK9Wsb6TiXLd4IYuqY6fLw/fiNq1kw5+GH44R0xRT
62vgPg3twqQmUFV/Fr+tWihOfK8TpNDKHI2az6hjvNgEXyefa0MlpQrOwc1Wt2WsoDQtE6QmUGTL
ysmgADivOVPPqfKxM7BFDnP6Usk5RzfmQTHWRBKbppUzRJ1bPxYjJ7xgEpYacbKxS2mU6TSnUsMO
M4iucwwiMcaNsrveJu6N/wbMSvJul9WI2AVlu+PLEHaQh7nbJ78KsuABtRtD/gEO6Zdsq5O7Ubi7
belskDjbtCT4LXN6NdAbqyEnPaxX01JxvIBgRIDSceCHbRae9ZCrrveky/BwQlDTs/kmXY4XdVlk
g9Q9FF38jmr2iLtLfQ3qYHhTPQx6NqJwz8T1x8VVXRu6fPQrZnH6bWnPptPW06xjZV7KoiHZrDBH
iyjpDgzaorhb7u2i3UjOvefYQ3X/liWbmwF5UFTAqOsIm/LqqBTQlQcDUcunNIkKjtahgww8WIJ9
R2+S+pNWKpy5A/nBih0uUb4JYTJHK8KMijaRtPCEdmFxlirNNXQNB+AP1BVYVf1uK9IjvZqXMTu5
51GVxtGE7vD/qO5rHgW+pFTMhnSN98RVn8ANOlowLeT/lIJspjpd2PMSVfjfRsVhVs+vSn2ByGmj
JKob48Aw8H3lOGiuLr90kZNc4pjHShhlgYagvXEDXxM2GJUN+L+DQEzpGJAMe1JBFAVIVhO4xxQz
IZczmpp6pyVtUspSl4HkjqzxD1oQyhbTwzQetH9tHtS/U5+CyB5wTRD/QmjmkElGAdN2XRhnY1A8
AQryaAelGaVwH88YZHggSoGqegZdcXp1iZvkLNSVfqonp6bNQFPHjwVvMwUxVqndgWE3dBxrZpBO
K1aow23VpJoAzTRk9Tem6GVbl2yJJIHsJeS63VprPCqshh8r/dh7CPFz6K/UkobH1Qoq0fewDsRk
3DVhaVrHuZ3CddxcgfNwTsjbp8ugRfPuwQ05cvSUEjpq4RAEEXDRIY499gGIlucH4Sl66ZjeA+lS
FSxqKZrQJgNQz0vbscICfukPFh05Bytr3Q+8TqyyADVZGV6jpYqchspQJNen22fPxcBXxBIc1aJ+
/9D/F20HsIOjis1IwbMZ9gZhIHt9gbl6wE7M0wuG2DydFh1DaUxVIuUqAkNswZOHB9zVzrn51raG
d2ZVq1fhCPnL5Yl2mAzMACAxBZm3t+3qOqfZHzoZB8QbJKbgAt+jCyLGsm418LweEXvLe5YbBKUG
uRIZFQRuwcTu52qmDoThuqHUKrnM9E5afOqvJodiH5hrMHMR05Npvc/wVlVZsGZBFANE+tdXoMIZ
sMoK6f1FWD2M+mR0GYBntAXKhgI7A/zhv45YeymFKYhXxl5VXzKNfYRyXOs1hai5X12ccr+UlvKM
1ekGbv1jj8xs6AMQhIcVEP2X4xhbgnAfmpk8SJqndBMYt33PJzD9ym0RL4Mm2QI25dZckTDcuu0z
yJJ5OWbr2CIuBhnUCMJL65amg4jflB4ncoxO+TmtekPEEqJg7s9Z2wHGWVkLUatqOzGLt9sYtp2/
AuvFb90SbjrJlZWCckkw3oAqgqFoFHjwwH3u3yxpvGlSH6/bxfsscTOxOKG4RbAebegDCmJx74ko
4pqpg9jG0/UqQTpUYRXNYyKTpzwLSqAoFH7i0kKdaVeXYHBNO4enX7S51/XHI2dulHwtNYQDtcST
UmqRG7ZaF0FWQ5OSX1PjGIOEB36R+7Ofxo6Sj7Zj0w4+h1egC8+05Eq2sk9t3JBLhG0fqvxl/2tn
2htihCwodaDNyVd9oYS1iNHjbYZu9D5m9y+vqkYKjRm/IL5VgRBswezsAOKvYgbyF0jtHJ4pg31V
AsKytJD0axjNBsHsC4HP3nKZWNod/+ScBQhVKgp1bkIQqMrSp5yeS+JWfyDrZsEALqtnrXO8Rl6s
yrea60JEt/mt0rnu8460FrY6GJlIDHyT4DRVsRJsv4hr/KKbpKoT40BK99QbF3Wi6voBoiySTA1o
phgp7n7gu4/Kx648LWOQOub3zA9rcYXo2dpaJ4lGfu4JsIq3MrYdVWUYDsG/1dvXnT24LyZaXrPo
Q92AJ6C8BQwJ7PRiViniOHT5qitLT6KMo4P4SOYGSkKeJ/2lW71t+qadd7fj7qNP7qCrxDbgFpqy
QBIOnjSvtibRVHzNDPvUNGUuStHUg/JG8vZnWs8apdb7JEKwkIqVzkYgEj/n9Dxi+fj1/G39V2oz
RcLbR5vLf3xtOXHiGFYfEjPIEMsT9TH87HbUr0N0GmtpLLrVkKApwIpwEDdYAHNwRiuTyokr0n7O
P70WNBOJ6lO4lZMqaaqK41xl5K3CZrGG8ZQptktymsqonKv6ZeXK5HvpGV5q+rQ+Rt93peO4gS1D
X45DRWDtYN/ZYvPL1Ho3hHX7ZU4av6IXx3+ZDjTIaeNL81xnHzmXXmF4sfcRQOt8sscVFCPhGxFm
ic4ZTr7F4pqbrwK370gosOUgZ8Ji7AwJCFAuRLl/XcF/fvrAzSbh0ZymdHE3EQWLP2ryeumxjPe0
ZRle9UEVAokHGOOcFGX4QlEoeZotT+X4TZYGDEKWRRhmYE0gtxUgr7XReeT+eN3iarme4xI9vc2Y
lJFESzC50+lNIaE0ZQUqIuJDGoqd5lvSzegCn41MPQF6eVhlopSY/2kpy11pm0EakuaPBzvMDgYS
LetDutabwZKgghEJt3Othob9wZQ7UdzG5Jtj7w7AEWriGBdEDNuShP+1JoD3kG1CCybJNTHmAzFo
7A1c2z9hx7Cw0P5NphNTwnJvc5RrOTusxN+07yjaxEv+BEk5jwRQBdu6doQUChvsT4QeOtZl+/eP
JpvPqQJgh9okhOwT3fPf2mpvgB+ixWts5AZ9UE3mVQKlHwoTM+CzvTEihjv1bb5KMPP7di5ka0Tp
M6xJua7cnuAUMudvBFBqAwDCU4q1DP4pykqcbi77kDAVJhJuN3EIjKhVbX70Bv0n/OueBxPCAmk8
K7lKrdxgMwnQ2qu2tr2yWxXzb5ah+uzbDn3Mc/i1n9/B2MwsA7dGPKUFONLdqbJGYTcEr3jVZgdD
3ZCfi0FMJyJJorH4CDioR2AnREbAjv02b1RkVut2LLyxPwC9BiG6GTTfEjMRD4e6okgNsB4wGb0j
SgwGyhy4i6zrutgJWSUBaC/fYj9fNNmuhW7p8wMGAn5Wmgy67sGrTtWFwTtjwJve8t1MlldxA/a/
D2xqASZuhnPOK6VZY/HehF9rEIf/sV20tdhwq0Eu70wnWoJZzTL994YmqZAbG9naQmDkf1yB66I1
nWbPSzkO7U1JzeSpzGDUksnMQ/PlwD2PsgyGqnx0Hx8vQF8y7kQmWfrMYzcJCWm8hugF9kWA2sDp
fqhSK3AofuMj4JwPTYr0g914TdZxpHKfRW1Hx5HLGvOYXGq0NIDvoGbR/8q3hvvXKI1g0Mk0u2yk
l5g3OqHXPsfAoBe2Ha/ShqmpsR9yYjDWGE4bHKeubyU3OCpiX2vtutehTLMUCMiAIwLhvSE1gZ6T
a+68OaGS5ZSbkIYg7dH0Btfg/NqSbYeoVF77HONpo9iIZtAQZ4czcm+rKCkn8twDwLQjudJ9tbbj
nl9jdHyO7peIxbumQZ+Sck/UUAZtbuOdD9soaMP3Y9mDkt00sqTGgYrnY0jRldLk/q9+WXnnTq1g
1vC9Su12DeHVIwHxqnWkGCsN4pX9n2KfD5/7r754kxhchOJ+eXpmTcEjgeiSuRIFy0C8E5lbeyfJ
JxZr113vyZg7ci/BsYxoo3AzaqTyZqLj4xS2yhT8dFr22j0ljGPrVk8su2QupFHremiiLDB0tyny
4OLb9vAkqTP9FmwZQorWMEV/g90a6j86CvF+gjrbq88PyjK+1jxkQPrVm/4iW7+cN4JBgcLnbZN4
X2ewHRW5aLktZWTojLnsqSdgd+ET6lCSGdL98MbyJG/eK3fZ+UTPhTocsyECX6n6DOwY9SOPT2h4
qPO9VNCIvvaAce2KQsrG1gHsZoaKVlRdfQX+spzPkTGDzejZuZtjqVpq1abj9K6jY3eiJRVk0bLt
3wBd36goCBtBW95DXdbXSVRyYhDCYkAyL4+Twd9ERbfAU4TORCsBsOyvmYC9bPOJQvY+6K2gtmHs
PLEDZRzzkmamvEpJmL10VHKVCExXp0hFBdHeJLmQeYqEty2FdMo5k1qWoWvaTcgmF9aHICATCs12
CA/S/RvMKY4l9Ymby31yQLm/1lvkeNv5FqoCVliCwoLfWnYlRT6rffYpG0k8Iv1RxMs+UYH14Rg5
/rbunFFEQ40paPtXjBkCaq4w/m1hmHsV6coBIItPoQWFAMKF8343RlKXSsKhbprdeiy2rRSXhzl3
0tCZFzxfEqfjbF5/IVL7nqzpI3ZyKlxigzojwRYhlsvUNQtGVlgPJjajIDCnM61PZHDcuacKomzq
+x3aAH0MhcPZZ9KxHsNLVojchskk/CRsvZeigvofYXuVCN5zrkSbOXNx7zInOcWHfGplRanGm0Xv
U1ttN8l/pbDgCrB8exwtaeEWbEJocRiI2J4GbGIXTDfEJ3Cg3tyR28+YYq+Syuj4Y8f7s3mCEjb5
M3Bwl7jrOcQtccZuKYzhMyHp0INSiIZztQZ8fZm82OStp2mP7a+Q9K8SsMkElUgtkBnxvwICcVd4
kXzluZgedI/JAhe8BkRoGXhorQeVpkKmef+hrOce/tMUCV2N5NgZr8f2MJdatp1Xy5ZmMnLs5zhj
k6/a/AgprMtV2xvrDCViKQg+Q8GHHvn1C9yvZsua4laPsI6vyXWAcp3ZM3wReh+p8fOEzurnjSd1
E/SHz0P9Q70jDNYlCS36iDIUGfGdpp8cbEFGiXbRF8QKNlhDbb3umMbVOOT8wtKGwG6cg8YqGlo4
BZCWlypcRy4KPptwrvaEKunTxG8AJdmF3/gp1esaiphmqmthX6mdse1DwWFfrKOjwbSZIXBAGAE/
GU1JpARKykRA2DiFhEn7HGw21mVKpKlrqhX85OCUzBuyYNxy1/o2wW1Za0AR6BAB+uP3lWiMARi+
eNZTTVPgHJZYU+Yv+EXx9Jkl980X3ccemIlikQ1r3NZDnCLM2l2AXzVMBA6oHdLXcnQJ9XKqY2dY
uCeUDgAwPZ5BvWowXnMwhHd80ycPQLG5dIN++Mi0LLX1Lx3GZs1dffhjq4DfXDIiOM0W4nFzwQuK
Ps9Cg+3991d5kxqvklO5WqsF41+FXW75Qv9rWjklzbOOZeBBW+vieK41TQRcscrciritcZ+MYqBY
IWkqjKaaVGdSTbsmKtUPy1utwRsDzGhFt/HbiSYj2KN0b0c3wPGFGX1AHginw4/Kl+FXvlCt8n7e
vila+au97Ctz4zamgmQHEUEBR4+YM4IoiSk0iMtq18IEjJI/elb4zEt22xZrlNavTEc6Mz5T79YL
fyAQJXNXE/Je5UIqXr8F77ID5oQ8/t+EDC5SUm7hW7N6w0xIc27Ru/lWEJQGgNP6TPVd5bw0We6D
5h3ovJYSaQLx4rIo7Nz8tX+Lknlib47uLfdfj2wJn7dXfMP6EkZa5GtCsPwx91Lej0i59uP+sNrq
1emIJqqY02rpVgYCfD7CGT3o5Klc/ICXWr9coOX1xQ//AzrXm0eySDRM1ZefjXLH4CclBW1GDrUy
Rbus20sOW4obv865yWbDSSPMDP9oBeDgKNShouhaLduY3TZ97PwLjoiGmcwS7uf7NsbdNKQ5zav3
hbjQn9/FLU4ojbaLQwlyNhRm6iezo+S0Ti8S1vTbhNZ+lP7oVsW8XHtbPAL4x7/Bo8DSYKHLVSGG
+DiO4O9N3gst0r93tOhqB6W2VuuqGHuPe18d9KQVJ7wG52xvxdvS1gTSyfeRuYGqIuRF/aJYYw4f
io+agcuIaPs5TgTMNnazJaByVOUkXj9R821+voP7hDgb88Q1Dw3h922zmNY/SiN20z+tjmanQ7Yc
7NcDAiYxSbBBR+B3XAihS/JUiugOx09h5j7MS6mj/Q5d6kLnZFLzYHhE86MJJzMJi6r2Zorsua5G
sHrSiu1b98MltwAgHs/s5r4xp8/HelUxDQ5+m57NYtLNsJ8x6jnjt9POAvZe8TkbR2XvO45Jo5oO
i6izPbonKBL38leQYAmOP4bHcz+Z0tNuu5j593utYXsDI03foPHShpN7EjR4/Dpr0YuBWruNIDuq
61VCuKGY4k2Z55oXnfk7kSPqsDjrtUebE7eeWVsLZYG5Sa/P69TEIQdqqCYpLM18Rxwwq/rL1X0o
NJZXmWW4Z5JDxtcihveo2LDF2BV7l1+bCinaAv/TmTFwn7mpSe8hodgtDERI6f8doKXBlR9OtnLL
NXYFFhbV6VEa66PJiNwJVAs5BjtSQ8BPnEjfizNyiigq9nI8hEYDhTskExBrfMiFUks7qOtVqayf
6B9Ytt8LNSmUHYQUu6WtRjGpYgQn5j4jI/Qiuqs/iAICdm99mEO3kHQb2fu79mANwrjMlCzYBoV4
zmXMTMRGFldU01cu4ox4FvxqvBncogm6NNCZcUkGMLVRV9GhGuErSmYHp8Oh+84R9KfsKFL9hHBG
dazUEGoEYNhsoHwpIhrZT4M4/+uU8z8oWx62H1SGJnjQoFutfUeQSe23Zn1fHsf5fUOiiXREMcYw
pLvInI0LPaVN/Mjgdbjw0jON5uRr8AmxSvBPDXL9kbxCqIXMg8bS9wXeDn1ZJK1ThTXSAB52nbsh
/AlGmCKVAi3hyu4cvMxqiDfPPy2ngdWnirSE3a8wn6xed9yLO8U2co3Jg221Sg+8KWrHSkjv4mWU
ej85j/xNfpSNKRueSNN6Cu4EqXlwWo8mxFfkotKCIH7c0nKM9OuIYjZxNA3KFmmovI7hJnuqES4X
Q7aLIMP+tpzMlOz2sJfT+tptUFqr74KXW3VD6M7bzwIeLV8Aj0dAtMMDQnaR6iaYLgZle8gIVekS
oUuZ/koHC8JNiSmNO8uYZMwMiaS2SgIwIotHoINyyvfnwSD1QO7gAhZG0ASDh8vK/IPJ3P6ld8ya
JwxNoasbsqbqmrMRZ8gNp5kuKQzUK+TEDtaed82pDavNubzw6N3KwduqBmidwgv3XlAbXf1lt990
9tybvcb1s1TMeAMxXLjsdHQBlTEnH3Z/6HyaLU+Tm/QLMff+MaM3BPboH+rsZuhegOOz5nrZBFXp
pPTFUN3gP1JtJZembdCuGdgZ+66QF6CXodT9lDt/U15+j5eSo4GBCBr8eZ2fySgFqs8fqYYx2tAf
K6sv1SHquJ4UGDOjDUbslOSsogxZwhabcvuapnw4LLz3RpsDPAnJJcrzdJeIdEsaHE7CF78I6ZTn
kxDK4rZZ13zdvMM3Ehe8N530p/nf/++6AUv9clZ1SIeiCpWlwxwtdQtdSVJv+Qs/cyzt82Q4AoCc
CmPJxbnHTgaLbVd+2KlAUeI4tvHA2w9DEGe6fYnAzsYJcxL9sVw5cyLNv6/zfAyHxgwxYLhS0CSc
x+R7bW4AtAmEP6DiDT+V1cNrs9dW7My9rJo0EG9ADln2owWAq/3ej2uA4PjbtM0M/CxRPVbQqTDs
qtFV2pskXOdWNbfSJP02fdSsztFF2TW4Eprlt8vzi6vArKZy5jKW8JMqRelNN0ezZhP8YyaGGpYy
TSbpMliOlbmAxVOsUvz+XQhhM9m2CfXcW0ci7JtIf7kWqs5Sm2ZfCRmgCnzwyz11VrHCjlfQ130f
klymjMZXrJLG8J1sCEc6esNjtqHukRqfkPvPWalQN31XqS0zCmdxDIS7e+0bniKcwm/eOSKjmH7P
bTJfVJZa6PCYnWL+xd+ZGvUWDadRXp7C7k/3/MgbOI27Q/Powpy4uNfBl2NjlPlpfe5vAWfq32wp
CsoCGYV4BYI/hoIQ5Uoa8qAcUC3E7m99Ss/IBLu7PS7rT9CoSVn/fv9Fzrcn8lZsQDKR/YS3sOtk
LvS04BrHR4BUNQE/A4RPjZCg9h9lRJkEtBc+dkdLc9VTFtCDsUkVSyL3j7RE0WhA+8NXE+szFGkU
MlSNG69ImbwaLhCoCtckI+6HnzRavorOdEGhGZjFIrYbElRSi6KyEfJMzSBsrlDNH1ATC7H1J0Af
W9/QzKQZq92R40tVzcC9hqxPfb0jK1OWywsBKhywlRda2zrI2PD4YS1mZKzBj7b5+iyRtnyORd+b
6muAQPJfBukrwEy809ldohM8WSPXOWl2KfMF13UuwsdvpGOAF3hLCQt9LWUms9x8umypkWWiZH4d
XJ0qfE2M4iywvwz4MAYgI9LIitYRufUOgYRzMn82Lk3vezvyOZaVs2qJLfOYGzh4/gN40MBYcIev
ERCc8o3aqD5PaiDi2xy5yNykONVy0SwaBgfe+DJEEtG+6+Am330v7kn4ep1SsyrMqJHOwk8U5hS8
7RTnJInzQd2DYWQIPK8S/bQCdM37Q+oMlC1XmC17T3/JIaUYfh4IMOHIVY4GyA97dnirqsRu0hOx
tsP7I45Ka7GlpTeHQmKX1mCoUinj6aOPYoDNk51IUFuJAc0HoPc4RY1oHOx8WjqGS/b5QiGvQeDs
1doH+Rah53r/C1VLo7yeYVihZfJ4Mr5rKApGhh+DG8/hDyq69MfIUYbfDUfM4EIY8lqqdb+N6L+e
jj0zGDXmMH5o8wBO3eQwZjGHg4di7L5wNYgkIQ2WRr8VbbJHw7uZ+P5n91V8GC+xOX1ikudXECDR
F4pX/WHgVUtJvXZoi3esDlOVCOTzzgfvTz+K01OU6uV4FMCh9OQZCblRhDR181Z3/biDVDXZlZXA
RDCpcj87iDJGt9tDokg+0trkpb83932mikBozNZpvgt2xFCbOFLA4YjyJKZFTz9uCrv+WSTZZ7ye
ZwnhUm7WYMdtBEYXz5cnmc7x+9XUvNLeGXTW9SEMg7z7T0e3ESdwjAozjQv4/wOLM8asYG62bUDl
ryRBC590Ca+53OES8bHa395m8sHr+OY7hG8JDpybDaKhuOU2XdWdG+qpOMjjyS11R1Ketu6h0K2N
msMT18B4OIKt/9NkST4/P8+7SWcRoLmivzimihL27dG584DY7WuG9OOH+exs9kYv6fk1NuuvQ9Vp
ph2d8gO6FZDH1mlzLtE8TwIkNdL8MOdgnoHxizBBaq3BXEgocl1jTwhAGPJKR83m/eeHgyG+MfOn
rlnYJ+6b6y/JHd7GIBXSsNwh30QoGw2sd1TgXxw9vZOykzCCxSb1P7cD/80o2TUZVs3WF4A0H/Jy
L4pqjrEDzweyEZGn3yyUQKvhgx3Hcpmxyrj9H/MASKFOoFhPhSB4X9oGYktdWigYkQTSdvfyY1Aa
tbxTHuHGG9QVN+s1ftRd7V/RFNbx2EL/WxqLKJLr+0yyXAnyAYMMA0hH6FzVwsdWbV8DUMxulLfX
EblxCxrThjCsKk+m3ht6Umj5ASJ6VFIlL/Fj/lG3VoIdQsjGh4WyitOX2qHSb+o0BtXnDGZobzBp
v17ls91mkdbFAm4lDIyqkXNdU27TcG74vqOPH3sTJhTplSV5ReiZRFMaWItie+d7BRbmAE9alL5W
mFmW3+LUn7aYqZyrGBSXL+27XHelZRjLGTTQTpsQ9+5atwMQhQHTyUrTMUkaKL5g/l7YZmebrUlm
JhzptuDJTLELEa9Sp0QBofOQH4UdQ06F/Zy+02R48kW4RwcH+2bFQzTFlhT5DDXJCNfEUGWraIVr
6+XhOSJleAMJFAS5T1xKyI2vgj3MSjaH/O8EHked9pY9brwxZniYEwKt9WGP0STsLUoENba3T9In
PcPiKuPA0XWZfZzBdZf6awgPp8CpnijB54RN7Fzqzyg1fXPOFhxLYFGT8/SAZpu9oc86zsgzfj2F
pBI2sZjtprEbQCVypxAeR9+HPxfYmn8D4aGPGIb61F/CbTxaVLvIm1AoaFRth/A0aFd7lddDfnEZ
9kjfAk8xAvOyflk1cie8fdp+DUY7O1Ep/BI/8uF6xPR9OarHBCH2QAXcQnVDPRkO4pQRuXJABE4N
Ddmt9oBPoMzPVhwOiieVtSE09JoSLg0/+tXQ7hpj8YAwhcLH+hXn4aiD4ioFIhd28FbVJ9birY7G
JaXEMyp5HpzvIdKXNGdtRiDxkfyOfJMy5m8YLNFJ5P63C2TE12Ka8NB5BB0V/ZXNu9xvraK78tKs
MZ0xzocP//m17yCs9MnF7TXqic2BzHjinZJ5nk0Nid7dnLryjgQxe0x1mNm1sx6tCuRObqvWu3qE
m2yM3mfR/w9uYfKifsCZBdukfAJbnjRVvIY6GT6NSreejaZva0X4nGCKo++jvnT6DjoQsvDyduNu
WmgbTWQwcnC+M6qUJcGx6ZRinP1AfDLv8U9Ol64AnnjGI3gzSj255vmYG3V9dUl8It+IDvrITvNW
4Z7TXhMwz/CGuy9AsZHiSmdmdi0W3z9lUyQzX7Gbf6VO7m1wzaXAx4UVY9ZF7T6xrQYFgHJwr/Ht
wh6Ik0IbMKXaaoXhaOzGXYpSp0EiSK2+sxL7vdNt0jB9tUyUWoqVpHiDC1rfp6CObBGXPZcE/zJC
PZGtLf9DvUzRruFcd+IjhuGOufbyV2ipA2tzbDdbmp/lNcD9LThoXJro0jh0RtCRlb8d3TQBuMmI
vzQhHu2WjrK9wuVnNMX3cAsmd6fNfVuI7kqZCk62AeB3s05Xv1jPzlrQALgifzY4yK/8jv7jHa31
cjtIfQxD95hZ4sZK8dNBTojGniJZNm57SVur3UFnhwz5k0DaVT8tHUwkhzp1CFrP8/I37LDeZzkE
pB+FcYuGfAAJ9sgYVKNWz9fBwcX2K3JYd97BWTxf4SXn67ZWRKmB6ARWfWBUvtaL3fsvRwRUnBrq
u8ui0+Bw+qm3EZGRgF8yptoO9VWAzJ/SKq3K/XuI/ketPHWVACu9gwlMU/hxvNCGIeE/w0gNgJI1
OTSb9zwMtjzNuVCWp6hiKjCkYvifg7nj2m8hei6kHB/C7LO9w78GHu9wxk7BQV8YT4aNI81ubKIL
1CDAeswaRcwyFSfd0IWFRUkDkAVz0bZ+G4VFl2yNgTmEcDsr9O/R1TyLNBC+EWxLujxatrdbSNLX
8Xx4Ga1hoVT1y8au0TOF53V/xVNDU1PWcWBc8qEMd9Bo54RyWoHTGGI7+7P/QpaUvxDinoTyBSx2
xvpV3my3721PMxNc+V8bxmyhs5hLvc7JFGuUe1HcfkLe+m/JjbImBXq+lGsIOcFA05Cw3t83L4eU
WmKiQ8yc4wbVGNBnYji1+70TMHZOCjOOM5FCnZbn8zOo85dcAA3iYnIMBJeTVhWzsdTsPQC2uM0b
r3R4JK8sEeK5kkgvthZdwfX045Wurf+7WvhHUVSD0HX6J5AGojkUzOZ0W8YkqtiAiR32muRdhU8f
lmT4Mr1JES5xUnScWnwUCBK/4JBZjCmBID1Ly3RrgbmM3p59L79GaPSup3c8ZoQIWeFY8MZ49AoI
k/fTFwuqb4LXx/IGIr6seQJ/Q+SbvmAC7m/hbsX6xE0iOyUU5DKZok62Ej1UgwGueaYp1XQXyjze
igio4ZZ+9tjnBdEWQ4OUZFE8FJCo6uHrbu+lF6RK9uxXgFowPdlH/wWN9wsfwLzkfkC0vftXzxY9
XXEs/FtBIproO2X+8S3vmvWlaqJPKtPXxL6+m73d2UE22pu764XqzIRJzyp2nJpg56CKZRD7Z9KI
x/N6g1G3Ahf/fjy1O9DpTc3EwUHIuHtWxX76lJ5DrbiepRB86SUXhvnBI1Q8W41MeLLDKJ3AOt6p
RTBxGbpdCA3ujoyf/SL9GkWMP+EP1FkS6h4+9fO7HQCSAxB1ZD+hjbfZfCVm79S8QlZoSx3TxX9q
xfB1TlVSvwcMtnCiH0jIsJ5MluTMgu4MdLvOrnxzOjs7sfunJe1dPHSh3RMN1Uuc9E2YWdVuLQy+
CEQtPeGwErBZEuze+6a1vLZM1eaPvVJY2P2GzFv/6IOiv59wJgkvt1q7UupxwZP8evBihH4pHC7A
wQX8qWm//KTHQGVmsuTONWyB4adQwhIgeodyrEAhubeZ0t8r01ZibB4z0owubqQYow7SlaceYI4E
AFYVRiXryr8dSHuE0eSqNB8FutJxS5vZO0sIcxdJsxA4yxSmaI8Q0wziBIrbf71vwtS3engNe8B0
jrTUmWpUiqSFnzhghV7f3AsOpbc+hJipGsN40tC3Q7y3E1y8V0MzPirtD3bKj9XzRUnAjXCqQktv
0BZ5ooTVIqetIMq47HMpv5NChpaYOEILgbm4xXismTDXZrWb6A4WPk1zJA/+xuzxUAc19iwMJZkD
lPCL+pq7YCWDcj1pPVsrg5Y1j2HtnFZoyq7eSCvWm/txpyKZJKRZ2moJ1zNKl1Y02QRFum9EzC62
JHbzlRbI6sCrtOBu7LmpbzktO/3mFWN0kmwl2Op5M+QbijvqmvobeAxmdeC6mh+DDavdtkHSd8+s
WE3L1r+qt3Yxmx2xl0pMo2n3KFGtI60HwyLjwxsYxD1FgS+3mVDCsMpUi/vepq2rlnw4/nJTGLgQ
br2lkWkhvUYah+gjNjpqPBuAkeEseUH9G+No2hgxCd2G36b/00UTaVPRFcq2N/BDCwyI0hZmt31S
xgL1yewVRvRPPvLU0GpAyzO6qks8J8wXoXN/+n3QnAhh05YsiWLKYaZ7Pn+yxn+RZFtsjAbI6mVL
Vr74EZ96q9z0g8RkbbUkgxiNC//Dd37B7h+OXFdc08sf1ePq1LY4Vn1q4kVL3TL5x0QiIM8kKWuZ
iBlNWBaY/LmRWIins2rxQM00RUY5y1k99WAlHAIAsZKeV6vGaWmbM50oXhyiHtCYNGTY4PgeDj77
xKWZKyJOX/ubzZMh7+rmLXaLKnPCl983/PC5eoN3YJk+QVvG0+a0amvN/R8+SbhkCBjzgtdBynFq
52EnL1PinafgaaYIXT97ND1e7hAvJoiXFnRlQ3hH+QJyHycsPX9kU2jbnAIA7+mmMf8mYTfutldP
P5S2S/FQOVRXBg1Z0Dvu44NZirJ0VIXCQ9FyLej8ODi6HvUZwaAZ3VXCA9YpYncltqdfE51WE3al
h/OEkhUYj2bHWT3L5NpwbWduBp2uEJ7SbB+Pkm9bREixyOMazDZk9I5bsE5KLEnhzKfVGY4Up9Xd
haoNTwbxGJ64EuAGEggisQwNPD3nsfCN+tq+JI3Lg0KecpqSgbYLDN5JEvHGw2o8jkfeiR7m005z
c0k/VKDEAQmRJK3xTNpGnAZSvvMyXqwfA7r/gxzabMaLVBtsMuPURRllnk6novL4mGTLzqc/pbST
ZFDEPJmbe8ozgQUU7RUklurkbHbp1J1VGV18W/YTmRBtBJXI+jCLyGcIL8sVr2+pE823s/e6r/Z/
VZxE2s8Udh0VuNFKc08Md3qy/Wrjbnct7Fu1/wcdmJnsNK1HEa3tmAxw3pEJGN7Emo7Fe5YWb8Z7
2y8qZls50X5ucOfPmnjWe+CTEWmS1aiqB8yw15g/lqnG5+5jSrEyS4hgoDg8ob1RShYQqcc5oIb3
2M020yqR9mdo1Q+BMp1aDPTELWosMPo33zQSHteG/Q5YN4m+8bL0Nq6fB6e4NT2d1iRuwUbvPopr
mxeZSCsrZmEQ6ulwq0DGQ/Q8I93ME1zndTITQwiOxcgu1h8L2NJLGxcAwOgMUCZ5PnP1qC7do9wt
em82Q1JL6HTM30hvB8L/jgjomRH1zrjOCoUQOXNBdyw3hCs/ZqGDHsZ/yC0gizAaYAvYCzKYKb6W
vRRHMnWZgMGBKzSN7kAl/c+gsN7ZbgerxbyPWXoE3r6tczlExwQR0LNIQHcXdrUYARhybAGEfVRK
EhJs9R8hS0UIQCJ04SG5/EZEL4BVnJgeUoh9VKxkUk4JHfFj4fSgbLpPOLtkwkPJwNGKZHkQC5+B
/ZfWSP9Niojn0BNPhBJw9FDidrA7fcYP+xJI8CC1NhULe0a3NUmHU9WD3aDQy72jDAN9lO+SdiKs
6y0AlzpHqWv2nN3tSe3z7m66sidbEOB4DUafdA+EpkEWg4tG8yGLvvUB/lkawbXNeXSvSEo9BTqU
Cc0bFl1FNjtYgqd4b0K5Nzc6CS1GnV/pEAxGghBC07bZkwCoGhZS9qI8czXAH5ya1rcpVgBL7nxd
Ky/uUbEAJtlEVm3AR3zwSOoBz0bvrE/InQFExyLuB6bNfBeTjXEQYHj80TH/QjlRdkMjCGcEFJIu
Me9NLisz9B9Stw1r4vaVm1FwsgQfvdMFcz+AJIU4b23gdyZtgHfsw65BOwzcIdo/tc6TPkrXu32Z
IdsI4sm0PyWRQBweXDQiF+DVOoSEtfNPHzTAEZ9vn4ocfq3u2ZKclx6kvD0e7mZ5sWql9K6RIDwV
cY80Hafsbyw8NIY4bzsqZ8/33IEMzzkDFKgMuuHAu+IWQ6DrB+i7trvfFWAc0YmombsobaJyZOhR
wovc9kEJsabSOIMKz+BPj9fuQO/0AiHBjKdHXwCMShag0uE0yirrMEeMR28TlD+YEA3hCBhKVmrK
UVQRqXRh2EQV4PMxl1a3Ei03RwgNAnxk5mT/p451CmELIUyMjIPRnT50cq7IZUlMOJbPNbp1xF6h
CmvF+iEJiy7k399iqqRfZyumf0nypae4ptJ8837/xNPbjWgtd9ZvpdVXxKQiov5TXA/duwuDUFLj
fKL8EhRh81Q1SEahgY1hAE6hH6jrMzahju0vmTJcyWCm8VT7gbh8vEi0DU4CdgaR8bhoDyiMJf6I
EoKz1oEFgaIwT/QlGCpNx8jMeLD3i0rQnGerXFddF1XBtySukDhJnmK91GD51ERY/Ylr2CKYipNy
yrZOkA1QIYckcqitdaXCtufO94IAAH/+IXGev9oXAgvGENYc8wd/JtOtzOHrg0JUkkJ8GiNOvm4y
1f4n3nkytvKa4oF8Z6iVxdMlMKpi1jQaRIW+xo+Ywyk167N7tMtfvKAitZjp0iBkkLaAohhza3W6
QTZLZcCCRoWy4LlVjmihil4QKzQ1jjUO4aWB+lznnIUDUtmEW/Htsk+DPbkIZ/DrQUiIyXQfX1h6
Tnu3YGJm7OF5xocpXwH0flFGEEZVfp+oDvdxkT1q/ZU6rVEwyS3HYNSCk4pit6xZcPss/qUwTd+v
xSzZMxn7d+jSv2Zvll288rSJuPtc6ySp8z8eR+PI6GtTOPMqHDN7EvteakmKMa86kGGtBek5Gizz
SHqRVScaVZpUhvQ1C4qRumzntsjOwkcGSVs9PQyM2zyJzWPb/D304acoo5Vp+oXmK4LvGjOeqtlA
7dxyyeVy+6tYTRgtKKewFxaA5yu2zux34IBjKJJf3OOPP95FcZRnuFkW+UKGjZ72SP4hCM8bxvA0
b/n8mVv3xTyToB1o05aU2c8zcgYAr/dJFYH/mSnO4xdUPkGPvyLxxNzjeV+mc85cLW/Blqq8QY+s
ORdzJPDhboIQfH2vR3NilfSuofr0EhYF3fvQLrkZdeyunVNZh/wAIMCpXqoqJJ/P13Q+xGBls3L/
+5gwtgPLwrv3yG/OqTkWirQk50UnOKPL91lZMBd9SCt7gmxkSMK1f4FhOvO4ez8pc6GtmdVvQBnB
jVwHr3eeFQ/LyK0SiqA0tbH/Vi63rvQOjKG8uxO1SBzdx8YV8ayg8saXFU+2fejuS+kF5Xa+jPj8
Y739j+nfVl+XupcqHbmUs904I4w0gI+bhgDu7C5mKZOf7M4aPvmDP1ZwQzJRUUmlc9wY3vjnOxz0
HxX/IkARrcmeNW/T93K3pprj0iEsfc397FlQmgMi6G5pTns6b+lJo2/8f2i6xcbGAPUV9iomJ+oQ
fM155YwD8km8kpgGBdPQwkSotBz8NmJLWR8UdbnwVgme3OpoMg9PsEwOoMgMFX+2K846Ly3kimLN
q7IV0ccziLp2vNSyalFApNEd2m/5o8AiJ0VmHy+74w7iXU53UPI0PspMj5WX1ToM8XMHuz6l8O9m
z/Ban/92hCBArQdW1WlNX4hlqbCyeuaksbxAKM6uNxTRDGs2PTHzTYKLvFTrq6uDceWm8dvlK6Zb
A6ozRgEghOAIt8iRjrQilAdMHRtEURqvGYLATvCuc08F7cMNK1HC/dpZr3VmqOGoxM5ePg2EHd1S
GlAH3YIFVEvPZMuUuP9+zbXTKaixQpxUkIagoPKBXU6MKmUIxXs4wH44njhltVw7Ny0rgijdgC2R
0kK0NM920iWXHrs59QKr/YjViFFpXcptdkv5LpEXWcSKgRl0Hh4PIyW9oK5aOkM/JfDqvtvSHK27
5szJ6GIl+TpDOKHrF5/RP1eBFCeevfUcPDriUB8uxO78lwDg0SzSn9gZZwSHR+8k6l1iitQVvPG6
KMWtVQM1j9mstgIKcyRzkE3CKXow8+4VDmq3cVQx4aPnq/vCbtpw1pNkcs7plYj+UYXuG4+db8iP
caOokYtaHP2M5XgPwtNjiQfEf1Ko7FBiUHzYbTaBY8Y2BmEXbs7a2M1Azc4YfXV96a8TkjTKkLYO
LnKAj7muWOJzk3jKAuj42RICD9KoIUqofE1PhnFWdKAmxhwvXcWkec3OGiyd/ZKMUdX8Nlt/iRSs
Z4uZR8Bqaq0nrPJpivW+kc/CUIlu/xr9fZvk/xAxkS9bN6QcxX4d9bPQiuvU9vkwD4U+3wHEgQOV
tnak7ttocDfhGvaJHHsbHFgKoMEYVDvyamvWjtzWDTN+5Ygfw5NBdYCmpAnl/EoFj6p4JWtdtxno
Htu3X15YcWEMIOLxSpFtabUx19bnSJE6VEvwd02WAfbXladpUpCawKdT755++oc35xH9JOr6w0IY
Yq74IwAlN6Z0VXMn3ErnGmywWdfVAJ+s1ytjSW0aGVHZlCOxrVJBRPiSWIFG9DbigyaQH9x3T0/m
yCWQRn+8oOqk4CM0PyuntsGKfjGGHMkzE+22549W3rvnNF50Pe6pjp2JFmbg34cMbH6mVEq8beIS
Ur54NiraLII96OKJc/pAYzQ9DEgcU8wZ2VlOtR9EYM0eieZuioVtvzFRyvNXvna9cfrcs50go2km
p09l5LUUvMhr32CPUH9EWYhvZ8AMnl3rZaZePKIz37FhegEHuPrZr/J7fs+i/rV8uI2XAVNlT2fc
U2DlizLwVLBQ6357Y5MTTT8NS3GVQ9157OddVq1pvg0bFkSeOPhgFwgpEhewtWRUzLBgq7lN2njD
nVJMG7GadbJCdifZ7WL9iXIQgEhg/tHbzB8mwpsNBeHs5c2Pl/lIFFhuZJfnM2e2x0boYjmW3a7H
ox99ugwT4nLhwdDqU/TE8OMb/AwC5fyjE9KQbbJkDxCflwJ9QvAQ+toncPKp3tgT7UMtwymE8uMo
ngzOIev4tpb5xVUkLI1uHeDJjLM3e0zqWvmG38Y9PA1X4ye8NDEs8fpy5hP+Rq6UmlrdqXna5wBT
QbODW82QBbfltxFqTNJcnJRT+HImCdpxbfmAYsrFnKM2fY9YbXeMkNmZPoNvhWBOf5difzpK08m4
+I0x9wPkQoq4v882RjI5M2VmYWVjVwXujV53za9CRBgLOb3C+wF3F1CVqs3VpH+KsGn1D69+Yigu
79SFBkTXfEatvbJE6lIg+y8gO/b9IRKyDmA1/6lZAo3yAokTh/qERQQNP4khx/vl89A0E5fGsvsR
hvhRD3KD9sOGMiP5slXAVJpF9zUKQ5NDzRwEsqEgL0GDW6uPh26nWQ/DjP9zRYgs3CO6JMPUiXIq
ReOlrSFWpeezfOjknm/MeJee9KpuR+u27tZNDSc7Z2hOT1drADnlX57hmgRgGkpP4vNvP+/YtYmd
xrOM25BiJSNTK3NQrVOyDwkUPglPOTvCKIvIjeu9Kv2ohdM5v+Wa3zUWuaTiyZuRywpdz9/JTVNr
/ct1Wh417F3JcD61BD7vAAEiJ28omto34YP9dfz5G0wwoI/fDLuh/YpA+e6NnWnmr+WqKFEu5mNK
6IHYDLFvbDiW+BxzZwQV6WlK9FD1tMX58UvuhzlIH232kZ/JH3LHLScszALATALFYBBo8DKIX7P9
Sz2179yq8auR4Gg+vSxg4ABRjurw7wdadnxdQpzw9ah04RS6is+UyOACcm8styzOHMs+90xoCI8g
b8fFvEUnkQFa4DWuzp7hMGl205SLThUb3oYs6yVFLJJDtbURZ2WaV3KEvrcwaabvNqvEndgl5Sju
9EwO6gHcu82ic1aBayI99gt5IBgzzbK6KY2YhO5PM5R0n3IkuGX8VyRXBzeyaf3zyjTEo1T+ZwQA
370k2xj1sG6HD8bHWeQAo2EGwsSfVFwX8vEJfFtGOjhl4o0HtCi54XQbXO8AHyGcSx+StDmm2x5p
eq/GPMDLGtNTZSzUoobjwceMQze9t9wvDHDKjn/apsvHKvlFSmaXQAgV7c2UHb3U0Ww4vkCnPI3D
fC3h2s136VRFzOXlPZDfGmzaD+b8CIk/m6xipHzOxSCnAWsHIDjRYshhKyDqYRhwY2+21LaYCqcy
I8AP21kbF8oM4JYeBzhE6GLFYAe8giFlxah+4dk6iHHRlL6FW7GLmWMZJuRXS5ovcZMQCXsO3k4N
D1vAZOAFZPDTWmrGjkcbqHHf4rbDOrk2qJ4pHeu1vd1jZDSMDsrAqBIBdsz6fsdDi4kO0Txqh71r
G2eBO7mDOLvhHiWKJADAghLsHzPsmCm787HyF/xSQ423bgCXJ0aTMt9dslxM3maEupsctq/gorkR
zQsgMc1HtPEYeeHZfDCV7mDbasuLJiA6MK8SgiVXwn1wdILU0y0pKeGdU1GJhVjkEfB5k4XHGtkL
kJgg9esrPiddIiL5XlV595DsZYbSEId9zsf4qPZ6eQjI82vA7qv8tu2HbxmZulBU0mc8eL5Yv2aE
DD2imzc84fMGPTLwCwaBkxAJESpZ/4dGEsRnFrFIf71aRQS1GPGzoy3jL74WUUNVTYsaurXxqlnc
2cjjceflQjlEphja82gdTosmuEhVsAVtykMeufysTE8dq4uhn5ksE8VusaGPVwNluPUI7oQg5zJd
WM3iimno/EZINoHft6327QeO2z8PKarqWzQ13+ItHiNgttWjM+5q1iAXvU+Dh0JQPNFWwPC8PVIC
eqyjdR3t+QUYnp0yar24mjQ/pZlIAnEGeigfgjK3WxIFDz8kPwm1CCFmx/wmWx6dw4c1LdYvpLC8
mobXNyiCEsnrAknt651r9j4ckiXaxDiJzF7roBmHKHzO0S/AjCWD2djFlovNonV69fbX/fqbCyuI
iFqq1/xhBQ6QpxCG8BKnxXiQlDCIxLJ73KANlHoHP+4WX6qZmDS9sLlV9pg1DYC67UP99Hcgj1ID
Ns1l1ZglJqKIXLJ5V0iYDTMM0DAUYq5DFdh1DlhJA+3fx79fgq1aywfyI2ZE+/pg6p2+EgBZpZmz
R+QWLm723dSUyE97aTtnDTm+jow8sgw8kTzLy662HC8yzVewGvQ3pOQaBWN3Fcxij9JgIHTYvmkQ
fIowRwe+kMCBJ3dob2CKFAa3XRYf3iC6pB6b/AydbEth+y714yuDuKSpCfIFKP4ntwE+NaFVFr3g
tasxI1dp9b7Zv7Cj6DGJpU6SCUwLhWo5AnUW9MSmmw1VXpdILmLKglhzdn2BVTyTemKrdizpPS0P
dNrnI5yYwW0UHJA7EWulLS9zuqWpl2bJXU4JrLYBqXkRe6N7yGh7Hjm++EzyqlKDGQBH/BKQj1t4
z/pubD4r6Tm/50m4FR3DDc3onbIYx9tIG0LYxBlBt2gLVM+6Cp/d/O27h3BDGbttq4MISPlIhgXC
9r7bEOD6o18RoDC5V1r+onvaMes8M68f/vC1d90nvtoLZTPgMK0dD7ZM54lGjvPqwpHjU7sosYZy
pCw8e0tWbAHFTLln7/QXDZwvBHBSy8cP676asuDqYisEsc0B7gpA2wBGI0OwYVDdOnMzk9ONA9Nv
6z83j2HDZNgzekiArij6t3FxdNQyfK49qYeHfWLYi3WHmavXCLra1zVSA5AVgqoMYyXCKZjlygSP
uFHdglml2X2Ysv/aXQ5fAV7YaCiiu8uQbq2X0D4W0dalcMYnsrlOWZYr+JyHcqitkfHd28RUH6DY
PImaVAVBpuwGIBHxk2zURE9DjOUMzuJvUfeLm54eMQFi6zujzwusyyMHoaBgn1Uv9guflio8PRRQ
QbZlQFkXWoHm/opVadW0Tm/1KtdmQ/63Xzc/88joPaRznC0GYg7TggBV4bko+64khPzUeNvOCJvK
AAUfAOtJmT4OysHyjsCp1dwOGWIuZPnZCcRZurbks9kUxiE23m2aLwRjO1fwWkTsLZrOlL2Jl42G
loxrBak8G6k67VWI4ievcN4vgxJLp0TOPaMLO5E3pRBAdKuHCEYHsodS7njMyrJN4aA0y51j8340
XICvN4fVEkXH+xDfYJEGkGbre9R1L7x2bpEACSH7+ojXZYJWOC0/gl8PQ/nDz4ZMRa+Hyg3e6Hqr
VkapEbgBIDqdJhuA3AcB1R8RXdkrGwSiMc7IUtjalH50rJVtHM6a/CpaXEzDfP29tWOci6a1HmT5
Xmxe5ds9rLRGFKb4jOzamuRryy0aKPRzfVxdULdftiN7jWum7hUIUC92ePoae/PSrFiOUODa/8jc
NISvwT8L2hKayP4DIIOzRwktvXvTNvY87FZOzAns6xIFH0fzTT56tzn/3WAre1lQ23RHW5lqN2NA
FPnhyYbkkvESvOoZhQBWcz9b6JJwRYwRdmNOsVWCtDDp8Gcv02mLcZqT5LPiQeF9TsEhmfTmB0Am
Eu1Eo1hQxZbi13sgppCwqK4NA18W8LP4PikCA+CVWD+tia462q287qqBA/MHazmHoGmHTRopUYA7
z6bizFoACJGOEHG6Z088VwIM+0NDm1+noj5elRgCNpOfKZSi4oW9O8+9zpt0j4L/tj+4ixLfxzUW
Nst4Pyb1hW09E88L8m0xqlCnJcvzEQnb+7azaXpTn2ygtv3nx7gXrvbJvMSsAfPBCpzJUQ6xs60r
Adr2G9Qm8cs6Jnf8EHdP/7cVw7cdUh//HYV/4k0RQ99artfEq66Wse0BEgHfdsEnQMEKCJ7r3yjs
Hw4XKGafuMYlkPhrZpO4QbT65AaDMaQWQ7RgOtZGFEJPSjwp4PdgWTEWspImhqlD2m32LWCiYQXX
zIrlPGcLMrXKyLbj9fmtrE8QMNKQbUvDD4ncWHHbkW1kemqyvIB51PoOi6PdmPNsSaScAm08dr1T
cRRzm2fBmSJy2hIcrJ5ke+rg66vxf3pnMiPfE8zVy+SA8ft1PVmqNrJ0oYgkEwhnZ5Hq5/+dg10G
jmpd8s6IksPkTIAJnH63NFxvqWmUJ/BZ4IR/3THYYdBafpmJM6IyH0x5/d9lbZhRWxXtBipBq5EC
d/2Y2SKXivfQjCyY3Q8wMw+J5O0WMOxm+BWv+fDYscoUpyfBTQvPR/lIhOtMdSo9V+YH/9iDcbzr
JpE7BbyD5x/5Y862SHYLxCcNOGyY83z4F8WYwpAtPgoymaLCoza1WokI9clKpN7X5HRidWaVmVR3
sVFPJlU3wT1HwYH+oXm20zAHl9iMMEnLdLD8OnlZA3MMJgXxlwh/gF4f/BnnbHjuXk7gkHhgHmjY
L6VT7O12VyxlUhogKAvC5d1Yw+AoOpj+1ftSAICYlYjMGWCxtVEbjATMXTTdU0oM9/b8b+LFPs92
fN0b0BeSOfdfWpfeOrXLqjFJCcPgr8sIzgdoI8q/GZ6QONsu2JLA02iHUO1LzK8OaHpbinyG973N
tnVsH9JpJDEeOz9I5UQN8gfMvlprfoAe5oAWZ7NlIzprQi9S1cyRIbydnZx/6ecfdTfq3ZQmwBYa
Z87li8iu0yy4p37p5aaHFwN5bn1zVDUYGFJRhvOh6Vhwd+QTLHNeO0suSFRL1H+mtoB1BwRSl5mC
e8+BP4eqL7qcnU+wSEbC7eBHjFjT+zV7b50toe9VgOYV3wp+NrGrcvQGZpTpD5uNRyUpvn7AsqoM
BDNFJfn78+K3t1RIm3/6ibqXN5sbv2DcOLT3XrZLpinYHU2R/cpm8yNlexZcK+irhEFWSrdwFIOq
UczWC2cNkrRiaXGbhe5tOfRCzhGnp77SBVKavduyJyXCoBY5Iuur3ROcRy4132LITumaMHxXUQtT
cUTrSpRqJh8fwqk01evZq1CTLtHDJTaZ0kfBp20cY6qyua4k1yhvhCO7mZsRVV7D5RKXBZZsMT5+
vU9k6WRIhmZ1uTU6oAt/jciV+ksHMDFAptRvcZSCGksNT9JSSZl3C+9i2fPxvi3WyCbw+dMxBoP9
scWbYEcLsgAM6N1YqzglJRwA5+R/BaavKpH12vDw6NpvkMhNJT9Fy3sfWLbggY6vKmnLnaGVe7P+
W7R4stfJc/CxxxXkPkBwsR+s6nSoWOOYV1Oy7jkb0Kv0dQyEwtIc6iJ+IqZdRAF6afq3HV/Dtnyk
++hfbOZAFgjzNP3EpFCTezFwMf5Hvmrj+oJyYI5mwKeZ+fjER8Xf6r30lBiy8Z85GKRJ5FIgEJ2D
NNdG3sh5ulc9yri631E5EtiSG5UvJbKdUtWpHv/+BVg1509afe2MocIVYRDiB2L3U1hIduX1ySsT
lMmSdn3qd1uFqjui5BKtaiFX6Q9NvaOzKdMvyHcylhGDIKmuEuV+Q1WOK682kUvpgk5XNj5hEj6B
LEPMeAr44q3+py+CsnpkAxYSdKB9g+E9JH3DCIDL8GNA6ZZ5GKlXMooZGVkAh6pTnsvqChlP2wjk
gIfLS9dHRtKfasNq531vfc8QP5/6s6PVscVyKlB6J2QznxJgK07Evq4kIKqseXZxy3QUo7AgOy9K
q7d2X3K6CwBid94yFtpzhBz322/Q5nCv0S3VqD/Bi8ThmARUHeWos40ZDFXWYfl8s0ugTWjHMffd
Erzo8ekej2eQ1NoMXJz8/LXkT7qDw5upOX8mUQXp0gKqpsA/HTUAOdba2AB8hO9XFtmskLdYqziw
4eKE4etkO/eD1nLMiTdZe6VHPmZXqnytSQiVScm2FN9fljAEnKXfCYXacApuGtKiRybPmgzbQfcS
F8fhtdt/zHUNh/3pvz9paQLZVjaMCffofpcWgiQh2W6xLF5ZwHu1S75JydIFEYYLfkMhKahbO+62
U1RgwHo1CfXnnPdg+RcOawKdEaS9EU6X/JcAGn4EBAiDDZ/Gzcq6CQx72eV4cqCxzixyf92KYVNZ
m3LvycPp7IJnin98qYJZ0JHUGvZfSOhTPNJRN2leuWEvkyQOVwNQTYgYjC3bxiFjPhZYkR1wcVf3
gRVhTbUvfyOi+y7vTMRGh2rQ6V2x6rMZcp8tdWAv3rOE3DtmhZOaKxSRn/pXpZCFKLIf37vaiLe+
t4kVRvqFrgy7TYEPn+fnL5RzQJfdy8cOTUKIqlXeWQyF1VZMKH0drMUXYIJVzT50dHCyMj7S9ZUp
ZjEIzNs7DU02lMxWcU6MhNM4VMGiuit+eqL5qWfD+IGrhUG4ZXRGnSn1ZMCtZauMELRVuvBQQmV/
dqMSHnwoMQzd2ZvWQDrl8AFmW4BJKF7wvWYanZXj3njJuScVaqPmcK4xxDcV1Mc/gZREixiRjfPo
062qsgLS22Q0r5Qn1JYrlmLf/izOOlFxVK2B5pgoCADpazaidBgJqy397uUuHZ6EfZh6crVhct1j
AWTze64/2Ps3aCCXKM6VRWitF9VTeXPSN71xeEAFgwJRheAWuuKHpsIB3ITxM3roTAH5B2YZeAoL
x4XzTVA48Tv8jwnvWl2EoekJ5RiMZYQ4iGKSZqI0T+jHrz+f0SkWLq63PBhv0c00ydmVLNdX7FIy
ZzmshLr+rnlYCLXLOZoRKFaGlcMDQMx/q7Lm5/Yk+izEiHaaQbgT+ob6amubKW8R6StZ3BRaPNC0
DggKBSHGJztr//vcHCYgjz3YRZxbT3txs128+CVomBWp6pIuzfXvy06ynGbhx973Mmgr9uPLvhB3
cR3y1DYvRLHrGOunTiI5LwoJDnDWsoHqO9+rO3ukFCSpWxUOXTraWwbss9+uvwbhGguXZiF8LdPM
qpumX7AY9vfyxWKB2GkLHcrJ8V17QZROvurtxQ5bTub9tmq+7Z8+hqbuV/+m3tZdS8oVm+Ys6EhT
OXjHs5RvYmZXEq1Fh6bB8A1gahETQSSCq8be9Oy8F3tcrLttG95gd+hNV3ShhFSVIh6iExIxf4ye
nkKldaE9Zmx3i+82x4Ackglrp7bv5lA/NnGZSkVt6EbRC5S8aB3h8eyDmfdco5gk0j7j3Ma1fo8d
PrELBaim3o96F7vUB9HEpG0hGPBFN8RCMLMGFB/q/Dyk1mlv1mCIxLOSBLYS1S9AD0kTgSRFEkbV
uTcfARsaGdtB1Tg3Jcxy+RH2KDmmU7tdIHNSWh2Ddc3uvSpxzu3QRIdw/ODmyzssy+S4QF2GFAo3
sMngqxc2o4oWO5cT3l1XO6eMysRK7OXYWiYejVm1HD2+/Fg/g/T/BitrX6TAQ4j1ZcbnHA0Q40Tj
h+yg3rW6GY0ZbidTI/dBkw2Mrbz6zLxuFEfpsHUemcPbw9VFI3bMqoqX23NwGbhHjYCTrzhmvlgW
YowQwzzn7m5SKcfdVCxRemxJOIUVlaWypGyvc/jktJ1zN/Rd4C38/uceqdN39C7UjJS0pda2832G
/x7QsRLdBgDgJJospFQNF7hrCfU1oTn4/MGsHcnHA9SBdQdP5ovKZisL5be52Qpow1y0HPO/Ovdp
/Ve0wjWqtFLIve7pC5oyFBsLURdz23+cb/x8Mx5uXjKGYEUu5+5C1oiFIYMpg/nJPoCTMC4KM0Pn
N07nJkq40ib2J2snHWoxRAmRvhgtaYHnNGgLOFmqLWslv1Yd3YDKZ68haap6o69b588jvzGf1wVE
JcTzDsB3SFeW0LNtAykTcEeQUCLrpfp3UzNjzHkj5dmGp6aX2GhXb/a9X5CzTAyGLsCZ424I8ISN
u5QbQamJnJYpe8YgIfj+vl3VPVDlE5coZAqhOmCU0W8WVoJ8i/JiGA/Q4v921dwiVpQ86jdRhm0Y
aT6m3TF8iXJ4zuUNWAPLIxjjI8XPWFMGRP9feVL/iinSmAoAlsVGeqpXlOEHQHl0lctxDbSfpHgP
LDoxJjtd60PXF7boYvjp1RtgtOrGQFj5eRp48g6pdv9jPo/iGblNDUpTNAhng0CXHIwX2w94q7Qh
YVq4ZleD1JKxPqojmF1Iw2cVE3yAlyrbsdXBXQ2+2LVraNIuGZ8VGI2E2bmqNmckoGTpNOs8b8cr
/OHn2pgR4JG3i1/0q7uWdqnbLnhTq+cGi58z+8aqReQMtV353K7dPZfsaiFITbCvosVD31jnQAG6
JShGwQmN3AZgD+TeXTeRBOzPV6M/dv2Hcrz9acMVbNxZe2Z6KbVfUa6kRgOaDPvt0yCpj6mvNqG7
q52v2tvnADj+vnj8Wqyt/b0AxVQ9KsR53LRmm5MrZaE7LERSmMyPZ2HXYOD/Gp5NOdFSfn3YytRF
j7cHJpDIgTvNq8QCslcZGzx4kz7D39/zOIRbkAfzN7E71QtsrTc9omWkn+az+ehAQoyvOoMWOKot
8SuHEkBvZxdInFMGMInlynG8YrX9Hz3oyJcavEf2BNcx6Ll0ztuWVkpJpNmR9g5h338K9xJq7zdh
YuyuUPPraQmrD0NibFVOc5f/7iO3vVDN9BFXh5kQ7YcqfYTkc/Cab2bVelF86ITY6b/fgyQf/9vJ
q+oVk5b8qrqpEtUGYqjLgUjLLU3UAIu7sbi0Pn2h6DWmFq7NyLT+pdTCRIOkMYFJohqrYA/fyg0T
hEZ1AtVmGAnjo0gO1DbYN8XZphNFEpUQTYTNvEUM37/NL44oylBZRqGWux8QEkoABAXKcMkf38nZ
zXAZikEoPcp6tfSNmcAfjeebI/piMZVSkZ9zuOy45O6OqbwpGLDLyQFtausTS6qXMAVSo0B82vX/
ein3hNSLfD9EtD+JDP2uH9XDl7refJNf9KUfuPJUfCqg3aJzrCLPcgnmOFhqtL2iiyPWDJKUTheu
+ex2yFcZ2qXMuD4fNKqrXUKDB3A+f7DICeKU+8vRKqKgRQUm9YSZhs/dLoVDmKFdSU8VljH+qS2h
Xoft0UUkZCYNxEHR/1l/WnZyyhEbDnfPVaOMNxZwH7UAM2lXxqUmUbDyVnxaVxPNcghgHmgREv3d
uTwLAVgNQrCz1U56DGsiBLLIFF92xGrmIi+jFF1ReH+RcT3OXu10DQcXpJST1o/SGNb3++SzXAJz
DJZIEuLb4eNaYO3/b3jT6vdsb7zMIbUKD5ko/pGxW7ny5Wo3PKWD6mglEISyONegQxnse/ATGnye
g4fHPDvtIUSRIRn3TSl+AJZKye4KoPz+ac7h5Yoc4S6hAF9MQp8rVS0ewFhzz4/q48ywdly8pHge
Sp2n9x5Ld2mZq3/NgsmFUHqCLHT3GUceeSrsmIGc0Wc8hO8c9o1ValFY6uIakikzALtsszjO/epn
0bwhxxjk9ibGXflFb4m21587+l5Xr2YQw381ptSYkxua1ITYWI1BZJJEWnfVVtbaGdAHkia7jW8P
9hXTWR1j1TuD8LVc51NcYDW0s0BbS3McGLwHAehLW0m1ee9Al2JMDh9+f7RB5Mhx6pGYXrWZ5kA4
kBXAGf90vwYBm+I9Mw1KV3ZURoIx6vOF34OjRSEAzjwV4RSyoR0zLLJXAIdXfkDm5kQsmSTQPapf
VJ4300J0ICL4nm0HipD6iUn9PS2YP3uYyZxkSFSrcwkCx/LJwyUma6um1IXeNZX14CudcuEsc7py
jr6MRbWlm/Jol8+GI/SlSsrqb3eVp8gI0gOo6PK2pnTaLaRSIdNKy6jaQfhH/6aMHEahDvQkS3aq
SPoUe+F+WY6T6id5tuJjNMXTCuKVgIC8TAtgw+dbYazyrPNJQUZrbh6huLTa8il9LgjrfI5JgLYF
Qt6AlgH9pNOLV65rPEpIM6zS/+RChL0YFPKRyFJZ5EO6ZUtP/z7Q8y2tnNR9y1ki5cl3jxTOy519
HhL5s2XmVu0xr8iwK+I1sKCQPZ1p5suIUHNsNJScndKKF5CWzjX6pqWmES7NVsoITZ+QSnnlbQls
lTR+7Gg0Lo/nePxWL/dc1DKMbAxrdqneFPVQK+YA7q5Y6zWPLxbmtdGVroCCkvIGFWl2XtPjP1+9
WODMopeTcbhj1XFRD0M0YWHM6CoWDmHNRvao7jOvXzUgnxCXPg0H5GandA3Ix8bl+3QlzUSkQpd2
WXqCkSKagL12A3cap3DDXMCrqa79TlbZIRN32iILptfxDA+0PCRiQolOdiukEna69vkzv+Reb/ww
4w4hsqiVgYicJDt0lCAsBf71Xs/UVNuXaUTBy7ZPCemsdmRxFaGlI7gm9YYuKN78U8O2ozaMLLHQ
GEC9SxV09xeVJ+OKQvZXTIRZmnv0iga3uSRskTBm3qBPDX0OpNVXwAUCi3BORqra91LSIKh0u37s
lUDSB8Khl/DKDoS44d5dUmoeGN3bqshnmGDAuyiIj03Be7oBNcfi/nlBNxhfV8IONXD5oWdJqO/k
aHPlN2ov501h7nDci+3MkVRZra4sx1XWOIfuYI0H81/QqsWRAz0v+BlXg5jrNWJYAAY1tCGzAwF7
EyKQjqy2/Qa7+PXTFc5x4jlYf1bmmm7u3N1YRzMqcZCVrrz94dgfgkm4S2m5r+3JZ9+RzYGFW7uj
ZLJ88oYUQPqJ8ZUgwpY8giX6KW9ISitziB2w/fgCWHggym/1L8jMaHjgtPOipgeR1EvaiciaY7KZ
O9cVLTZKu6yIiPWIg9sv12EVsZ4tni5odxxNbhrFAwQ77zNcrqwq4ZoQ304j89s1orxhQrXqOt5R
TX69pter/OthWokrDTCIACbkXnZy7nfdEAoQ5uW56P2J+vFLOeCgcTTfQO1R0O8qa1KjM65eU/JF
c70DBS4/sEyWI3NOBglFuE4Xq2q/8kOz+Ol6aKeM1Bcc+aF4fr7Rr7S+0T1M9uAQuN1gcIgyEE0K
ytjoxsUSftUV7dBcfb5+XU5zrhSI8IpBxebTIh2ADIpipu2Lopi5+eKTtxDj7w+RgM9PKglsxGRD
1Dq3OnoSigCg/ULYy6SB9A+dqaQjrJpiWl/cSWBqNLxST9ZG6ss0gJ442zkn/mRorHUEC3ZWw7Q6
OZnvKQEKQ8qA/vM82loAitxujvT8Uqs5kg/W3SvHlM3rj6f2w6OM6WVcz4nMEXwOK0DB71hQxBLA
0awuGwjiptn3SP3ivnkLEgaiGyzzOpJKKr5iKFqxoeci200wyoBzvkjHbtnPhUHo3JxEYQoXEE2a
SCnQ0sbNSYFHu/Fq8VUV2QcniA8u4ITrcLHqIDq4LsBtrP/+OYitkTgw+SDxtYrVKW9xy7GmXjar
KMBPxMMqY5QHuQ6ldfJWR2HABrd7JMnH111O9yRC6ZJO/5k+xRQOMshBbcmfemWz6OeehkQv3dDl
0HwPrZSJyVmeYmF9Av+L0Gz4C1PnN/SaW6VWIHXJgDQ6bZgaaLNjzglcq8ENctJqbEFFSWoXVYCB
Pu3cPn8h6pCQQlZPT6WIrBmcldnGFLZyShj4ftLRd038Uc02k9lm5hpol7LECgEGrh3TbOaMpUKb
4gplTKNJDrq4FhrAu1H6AB73XIjI2WhEHbPHP11uqzyJFlKywDaXjSWeWWVocEbxKPEQabUC3pm2
nd+pAf5TvPqKbTiHNQzEsgB0VkjmlZH65FunJUWe99nB7ga+VnLBZ5UMRitli0eIj3oelBrCiDM8
2Qi5MlQyizY+jn10/lOkogLjzfCfml1/y4bxgF6wPFJyJ28c6qUtjavtiJXEp1mQB/c/jfORlm8q
nteiykjx9TrSd+vHcSqdzt11qoNXGAMk0QBgKTQGs00wM1yDUdS/7apiUNrBdraAifOmih/hlNbs
iMG4Y3uodQwjdkf1J05tfpEGZmpYTlPdwBAzR0XMdSYmZBfYVCjS5FgzPDTcCdKXywYmbYg/aNuI
xiGO5hs40QuVNzT4XIVa1orTS0zKHt96Dv3z5Dvt5ihiLe0P0qf0CGWboSC8ujtLEFfZnF2Chhuv
tsDvc2l+a9qktohgI/9mKXgy0kYs9shNDprfAtJTPjB5MQSvyK3LPv+TUCNr5z1iffdJ2SuFXS2V
6rvkPsz6aofXnSuFycNh1hGkXS6nAdR7L/mKzl8J46WFbVyE1x81fsnL3eCajFhpROlJ4dMucZd3
NPu4vjc8qOhJNldtCPLRiLqufr4F7kX6psJmJZTEXP/36e6s2ui6319N1GAG1M0UM/gmA/NiQDCn
OIPfD2aVhhZQQBl2F1iWm1gZzrtrFy1cdGcIwhnHnPnJF5ZjpUmoJfyaXhyCqgpAICgUkoVljbLf
Cr/wVsQhquVT9K1Pn3a9eelWQv+rrJxr4QQUqM3X+v3DcLog6m7gKvUqZF9sUnm4dGh8nHfw/wSk
KDUZlsb4LoIAp7u5rklCMBKkE0Y4ajLKuHk6YdRzF2c+uL87g/pmKc7dXlev5qWJtS4Rlm3F6p8j
MnVJ8C0iwsR9JpFdr26grbdDL9bURU75Pmybos94g4wwhexbJiAaySHsG7msZdXIljL4s9/8k4CK
ojCvQF/xohsPtgxRizSrSJmK8GCpvEBU1xG81iLlPREOcStw/jpGetdDfrxYzAk28aIDujdWwWqA
/F/7ijrgtcuotmk/n4bEFubkEQWxul0Xw+3q66eBSQHPNuBNsCYg/ZHUmQPnnITrnIY0pYn/IlAa
S+KLTczg+mRllSsGqCEf1TtuUI1f85Crpktx0Ooa/rxw9POmc+aCuyN3EIDzft52Oo8FIa7VDHL8
oxrAZQ9IBQAPhX8zMcnNmWHuV9f63UGB2pf+SRDlTETc/+pIifNjrPEA8dvMxsNl/EEZfnzq7Ifs
4FH5SrxWMbPyaZOG7ivl39RrthUt8uTBirA0+48P6EWuy+MkEI/vM1z2vlp91ynGx+13veKKAiHv
MQSstXV9sVqC4hxEA6UOWRtP3gAPBF+GhdI9XlG6sWyTfpNVhO3xXOr5sUjXWGnxf09p38HjU1S6
LAMh4M917Cs3+bKvqP4qBx+mF0vhDgVupeiz7KB1f3558AhVyE21yH+nyHt0oU2WN9h47dwBEL7Q
MFm+6hm/e48bF+Sba5HUeZOjY1irEp6UgQV6MYuUVkLlYTwmS9EjECl9qdQsumxil5MSF9zwNeRv
rCEyFj3SiV3sEqBbhLGb0Of3oUxgCXKEMDxpSzWYNPmIayyzQstXOcqd8u6LsYf3tOJ54CPGa2Ma
OUWJY0+b5ZM1nxHbM4RqxG0T6QmnrRyA1sCvjmSmLs6+Fm6JN+Zfxw/3p5ciUE7/XmKhF84E7G3t
FGZSUf6oBhIiBUTRQWecLKiz0IMMI+/qT+I1k5208tBGiXUs6PKVJSWe0PiUHDYvRMUGlLTr6Baf
O/fHv1kYgB+FvMDSKVgHjre2jSJOvCLnsa4uzafQ0258qCiWUC827Dt2H4zEo6Zoi4yGf5B7gFkj
bt0WJvOuMxLLpZNLW1HRZ1wkoBTdwyOPlA9Y8t2psayhUBrjs0Cj7477nLOFxgkPvdsurFVVvLmW
WFsbOTtcKFGHQtobKKbHHOpR0ame6qXHUIdIF3sCTHJMKkmK1vcd8O17Awi+yFFH31a8NOH8mLkY
BzdoZ9NAPjgIHEDMM4JL8AeWOACJ+Tbia+ToX18tcvHATbJyBwtjeT9oyFOl1SJc4pTVcUhhVGec
26YL9T6chzJiBM3RpaN3Dcf81zzQBbGh4ziMKOx3WroGVU4ugd025N3WvI8dm8cTqL1fVI+AUa+J
DtKb2Ly9TNryUO/bJPIxL/BsGqOojUGeRGbhloN+h2+i2Y6o9CSvk+O6nefeLtvR+TI7P8CT4nnD
L6tz17WtrPGqM8vqcB7AUamaaU1ozGKh9Q22br3xib6oYGrKwUvB920uyadH5P5M0+OrsIdIhm/r
T8eCdvpSx+KQc2FwQAMo4j1Ve3QkwhTRxLnmYKH8MucebSgmB4J+M7mlpYzoYL/l8ySYFb/CejRR
YnmPl85HzHVf+Gdfpz9eEYDNS3Q3iKzbuQf7/O78bL2z5FMO8TH3ia1Nr/3iFWTZSRKp18HGfOrR
/vrh8IZ3H0OCske7T29Qcpiha+ZFCCC277Let86wpsghimLOdZpWCWVc/hBaLPNCRBYj3RGJTKL4
GhuZKidVJ/2Cmw1usNDYz/bMRvmGFehTykrEaVnijQ1/+ciov280R6Xgd6Wq/fvLtHFF15X9Ni9F
eo6se6oe26Jc3CMi5U44rUZYbxyL7SAi9ZZBF770FqGU/S1bngdmZyhwPLAusvfsuQi/xRVFDPOd
aa/ofcEY3BcuFCTnwZIJaeU83FfaIN+Cz1yAY8zSUTtBgOvEBbygbQYMc/EbitJxaulECR4kaATp
YZ7SqCggbVizuNtbgVH8pwqXP/3rPdk9c0ATyWSuZEXX75vOY0uKcq4BblrUJvKmvTOGXpGU1tCk
Ds3DEf7+0zt83syBq34xcHmLGUX8DfZGZmiRQbVsR3WQYFN0C32ydLWtZgnDpeUfd/XoZ/oZTwN0
9RGJB6bWpCud6oKcuZeCKf2WTkYhPoveTgPDpKMhq+g3QsY0priugBjwBgnjMo2F6wVdpC1G1MRx
HlEYjolNrxcxnIET9XIPMiAIvXu8A8CGfb8fuJahenR0JpXuovlPyNyPYTbYuJw8oQ9wgn/ZUz97
XKObpwzPSJ1NZl+7IKRAsxs4jr1T4kUIU7kZjAJjmM55tu1Wjp9aBuinz3K6NvNvFEOGZoOAob35
vkOFw5/37jWlnnKDnAyBqnyVsz6gkBIN65H+4nqe5zzGO8Wy/I7EFt5o4BaAa+pO5WPR5D/45z/j
peUgs7cQN54aVMocal0pBcZNp2AROkME/+TTkrQ4p8vrzNFZUPkVvzOp+J6lCNi6ic71SR+GwLwA
UqU+5YBMy+6PDhVkSTMiYxNbU+UHRrJCPC4puFO3oUnTwXnuwYFMl4yy0ljxbWJXmK6gxLq28vfb
JJjeRx7Eu72CTj7tuiz260uYTY+00OZCX5LEVNT79YWEQat8MO0DOWgZcuKDaL5UhXJqTdJ+vq2U
X9wxgszhunQ44VwezpWQ8hxUSOBMmTZGvFH0RFZUnnU8sY1HTDYwRXHULg4DdrNYeUBSY271U+zp
QIfrRxASzl812j2n4YVK24WjXUiQ1D/fRVgV2GE/kA89UYI8U/h9ieeJIHOMUQmucDFZKqkT05KU
u7LC65Nkb/DCGWnmWKauz+vx976TnTxQzW5fzD38f6bIKQOJMTkH54Fu6zvrf0Dre9R0eqmZAkOu
vYQTbdc9nHhLFEGeXWs4stdQPtljcJCrSkj8WX0FK62kKtyrKHUmvZUWZq5E4jX5G+D2v0d1KhBL
UabBI+AMcMNVAYL4oH6aGs4acVDl+msUVzyug2DbjXkROEiCkSijjY1ClbxN9G+TYQ7OKEdqo9Si
WCOhBswumfw5s72jCINQK2IqYMwy/RFl1PkjHVLctG/PXgv+rujhWcxkZo9A18/U6VRxYJGiVL6w
H6cYFM1m08OhULdWIc40Lji+oyNt35h3QolobomicPs8Vq16eoTUYEbxGPZy5KGmOpgU7nyL0xqX
EjNux0VfRs2AYxq5v5uPbqSCNNfaZEkzOsbjkzthZrPz4ZeR/3fASk6figbsgIfA0JfHfDIgB5lb
O9O52rcOGTawkFd92j+6vznryzf72IgmyQAlIGBuoNKv5cBk7Vwg+wtK3Co7K3FcM9ptn2lf4AuQ
UOvLbBVWj+MmvI4r4wYFGZrns6zAs6kU4TQY20EHBwJHp4pgr5ZgqXfQh6PZ66ozg8vHtGTWjNB8
JcnGhYdwpSIT9sylhd0LB5VnSYuISvmNcAt3IdhksfR/RfyJ7JkNVXoWXFcsrvepgRFg1YDOl1TR
kv/pvkfHo6aZjZdalHJHCnSfgihskR3ka65dnNulvUhgAVG/zArIof0fdegtlRpxLMX/Dl8cA5Jq
S65kqpp32Qxw/ePO/NDc8j6XCIvNVHNJ7qHGrK0ojwE7t/SMiXHxtywKYuTcvzSigfjsNt7Gv9mu
Qe6iGJQPwhURCHvV6n9Z5S7fX0lHL0xh8F7NLXiqlO9xuw2i4LcR/Bln84O/nnrvEV3POZlfE3LR
Ze8A0Qd9ihnFur8wdR8gKxbAUSw9X3r05/RQfe8rURSPdJQ4u8diPflq6hMh2JT5eqy0Ve2xE4Lo
C2vtLOX5H/jb0/CkT+uX2x17yUyei1awCJTx2eXhws/06aufYvTRBxE8hSjzlKHgNuYAB9u8ZCOP
oWTFOxo9RrrXQh4oYVsFt5SvdZNpXlr5kApoDUjRSf7+6zE03/7Adnfs4wHkgqeFVc7UhbanlbEz
2FVXI9g8100bgv41MP/Hyr1qR7+QgvCkUl+zlOyG598Cn1afeRqUYyOhU1o+3Mzn7jARhowIQcPl
Ro8+XanITKakssCZk0As2+trcA5egAEnOB+/ifkanqjevDzSJAfJrANxy8TX2pkkOowbE42WVJU7
WE0L7b/kXOPtd7l+CqR+kcm2lfrm2DeOyUjqjZE5Y7kXUb8KIIrsOCXNnrAyafLeyCe/RQbUK2B+
n0TmdrZBJCCwwKzVRsTp/3lVF/Q43MKzwgf6mjp/htlJ0aMj2VWk6iALXEPU3OZ4oHfwaz22Uvef
8+wp7ZCudfZFTqDEdlO8/EoWAzNtGnVRut/d07qiAEJu2ZmXeirmvFn0zP97R9tRAhVSHngv4/Ep
egeh39YZ0H0uK+bYCn+fAHPvzci/yzfV53PbYHLAv3fMS2WK1g1yPZckbOfVYtGdGIwLKhGDlWMK
hEdLBKeCiQJZzR8xf4YzOtuXWs5kHcVCvkZ/9MDAJzCzKK9O0UBNA3Y5/cFkdg2nbwm2B4sem4jm
gaMUBCyPZvLRMlH8p6u1JSrWUXGuFGmPYHbk9KEhF2svaq+NrrAgyaBnqq989qqHJRshbyUG9ouT
uNNAnLzFWzzbBV/gkMNXOiORNqb5jBorrU5tOPAAyk5qsHSM7WrTK1OZ/boXgXdLSYw+Jj8etuUx
O0Q73EH8zEeXXaLGcU9gIIhCuNurJsL+EQ9n88lx7hheduHD8MR/2jzZkb73jY6qeQkdG9NiZfL2
LUzk7dNYlwMewTf7/j9uq2j2NeiJ7LlO87e9XCHmtFkMo/DARN2ONSFwk1X3JPoaU3I1rSBpb1OO
7DXyoGnNheyVJL+6ofkKSckcsf1KX8Bo1RQx6nma87foCnyGcaxS2regRzQKFa0ThhJpY9IFkQbd
2FIqkIEaGZURxpodtro1vluMT97w91y6rhDyJ7URJF64ZHySbWW8aaBtsB9cN/4gCs2i7M5GpDDt
qZOszfyzWYWwhUKR1bRyfs8lxrIYxhq0Wbt72Ud0sDbkaU+k2qJSFtAHET9YwLmTLIvz4JqE3+W5
39gjNFCsTJKe9EKfXExNlYBeo1RD7TAnmuhEUVvyylKTD0gDW5twf2Q//hLgJr0nqyoltCBz+7z2
N73Plm/4CkXMtBTNKRFopr1so+xiphjZMvln86dwWj6m4jyn8AfO+qqlQTAzxIIlk/t0zPwNJ+w5
E0Atr7+l+iRGpAe8fZ16ZSk8Rm9ge6tVYjqm7naNtAPYi7tFzjj3xJh1Z6FPXha3Is+UUtvioTHu
m4qbHAjlWd/aE74954Vs7sntLoR/RaN9yDLbMrI+VmVwmzI04A4jFXN+Ogm+le8nDUuYqDPPo2NH
ioqDbVE/4SYeoUrISriKGtjgjBTsMuWhUuiBXSAM6nXLchF7zjIidhhriBR2L7nykfBkPBKO/ybB
D/Kgx47Cynt204Fa0Q+qkk9ht2zrPolzACnsSo5pDHLsn6xxK9InRyHVj5iSAebeAUVdv1+wIT0T
IrO2i8EIsqjhUJPTwJqFTWcRn37s0Pwr78OCD1S+YrXlZ6Hi/LcA8ZcEXUqEpoKSf94tWJWhwrxP
JOGc+hXh4Id25QCj7fBz5YrQOFWvmQuBNB7NLp5GFv9avsUuejuVEl0aaqjd//QPBAgND6vuYzQ3
29us3+adldNy/6gfC1IhJpzgIu2oBXabIn7mI7J0ihTA3kKVA/gaRkje3YT0lDPRDKJZLKsOhIzh
jsMVVtYV0DTebVF6UN53/KiqicRoTq/G8LTjhqNAVY0mqdZSjWY468O9n7I+q7kBHy3KTcgYYH5a
uob0tiWsCsnHHY2mOzUQCJSS51n1U7PnMeGWeocEfyoz1he8tpM2dpITy9bAEtRGGeH+uHuxP3qt
QtEjQJwjLQfkZrILGJ2XJ9p/EXPbIXYCpaao0n5RfLz70OJwezD4XLQpULLintydlSkUL793Q66r
K/9ApVTALHvAcjrvgUyY2bdTk3ATzKukZNDgyivJUuqYUFJWaCvhm4YYkglzJznVlGy3JI6wta40
K+Bz6JgqAa9Yjn7PFTGTPTYOuybi8KUw7QZN+4Bgw3BpCQPzT4JxxIIGXZfO/DjUtqUzvx35Wxjx
ljiRsHJ+e1xA+BfqwIikYiYlKLwgNT84kxkZEjMvVo2k5wFxRP74JMSMCCCLW2otY9VjJ2w9uCfR
W5q9z65zEY4lcEOHULRaJhNtRAo//SIhn99fh54VOwku37uyIa7V1jyT1m8TZDvjHRK/lfsBuZjE
ULNB7OPapAXrLVL2GPS2gdDrp3p/w45fCPnsegdE4H8bUCJCrbp9Ev+0ZjRrEg6U/GiRIt3q0x8H
X4m3xSsSSg/jhtRKMg8QRfwa1tguD0eF/6xa8xkEMChRPIfyAWCW6G+FiLD/CHhRxBvpJVtqHsZN
0LmBTICA9n+LBUR3RERnARYvRsEje7/UKece+wlIHTSgfMPm/YomdST+85j2b/fASHCQGEwA1oRP
oQ3oZ94ZRLfFqrCp0JF15Vu9bSD+ceE9G0NGRSyGaB6NgdXE4CO5CnY1biKL3r1/Cveq6nV66Wrt
FVwyWDH4yTlcHAN6mLYroHGhEnPFYgyorcGV1hxSjtBa8jmt36+ifAS3FMw5He/x/pYTDht9lYCx
xJKho2CH06/IGChBuu/VPLYMuKgogo7EimRCGDZv5mt2Q7lPtT6mrvQ3blSYHU2z/73awJJmXSK1
tCbQeFKDtDP486TSb7FMmkMePODpRelNGCFYoyxCpbhUvgVmhOY/B7r5jIWRjDoW+zXeqzfjWJ8U
4apsavX603fqXYltnozRPJhDDuC6EfU08Gzs06Bw98ziByjFvoXyqai+zXfYmkq8mH2AvSSIdes+
eiqfPivr8LA5b+7Wz0ULUnQUZuqHtFilOCTC+YrWERnUlikR5Pl6x0jlKNtHHHozQ+dJpTG2Srdz
8QtClP1fccSekwfZh2RBrMXBzl8wFG+DnfHDXn6j5qpahcFns61Pbtx8WoMbYGnQvfA8lVJr2+Y2
a7Qo2/PKmz9PzO1zU/9iOlxi9LjIdg61cKnwkECPJpnybNyqfa1Rie8IW5Ml4v/ikno8WIb9V2ri
o0AGc6XrsoIAxWkqYz2T4YpZV8bySK86aQTAdBIhsUqer+XuAXVWVKpGfn6F+v02qVTXXUq3AZME
I4Qty4NkxH/VeVULoomCa+fkN9Z38h1j/57hdqmDXsIHKFPuMH1w1QLTj82h+KPCl8WK8Tny3bdH
wypKdRZExYhdOhINn3MsIinDcWWztkUkPz+tBWSb8u+GcULnBbKTDPmJHbF8w/YNxwkdCCGT9fiv
nCgcjAGLWEWYiBdwPTYR2XLPBfbpu+4obFjW7jsM+tKi2WY9qYb2E0wA5K5SgHreGVGrFhUDLINd
H+CWIPSoPuW2HE3vlDjGuvtzv4+ep4wn9eKFPpG8/IoGC57Ovzv96Wjr+KIArIlU+9d2/QIXKcF+
jVwPED5MCAJ8KSOQKvQNjH3EWYnxk8tPATIULUVABnyi5lNXQvoge+qQSAXJbJPAt5uv84wuS60F
5VXb8CD8bFip7vGOiTt2C/21/v3yllQ17fGno/LQH8uTEqH7W8eA5sIKICp17beEtW/MHXmWrZjr
Z+vSiX+LY41cZoLOTER/6M8aGSACRVHropOouoxR61cCPBI7al4AijB3ecBzkIJX4Gcev2CJHlBT
3eVeAQVWzlMcGo7/YZc7Mle7pTG3dswxQXvu72PkSG/b9izecq2203aZ+U4vqJUPHLCCv8+0DrA7
w/fab5qM8jH0DH4njNi1nCZDcIdFdutTIZwlxGQ4uoJm0RBjhCkAApYxYW4aK9O2lel3O/UHDGEv
rlnCl6MMdWEQoYeUtb/qKk7W7ZTvcqgh3NA/XXCRQRWG5AjA1mrM6uWArSpxw6KNhJx41/ww/vj3
qnVBvpLjayPXtN8b+Jn1RyoJLDEwrjQJOuie1IDRjo+qPWx+nhsO1WS14qbk4wB7k47l8ofAI2si
4grLFwum4CchCOtU4im9C7NkPqrFDot6JnHcy2E9QMFmErGXvb6UiltMtUKgUrPDv0AAAKaRWPPE
C0E0hzkge7ZZ2GvVunoRDffYDAce9IVVKjWHqvXmAFgSAUriU5PttUqrAA8/K+2xjxtna4BPTR0n
//j2/obQ3f54+BHXAyuDy05jbxRUTOhJv6JywRXdsou7Psjp9+CJa1DzBwylJyc9hWFlCx4WbbwJ
OlBIwn3r+yxxXGCedvCIrAr5BmQZZoRA5llkU5TKxvKzrfGkwBMSoAex0iV9xCQfIrbGHeTD2KRk
p9Xuyv4uQtHZiWA+By+1gXSxMoKhE8g9LPZcIFRHXh8GNamf5Nfvr+qJSqujpM180eA6MKwtn92H
UNU2iNGiYeLrP7Am/QLL4cXuyg3ro2D0kCrKV9ebzomysNLqAh6R6yuWEfsjzqLmwMI4tNjp5XZw
erboGCwE/2kNaE5us2zN7lkIo7pLbeCFVyCmTJI1s7Kzu9McRKKsvUElIQWZUiu57XOajjg5aMd5
kxqxeJm0UnwjjaK+Nnjm7u4/5iBBmtCbDXrhgePT3sHP9q5+Ik9zjTeIXzc5GTzWrgxLAgrszUmY
Ker1rWb65FBb9XakJEqKm9vCxw3mh68ma4pf51zSJzHa0KrQB4RDvsBWZjaZnoZUj21Cd4Ngwi5S
uO+N8oDKJaG+vg+G/3ONi+dv/GmR3zhnQ+KYacsMBiAbs8dEVPgGwcCf4rAppgCIBlWNN1T2QzJy
AtmqGz68zBKM6gSXDuTzBxfVbqRx8R+y6qQ0RS6NLpCpqz9ae5Utp4t2jp3e3LQLanextwK7OmxO
PGOn2BJMHkpkUkD2w06yFz4vkBrI+sUktTTh9opCfzvfNCDkHLkrTOKYPUqLT4WF3rsh95x7saWG
rSpuWJ5OkAa4GrdPubCbjCy7PcfqLQBvgYFwqhJYuioowLxffyIBJHIfL8QTPojizE9Jgt6ZCYWF
b3z4sLCpQ4fk5CIKVrfMcyA64VpKjeQXfa8je1q1KmmI1XLf6G67c3SI+q0sV4Z/cmoha5c9cKrP
9hr3eIRhFzITxI4nHV19XedKsouwAS2WHpQ75zHl17E9nRCqi4NXhY6GWVaI1MXUXS3pRQlFTBGA
6YUZmCbyJMlh6rR9v9orITCltIo/z8CkavWdSPotTIp14bTyoYDXOWo/E+8LflkmWV+jP42Rpdvq
eWNN8+WqH1lyiPiX4+87n8VQR8Cx3MJJ2nBnvx+FDrQQqlegTP0iEqdynNH1m8LewmlAOQ5y3JZW
Tr6QRoNHo/HTlTC8ZDQGhlyXmlDF4gKM81de3fZne15grvMm6CMH0oD7kGGt/kc1yaTwsWP41+OJ
1PsiEQ1eNxk7ooa5FJv8OKfsjaTuVJPYc6ONv40zUrxwVPzN7En6xVF4L3hmQCIYL8yCvVV+N9/h
V3PYGGQGgrWP5JB557z4N/nHrm31nzHTDEt8oxL7hf0hUhTuZf9bQyFoxOqrJQafyFUBbCX4o3Wx
x8XrYwraoclL4oGwGoG+oW2JtpAJSHsmWeTLSiOdJmOMiyqlN31o9vTTMZ3DnqVPxxwi+JV7SUD2
3Eut6EU60tUXK2YlOjWtAVD5gd9zUw9n26L2uzn9kjDqGfgzgQ4OkXh748gkPWAAS61c3H8iA5wr
VIFTd8I345Ss1H9g0Cfyq19iWRIK7tjvsfUIU2+PowMlYcsAnRySnQYw3fQnSoWB4Spb0kwTVw7A
Uf2cejTRnRDfk4nu7T2yqYAoVQ7GsRik+3d0wqXdaC/R8WDEcxUj0fiI8CR3Nc0V6RvuIIZ+RftV
zHMx8zP19365fXGCHK08CoRJu4jCTx1I1yC4fgJxVSMI5mKZqk5JROZQ4Cdfla4GSIoGRvracTUU
qQXCgpevK0PDsrQepOZ7cdBNMGVHAb+kbg4d6nMVwN8i0tBgEKLLGreaQRPQOS0A8AMRAESy0jCP
KM4JFv1c09RxZRaeoy3M8a+NLee/KYsTLFyDBtcGFP3AMTXR4hkJYk+73TG/7WUABN9OlLIJcQHi
EhaIUO6W5VjmVR47jyTdjoz9Left5F9+mQ79HglRQGVf+K6WWzWzSfwjBZvWNnLHhH0rMm+QsCu+
/edwx0f+yVvBGWq8GmJMwt9k7Bi/1DFpZw9cUEFs7EB54TfLeulinRo1Z3h9PaE0/47FKaJSqjHe
g6TgbZmKkSJQDJAT8e2Z62Ogg/s4eC9vDX+MEzgZDbuWWQYd1bn4m162CvXXI2EUsbJGrUmME2Kr
OKypUR6e3Z06tpmffCmddseq33vK0aIwqv4+7G6daqYQMxo27Ws/ZcHFwGvDyc5vVUd14DBXUKH1
ROT2hOq5SlzMHHImbYsc0/m0iXUM9O7iEcHL7OUEnTbKeYncxQ2SIwZOoZFzxFiDu2o8LCxb+5XC
T4lw3m4sU4BNFEWrTUCmoKVbRy1MVz7+KG4OwHr0BYbdJCq/E4M0wviruyjdOTv65ZP4sKNOaG+x
jCKjdhTXp6JLPlbnF+WaU+/4ITa/OLMPLFUjzKMEOdBkNAcGY2Mo8v/4MZAQeNq7p0MeRVz78DlE
8MB8JQvZV1YxEcXWwy2LBcxafQnuywIHFaf60lHIkV7tfKPpak36LcFuKLXUmzvrwNZkyPDPitRD
OmYVRBtZDvlTikvJCn/Lqy8Q2vbnbSfKZU5ywvkFBpdWQ3ue6u7MamZcpro+AgdE0aSfm3C1+B8D
7zpWP7W1bvSOzrQHQs1eOzI9qItnpUHpwZEcPc3Fs/m/OHvHL4mwMKRZ/fRNiAru1aj0VlOLJG0o
opwYsDZad0UBEvuOmFZzbBhkSnOOBwqdY9RgeuF++9NC6k4dHGhxRVo7J5fnQDLP+vx+cZkQdX5V
wSMmilHP14MZxyUO4o0u59gE89SVqyB+/4Z7CgAV5ZTm7jOiCL4JlJubgJXVAG9CUtnOe4RtU49f
2M+pbmGzZw1opmP9+v+uU49FrsV1LcuvfI5yyV+YbFdUKixAzz2Gd3zNIem8anfHFHgWfj9YGwf3
xxgx9VbKCeKmCqykFgwbvDYsJ9dEXB8aRjJr4QdmRgHjXSafVbsaEZ5rXtY+hd3+hbIHU8ejkNce
Zt6uEtKstd7als45xTNPWdD8Dxi7zG0XxPvIFvvw6YqNvlHh3/7LVCmObAnRS/oTEZwDnf+lciXw
URKHNET5nkhNK0dUdeNvRc1Ig55Bny4z2SybEtKtzfrk5e2UsBLhUNjUouz83ZLUmY4vbN0rEb7q
ttPdFqASqXswjVCRfe9NADcXYoRztPRZQ3bfgecY7Vyd0TRIXFXFVr4dRbyM/JskCYLJf2CMmVGP
xAFW+hCEjhvUnScQ5T6jcrbjODZr5fBNFEdneUJIV68MzcIkuARe1VDm+NfvCkayi3nvZGzOjPIv
yCxmGysYlxOQRwhG7bJah6V/TQ71r/RDdH+l/W3nRJ19Ge99RelJnTChJv0vqRdnX1YExw0EsEVv
gWNxWddAW7zy6pBx8rbZzdX2l+whAwyGizlPLrgQ1lhJlV4zdBxgElXNhf5HJZgb7WXqpXONQA2J
+Qrj9C3mCV8Oe7w5OtmTNd+P+vxTf0SzFHMJTKEO3FhfqJwArHT3L9L+81i9bQjvXVb9a6m3xUQM
iU8EkuZLL9WHjsuuTMvDCH+bP7coFSBO6/ovFeDU//fc8Si9mtQbgUI8krZz7x4i4/UyVTCNw4Fx
TQHTB+mYIlUaZty98NX+qQN5d90LPpI6MuWpjnL/dSq54yfD9HTM78yeZBqO2FA6EQqltRhex2IJ
/wVYiME3GDmGLURscw4S4GEr7ca4Lo36OJ8Oni6sfd+bnfK3i9jQXtcf0JcIu8uCJiWLU9ywb2KL
kl6EqSe6xq8hZG8Ln777cv1oiImk9iYDCKI5ytBVYzLopnENWVWd0U2sDGXrwsiS14PQrK4d7tmr
m5D4H0afRJXQpyZKNjU496g5OKVvaFHJreTQkIts5LwMKpK8Drq0p59QbYyvMNmMTGJ2u9gzJ0y2
Z4mwNEj3X/DI2gLzR9ktd6ufyNi4lbvnMIUbJhJg8MFRa5Q9fekTgK71IXBlz81umMrhL7uBqsFo
ne/CUeBYiY/bDVYEz61979S8xSWbGVe0mVwwPmDdTjJZdhTXG/g1bUmi1rEzVrzTmJidlU7o1DnV
8Yqr3DdaU8mAxOymodVgk2oktdMEC9PyvHKObe7QPDJPvckuL0DdLhZ7kxOMyg5jlk8ImSVIPG82
VlVkELFr75g/TiBqSzjMAgO2QLIJRuHASlBxBucHUd8eIoXGIa0y/WiUF4DxdPbK8x+rSOrv9zbC
Gqn0VuPOJ231XawmSCCX5R/cKyfH7lZobCm6Q1W3xUn6uwMAeeioAozYUTAmMzhuYK3XSbZMvTFD
vwDRCaLUdUFMl9HDI2KCI0o4YdQGQqehL/DHqRpijb9aELjWJFT14vASHAc/FGCuZeQcIeHDsOQN
ziT9laTsBJCV5n/xMJ5VpN/wurqOhJfnzUG8GuGgUawBCeyP3ZQvnsmGVqkweInsyKQTkiO2SKzY
4zNkEffYBCHxfJZJyz3O+00ax3i9MYoHAXgH/LL2kQQjgnCIfGqtzuFr5sspteF12nS6yHKGgT23
A9WxLI79S6wjB6S861I8cIe2gyhA8TzJFbK25SxJ2z9J2jx07SNV7luA3EK71dGi1EnzstVy+a6a
D61HV+UVFedx54z/3xL03CsPMEy8bb+o8anBEhKzflspQ9h2G5enC9ciIVD6TVXlV7T/4UaXmeEH
j47+YPG2gPcb0jw9xIU9yMeRI5774tDsHKt+3xg2rcKF23+Djs1HuXnfOWA0/C3mTmrveL1mvzKD
xnJxuvJxPpfqhs0P3jlDWEMART2o/+Ycefzz9skRtEaeJy83CP069wqszeZVDWEW3FtxmgPRarPi
DiOmVIX28Ieg2eK46FWNUOGBo8Da8DC5yHLvb6Y5noQqNc3wPdzJL+LgaWhchHvPPNyNGnT8FiVR
iwFhRwtUtYZnRHUOlDs8pq30t8IfrugIEgMkkPaVGmzgoT2UpC+xO4XYu3dun/HYBYDjVv9ASg+e
uI4xzV/1cLDtfLfxWLXToX79kZaLb5rcooTyGdpzsMp4WKQYshcZLnKM8T57TjlN0lGiG8Sjfsay
spa0WhniNXEFh9BjcDGsNYPvV6ArLfA7rQoQJg1a1qU/WBBmDiiMSF4v7bp8bdycpuSQp0hY4oFg
rnppxBTi2Sy/it/Oy9ar7E4zOJ7rruBLFnAucNYz1bXz9tzgwFnStn3aJAJm3Vtgx4G66qUykcNZ
cvH3q+6gTx4sfnfMIZWR+LNbkFUdSeK1E+VAWt3DC8ZOesHNOYXnd9Stso7fJSrRQd8exutzPnKu
3C0MJ+WHu4TF58EwvNCeoixwAEPMt+vkWu8juibLkxzpT1Fs7CnhvBn1lrFhRbWT1K0DQiPoMWQL
7KdExrNMBfxGtD7GlaYCqOoriHFV4QbugrQrI4FpaPL7+bqFrg/qZFLmQiyBHqRAyygSill2PLrr
xVXOilyE4uGxiqAbZp6+7k830F/nux+EEAdlNEgHkuNEzLzbgQqLl/odME3vLAHz1QWtzt37MX0X
PL5Zl0AkC+3zNqaf32+COs8aj8JeL4shXwp9PXPcjLETl1ofggsa2qYQXPS2s9AgjvnVWQweBXOi
IGDWppkaYVindZYtmypJPnf/2wAx2I4g0wZK45scE1JgsgVO3s+rVhKEfnxJ+wcKvOF9pjTLhYgv
0Tl6chzGM8yDMp/ic8L7dbKOfaFPsJRj1pvm9qKaEgFsrBXH3TNufA4LgsO91xDCnF6eGigHuTFv
3WQ1oRqLIFyZ9N7VsQv/GZaTj8nbVRx3VsSQN1ZIqh9aiE7Cw1se4zCp/lX265BGHHD2Bu3oWTdd
S6Eqtdgrxcek+wH2UICJOgFLMw0+QaJ5vEkWKOCoMX38ojsfFdhW8qHAQZc4fHoM3qx21CwInrD7
wEc4lGTAeibIz8BqnnvLHtfgRKufRa7K4GldWOA8Hzns2aHg7L7y+zWshaqjdJtLbmYt4HbWcNah
A6C/vtKmzWVHRycwjPZ6pWv5RCXFQJRZowyOJffsSxhoG0Ly6zm93+vZleP0xT94pXHTXFxuQQQ9
iSBfpgW+ae21lPCEsGZgx75FfeaAR9hJbNDPuxNmxttRbhPu5ZE1Af1m5GKSQ7gimqodthwzFQ8g
iINfxiWCZM0Opr3dKoeJ+w9+clTfVCRFxnf+QXT5eqwqEDYP8Hq+VuFFOH1Sssuma7zlkiCGpn6o
+kmQfCCRe0+faxfTOGP9PH6jCjrjfPcGu3UEejsnfwDXhwC9sOEmz7GPOBvZFFEpfvEIeoBG98ts
WevcixB4195dsstMNvd/c10dCHkXplCqpT4kdcvfcwX28lWjWdv/qqmauiIC6w7pY3fR1gEJ4xVW
s8tpXGiNi8dVD82LvzJ/8b2EqOf0BDMj8FrymyQgu9g0VuJwK/E/kYt+bqKQy5NxKMuUgbcXkJcT
8X69chZEn3PS6Fr7JMbP6tx3QW/n9Js6PlCr/f8K1VLfqdolZS2wuO9nXbIpx/s+brZBk4s63rk1
THlWYQzU2X4BKOToF6nfemDe3sftGPxUhFPLdGMOayhAzigeG9tFJs3twzjujOAUon/KrQ6VyO80
HWSMPoQCUzpm19YeoaEeFRfK65aKzOVSXItJA52JCbBQtwBoyUdQBwh9e+PATDpSfLSXHHt4+sQ0
+JqpCcVM/YxI06l4L7Q/AehpY1e1RsQLOsa3hUE4NC+5/dyK2uVr6bdkft1vuIigbMus1o05t22z
5XlnXKFLmJ/HkfgbdY8eJyys/9jr/YgGr27fmOpznARDee4oKvnWUhypr/hZVxrBTtnTvfgEJ1Ab
S8QCvHSAZkqW2/b/OFWOpZUnEcDtBTkYaIrENv06xBxvGTMmAgGhu7x/gKlfONdSjy7UDI0RjZzE
6KofbCNwdHhXYGY0uk10PUPy43yxb/kdwfuzovSJy0ZAIZO42mnORWixJQDiSEHS6wjzjxkJvtFE
hG5M7frymcqjnDBtnQKo08f1LDvhtMmmBFR8Xkud4XVt6G8RmK2oTy2B/G40lBsZnY9Ch+M0vLeF
48c3O5MQSgETY9OJ4vNxfE1jZjqeUfhwVGMqw3zd7cH1klufno9ZK5b5Hyhi1G96i9XVdY1Om5eb
vciSbcSuNf1ISSixWLnU7XQ245mwNbuh+ED0cQi2MV+ybubEbsnfc2bxNXNRjHWcOTzcSRbXVN1d
64czdyiCquDIsyl8UoBuJXBaOqqvo3xiLKyjHEY76H5tjUA48sBTVZ0YB/9Wer5w5IhzimSIx/WR
dWghQQuk2Un9JxMx4p+EkSRaNmqfwXzXI3IiByM1EYsDkAoUTj7EpkP2kijMXsqKLo5MOXJ408wc
T3S4or96aZOWBPzEsRBsrfKWQNvyGsR/ACZP99H77Fn1bB6XgHsOF0apSZob/jxe2I1rH+EuRLBb
l1bzR40G5l0OfyWI7Q/R+EIcz7YkmsUI2mzHfwSmMiEAcAVqb9wc+AGamAIrxKnnPAksaXVSUvvX
1MNtLFQEfwtL7QrNIvTRWnefj7EGFIQ6akij+4r3tVTc/Zmz3TONm3JZVgSztHpgdZ5R+eFdEduI
ysK4fCfBuNZKa3fQe+p50C7m1cRXv1e5JeFe+V8/6SJsQ73LHLAToPC6TdnwJBVE7amDhw1XHKxG
AHGQxyBOB4snWKHa5r922jSmORwcVRmpzulo1/EeesySk1LODm/VJdZBO723/5UbmBUGALhXqnvj
DRSU6gDZ8pY4xi1A7ixxivq0fru0LrLZTLDpryF4sws/ENRHVIeXezsk5XlBZooQfgbESClYJjf+
IJEVbKrm63mOPQDtlKFT71V9TyyplT+xiofH0E/drh4FA47Ucj6cDIp5MlzCqjdwGnqPk0hiAAxA
/LN3s7zGIXzs6XAcZj8ATh8kQQ9OKNRfjW0fAqywwbwM/H4P/x2xdlYhpTFEifnLuUsPXegLnCxz
pBcfNy349g7CRvDIEBJ0YbNrp+NhhzsSoEkIDZveOC2AzHn2PihKXe1gv1trF0DibfXTYz/DWKbo
SLR15FykydcfafiJ+ujQYIvOZyDcxqfd78PMvH9kHP+c9OBgbLt9vxURQLSzgPmeZv23STlhHgqD
RChOlwFX0hatY771E95oSTPEhriIaUj/T4f5DbGfcMPP6we/lt3+T2Fj7bQumy+mg+gdSjSAzdZ+
wjxeVcaSeUz53lUzw4I76rncRgtUT3NrtajQMu/MGej6/jtzhuw7ChGx1QKtf3zOBKbwR19kt98h
5RHe7zYiw8fbkArzjbwPr5aIjikt/g13l1EqA8WCnNK4ZHFYi7VphtFqa/vjuzeyZDTfw+Yly2gT
W21Gswe6FW2LCnWdkEdoxzJgk7ONkCuJpgM++WEXSU9fupv7gHOrPrCkCgRGRPXAnbo1/pOORVOx
NyJTcCxk8vS4AcsPLt6xInl/fMtmVlekGoQHNQPDXShFBCWwSXtxIR/3BCHE6fD6L8PVN4FERMi2
S9nOk0m4txE4xxI0h6/iYPlevApvv6R07hlkfLvoJOhVpgVkOCjEyBjHP0es5kjJbtC3Ar3swFHN
7mHBkYIIvuGnKdX75LcSBUn5JGI5OYshDvJckrkey21pEPJ1lvjmY9xGSDUbjY0nrAToEfTel/Tl
uharRF+hWwTDcRwgL6I9YFZ4WwMpm6x1SPME4TVpmwMkLtzlWk126kVr4mXLrVUpqIxAYkxz1jUk
xWBAhZr1hnSurZAyX9jpfcoIP1TisMnLoGsOQA7ew3QlVjG5q4A+D7UqbExXUGminbFsTyorFTHv
YQ2pybnbXVkJUtiqJ01P32KVVzfSk7f5A9A2fz8eEPip4MUxnzsSemqMmgjW5NOmn5vhBOBascKU
fHFrpjdT9bErFkX4gMzqJvSKtbtNUf2HmHeZJssQWsCzbsVyydHHlRIvJkq/hiae2I1+vvttewYq
Fzg0O5lJyFJP5B162fWkZnDwbHgFiQx5sNcsTA5N6sRTJItlRtpGgFqllYRT8QTRWPxtABAzTgY1
bWcQ8RiLqvwFC+axSK7JcgcMmZmeTdDIF/boiJLcEU43Lx7CNhUeYGaX2UmSzk4/0KvQl38sWn0k
GPGzqKOn4GCxml/0wGOQ8KzKwBAi4MjuA7kVmZfVwSC4tgEPFJQ8617SKvVm8AQpbCuf6LgOfMgA
1BnRMZVH8SvCJ8L8s+GV+L99faH6Z7nsYn8VVPHG/18Z39D/wU7DKSCLIbGOAr8B8l/9m7EcdJf6
ifADOEV+aOhrCjw7nzjCy00x2WB+VtiGSMldLU9Ci4eX4VMn5RlrxRhp28NFcc1wpApGX2WNKohr
gbqEWs2way/teCDwKHOFQYFrckDmai5vZnoELXB7na6uE6Sf5jTAHRxFZ3EPUj/CO77DsJR5oZeV
Z8UB1C4kzMc9yWFPFS41teRja2TeyWIzS+8mYlqx7SBe5EwozQ9osvSe4XnbbmUEDULcx0qYowne
n6MmkdBtmEnv031FiMKpwzTqW3tfEweVjqCO8y9WyRMM3XIWmA+lypI9Hd4itMNpeDz/jRtW3Pi3
Rs78kW2LbSB/5JSKC8ftPiDQosGjV2MZyAVpKOGnqIikqigdSdDhlYY8JdSjB+cCYvB8TGS3Dp83
pHyPueqUroj2C7jwI8suO2SCvpO8qy/ecdACIItubCk5QCfqMVRFkyoYQYjJcbY/JVtaAUbZjzDJ
r+B1nsVOWGJFu0tVXJpaQpD23qBNZJxjR1Ov8rnelP5tWZC2EU2rZDah3XOFt8jbKct+tHEWO5hz
DpS7U0x7P9gra0ffZJ/rnBCP70n5BlzRXW1+/p9C0kFH0aSbGhie4/sL63AcLtCsZ67TOHBQydTZ
b1toSXfU7x1zyF7vxk8WOkyl7efsNxBxLs67F7KPLYOj4OO7QN5stFkvDA93kEKXYwqUqTNGHTxX
G1cGO0I6n3QDEHMv8gCfeiTEgQnBXwlRc12MmemN+pzotXOYlgdeR3m3eqi6UNyrM3Vdaqp+DflH
LqFq7U1tFhWfOBRvBym782gqaPD0m/SaRNLjydSqs1R11b+2ThKMxssD/GYzFjTA/pENJ7edYfDR
FNNEDqx7qJtkO+M/aOdyXSjUuq5aEy4gE+73SRHgXd6HyeT+Ngq8zTWjwlaFfBXNv8eUZGr0x1wN
RFpTIGFJhVtlRXe8EWwXrdyHbhQv7nP7ri1EAxl3FCQJxOnnMqJiTVgvbTdnW7YOqm67cjyUn3uV
X5uFyH19BH0uCR1zoO7HbFjbp6NAj0QJp5w05UZhVTONXZZK6Nv0EyV8DBBzd2wUE9PFJyqSwHGh
9by74osaT0Ek00pnK1jBN9p7ZxST8vztN9MhIWV94zTzH9ngIqVjex5ITbffu64/6sP+MW/XANaZ
Tx7wNP6Rm7DZaxYc6Hl3QpJZHcWAyRQTUWtczG5SqZSvGkgE/ntRlUcaBz0kVI2FYjPyLEdwNhoF
onG1e7Iexgs9mts+hLxk/x1SvdvebqWazltAybxkDtIZqBBEMvL8Pq+DYRHYFt5A3avGpdMhpnlq
ZHlVBytQv3thn/5A28w3ffR5y83PHh+OgY5OvsGUTgFoz31i4wGFNr1mnxsLzlL4xfFZsPYL7Oxv
xKa2zKA9xSRy/vU/v3AsDkyTie8B+5OWPUJ8jJAtrGtCYqC7B660pOd2vtRUtRT7EIcVpEJWaIxQ
yIRUkDtS7lLTTKtJ1/7tdhxdSI3w2NTbNyS+tpyDj90iMpmataJ2MoM0W5/zSLFHlbuoKzrie0zJ
kxWIKpZGjEYUxHpaaFOusPgR1GNkf3n8jt4FFHOya+8yB2rjMd0u06rfFgErsSsSJGSCX42keZv0
h77V2lWK/bGnbiYE6b0pNHi7LKEWv7MonDMCfbQ+B8dgj3HcS7eElB+v3ngWul1gujrAnSV/2Q0M
Y/5iXVzGAs5XABa03EWE6bJkuxsMYhfHK+m060FmPjxpxbpn6vyy5yPMdm4gLMEykh7s3YDqwmYl
dHVGSsdyde4kLqWUxE5Zf4oeemKP9xPpjFmo0CU9Ic/UUI/mYl89CHcfjCpDq/toRN3GTkQer0KW
P+trDKXuwuKDWAtd6/YLd9p6aDWdeFpZM9LmzJo88nB3+yXSXlpivz+oDYff2Hgs222aFk2Faqaj
FLPGAOXq6NIsjGDJkd71eX6QYpjo4CmlYDqitut2+p8fHrw+7vJMJoXxRfeHb3FgErN1gJkgbzqJ
QvXENxrCX6OrwtNAhwIzy8I9Sm3fvzBZCrLO8ja8P4VsJPU7JNZuJolja+xmaMFUMt0ZaplEoNbt
A2RGYokzBBS891T5b/XaW7EET/QTpZ1dGrDDnXIfkZ2air8WQ2Ax2I7MlwbKnuVFDeIMTirgOye+
bZTGrfpFl27lorX0qPnTwYgianqX7vx3R2aCWTDw5atqO4NWoyuVmCBIw+Mzx05no3wR01GDGbEW
81dHC0g+3yBqduYOv6yvqhUFfi+t0fa2Qq9wmyHb3U3oGOG/7hqv31hRlCHqssWP+3HzeqWY9Iny
C/N6hP7OAZe0f3/6cgtPpjz0EzWSvrkSy7g4R/332nB0G74uoTJVQPTUAR6bXdVMqQnqhBvnHR/g
F9ciiUtfHJ0YUvQmqOcghJZq82uNJub0i5vI1vHchL2fuD0YGwQCFud2B7AfaCIygWYooap/PnJH
NIZzT/QhS+pwPLX7Jw5TZ+drYe2fyIrW5TC7VBJKmt4ktpahVIfvz6WD3yEVLY8wQr6ndaL2ZeXV
iuVIEGO+WmgQD7Uwni94vso+thU7A5KqiMAG54NidE5YyNuV/5tKTKgSxP3CwPHq2HixydLy+6+/
7hfeGVxsPdQvi44ashstlS8GEj48kKMRsj33Dm87VbrdP7V4ACyELlkNCN0ydCPCrNkwKW2srZfb
XffuR2cZDV5KOX22O7NbaTAJNwSqVbfF3t75uM7wLp3+U1IG4zyU/5I7Qd4iiq1eWKtlyGVq1tRe
/ptpP9TFDuPS3aadr0PH7b/FantKOl1o0m/QVHP56LTcodp9fMqCzrf/amnqquZiM9pq/uyBsREl
XVacFgCwsmsBS6CbQxll7ZwAu/3vIzdHL1JDkHHFQTiFvMuXSh4SgQZNvNUopVcUg/LsIbjbEq1q
lIHNCxUIR47KrnN85xXTEu3gIR8i13ENiX/H9rDX0oHW+BVY2JzVFnIhwOciYE9LXfZxYznRA1Hi
X0Bm1hJV8gATgnrZH0SN2D7V4NlbTxVBDlC/6LgsNqWLU92Dpt06HmGtU5YcEGmLhVopeWHnqUNr
EOOUm7obrDm+sUYbph3L7tJkDrHn0gK7o8Xos72RrRyucO2mDDIvbdF+GhZ10W9u5tDIdmfcEb0Z
oho/wvtlrzG9wtJr9yoa4NSwYogk+h2TsE7LrgJDbtADhcWIJdBMn91k3RGvHbaXNN56Itzbn2z0
NdggBh//pWwHHf/TxNlJhhPvHFczkGVabHz9vFI/nAccU9WIkXkae+OSKSH8v4XXJg3LWVYxJGSt
fo/YAGBywLQr/JQec/SQk2wQRUCBrOo9+gcZHfiRUWyRwmp6NDkzOJR3+tzkjO/xMscFI6Pd2HVM
VsKBuO5Os9AoHHcomJ4mMW3QnPP6Lf2QLX+kfMkCFW6jZpLSaN8L45k1iO81jPiY2C85bPq2z0qw
4G09SaYfM0wuLDVNcVjEoBsrssHTL5u7MS9czojpltZxFVbtRy1/RV8aemsDlfSLOYdPNyWhXgm4
dYI2g8ax969Ro2Q5xtn+fxzffR4Z50rM5iosseQ0r45IF7VRsEjkphCLDjEZiZEU20HBb9sLCrYn
FW/vdZHWMUzSYOVGxTs71jLBY3iomcoOvfXstAPFtNy5G/tzwUfbt/p6uaIcMIadPPiEWm4AZpiG
RJejvfRLQ/nZqTN7rESN7S5LwhE2dTQ6hRr4KXZKlQfMgRQLG/AzgNSXNCo/f8mXHGlH+Iix6pjm
tXbOxYyvTKtpRlma8JJcAsEepn6Qt3mGDOMvMF0rRuvWqvd5pjiLJM2xhtCne1duoDMAoMaOwNWd
vLLzpJhcvSY4TtE6nlpkkWK+f0D8t8rlWgShOFkv9BD7xoGenAoVPk+ZBkf+gr+45/n84Ep5hhCW
Fof1C9gcyYAar5tJPo6cM+sFE42Ifj0Rpq8q4pNj/wSk/WDh4VH2+mKTq+rvhi69N8YYDZC0f7a6
IkorFJ/OHi12siffRv9j08l8mwXxR15Ba6Y3vUDthyegpMZalCKJyl4+eG7d8lYNADtD6o7wqYx+
jLCS13dUJY6FdO/0iH2ozXAOWvt9Tp4epmUldvTV0XTlfv6jVgrsBUjLFY+8mASVnaj0Y08309WZ
Tv7tYMbA6BK9z6uHTKWfptWrXTzbTuH5yNTWHddkORjPejeQoqnGVwIZRY3wCu2B0mNVYPPDdvxP
C9S/hphVrU0vozIALNt1RlT/RlFfN1BDOcuSlOnLkM2Y47hsMGXT6rfHPuihJrrWp18Tn6qCJv0h
xoQsZeUeYS5ZWe/4zoMx9Ja6BSSovKoK9mwjICzD4RUIj0nj5j9rmhjPeLuqE8GzSC+IPqrSbp13
lr4Q6q1gfoDOpSYSNPrpVWRNHULOTmP+fVU4O1jpE7Wj0mCA4+zBybsZ5sQLBXho5jxhfHLsl6yh
Isnavx0CfbM31Z6yXk7jdn8m5bZJsUfHQ3UwL6STqgiN56lL16tsj+Lj3CyPGG7CVEc8ZkMOxU0V
ZbFOZsZIy/pLv4WGFB9yWdEI1XvtAwdaHeL6ShWzqr9ULoDYszkI/utXNwZWJGBN5QmNEZIexBPh
jiLQxrrL1wXeqVXwrlt4dVXrRx88Ac++Now/p/JkZjDLd9O4GbQV34T4YPdTg1la+L7fFaNNlrTj
bSn6DK+Dgt6hSDHQ8N/2rmnomwhDjoYhMwFRlifcRwHrnr69nTtK3o6Ox0lX2Mt9jTaeScQE1e8J
2qQOkk6SJcXC3DQepVJcBuBi7rNROypCQKBA6S1v8bjjcVBzU6RcSQJdFzeQM9LdAHYkPLQIjBoj
OCrEdYHbLzXUh8U2vy1J3KhT3dGGEBFFTdTxtXxZOPPEOGsEE/nHuO2h5KPcZUdQZlMAhlJeG6F+
ac6Fl6Pt63rKwNaVLFHNKOmE8J3ZL9IBjnwZlYFZofJykHnz76Csf89WvAUx32uTPQPoSSqTuFTE
A+XR4GRMM63nWR7SUYHe0kbUBZnmTg52Sz1TbrzYADaFrAsqHyJ7Woj1P2mou7zsZcI8xRtSMK8I
V3cnliMkvf05/1U+WJfCuOT6lGL2wvBs9ClwsByetX+XL2TBzoZjrNFJZcVY8gSJKm/HyVTI0NI9
Gv4IzVx81M7LyHt1CpHcWy6CXWi6TpQGMbBXQAg8KNM4p49NKX/eP4EVrDvuTOyn3bClx+ZqWNyH
f1agHBGMqdaoogmxbklstArhuHeF+OKiuY2SDIjRSPQoStQ8q5igW90nXtC8gvUsl3E7axPZT7iT
JGR/g4UQsrXLaSVV6BOyV/VaD1uos5qZ2Xu0/p4sssXBuNn1FZ24F3dY46IrM+egz3YKeIEpuToi
e0+fFdd5WTDgGdBYiL56bexYXNMx6fzTbsAu5z/YN348Ey9aS+oELKgrUh1+wibJqsMwcGkGTuPF
n47Z1hXWxWJzlx8nflzyPYJUsBxHt2scmG27djTYFnzWk82dG9b106+w4BGabbnc9VdrJ3UD8b+h
WC9gRRMlN+fG7NDWgEiiojuZYWth9QYltfpaj5ZPgTSvySX90MGCxKvnvdELC6YNu3PJt4AHN+0f
2Dk3O0qRExLmKi/X8sVI0HYpOm9vOuFiP1lIeAzBOQDQKruXGo+TdJ0e+v284vahn5HmwS3Fm/Dj
gUIjt/8cQdNrcSG6tqr7KWcHWSOwAUBbPQQ/4cPKMJroaKI245v1Sfm0DrzefN0RV5EAkqTpWVV/
OnZ/k6btpJ/Ua8olqXtiwdlyOiqpCjc/oUqolLPe3tAM/eaWDge6SwbP0MYt9yzX5ihDhzA8V6ED
Jmn+d2ILcEUeAOqc7RQp2Z4lNO9D90QxLff3iMMmGPI3kUKL7n9UK2P9Z0hIgXjKAvbwq4tny84Z
dn/Yhscg+8N0a019wXFWXCTYhG+4mpPkMpMSrQQDPn1ACVX16RX+qCq8fbVjezRKrPiveHucw0YG
G25I6JJCYLEKDV72UTdX6VUOiJwighjkOMO6EnDYoDH+r05ZJpjhYoQn1MJjYtNo35sKVb+e/Sct
wt/IX2T2m1wnkyiU6oAno9APecczLc5J1TUIhgFKsldLF93A9N3sJN7I5rojj9xKQAu52tGd+kUp
FIjb20pwGViw1Bgw7fFvT61jkGjrfwWDJ9hkr9oIYhnbKKtkrfRAuBnpvIgeMe9RFnDNtWDslU/a
w5ObD9iOIqyS4oL6JaoFKxC7qAEaEfZylq+7ijQf+zKFKlR9/9HaMvpR1wUDeTjhMnOvBvSpHCP0
XKaZ85dUSU8DszWrsFSUOVOJUEH5w0MXxzCGYp7WzJOiKzz8uhh84lA5au0a9MVsjzAe2dDlxmyj
CmjC0JcLUQcW662IWx/YJlxe1KfmChpV8mHKUqnnJdfXD4Wpihr2wrHm3o8yFOmmZxCK4SPawQzj
eiIjqPSaQDy/NNsXskQ9V/US16+no5rjdbf0o7R9h9xu2xKf+J2xoJ6VPOC16jhkhGJBXnAbNblc
VY/Xx8HYomOtwB+qicXxab0hb4jl34IOG5RkNPpYX4h+arsiyKIfD1ViuoXJeEnYdAjZuTtafvdA
uzNYD8V4fFxhaYsbyBhGgkX6MXlO8pZLNKYCdMycMV6D1vO3Tvs7WtxB3XQokt6PSQELHSX4Fh7D
fxo22qjEc0ifdtF6ZlehM7fxIUbkx+poEgxSRjpANH7/1rGkcU86gk5ekInqWQN2BN4/qIzfY8aM
t1I9tK/2I5uQnSFwdt0VFT76QURRy5s1l9MljhU6QEueQZxBEKwrOQH5rSYfiQdcF8t6J5jaj+Aa
ObU9lZBtVvslmJ0tLfFuNgxGKnU3LErcYocfg1d2ON5/eB7cmwDQe9+2yde/lXlZ36/idOtdb7n9
O9kOVp5X3mr0hQO5bX7vy0NM+HHDh+c63dedKsPaRd4ZHtOtZHZB+VXeVzqc9nltiY7Vq7BRcP+e
k9Uz1g2ymzg2Imxe1FlNBUIrC8aehSnQLrgLXys94Zg5vuRrGnIzwmQcnjWqE0uYw/krmaUPS0WH
EOEXU0pqi0ENOI1PYU5pL/7B56pXtat7GkbyhMwD//c0tbZ4p0cKbCK2Nd2C60fgmLJpYQddG+ah
HCFJbEf5mFBTUf6M09sezXZ5cZ8C9tCRZW0TEXFgg0ASGhYug0oj9E2NpJYOZPuxHy1ce37QoVYv
ltj8Kv3vd9fuf+cF6wmTR2HhqhMUTaLPXZsWEn82KuDauwBwgg5808d3Nri+tbN30PBNPZdesEKz
hbHSFRhecK2L3QInvG2gnP8O0fEZ02Rtl+jRtgdgWLAWizCVQiFxBzH+ZOJ3TfGitB8MRqCRExyr
CKQY7GaIiIsqngBZwptO+Dmwj7IgT7BQ4pMNN+wscCGk9M4DaNWo2e+o0yvVMDhm0MK5Tkli/70+
MVLENvAykXjNcSofCuvTfBSyRQ688oOW4Tz1HfxP9wBRzpK0oHf9mjeMqZ6ddUUUoYeDde2kF4nz
CrV1TYdtwxqgYSd88xrAkSpn/gcCcNzS9EgmmjXLr9DN42VKBrbNjG1WSJkcG5EbQIWznb3u8G+9
3Hqn8fcYRgrKRhyQUzTo4DSe6WpL1N2PqeZw7DcdPNP28dcUfHIdekrFUvAqJY1XKRJSjJ2oY844
lkSeGm7wPvwIpm9FCc3Sv6uCaUdGcY8Dh4p24eQo+gGdhj2jKQ37l1uLCBkajG0iIk6yzQwLz/15
Z7iilgfPWOfqQA27b670ZZUl+NqdFMBUvVmWcNdBOLFMgHmnUuNinzeMMZoAXo1LyVYSgksWOvXw
8nZhIw2ETlxwLxhINpRwQSY1b9+kL3JGNzaE2oHQ+L1yCl9ayNB7/5rFo98fKxCHedbq3jUU15Gg
guymo61mqsUCCwanhO6EdApdcT/EEHe2Q2bzeoCEHLC56LSouxEUSk5ZLK26ga/qGs7wgy1isLd9
XYjp5i5h592PJ2P36Iql+GsNuKfnvR/E6Bvp4gQ8U/jhyyIN9Q9Vpe8N1ZgeDqafo3u7iAyD97uW
gF72mo57b2O7Sn1qYm/ZAsQLDiE8VgaIgc9sadHXmYul39jiO3lHeomXAkgpMmLwpQ1EjobcZ/D3
DSQdscBXhMM7cMHA3TRciCgu4hkR7ZKdXC2G2pNAeb2km6ZQ2s7cPMXM30oGADePP5DkFLvyI5Eb
wgQRsZAF2nmS7U3dEYVhfStCwhKk5wsu1E/GY4iyndnR3EIJ/2bndxmzbozSLb+f0zrV2xoHM/fM
R33j59N4qevdW+Q1xn3Qgitw9NerwI4pBSThLE0fEt+74RcF5hCjCnqUcGhCtVnN9sMUqqye+UNT
QB6ZVcyj49LNXZEw12/rjkMBtwKabXt3c56uts0f95c7N1wnDz+dRMGNZbGpKwq8dLBf1xvBmdW3
IqYkv3wKAYh6istt3F2EbiC9e7CkM9yr1oRnyWl6qeFZl13fKc44mkcUx4V1mwiaJjCdw40w4bES
Fn8s1r6i3G0rZ17MiG7jV/N9dBgulWKixgiFpGlsctRqbBn3Ka/BwuqfeMEjb+YFtyrzIyx9zsPQ
8UsNdVGyVrSGccPkLheCQDPRt+iLUKIYkF/1ML9taG4haWt76qFO/UGf7dI06uNGlkXdju+khXQ4
0PqsFqLFbZ/nw/pI0r+zDJiWN+wG58hlrEEp58e9sULFN7bqMDPG8yPAO9NpBvEWfCF6FyCa0oe0
E7xkFYM2kdz2OBVKbaiEpPzg1AljXX2ZouFq6FfMVRVrTm6CCF8eKt9H9bU5nlwjEkz9626wyrnn
MH3mjwWeoxmoO3BxurMO2unVgk9GvSDOgLszjIeMoWjj7LuRA6PpG4Pta0f0b38Ki2olM2b3po4Q
B9cfZzdYtFvsieCge3zrFgA1lFHWfpdpR28aLxqNfS4SFZ/3GYXJJbKL8pg2kPvDtSaNtXgAHRBr
7YpRmkY9ssAczUeviX/VD7vAMH78aSxBTITAiWak7/OBSWsZwDWpUiFCn1zM59hdJ8O2I8Bdm2z7
WzJ8yODjZJDI6oUTM05uX+m5saawo8rxkM+HhpmQDGZ6H4cFQCR4kq/+x18lJlIBLtzmmN20Nb2y
MVXRztEh4osJS/B6QJvCCQVpI7tZVnpO641Sx1JEHam/LKpk1fb6H0A5HJczwjVbC7BjGUaNZJwA
u96VobL3O/W8Z/Dk4pYDGqK1nF12vvQ40f/knFm2XintvCQGf5vb30nScZFYupBYlD1BlO5f2dO1
UIq6MK2y+STz8hmvSJXrrVhQCfrPtxO+N5305SqSTw974VFBF6g6T8ioz1PXm2so8ETwX2O/vKzk
IIHtWhOYnhRJBnp9BW6Frmg4X4tLEGqwzDb8R7dQki2Auc95w2c0feyTng3/nxCNz6A/Eyi5LuY9
DeIDgQ2xpV0VjiUqWGESPHAgdhvFsKBSwKNYZ9mmspjFH4GBX0h8/2YHfTW9GdZHqz4FOrg4Gf1q
Y1oSLHkbZUTmEG3u7XIH+fOXeDdXxfmXbX92TK6ENjmugCAFpkFxfyIVDJzqYe4ilM0BUvuAZ4tQ
UR8oXO6zCs6jV8xASo6/tv/W4KmVYKRavizKMIMNuFtdk+cFd29ANDn3hYqbM9lnD+Z3yl3kAh28
06FmruCOLSVGtKESVwlCVflGDIgLFx+Knrv2sK4Zkpm7F6TMq8mxx1ekugL6BukfeTA+jTABZijd
8IucnB1AZsFwdL3SX6etj7iDSsBAX/t1+3FIhBNw24Ouo0Pf8YFO51bNA33QEyizmg1d3u2o08Tf
FXecp/afj+MqClAuoZ/BF7Ea0DRNa509/XUVfz4M0pZpQlZ+tp/NEUnci51KpBMuQ5KjCtI4IVR8
oYiCLOXcmGlBKRmBH4t6vcZs3udbLMASpThGi+u6FzgBtMYFHsrS4mGrBa278OeFQxJWKOzWA/i4
X3zPmws+/JzIdtK9gJRdDVr0q0uYZfl6zoimfvVINQzvA/nUoyTWsenKGXVmvlL2+AXVGw1NsoQs
zom8EIyv8sT5qLm4gpzD/NBqxG1iSiuY3r0VRQV/x9G5jK1ZMaxirOA2FIEY3JpxyfFxTimC+Oi8
9/MCzHpYcSpMaeZMpZem2K170MepaaStcLRD17yDQi7vIN4mDRv8gSqwQ7oQLZt+GwT/CSkyYla/
5LUoURgtp3FMaWmBwQpjerwnmoO4oEDg48eRIi+EtMAUlQ5Hhr5c0QrGtff52p6d/CDQXiFQ7Xe1
HM0/7NAg2RqNZ0bZRebCahdfSzRq69qzzWesH0PdFxgwSQnFDMOOUNxQkoW6ckMIGXsmN2mrpkEQ
jOFoTZnldRnWS32wYZjYBJyCRWUIrPPnQOnblfNpS1/FkMTggag6xhKrsuFPlJLNxY5YwlbD6pTn
t9RcOwJ27a2ScrY+TCUq2DCdou7AvilEN+oylDCD7Lwfufprbi6jf8NqHeox7YP3Icr7/2jX/kkV
FcdKUyhoNYJ17IpFFWI0s4PZJqgqHXsqcAcbeyJ+SmoH00MW1WXeDlHup6g9J/eowMDkNWpPRtdC
Lu+MDqafYTry4bCUYCJL8EP7HMOZM5omSeWRHuZ2Iw2/Pmp86XL4ct/XT9RZgN+k4HDpKmkjgFbT
6n4S0UcdBfvdgcCp9Dh3ST0cND0tmHz3m21Ngr7CGpQrUAURk6LDdFclrO/Z1Tl2UdHXz7vOvCsH
v1KdCb47Vby8IVHY4C5ogoAtDeC9M0sarjfwpw30/L/UxtGxg+wfquwWGtZqUTGC9IDTXrlojODH
zYsz2ymK1jzZwmpYn63d59rS1nEs+3qTYQb9PwwjFw7KawZCHQNzu7vq+DilMa6R1eJQkGXOvl4E
Im71Q4xK4rzoEmO8GVOS2ajUYEhqj4cljmMZunUiXUbkHLFaZURZR/nddub3uqkLCnFzXZM7KzH2
XrCTDp32LWZMs9qqfHc3whJAonntCiwU24akNlfFyJ+9yohoVsMK1E5FZRwvJc8kRaUoWm09/1kR
Wo6FzMqOdaiQRCSRpgjNObzqoV1yeSpeXfWz7N+O8umU/5/5S+1fi5P4C2W8KhIuckwuPQEIzY15
xV40C5XViIy/bIMOuh8i+MXJJD0rl4tnFmgN6G7xiQawsrH5+9F4cB8OY3V/mghgQyJ0T2Q4+doK
VlY8vGKFzzrqfSkh9XFNNJxMuHUMuhxmYdJfSPkZbGEIsksnZ+t0Ou2cwBHNSgAZ/6MU6STpyQz5
Ey3e2ok73pGZHm21BjrpWsnCCMrJHQ1PbsS6dxY5uGXuA6TtDgx5hl4f/WUHHrqXaN45y3IBVeHt
3J7Fv3UPOZHzHlZBOJq+32UI4pMhMKtxQMqxo3v4Tx/Mf0YkCZkUezVsSqhdZrZSNb1vOfkvysUh
SL+yxQDRil+KPOF7jQNJF1/rjgGKOTzA2B/a4pzD5QuDpx4d559MIY4RySPthu02BrFlHb7W8Kg5
PyTITWqtY7B9t6w9xC/+Ns/haX+YS46JEZ28i/YSB4veZlxoOFZ9KMCSW6CiNsOV+pca2ci1616x
vUzJxdFTp/qs+l+v4t+C+iBlrCooupuKyY0m/tyKF5yKL0tHhGExbJ7w3FNLc1hXl9BEY/a9NuzE
SMIa7AweB8bYJuOmyynMUsFXq3k8+NC7abeLCObOH2nG0NdRgQXcwsi5HSiIKxUsL7u0W+g9y5a8
psXJcG2xrJCgygJ0Omu0ABvWpWWe7/Bpgn+lDYX8fEM/9Ugm6QSXFCb2k/ETc22Uj2ArsJgqsmyu
hxqPy+oqSltyGewt1PqyFPez1hCZRS2xRqwPwyEbNcinZSIgYvZ0t0nbDtdMJ8MIAdsZhS4eslgk
bv9PO6sE2Z9e+s1Ura+K3gnG4T9jgJpd0j2/lmSsdCmw4ORkl9Ow5HKZwIkw3Umx3pCCIuWyGBRC
t2Ggiw1hw1Pgb+rmI3/HWZoOUVQqSbR5jljVdCdy93u/yaD08DXIyoOwftRUW2DXqAhN9hzWr1rx
nZJEIkGiLbQlqDCdBanaIp2A4DLytW/Qh/1tgAO7RMnrjmFYzSfh1WZromEQTK/lErZ0M7eXLKL/
Y3ZkosmnV73VYyQ/sKfi8Tgut/RLRyZF/9jwWJfwfFEEkkxU5gPSxjf6b5laFWhUmmUJ7gM70KxR
RhWrkb5gBYAHkSC4CFHxUwwQv1G7UFVLF6BT6p2fdVriLuBP05HunAhihCZZdDR4FVFavOahp4ib
X9sFTiHgZDeK31aiAY+wDKJ4ZnPkmDaWj8wIGO2flQCjoR4uhgMwYA1JF1ckeAdht+lvNCQHsWAP
fya1DU2jqjNbqoj/X+U/l9glY/VzcGNQejT/qRpAfEEqzGBwks9fLk2bWHp3H0s39uv21HOSQp88
qSS/GSlrVrXx6G9b3uLOzQofmbGRX+hy8tTzl/RPGDHUKLTyj5DDnWG5iRGqZzLB3bODDG5BqhHd
Hd1A9lEK9uh+RAV7g9SIem9hEphQEp5LAJri5yr5NH8PDwxY2qtuuhTUzEIBmGm8z+jJt6uOCQ0h
bM17pGfP+w+a7b5NGlnJqdiZNUV/K7MicYbBCQTIXAjHIbxD8tzetxP/TAYiQdVGzVWuMxuvHxjl
IyAJUloCKDkFT1JuSglfFQ+in2s9Kgmgbu1KS3u0VltBtoLri/2oO8gy/7JQFlilnZ9JQWE/8WTy
E5o3XK9RumJV2RwWp2GgzKzdQlZdT3lkPx0ZLo3gsMQ95E1Ht0kPOgd3zduwCT7KloQAp2Ruh182
Z+QeFurnmJH5qfwg4Vlri7iYkqBKzbkx+nlvQOlefvIFjV8hhtYO1tkuA5OUIv39u57L7tH0kqXs
gISjZ9tqwsp7EzKjZUKQoakxMAIBKvNZAeTzjJ5rt3pnZRS7uNW8kuk33j+b/8Y+0C49VP7kFlgs
DPxmSxdHnqjE/v1tY3YI3l13FIdhi9DcyD4jinH9ZiUt/7RBULyLecDJfaUI9lqZx2uk0ldAN1Nb
cQNlqF5DV9OMdBglxSqJiLqqAkGpByDM9NXq8nXocqHqlkZwwY37hLwA5GWuch0Feaj82X7kJYSj
5GWmzHHHsnQsmdyb7+AJVpzwiKJJqYaiHXHimhe8MKoDaj/cUbZ023aSIu17/UvYHyMVlQv8/GKB
UOj8rlPoV66JvcXmBc9uBgLMLHJJDOQhlxAsPR49q8gy4pttyyZKFBf4Tjo7wnKyfnTk6T0ENA6h
PP5jknq5VrXBu2JNCXU/4X/0gPkSfcCpTGJm8zOsIsXKKCqHqUokd54/zDrtjfOjmSmM8HXYnfvd
D19frIkA/3Kj279FMS7MUwfDrJx2FVg/3OpRVDY4F0ubGWtdAPyzXhb3Csxcnh/tct1QOiDWsJNc
nO/CnomG6SayvoV/YbX/QbERlENKkc7ypRkTnl2ZmQAtsBV8JOjbpJ0w5yJkrO4wU/E8DVkG/e8b
01ueguyXIq+3fE8okNg0yiSoe7hVIPjCgk3wFT/+vZWCZaj6rS0SmuY8o8DnQGTCEJ0kXMpMxoE4
Z7stFiEvfMpbNzIiaVS6xRiK9x+4vjxctftdEguVI0WpwmZKiPbNcaWe70e7Jd7jPUH28QG5FvNd
kvvnJZcg/4gexC+dfvQS53Xmq9J8pRknZu7CiHCVcWd7K4aUtkVo6lewFL64Gz/yCzOIAm/OF122
kql9NVtFT1RiWTVVyym65iN1xUjvvsRzm++DexVXrBABBH3cA4muv50w9hCd1RkVe0ZO86Q6tycJ
3VHakvh7DdRbHkbrJGBHJIacLnsCgoSwoFN1w1T5O+pT8w4DjM9hSro+GSKLvO7S//V9PuMAgX0g
N+1nOQDdjZ6Est/4W+9dnUpTVpvmW2WTxnxEfw8gpTFsGVD/AmJGmHVmOdfzrJIADlkgfOKnrw03
QaTROCIDm2v62DpRd6r9TTEsDVmRTjDVAKsm5sWymoiElkT7ttJo8N/D1bdZPXexByJJ+rzda2nI
uE5y/aLFaD2Msu2sdV4ebygTsmMf9tQmvkotV9v/ZdWRMF4m7G4tamEajN1sk1Me/XjlPcsp72Vk
r+1jswz6XjOIOx4zgGg/bT7ERSz3UcPR9vRCFZhay3DROk68/TsG7bmTognZ0QrwTXqkF96vHK4P
G/BchHbACWFr74sSwplu7mXaUichwpl92aHOf5N2NP2ON2pi1OWlHES7AOGjv8dzy/UKOAnFs1Cn
kEz7f+8kGWIQfEaYEdWQL2iaoXi4HRb0HvIPa96XF7d9rXUVPjK7r1uFKkm+61aYfq8kdaOGOtlk
/CjzflwybbGR8aNcpYOUnuErfwIDnqxRKljEEBFL7GeE1lCtAGetKbsZcNj4y9EAiYRG4V1scjyx
Qr0oilnfNlkBn5ozLdwYPmf31xUlY0zPdEmDX5GpUKCb9RYtq2X/chrXACzD5x18FsHfcXjZ0U7X
lF5ZGPH5dSuqmelScnI3M5dJAD3hRYQaI8+JZwTcpmSOs+K4z7DnUZoAJHRW3lcIIZuPRbLpjkSo
jbzESkCLnzABp/KzW0Y971yXuWTAPJmsoQ/j96WGSNHPLQVEDQKmjV7xWhEBvjW4Th6gtWgFYpJs
88Ne8R5bliVhUOXyyh7PF7v7VuFR9IU9NkJoTCnkOwmG24Fr3sQSex5QR9ZMLRzIdVpbkAd9shlo
dwcYroxnjnRlg13t4H65Kc/QfmB3bJzY1NanAdQvNrSoT4CCXrUMV278aMoTetL4CAT0ZAr5HaZa
PNYB5+rgwM58rT8BMT/HHsW44LgOBPLHDtoNNcYpxXsrIrTohR00J4qPS5nWZYA9P/slJDaf8m0C
/S5kPKdJ12Jvp9iLEU26mK+uNR6IFrSRtopdf8zh34UcVptWUcnk2N97xXwTtynPH9kJtT3etODA
emFUJjdDCSL9hbw0V+18OCLbgr5X2eoIBipQIMqYoduElG2B2RNUwjviPKarW3zpEF6EGvHaSh8v
+kAbsmsSDMxA70wxrc8QpMkfNStu+XwWqMC6vQ4bMvoRFToMVzFaqryUgKU52xngT5r/cIPrXhHb
qCuP9sfOqW+E9sTzAhi7o+cGxNjji0qe7LLL08bXsAX7j/FhR+tC3lZjigD8kArAnJpbhAhoqnJk
sQv0fHZweQPDFqKt4+4aDMlHB1/RqsMDl24LG69h1cZ9UBsPA17vvBNrItEA/6whpYyCFKvKKTrN
yhANo/gmI4O9Dd2uaKGE3V+goDiL+u+vs6DwSafxbI3xxuycphJHG7AEPMfEfLZYFiZ8cWPty/Lg
irp9kFTaraZ2pAeE+/6EgJEgkxps65lduqJkIehRM4C4ZWmbQppLM2rc32WLpbluCaT443nacWeR
cJyqHngg5JL0QaaC6mQXckjuoA1UmtKCHP7p5AIbkHWrOQz7ai6vkbjSHNDBJStEx5rhlSCzetOq
nu4L4hQ/FgDoQPhC/1bl2EMdURdAfJo7VJWw5Q7M39yZcj7TuVOWGTM5fswILF/4mmu3/M1SULOT
RWX/TQeXd49Zj8zeSECr/PHnwtgI1qWRXdkoO08f991RZsDPt/4PLIr3zqoON8bGwqC3xx1lKcNL
3ItDs8v88/POM8UthseGiSucFI8jtADbXTiQhJfa/egHvuJCPGeXp9GSgB2R3yV9q42aBkSCLe0N
87WQSgg2ZE++iOYtiySlIHUBLdHNK+l1x6ieW5UmnDsYKUCB2clGMIMcGmg75ZE+GFjcJaiM85W+
vgOG13zYi83WuPMCSB29sCsWPbfNE6JKdSJPr7o71BfmJxIbAd02Rzz+h8Fza77wUqssVePO+oYb
V0PWgYVpwyZ0Qm9nRt0EqN5c+ERoTE7A0a3wfrmz7bQGg3yhgOtCGaws7QD8mMGwLjglFmJ+MCKK
7y9dDLlCa3vgCpJzZUUz8zeFrCm2eoaK9mdScM8fMQo1V10/Qv54/VUmneaaWRurl76UOPFBToBs
8bpg0n5ls2TlZgSgQy6AHHsKEypIW6MmoPLR2fJ1TCs40wuCBHqBzo79U+i3+iU2FWuLzqyT5tBT
ttMETlAX3ibzYDuAnPxqA7MhtFigEq26LaD2rdQ3KKhDgHmQ/g5Lo1LJyVDl8k/TJdPnD6kuDkIj
EKD+8i9AEFDLYczrJQxLOGM9o7/8NPPib+EPEgn0xAOqDrVf0w1x1DVxDotS2cZoUCxaGoGmVDF2
e2D/PYWe6782tigfu//g15ap2nZbe9Jyhn2uUm7SxI1vLqdBD93rCmI6Yhw0WPfU7ikSO144CRs8
xJxdy391mwPqP3s58ALOu2gwuAncsxLkE88EMxgOBva//7F47WEsC491OMkEbqyCSSD3rdIcOJiR
pFCGdd/fN/DJUUVDhBjKwNawYZPAB4hrMhZGyhrTqPz5RNsc61whBQJ6A5Ha0NnabEQAD9pV5kaI
XdtZ1EMYIaxETMdg7ffdzlVrYgwaG1A7hYC7kbq07olpeHyJMDPrAtd7F2zefzaWwf6V05T3quw8
jTV+HAMqbbAazdZhg8VoSsI22HApzC2g/svMY5d6oErOBAqkaprM2CYsehiYGz8WMmuHXAakIfRw
rnCK3zstnyYGti3lK2dIS+/+opZVUsPjSGn/sxhR0pAG0Qb5dimyKHNDZs0oOBdlu9Xlge4soyrD
XCkmXFlDJBizA+qB8WN6LOKS+rY+3LTqpLPiuan17SXNMkNlv4wyaAZGKR/ZOAB4ZUeaQ8gZxf9/
uZ6ODBtWZiM9LpQi8e5cvbBoleZ4f3FfY9U267WULXCnUYflEc6XGpjFk2GfbE5K5TCGZAMqgHOB
bltrz8PqVQRDFgug2Xdyj4KzVZfTpEd5vZKYVhqBd+lvAuL282V4B99q4+tIuuEo9twoz+NMj+Kq
HKG6/1tUIYvpA7PnSqy3P4O8j+87QFU4ReLLHKI22bWxWQfR3j6ZulSqGYSWyF+ONK7FJxpP2mZr
NXxOKjrzg9eYpTqS7kiJaUpoYj5N/+SS+yIuprxQr4gqD+pDHCFJJVhhWv+myr8vmaKm4RXisKU6
oyk4/R/mcHEJFFhuYevJGjkOUAuoOJZtsDVFWs67iDnKfSl+MRnnZHIR8JrWAKmeD4ntQplFe3zc
kIKfdUteU5BTs5dhfedzC/+sKp0UaX7lsPWBXDUrgpiSuStjbBFXpzDIHo1ifUoYLCgCaYiF4SFE
J+wsC17jNhxb/YAYt2GhE6Lcxi/0Yp4J8P5/SfKNxowxae03WqCmB1xUROMj9x6wMoyZmgWL5yfh
ktfguBc0oFjw17D+9t52zqNAKICK+eNa+2Yvfur+UD0usKPmtrQfaVD9JAtq3TG+l39+4mZM12Sr
raTcTdiD/IaNuhUfr+dWe17QLBWVuMDoM2OSMVJVgLf8MbzoCRmZfah44gZU5HGNpwUpsSZNINah
lNx1m5gmZDbUaaNnplpWrrzrmwRBR0GafgWcNB9e6qZJJWwwaTfU84cWWtWNBR/EHEC6xvDdlTwK
keZ8Y3I8T0MT3ESp0fGFrl2PRICPjvKoj2SP5G+6TGL1JyaHBlO8AnE5vUX4umvnoQAvNMcSWz+k
yyjI8Os1oTtvBz3ggDEoCrmFG0kydpeu5BFPKRueinROgAdaTlQ2nagTkbU2kDkXRVP+qHTS3lmp
wBYkfh1lqdjsj7XPQsyvAycp9k+HEiqb5c9GnyOOp/vnx29omrhrMzRm9hLF3mv187i+xsZkft9d
UIq0p2yYa7yiYg5sY0Pxb29RuqoT5rTshhqG+h+KfkJ9XwcKj9WMRc9ZKUeRy6+GLhpmABwsmbDc
PVVKujymFI0VLS4iqW9+IPF4vyYYLrn/JjaYRo2GYBBun38TzO5tyndAs867n0M2ArrVw3diENXg
aiEWV/gLom8B+AsLIEqMiFRG/JXjNzwmcXuGPsay9bnakrvVI21k0ClyBQ5uTRzanyhDjhY90DJn
G54txH9+OmVDjZfatB7PEP7ENOwYCZaKTIlYhmzcFyCq9iTP8ucuV89zCdZIIaVpsHy4lnSrK3Ka
iBNfTrGflCwHc0VF/pTo4PfKGNmDxxfh3xYvan/qj07c+OvkB+yYvEZ/KX5Dr6qRfzGeg8LTae6C
aomkyPOCLAVBzveOFBT1mBmYoFMMmeOn/0ijhxQEkQbrjIBkRJ0dumPDZ8orvTxKER3rP7hylhgr
AvJNpc0CfJCfA+bYCKg2uYk1XII454lgtewptSBGgB4QZQlXdFtoM8ivxisniyJW4VFqfrAHhZs/
XOf84wyi5YvBm18MFmVVck5xSGU4bM9zd7Yiw8fHnK3ZY6b/oubj+uGK/91Y1qk9Se+8Q6Y6NQsO
b1yplalSA51CRTJe549Q5kuCsJmzvPW0UN4iEdjGL+jGieiAlAmL05uyJT34JYv3sMKyvE2+4EMo
XUAcGaXS9uLaLwUPIa4Wcekr5YjeWL9SMN0GdaKhqibkirZEyWBaWQ1YsViV5uPOdvwmfKOyIHj1
Gs+D4ttR3JMjANm7mnFrgXGX5UmWTXqabIXcpm93/n8hOGDQzNTlEWW/9Z8V4RJ59WfZtSWfOkEZ
dEDcSYwmG8bdQSwg1IpEVKLiwpGTi0FJ9gIKk0iznsDW815CBYWEjjdH3BjXvXZqAlHWNMXoAYv1
pDMJOnPC2mMwSHGX3YfYRZAQdFbdWsyHHCpulj4e7pYAAtZpEWhNaHRLPiund9fSMc3ae7L0TD/G
7Dh/uXSWZWtw8eG7jfnxpLsq2qC5PQCZmTaymzeRA7Ihz3d0/EUJict2A7phISE7a8HeVMUA9P9g
fFJShojQb//vzvKHThHmSIjNtU3L+JVcAeYwTbmczXMi4ty1DFl7pURS7iL0o6s5TdbHXiHg1Yac
16317NFXuZHywGOMR0ybHym0gjSTAD7BDxkAiBh+QxxIskKRbmmJ6ES6/wQZTIcjfZSd20Utfe6n
S7GhPbuaceXSNNA9SnNMUw4OkiDFkrqef2KLri7pkfD1FIl2l97/y+NtD5KR3bALJU8u03YSYb+d
QtPbvDsvRfCdWrNO46Oz2NXUm0bSDOeK7fADDqUhPxbp6cHhYpQUKNoKpbyDzjn2hx/uVzsyv+Lw
h1fL4r4lf87UDieQmfk3mJSl4GsJP/dRJn2M1IRevAaqAIvAXtV4PAPX55pU+JT3JeV8JrHX5KgQ
tvTprQPTx6d0ik78xp3/1+6r3kgSt32PpFH/tyIKzlMaoQelgrKRE179UQw0ler2QJXyfsdslE/D
AkSk/Eg8OGZD0GtV5lkuTqHkJcPWcpxwFiN3WACxXTlVFx8/tg2Nj9QzHNlzIFdlpRGVKB39g6sS
ek0WiIR8/1tW4yU2AsBgztj6CHJZ0YikWNtDe1RtaEipLRNsiWRI14MHuGRLxl1ZY057hQIYt2qq
lFQEaJPSbtofmFWzri+XqML+rE/kFF7D91tPvlQ+O0mtMKOObpB1ZB/q8Nxznz0CX7NYHkXl+zpk
Mj6E5TJRhR/a3xQpQAb+xtyiE8N22gLdajxNz7jIp5BI4vrCYcSSlcLda7yunto+ZPWKm3h27ZQ2
JEVw+47VjJ3fMUigwZRur5t16itoH+QGO/EdEuHJ6uuaRRoRqkAA9jPd6gZ4/gSOC38oZmerTmUj
cH3EZA6bc8ILLvdRF76t1+U2XU45xHRop93+dJNVRKvDgdsOhirFL7xze8BQ84MyCPwgFluvrfyT
evshKhMVvaxi6rYqEcarjo7lCJm6MckL1T/PQD0+1Kw1W7L3zFAsVTMbQzdw5u4ki2IflCpDnJat
IuQKGw7k5SeZOWxBzKZzk19kxILdbApCLP00dvyFLDSHQ8xzXGMM2Qt4NHu4ZeTZ3oDSy50XaszH
iLluRvHr5DH4zBq6gMX0uPUj+81k/5bUc4vioCjEW+ZE/3+Avf0EXUqNB3m6zy/+/b53PxkyPXD/
Oa43eYbrMEdzc0j9IqTipncIVvgQAjaVFvo7GWiBOpIMEAnqTWlgSKpS54uiYAYCQOJxtqRGi5IJ
I9iJH8s2pjJWg0cLrvi4xPB9EZSauFeRXvtJ891EqS9aj9SWjPIvj6L021qbWxl4jy/hVunaVrHE
tkQ+aFpNANRzAUugdmUFhyJMAg6UNR+o9d58/4lVctoBp+dtz+kDk57kmemLaqh98WGypvigwvom
8H901yzgaxkPTWmBiVBv4g5r9mtnuz8yNdGq/fQW62MxiDMNc4Gl0OYG7Luu32nvcnmodidx4xHd
1H0PpoJUdBwUw/nm6Nwwyvsv1Is6PK9cmbRAOnSoCXvzOmbaR5aYY8grFKKdrJmJVXEZT3/OW7Mp
+hgYBY/eFvhfHJYS+02dob8WIz2q/EisM1N2jQdaIQoXO0bVSSot8IT+B4MaVHv2xRYVyoJv2SU7
yNzo+oI92h1FL6KzsB9sllfGRI1n0Oyc+FUSDeXC3cRuFIYt5IvmVgQ8aWcDRQ/mjOeCvspwZB3D
Tdwu4xZQAFPxYK9uWFJ+702s7x1XraXNH9GQXuBHgjPNaDdJiQGRrZoK6iHAjkUZ2yrxry6Z62hR
0e4pMbPBc8FcF2sL1kOFi3WzzPyskhfnu1+Mft062sUMkKPwqfF+SbfQy87Rg34G5l9Fkqq4Wa/z
2zuACyxi/+DkvPafF7nlY7Z8UN6kijtJWvqJeiQWDq5pDUBHF/LErZDrjJhuzNqxssxZMVAx8j4/
hddcPaJ7EunApYoLG0SWBUhIQbKnKhCVvonP5AzCLgjNzdS6DicLKyFblbGGbUKXxkKhN6ZlIPEi
q8RrTHWbWXGh4XfWhArXbrazsr9oFGG0uUsb1HGR/T/dco/M7Vf0qhcXWFGAuTCHh8+izEGRuG5U
fIL4vMYXEMBYBnR87YaNhOYvC5nPuj4opoBk1TRJkH2QSId1ST9Y4gyZlXtVOYEBj8JeKLBYndaA
9uUdhtOQIJk2bujN0cKP2uLqQJC5iKQ4V86MuC3KqbAOevu87PjoSGehHfh3ShEVemX2K48C2kBo
gjiDihDKyFazmDiNxK6Baw24gPxjH9AnV8nHrhEL3slnBb6JS0Q5HF0qH97UaNYSDK9x/8HM2OQ2
PGZiMRqw/vWM4aoQHf0kpn3K3Jvd/wTVQqF5Z6xznQ4CVqrmJ2CW9G+AnY7aj87dWc73DRV8Vczy
gXsLfsH/oCBN5nt3QLTlX/bE1Sxg13XyradH1D8isUax8mncarykGCCoYfQf3C493u/rrrNmO//P
/rZUNdqaThQ13rNe+rvlU/cfP6EBVsmprolVkRLIyX7cowCfX2EyB9ZVteGN72hRfZATQsCN7wLJ
DbD8qtmKepwP3eoOOm5h8OZCfkLqkRYwLiwmobIAuqj8mBokSV65lSWBLDDVoQpEqBc5Qie4ohlX
Pojr6fi4IqQ+Ge+sbufFd/X2qQgxdBmT86Id0JDvpunRHLGlk6Vh8pkwQ9E0dLgmFu3MmLewyDyv
H0oBp9cokuX43GBbAcYjDtyvbHRagJLmoyABN3b0AIfGDw4y+MD07y0Pk7yzwLGP0ydrqg9Fy45q
IIodCbJ/lB4fsYzhdoqEsKPkQlaYvtt2ZtqhFNLl1bXScsyLB63pY892UtdZcSylzSVT0cWgl25m
jcLprLXV0Rw3k2qbVKksH0CIPk1gykfXJznFdQYTazG8iaGUMKcPKYnJxm+XBMAgCKjG7m+qXAf0
BoGqrUogZYUn9GSmJB1CyDN91Cw5QHKgnV9x2I8WLpxOBeMvl0yzXRJfh/9+CcU+lHl8lHATPB1W
GI7eCvbgL3EAnbdW/vZDW44pNr6E3LeibssTYnSEViBQS1p1pmBi3U2WjxfggNhPYJ6Fnb7AIkQg
QvPwc0UnsjJ04fXm/0UBm8tI0vY9ihJMRYHU4TPdCC/KplBr9xyFcLfbB5V289Z+PjwyktPLw4lY
/8LTGx3lbO6x3ZjS8jbhh6v0NnstRAqz+azaNrzplSKzcBQUUQGFpfpsJSIf1039jnjVVHoSeBjd
WBcvT9aBTvGrsqDW02Nibmptp7IhO71v9i6bf/5ieIEEoZJIKh7fWCEnrrJu8dPoicdGEZ8HUQsq
dEXx2xxLXoml4MYIrOmyCXOFe2ZIYoeyWM4m+ZWwZFzFC0bVHLNTEDG4mlzWZIbNbarh8egaF10h
WiA0QfrM/3iIyI6xH0y4T/FY+U2NhmyobRm4VhGlfXzDFziCyDysNwHDRcOB2gVd48xOeZRRkmaW
4JwLUkBQ2h83HJZKFmXp+z2OQRCx4sc0LqJdzsvioSxbnJ4vmxDObkZVyj8E78bsMBtUqpnjaVWK
youbhJoo8rjDddKnO/Yt6ZvOwiSZ4jH91on5YBxOFNvwCqyJgAfR0O9g5drJzFzwMf4zd4F4lOk5
KpKcqFjMSOCXstXuTvy+iX1QAKmk7ZJC3hyHjHEN9gtKhPl/HZUERQPWRpBGJFhW6ZDLoY30zWcy
cWet2FCML2XoMlMY+bXi/bn9pMLLzkJrQuxFoCASIYbdDR4UG5n6I5CM3zlUkNiMNZlDTPRJrquP
8RwQrJl9rSYwQe2c9otcJ4OiSn8gSyeiM1nATd9KXzO0Cd51vbIsJrYrIJ6CThWgM18iNfhe3NSI
Lmr0A8NtHeMeT9U9omu1pc6yK0Qqr7Zdplg3YQxCzriofs3cwWqaWy3c5mP5Dx12mPXSyVCPbU/E
CTs/tj6zhUZvT9fC9IaA5t9SEOr/BKtTw+6bExQ/vEge0y2x4A0WEblxzpwxZ/wAK3pueTA6hTUP
o2WdjnadJTgrT9feDxcFyO31r8klWc88slfNtmDSHyWKE9/zBq7GMqqMX/cCXIPLxOrjtcWFZiYj
Vw1h0BPcfQq8x6OFWJJgo1LezeRT4wR8aend5QxmI9k2l4KMi3DohZZCL9GHUdugt8IFGEM56dbl
Y7JwUXytG6sLXDwqhKlg1PfRkkFcHshSV96hEBb1wG3kQ9GecM/Fn1likFZeQ8FwIFb+pfXZgSh3
I3cGBLYciddvgifw7QU6dVeHarbj3IxbinIlQeNEbrM5I9t8Lx8pFVb3n/1XG2Y80WEkOuyl/Foo
OX7h8N4OFAw7xRykGUuM8Xbk0TCD+bt77niti92+dYRyQ+r3KwhHOi1E+c1cEjWDY86Xq5jJVAb3
BWT6q+XsfbRzziH6BvfSDxoovxWOpmvxDTguPpaUaRXMrzVZQVyGVrn/1NZXgUa/C4x/qL7YGfUs
bnE/JKqShoTi7eWfi+T38LZUbM6iOHIUwlCk4kNYEBHpfK07vTZVaReHuY4WStx3WeNR4ehwhVs+
a99ugbur2bZM3ZROIzMWfCbU9KGjGTkxnqsxabMNpvWHbgEg9vDfXaUtdNGufMOY2vH1fgtY5nv1
s3lMIx+DcQpF42G6ytiH8+P6GqEJYbrjjn+7Z8UILfY9OkHlr80EfiFjVVhOQRkTwdw1hZS6upLW
lqfO7x1YlLAjSctXPRGbL+V7Z6PJfZu8EuaJ3QpiEJFWUElao896lMK6L88pj+lp6X6JEaZEBV2U
Rkwswr+lxP+LPCQMVUWXQ28mtSAdou65oJTaDb6ZECmNn7O7bAmzyY3CYMeU4hBbuSsLIPZflU6z
IQ888d+MXzC541ojEr3RcHYR8iV7O253HRg4npuODyl8673IDTawLciV+qcDeN8IqfFKDbPYnBWf
fzvR9yg0icizBPNJnKCZFv5Dr8xyAcp9ksYZ6wIyC2QnXBI7TwBwGhRcMpEq0fdB3yqZs4pX8bMp
KndlCd3JPKoHFOo+fcrgTDNFFwwRgXk1Fwsgv/wbpUxOvoOEpZez7vu43zWsVUgsj9R9tVDEp92K
NIzMuUhkenERWtv939fNpvpw3mY66M2MxfXGHA/tHYOSQFZyfn4QfynVMWjD6TooFDsdzDRBtaWB
DbR7wMCQ9BIepS7t96ZMP5NlYmw8AypnoBJeGVCD3+jGgISzqHKB89RucTmsvj+nv3quhRJl7VwM
ZGo8E/ktuY/A/nXyM3MJi/QmZ7GLKLMX6J+z7zKvujxwn1u7Co/EW1uqhU/e/MxmJiWEPDNyzQNU
im3UK+qddm44RHpKjLUkTCW/sV9umjW9b7AEPKBU4nyJS9bUAO/5ybMqV2B6AOfF5sgSz9diAHHO
0vtyHAt60z90ZGeE9GlFo4kBJ6DgaM3crWX2mI3uetUWr9cQzjH6h50Sag41uurekoe3THRO5IcM
1bXxY1aKdz+jOBY0qtm7RDojxclyhXm6HjU8ev5o7cvcvx698L8CKfcxftzG2Tcs51261qjXvO9i
x15It0BEUe96NrQYvdv/3hFSElTywpQawIgj1K67kogLg2B1Hm3t2q26GuZ3pLUN/q4y6fIeiyMe
3GhzKCwZ82/FL77FVPWVoOIXD3FgbTuatCWXyCgnUSHCbt9PwHwp7rS4vjAvm1Rnmy4is/Q+Buna
lncLcbn7XAU5IR/Q/+4zira+cM2+VwnuG9sBJmT+MdzMXPE96pvpIyTx9Zsm8rWVuDeBsuro+FcJ
l/IrWq2tU7oQJ5DymJ8sLCl6HBQkMWnIXIPRwK7m6XEmpgBF83YzkcyS7+v3nMadhhbwWedbcqhX
JHkezLHB3Oh0U2lJZ7Rv7VqykFvCN8+1/LA6andKex2UhCBSTNR9TE9KwSx5PyFdwlXzj0jGyet6
ARevQ+FiEWp6kpDw/BT/tGim+Ioea3reMUWypHyYIyiEkhxL+QPTCKCYjM0iTKNovpe1fHee6azR
QgtRDqNEZU4y4GlEQUE9DHF77O0kadajI3GEwsACm8ThvM06Uy/9dEdmZxM/NdVcaM6gqVYn1HV4
u+Cq9h/nCXn5EPlSpUhLlv4ZZHixmmKbBBz+/3cIXKvbXi9IS+B4zAcVYhDBBE65ek1hqVaVIGX7
96NlAOjIlQwqzjWuy1N0BpQKDNm4U8TM+OYnIKPzMfJbinWG2iisemkoYeSfS0kQmNFdNugNnEaR
HGvPSrD2mVI2sHZfZBta431gW9iG7AYKRPg9PFb/geXS03NlX/TyNbhESQK5iBQg6m1AEU1VAvvy
G/tu7TCMglAq5v5JLy2gSlV4aN0EosiqbU3hFRsbcdzxuLe5bJMF33WTeNRoUcw4r4xf/IhePFHy
ROulyZRTz3MOEWjc7Nq8xGIAZcKn+2EJbPRRrDDjGyPy+uC5zyPxwipW3+12sorDICbymVgwbnhv
83AmuESHSJ0RuiZ2D24BGIQLZdMbbu+TPOhB3Bsi8hFB4/nekEy5mPRqQN1iXuWxcOgM7ZxCkUtD
DcxDhNOlHpjrM8OjxeQUZHrrrRX7CbLfrrLTaKfOVLnsnIMd6LP5zA18/HjZPQMpBIlavB/YtaC8
AkOVCObqHJEKUqwUjQsksw1lXyPGH5BQf2D2wk/GL/j/24I7Y4f2tHQoL1rWLyXhZPzRv5nfUC85
7D9T92mKG+ZVm1rkOycbqqMryryC3+dcotqOPXg3aAtUlUMThxI9BiN28UuB0VH9T46DD8K/ztaw
WNRkvj6LOexWVZJp9q7QcsaVeD8rsUuhrsxO5IhFF4FBxDU1MJcVLmclUQpMOMjfTP0rKvLoc0MS
44GwVszJ1h22r+i8KiH39jBrULHmpNdEn1l11R+Hx50QpoN4HSbmsXMWSi62EGkcg5s9nqIfJ7ha
EDxKD2g/NoPdFFF+dfBjvpWnXtLKaewP/ClkBuBVbl4BIlLSF2hpDtppPYbgbKCB6ug77Es0GVNZ
WSkqagaOWCqzQYn4eN4/nxLHmWv7SMsIeZ+COIP1t304QX4LJBJuI9vHC2iANcNRF/ItWy5YQunm
sn+o+rnBd/AwoS9gI+rxGcvRwrH63EUHqQ7VI/AfVM2uI+uI/eprwoj1xsYW84O6s8tzkqlB1Agt
pveswtFOx7VOzE+juWGKrP2RYlvIJYC3FYPG0SdoiQh5Xx37YCgKvRbL9utG7rHtuMo90fOoB/Dt
VS3EoJHjEr7AU1VkJpVZhlegSF7oBP+ba/kfrk8z2Ubf2mXere1QsrZRUzxzA9RN7dNtFiq7kWus
r510GrE7lC+EGwHBiAK56qJWaKvDfSs7h4ACSCjK4+HG2L7SFCludTv1ggDxBkMuoe7qgZzEHud8
xY5fdboHp5upeyhTMq8NVn5wXgh8PJC8kucsUerJHjmNYRzXb+G/ij+KK6zaFp1ciJdxERBQpgOZ
zDZYdC16U4G5s+iwoB8rivWJQfgpYRXT045yQCB+Ze+eX18k53dtenxEJnnfVMtQ4IUF28Yr2yaH
obg4PNC6nmwMWLISDTOanuuLD97meK1egjbhSya2I4MrXz3LRr/txbuVuZ0+zlMcxpGe/WaeiIZF
m+swAP5uhO+WoCnh89uZ75kL+7bC08rAW+1oe6aV+T+nF7OljWTwyf5Ej9HpUrUw90Fl2ZLdmNSX
ArRMPkmTLvactBFUE6c6EgANCZ7pzpvRlpAXlEL1PySR/vcOGGiwYnhSPqylj2F3WckTlNSx+ixC
hKPykHajghwm7Lp6OeQcIp8WimaUDST0aJItnU+xB+SXATvywMmltYsf19Eke5vK2rvh2XJP+e4O
v2GE55w2EdBweBP1zowo/ONmrowtLmTPKGi892+9YcxX2cv+8EMu+yNch8o+BrKKTe6o5c/Ef2PM
J7eqI47P8njW0Hqk4IFH60rLidzbR17NNnpHbZZYwwr4FTb7tjygvyzkyrVLUFqGVjxL9Lonwhjx
BtUnBC6mOxsExsaR5gEdiLKaqwtjNEBRRIoghEwOvoNBn5VPU8BLo2pAEFzI2PbXaKzjzAd31n4y
CeMPBJRGmuP738RM7QDIorp9BmbKQrBQ1diA8fke5YzKFWkvb6HecLMJFBSrWBkLjnldUB0Dblv8
QC17kw6/09RrnLPwB6KJwjuQSpJzikalU1TSRA68lLuxoIbPugfJnxM3FXnhhAHCoRFcXRdx1cfd
DvLz7wkU+6xAQKM+6H5VOHaaaoZj3t5elqUF7QV4CYlCR+bGc3ojJjOigoRxMx4BXa/B5xCG3Jee
KGwtIdqdUvGTllqy56fhgl82sbxWS0ms4y22jJiNvmAPeuCKgZ76KhjzIK4q4LQYy6FmI3euprny
4ALw+ot5E0x0MezWWgGOPrg7GRqjFg7Vd7LAx4PsaZTK5E+xmnXfz9iq0zapz82h2566Nji/0PZu
sA+zBO0JZhvYZmT1dlwS9FtxaqZo5rSBw76GLLWMAzCZcbWtzAw77hMgyjKkr3nqP93vU4qcmPkD
RPqv+QmMeecois/PVr9P2uWHFFgAaCIz3GP2CF7YSaZ7ClpkVSIfYy/2n7Or+NK40l6mAAisi1hG
N/f+GqbeoRFhkH2Vqvsff45bdTORSaGG7kenFS2N8U/ME7U+kncLxUlioSM4bZyEUCyt6KrO4Se2
aF/jE8G2lb0d7NbE2Pzqs7KV4sP7Sg1tYw3BDiM31haFBSLN8pWemMbj02KHpsqMWCxzqQojJdSz
SB9yGNilzqdtxbBzbf5G5w7I+lKn9GZIEAKJb4JXgtpmkqUC2OOnvuN0Oy88n9Eo+IoISr263Dum
DR04EJiYq/eOYNWKVdMVrRMaIeSl063R+9m/LU5h3phWdLGJtoN6R6EAKVKTYq0yAzeOLb8Sp0Xl
dfXmhhej5JzNTG9tYkW7i03z25Z2X6U0cSPOA+JG3uVw4SgQQmO+/9DoGwwFf9r3Tp1T8wpCQhoB
dYBgLEspvK6ac5k1KBkWDOY7mJ8+gedZD+HAMts0TMidbZuCVHjwhKGElXkhckfHQZe9Dugtcpa/
4W2kl7hLaPy7a/ZG0jnjlLK1KDtAOe9NM8K1tdcwMlTh0iewEsdOLWjNVlvd9XdFCS8mip0ltHse
42Ldr+2jQP+8cOwlw525igspQgMQNOXFWZi7ndtw6yuz8F3l5fDeGXAkp5NLoDhqI3LT6hsujEI+
KFSbnnfZt1rL7MpX/5y5Elta4wd4dFvWssibU97srQANjapXMjXtf7sV6WcnedKAahuDolMcgyo/
jCBJIwaf9Gzz+bufWz/XqIC3g5xq3cNI13t32OpUB0ruvLcARZP+K9d/2AkzWSo6ufeZBAAErwlg
NJF1qM47I3Qorg4o5IOEDf0LsCsSm6zfh8oTuvJxa1NBBcKxwBRrMVi3zAdymh0qfijVEODwHHOM
fkDD7N1l9DpjWez1yVuGFzMAYQwq4tqWIy4xa2nDjeFdVbcybctNcLn9muFb1829WJvHhqFTzYcU
OTTKLN/7iTss65zwYm18FgkSEDY2dLyLWdgR19kY9zAbtjL0CrOYtUk/A2hlmmyR/TWDTjZ6CEsV
icr51F3Oqxu6wgVvTRVc0VU0LojizeCOLPl/9l3qLK6fDP8ERWtwC+K5BPxGTmTIb7Fnj6pbh32d
BfWEIhP8qt0SCRCvUMidcZimpCjtS7h5kqGMY/dB4ifCU/TC+TMp8VGHuVWNv4EJ4+zSPX1ydKxy
lrSDRH3r1Tm29yMJvXzYpi7NyDBzM8mJ0UQpIKKFk7B17wPIGUeOsmi44tZh3xH+6j/tYAEuDSQr
Oipc5W8TrsS/dO22hMFulRCCZa8b0U3ZfF7WfCpscd7COtWxNJKPzZhYYcZAbPFMdrBnrDWRoPDI
092qi8gXKZQPwC/arE11VDQq1u2YX+dmjoZORClTUGp/nCaxFhKzj6PqWi0CyRj3ccHz1/BKTMda
PY4q9CIU9BjDCg2PWjGhxKT/EHdLI8yGyj7xvI0AGDIZ7izEPsJzmNq5o55Ym03uub+WFFPW/fNi
nnmyXBz49Z5KQIuC4VrY7vIuhVhXvzIGfmiBEFJWvumoYcbj+uItXrUvGsjY3hWb6odU1YEcufpT
etgoOflOHwki7Z755xP0kqDh5AH6n0byzgS8fi0L5VQQzR/YZSVWzHFD798qC/77Thphw0I1kqVP
ufsOjSEePnpKAyA7eDlij/MkQYAp0f5qPv2gOIJQpczDzJFn5iD/67TNwqrK4feqrL3H7oJEXQN1
zqFzUnWQgytA2oDDuAnfxNstlrCTCffDAQivaZz7qcr5zsGIBTPQv8Atcn+xyGyWiPtKBNmrvMR/
bl/Om4a35qeYMvv/qEIYZ65lV3RwIH/kg+swFLtEcmvDyZXhGDK1oa+obOLnCEmI6ngOjDtQCL0j
mWhn9+Wgfh1FTSgpkz/nJ914uu4ayjKtFNLfCGYZA5grzFqy4+rjr+a8SZ3P8OVRa1nIwFL3fI7f
RunZOZKiX8hPATmtP+7IkwLpOHpVgA9erb+sQgNpigwDlE+luxa6wD4jJug9WLg7LQ9VsSd2YvOe
Zixk3y4DBgdFvp+FWwioq0UjvFoKgtYm0Lq1FYDx3J8/zcBDC3QiLIrEXVcDnFIb/MTx3Ed1cCZ6
opOjBfjcrSJ3MKcbEnac0ymqgmCR0PsXDe4AUqowS/sDO8uCw00Z8XSWU+13ZKWrhp0EVJFoJ7tA
4z41aSD3VSIMfjAjA63XRSP8ETEx4PjqvK3Cz9tRo7V21I1CThlNKJ4/2OgYIbn2zfoCXYZrBEc8
TXuRPQSFbJj9l8pAZx5g2bJCVGQ+FnUQ+S7oMxjIGXlgzhcbu+DdgW4njBEEg+0URFSUFStonPBP
jW1aWZ1htNAW32UI3ekv37I1MjETgmuqvc1J6sZnY/FFVqxPD3pMPCK3pBQCoy4HwOY6C6tRJOAc
+na8s8PeHI+zu4sjbaaam4UkcN9UIQjFKHEYbmz2FflTLbzSXe+P5bl8VHOYkydUSVwUEKFhpynU
hVqyWM+Y8z7JajqdJFeDMZH9i84dhBLfo5TGpSk3rN3Hxdx4CdwU30MzG9hXoXG0/sd2/btZ9LC5
RxqbPxgUv2461lSBGysFbXgjvlRdFeLo63Q6LDZdA4VNO71Id88HPu+7+2MBixmFgsp7W/FQ9rGH
oCqxbwenRfM+rH/2934qx+khEoQqQYt/QxSHinHUvlrKl44M8cE0DYzAhvmKx/lqKTcODTZJ6SgJ
l5D3Oe7NnnTydpqJgsuMKBsK+9J2ta6b+ie7/Uu+uol+MwAUlTOC6h+G56umi1QeFQRbxxeQQ2GQ
3h9KYsw3ctlO7FE4D9PGdq2wZLhHWfKkxRHtCsFTRrQjDOWjxkGdIH0acEG7lZg+K7uwGoukz4GZ
nOajqthT8cl5D26FBdBl4a3zczimF1g03oWLAg7KSA8kpYzAmrMWxKTH8gGhOnSF5HBHyvKzVEjU
g74OAcYRs+RPdkHmWMUUE/BbmTEyIcYVZ4p2oUOy0zO1n3IkrgSuED+45ac+WUft4tNPQYkdzr9b
e45gwJnqZwhds7UP6jyjAHka4aLAzeDI05Osd2zeMZEV1iiwL+ycVsxpt5RnxrMb1xpbzBwh5jtd
zzsJJnUUoBmaxDTPsLgnmoyc16OoPaZcQKgCrGoKXGK8wnBlILbPQoMVZIL+y8itCkCHo9bfstp9
99NVT+qBWz6MDafsBH4/EjT7B0fK0/ffIN26XkNPPs2KdBTsitRJtJGiBlNlKo8yz/uliMaum0rQ
BdnovrrtYjQgyvIs35eOAB77qO4v0Ud8NcJOq3n5uzH2P2Nu6SRGyfZ0oAY+rl3HJRmFeX2mg45D
CUnAdbHttONhQR1dSGm329YXH+oEPkwVs+nvZUygeUkeU2YS93cJzcVBOJZhdnn+jqYrlJ0HEGCx
MnO9TbRkAFcsn2SqLrplEyNX8j1A4ukRppRyhznxO2nwPSu6IWnlGvEqH7nSjTLVgD+6ytU8K/Un
oLNISqPMTZ0dR5N0MV3ELaCoDww+GMkW3gi89wj88MQQlTUMVNbab1QidtuQpQVadk3bYe5NM0K+
0mHVQTubFQzTw5o5v40iMVBLxuNkDSaETSYUmrzMDsVcLyc6Fqjxb6OEoSOfhDv17K46kuL9dQEM
MJqdVZnH3Vmz/RSbwY6uwB6orskzkOZsFMZW7G2YBSvrMhIFaUHKzEA0chBb0krxxNHC4os/pUfw
wv22B8MmT7TvHRH1g+KauNQzalw5wTqYEvBhcc+JQkCkaMAn54pmHlWF7BirlOT8AJY6IjXbW5PZ
HqSc3wAwvNuTOgA8AjObC09clxN4un0USNpWy25S8sIGqUIG+CBMZxm+TvMPTq63vwfWFskNIdDy
pwdK8Js9xzzWDeY1DWDBDx5/wYYNvMaEaNIHTladAcMALjGG9o3yV2V7JlfAyXHTSo8dal+J7U4F
EDg359Wg45BNCpyWDZfOwan2iriu/3IYR3O10piVU5tKUIFm/vQ6Agir2F1chWvuRISNXaov90NK
lAy1yFLwzGVOEnI+mzujx/7GU1GlZxjXP/PAnqbgNZ0C6/p2s2DuG4tb4e6hgQRVZ8pw7DfUoIYA
ANeiUucLgRBN4WuRP7XmIx26Z/LTkoo4J32QFy7qLkBFrVoj6zCxrxNQ3ox2tFkmOXePd2Cs32Xv
xBEunS1utWpCpsf86N7GNli+pUGzdq0RHvSFpyMS4W4yTy1FihvrCcwie3WdRELpn74okh77ST2i
5qfe8HzEmHHJD/Cx+d3N3v0ykxw0HMVMB+BwPwypKWtq6HAG+5s9/3X7+ChEbPTEQJ+gtrobfizK
/674B8rs3rJS+/cRu26fwUo3JUC5LPpoc19yo/Mcr99cu3bBP+xDvIH9SVM4aI+12sWTaarsJKRd
WU2fs5RwPI3AlUBkRAPB8Yrtc0dZvha1TCGTwGux4pv0DCqQ8+QYS4Uv6GxWN0KPEzcMeek72JgF
lcBClusnevnR14GBOsKtXLTxlCOTodtZs9T3fR9t7JutAXmOST2gFIdiL0PwhKHZCNqkfkHCkwsO
SaZAEI+GGU0dhFfCT4WYIsNtuH+fKSV0l/fVLzYwPO8yp1wItpZx6h24rlFt2nlqLdvdTnvSYBYc
Tya0GNGtTsXqUyzDrOYPFRiCSJo8gij4ZoOEblAJmJTlvKV+jsJsW544HduDYJCEzFHCu/EvjogD
+xxUXFfQ8jqP23wGx+r86U5Lf53GsUs0/TO+EK4T3eUOAMp5hQSc3DO3zr2X3Od5w75UUYgGoAMa
nSOd7u3Sl3lVm/n4D9D1ivqX2P4wF06Y46ONtmPvqP17DNZ9q9H/6OCmcje+xQ91jfBySuhWEy5r
NT3aBJ0WhUXU7sCYtHyFyFzJu/jcxhbLjGIrD6G2ZuSj8sxvF6aeqVuMDDj58uwYZt+S7b4SbAnm
/+wIaDRuhW0QzUv3VWwgdCxFa5sHliyjLt+u/REjtFkfxwpH0YrBHiVAF0U/dhM9zdmNSY+Uce5S
GqDCcNWxbr3tXK0gryCQ3yOcRVUPQ7WR615iJc2B5446h1TKPR+VIoxsJ8XhKDOuZugbe84s2f3L
5Z0p4vK/cW3GVV8pqJ4T51Wrba4Pjx9GaxRvk0HLQnXkM0ewwrlEkkA8PdZGy7ivz4R1y9nRB2vE
0pF5NanFTOdycaZ/PDevmnJMe52yPymKoBt7PsD4mqscQZ5yzc5YbjoV0BVzW9ekWRZPJk2r5m9C
UI1x0giW38sG8jQqEbkstZHoG8/SbcUKwxp+Dea2sLr8Ida34fxmGrvLNaM+AluOYK+G4dGkNpT9
WNCL/JqtJnWWWl/5NAnherS01eYUY9RLqDpIbGtWEccY70/lPbaX6DIdJ73iutF6p701ityz2oLy
LB99a+ATpjenf3imkkyIR5q8Y9FKZYub8Bl5t+uZHRqD437qBSnDLbnI1L9apNoe3NC+5sjCHm/m
zAojvz8qyV4ZLvM2svGpG6pUlFgXi/OG0wcN4VPSwMBFZuzGo34b5qPXB6N73XkC1hI5L+6YTQge
/ii+6kZJvrBvCPDIQCv74lDJPqDwpi/P0kvERaVeiAXWTg1l6v0jaEJc+zqDN4+Ja5BDCrUE1qV9
Z9Qk1Ot2+74O2/bA8M2Nf4ooAWRdNnvTia6bif4BPi/nMrYWkjl6nhPnRz6uwJ1R7VGptRL8amrL
ftG1uahgHY8UHza86q2N21lI6uuTxCuWbXWRH1XLo3waTtbwpbMBrZu6ewA6YjEDfxQCvH57IWdo
wvrVLS6EV3POFYS2+lCwzLwhNNEpco3e0ZXXzgQjrZOZbGbL7MzGJIOkhzpE1VCuEYlzm0Ozy1qg
9oZq1LkCzMBVMaKbvubiu45bq1nbH8FHCBBIVA9RQvLWDbSmY7+i+a2EGpFaX0zhBYwCd9x6uyAp
o9DPT8/MrWdWFBDlsfU2Cg/sr+eMI9EV+v01tiIe4Xt27l0PNYOUGD5WazE0TM20xCQRWFKJT9gv
1PZv0EkS1EGQXuej+FTXRqBFvL5+jVos+QnjMUWI4g20DKpYJzjphyBgl4ezqMr+CHIcAzEafAJg
PyElwG8vhz8zzS/2Zr55O4KEw7eUs7GhBF6MCzItubi2vV+6jrZzMDABxyDIKG3N0Ms3MdcYbTTq
cqcaz+OxtKmPIRtrJ4MlfI7Z5h+/g7Ny4Hhh67UhA29cVcy767FIZgXARFTNahvY9sGepSE9j/KW
lM+4b/xrDNqLxIZoZ2eI2nsYpa/Uuq5L3+lgPKNMlnN3DNq2YsDeSB0wqCcJCzUe2E6mg/X1B7nX
leS5zWrjgsxB1ic5f8kGEOjZftj355Em4CqqU88zX+M1nAYo2r0R3vWM56a6XSyQSgYEVhXbd7zJ
wTC0nLqlt7SKqmHr90l+KL/xmQ0h7Yy+B/UAoBVKj1JyoTAREwcVTJqGV9ovOVXfH9k5JLfNd9ID
k9yMa6wtdNqUkQFsiycD/cXwDn96HIeSDIE2ZeBVQhyxr42PHbSMpg8jQu7dje1xviVjoqSSbVOr
Ugfr+LZlyWDiBIQD8O9t3GnGK4FPzc2kUy4TyXD/18XZBTaGREtrJ7V4j2vEBkNbFdNLJlu4VYt4
9BZawGr7w3OGFwokydKPPSePXLkeUsIG/tbVg9MI3cmzpZoicvxqC/UCjQ4C5xT/XHGNmse/tiQh
IwtCpZoGlc82TUXaNpIf0BP4tNsuv7DQ2+/QGLey9/NCSKAxTgov3tj83lvlDZ3C1cBUwR6DI2yT
44hb4hnRweepw7C1DoKwdYMlc5+iY5joWEa/sCMo8p4KiVN2vTp4eqdwWfyecOcAsg0XFrJxwqZy
s33FmWXDyWY0ak3ed69S6u9PXlMaTYnHpNLAknpAelltmC4644E6qjNb1cRJI51gFGUnIcpSIjpz
Y3ehHa9sNTyrewwydQAx1wIP+fSk8LtMd2PLlIAXZdSJKZ7JZkGAoObsfLMl/nhSDBuDM+jhoRBx
fT0GGw9kjWfXa5tc5wm9ipX2Bf0SL/EMbFOXy8Yy+jLg0NCPA+uA2m8FVrJWc3siRwwJ9lVOSJmb
rEenpPOJtaMs5aVfhgPeO09UJpGRw5mHrk/BO+0FzQvfmyqF2Bsw7ULlNKZTWGPK6zVRv1TjZt6F
UySz30eKMtxUtbwsDbmy101HwLrDu1nSkVezKFrzQSgIf2CwqSyYG5Fb7VY0d9rhR0CzJ+YQGPZq
ZuBoM/rxP7SOOPmAvjfMMm0v8GHmJa2VSNyNLZML2F1br77Xv9PFA2lHlOKKFG2EbSbXDjPjTFsz
8fqYg7S1zrxyMZgGBpaCG8Zhdn2h4p6YTEAYEyJNXMEZSXsORgULfm9zX2HfLALXBpzt9VQOV7kR
YQlDwrLYGJLPwwjKVBcC2v1/yyLHkvEmukYSOfwXkF8XGNOCro/EGpmdFRasgBb2b30tfwK6zGNz
R8seAlEd5Mtq/Hr09LqpFAl9pVWMZJYp5NF1YHwK4wCR2TF4Zlwmq0ieocmyihbp+1017zgv/IJ3
V3fLrpBA94dhdRxmEn8MO5tikm2jZeOIIsCJ5DASigtmomVnSzfWK8EtObmclyNWeqt16VdOsGgv
wmreEGlQOOrpIe8bdSvyzwFJixoFIBdpEg8ZiqO2wn8fH5ID+BpZGHwHjZEeZtRKVV09y+57n7mN
aA5AUO8GuHZPlcmAyrINTa/mASY/o6bF8Of9CIINo5PggKO/kmq3jAfXnDzA+3d5C4uP/1kY4k3U
d9RtapedqvfFPXteHIVitixNNoNOc5mrcYR9xu4Nz+D0fxMjOYCwrj+TzyQdrPFhdFMn87VS4TNA
1+q4uwJn5R+sojsZgRNZrnmPfT6459H+XaDUSBJRAaWkeZTaYtldZPyWFWEAo+a7fdbu1sqbGXCW
mg7bbwfUYne6/jLoq7owsA0EJADyZL/7Un5alKll1iP7jU9vFn6u5BDIDkpHE76350pzmd58C5aY
oFYlUV0S9I4tX+oA0tFalzuuV+CCrqcwjRTr5LVdgReoDSvY5tDpKabKlW8iNlNAzIKK6SuvBvyz
hu1YmXoVDpJtFky8F5ubCu9eBlGKMdoAguqnU0IR9NYLdpQUNSoSx8FQPEzNH8yuULqOQX9t/1ri
TtEinAOUqdYrP2IUCvO++S6zWY7G5CEnTpAWZ7wlKbpOTeZjBDnrXbrsXwmHQ9NIT15afoEakDdQ
S4SNVy5f4u/8CinarCsWHJUmCLu1PTSWQC09xDaD24lTpP5eeFO3Mu0HcqKDotiDmkKdXUA3YqgR
y/KR56X7h20r769kCcRNtN7wP3k38ngToi0J/xkUzwZlmjUVuCzFhWsSQugok2ValwhlLpu+P5lg
+XrMBvQ6AUo2+h6sTFRZq2NB7nP9OnB36mX43pya6H5Ml32fEAhLGDPaiwkQbRkhBgYBnm1RtrSX
UPUOi2Pj3J32Fv6nhIJeO3fO5kjdZUurnZbWf9dT7QBUXxwiVBfvoKaUqZxrlvcoMXqxans3dIK6
Lmikdb26X/ooyiSsUcSsD/k4tvT862OcJIMagoywzGlDWDomHD14YQsaY+wzzSPcvRw1h6Rceei+
tzgH5WT/5JDGxx5zd2ruVwANC7WPyQ9tC00hzNNFRIqhgUZbPNA9+aZnY+S4LC1rJQpqmWXGHn49
2hMo5msWM3bGxPsXvkUmfN58q4kk20v2lk5Vjs1K+F79Tw4+kvRkyqmUVhtGn1F+m15e2J5VdkY0
4tIy/NTyBJiE5gjVHgA8v5ZRFZxIyrPvNG9ezJvO19moDIgK8J7gr5im/pI8TdMFbgSpy3xfOqUN
AMkwsYh3PcUtXvcm7z90NcChWkdK1o5gUJdzeF0X7D/l6se+GLuYpqvQWk0K/D+kFRf0w8eZ21gY
LYbmko5GtS4TWyOl5s9pgryhXcrdZuLvqcDpXnuo/oqTbETF99FPA8nC+uJzrutrJH6fI/uiOclF
OKEAXDbtzCWttGEMIg/KHhA/DRHVJaTlnS5GnTgYvnO2aGjXiJ7Ybta+J2EHE2GoeE2iI4UqNIi1
t1jOX/ONUeEMkPoforpL6HQeKdzcPv3xE06KvbAfzksZszoSiGe89K6Me8fIrWmGk4FoJzG/nQY7
lCNZ+oFFjaPh0cqF02kb5CDTZ1zzU/s08c3hlY/Uh1eyiah2n5kta9Wxt2vwIb0C1a76qorZlowk
Ei/qb4rGcZARQShdTRhEtqSpwXRZ4dIoMlc/HoNikxUMOPO/6hs0ipLEVtJqH81uQDueENHbrAEJ
n5CNDb9qMVU7CbOUbQEXokd+3hYMsOS6CqV4CmysesiyiJOhee16YaEkU7ebIjxPOtURNsz0g5la
iIoAGG4SMYYsu9jhCtscopu82kx/esEiS0VxfBM5tvIozyqRj1BwJmJeLqhVmtyzRtuydPB6Yt8J
mrsfUaM8r3CK/jscxyshoEIXJiXU+lZbPcOPk7ffczD9yXBC01T7hJx8ZIRq83zcnr/cph4TKwrt
Q2Nc0jvvHVz9x7/ffHmbpFovwvXjCzVdqdc705SXn0C7qZza332fXqDqSWyrqSxN4JyGz/ZQBXKI
e1GjKwGuhnbbC7j8ApGkKfZCoqLcV4RfxDrsiwvAt/YkA47+ZV7kV1GLk6egmxJNUo6s8vWyXczQ
48/f2WhabB7vJpbjqfezwJZZknQGkhuGCapyzGYfrwse/gbhtOsAoImRfgQL6lqUYPrp1TcqltSO
bFlzMEjtzsb7Ag4SSHFaIXXSvDuDkHlzu/N0UJ2wQUrJCC4iGwBL+k/R5xUnRJ48ZOyH7t1ckw+3
NKwB/0gcUkS3nIE7Buhu75sbDavTz2g5sWmVosLLWZmouVn+ZowyKFFwoSGMx+yfVCIYsYt38UdL
i0jwp2hWHhiZeWDbiK9+WMPMf+1Ubobr+YWShuzqLsNa7T3C8N/6pNS+QvIFAv+N90rNWpkB1+Co
4UcGAP608j85L9GM4m4j4qEJw2bYSfpDZ0AY5XsHZs99SxIIFONcRK4GwggxQldl+NsBviYIFpp1
COnRp0ul5ABxQhzVQ+iv7TxH9G/xhUwgtNPxHIl2EzTeDvw2CgFuBzK0Z+U5BDZAeeGYiT0ecEjQ
3Cb79RDL6kgUQKeDHu4oRZlsJDujSerbj/GdHwRahbyMETK1fQRdeUTeyaOsANT3Qn5NhG2Jyn5u
KLaKgmC/Aqz/J5wcspLDPQt2tdkl+foBd3WNy1JNMB30rV9010v9yJOSoPwVsJzfcCpf/LMSr7ZO
+3ffPtfN9zjIS5VHMXwYQbR+CyOstsPzepnkoFzNGtFDerFI8MpoGk+4e5WEs4TgnPBP+t7NCXms
4J3kGIHPtqZQEeRWPkzgRb8N/VY+B7meL+xeyWZFkkZ8Xe+Fe8BlSfTdryC0eptd1ZO8r5w5qRTv
ODqLSK3nx+5J9Otl6/BLi0aITR693T4NJ2iYoU8kUmR5S3kZX2sDAor2vvaincc0pHvw13/KE1Ek
e0m949JvuNKVWV1iw8d6deoxXoJKwaVytVpjl7czWfFf9/SXZLBMTJTnFNQNQk2MvN/LWbdEK6Jh
PhpjkugwW5HzpbtL/vDvyLmR4VV+ExUJ96BIxatmAIeG9DdhiYxokDwDjSjk1Ab0/LO9RPMp/7Hi
Y6sUfIk+2v/8HbAA0KsPxaz5pE5oGRMcW6TQZumhXUCMOqa6e3VJz5ZlP1NTrgSj812yjyL5wkIc
/wM5tL5CdBVm9qPUGW5e6luP1r1bVfpL3ao1cA341MMXFvp3wJB0XuHmbYCAK24Ea+C5rYexjd2k
ZX7Okqf4C2rHtZdE/AP70n3xo4162w1RZoZps7goXWHd3gTCvi7GVHmtATrPUDKI2bmwFaTartFk
kpX7wb514TL5UbgIN54rVMzlvpbnAIKGnjUqtMhmZTx50YIqTozeT3nIChl6qLu1Ez7YwcQQ80jS
LhWbkAXt52MxLvkybJTv5qs0ORcR2cFBhOXc1ZoTv7cPqOb79EZ94dq3vuEipFT/cI9W/7BESrYW
Zd04YvHBvPNNmlehm4mITR3wz8t3+vVPfFhq174e1jeNcQz3iDVxRTbKV+giCkQg21XRWF/wEfMX
X2V4F5KGzDvpqnjZ0x7dUI3zSVx6KNhP148ZPbFOVi+VZCSDd5k9i8lNM5NrWcBX4Shv8EKjAL52
QSkHL/54HGrHiXDHD+2qdLllxMKPfGgl1U7Tx6uuW2shtxsXaq4LsGYgDaRJZZuseFvjXs+8mmbM
vzgbgK9d4pzvL0M4vIbnMBnfnlYCRDGIXCSoP6t33TbyApMyRy2BuQZD80aFRaukLcHG105Y/31v
Aacz28smGkL0OiM5X3F5u/fxqtAOnvQwa4hAslxktiHmw91HIvp2F/bPOzYD+ahpa67k7iswOEqF
T4De9MlVet+l3dNw/ryYj58zzSt9L5AdqzSkgAMa3ZolE6cXpw0wWFTR+jsC826l5aDgKMAGd/bC
sjrftSJGRCkJ/FNcgLALMeG+fEr2eGK4Uw0dZVb4+WaiJDXZRG4uHlovsAVL7FjkNfazyurKa9UN
tDfDMCjznRzwWgR/uqHVmavxJ2NldVDpVFNYQWcK8Bf/FRK68/bjF44cnlO0T9CgqDIZlceKLAeo
rws0ds5Alpb1J/XgysrpX/Zvp3WkMe6UY/p+IWPrUsWjyjNsMqu3vhFmpy3TlqWkNWSsq0o8Sdip
fbsNaWjkPlvnIJbc8IsVTXLM4Khojh65z4nppr+N+tbML4wt9NIqaUXQBesvDD9DcN39MeZKxo3O
yoeEOcc4E5/ZbzKcg4skQH3v391kUgm2BtqvOA1PC25EjoxFbVEXI2pv4h0ffL5z8mPnuyJZqwvG
x6clnm+39CmyYYsK++YdkEjqKYpST34uL4aUy6CtVXewZ56jS51uKqdyq93ukvLaYxOGhGo0aUkC
DqmNbxwNm0A/qDt0LtxYr9iOtB0zNgKTDUmyYlybv66OvaTQ4oagjKqMlInlC6ewHrS1dXhR2L9N
wo5qHHIWl8bQBM25R5V6ze26HMNwGGeUBiB60kBOSQ+8SsVANowOK2V+yixsWFKbRvYmNyi3QpwH
DyNKPMHqxVme6C0SrValM/YsQbLFGuJpzuc89uRSJo+57HjVTii598HuFSZ5NG4XFHqyyVlLy6aj
JaGqR8jZgpYZhDZQPf7tpBWCriuyHHgvGSWz33tz67L+WWDfJqZ+X2GcpF9V5ZW9oqtGmORx4lMh
4+jVhTgYP1RnpOo0EuX4slCIJCVs1nKLDvrNijcJQCUm/MIUNCFxv0MGKnPaxgknRA9FM5/5D9wF
sUtkNNir+dA+ggAZC9VhHW0gXYnGj6jID3iMtAmxQv88r4diug3KivL0FPD/HLoy4wqgyqxyluXG
MY3j5fOPjpnF9D+RzPI8cujTZgmS3bWvsZAJrNv2gE5cyuLw7vNzq5kvW4XtomqjAtRS7zw4GjPV
c/kNRL4LgcW37a32MfqI4UpbkA9mN/8o7WVl6J9pHsPLpB+DCZVMYsobK779xMi+y9OeSF7ZOJsi
ODV5n9vgXWc+lkK5TomaGr87Qb9uC0PdiiLBQVMeEdVldsa2SOfagq68lUrfW2iPssb3MOycs9yS
Avs9KuRuJJGA/LZXpuE5rHYdz6LtcI50LuOgxYqd0GGo7ASzKuCWmyvgz9TmpaEtN0BT3WcCzz/l
CdlogKNs1ilZcv0N7CdvQu9YybgcXoJnv7RRZrLnSpbxb7Pp0WsK9QCXn/czsgFPcx3iL4jVVK9D
S9fu3xBwOS0SlpFg2gFRZPSi6BcO7oBnKWA2dqgD6tZY7K9Tmk2GMDK9HpSlBQeEIf/fsgEVoEsF
0r8pszI97n3n83GXSyoXK8+LiTZUw5S6RCNlzzkBIutf3Pq1SWtwaiii8PJfx5trPdzNmh1Gq58/
ASmUXEKMgBQ6srigA+8BEGyn9p72aZiiDtShieaKx1/rSJvCMY2tMW0S34zqfwUBee30QIaYZSsR
3nBWkQYPGzz77lqxY7wl0GIawu68F7N7shmjEdJlI9pfAxtmUmAj0r5uZm6tJBk7DBTwP1dHmsrW
Ug3zDgV1w5ucguIsOKSrcrAWAw4vqj7bzpAxBnG7wzZu6MsrTiucnoTsoIaL0Skdty1sB1EJTJCr
kLlGZ6Uxb1gBfj3n8DmQd4FK643lwJ4nJcWXYbMcyTaETRKV4hkpTHJTV+TcePMb3tcDnHisY1Bk
ecMteB3Yg4VzBmBfkmn8hENIyYSn0+WNOdQ1gMVunfPB1WPHu4cUwyFduSta+dJ4tuRAXVpPprK/
zsteecE34iMVh2NF1EJgIa/WTt+80ExXiU0B/2SNJa9EES6UDzSwYgt3b8BmmEa2WafoS7b7/i3F
qE7oRRIgNfd+ql8rv+KK2uFSbK4EOwuT43AtwzitaJtZAQXfy1xrwMZqh84hGSu4NcIlk1sTD+M7
3RfyVUil1ZzBmrYmvNDigWWB++yENVm6D0gpwyEfr+Nr2jnG+7IA2p89UJvVfco/4CVmc/bW7K0f
fnaY7v0gBOnyVcUod68X4s1KNdEyk/yoPf9B9od4LkgUl3kVGDmaEW3cOUgaKm6ibLbhZji8sBjq
nV1zUrbiql7GBStKXZO2kySUZATsdJUMHIrCbrix6hI4GnupjoKJB82bwrpBnP2/vxfZLyyqfdbH
4Nw2ct9uNBp04DxBJlNTRoru/Lg9GzuvRdHrV3nVLpRpGme7D4zJV+mIa1QOd4DukqTMyjX8kvB2
eYcWncfFBHNJ+trNYWhp1HMJJQ4XXRzXKovhLcnNkqxQEVS8sW+1e9wbQrEvYtybx/2DivY4Aw2f
HaNJLMB/LYck7j8YAET9UbUxy4EEC9oYQGibVbRbIf9cfL57repOSZDSdStRz3kgTcAArx9khtjB
3BTDRDqdf+r/UtGrfONNKw9GvvuBF4UpcwKUjUaBBnTayLm7pXOAyJeYF9OWuVHY7d1lxeUmlUyH
mhQBECD3EbFSaRIP8XBBSH0WUbYnrnMy2J/K0eDvMtO5KVlZl5Ot9/tPHSbScRrcDZiM8KWOotK4
pvCcO/CWMZZ1VV/S+DeN8X2+Wd3NmAZjDES4k3N/nLW8H6FyDnj1xyoDpQCReNhfjqGB2+4fOyhW
AWSTAJnSJjNqu4OCNX2EK5YJpgMB0D7rHN8SdM49h5Hv916jMfgQRSSYb7lv3xj5eqLmxTR4W3eZ
Ye8c4wn/qg4y8sZXjafXXb4nBPj/IGSsbleGxigBMuiv6AUcGNjvLvOAhqJjTrMn4Mo+3MUMEI9M
Mn/Uum9tEuvcQl1pCSPAvxeZpIyXDiAflbhG32Bt2KMSzrdA8urxpM/Qsq43DRQ3gqzAPTcbxE32
fqM3xAQcRKEcR3yg2nT36PHA+mYE5D+XkStexoCVQD7+1T41u0e00jHWOxj4ittdtA99H34AuY9Z
AMLeKveAAq1s2sqwVr27RGe4VQEpMQomkxXWwCFsE1ksFQatXv6Z4YPjQr9n3eytRUnRtAsjg4es
QFqBIolrqFBOaq6wOAxWt+kvCwF1kiiMYk9nQcFQxzE8NtrWICnhdIgK2vulBmKrO7LZJQhGX1Iw
AJb/dUqdNvfHK5Vds4GYAKaSi2CEz+IHo+T2zRge6nAT3C/R/jBpMbS8JJ2RZCYQeyHmtN+G04W1
v1H8R+BHxiQwwK0sq2f93VqXNYC/4jR+pjnMPC8C6G5RasUC2j261PY4p4X1wZsQxbSxcGVh71Do
UA+us6oe+R2S+63YbjePOpBO439i2BoPpaU+Bwtz5WzCQrmbTwLCyBljLiR5yn72T/aZBwt9cRFk
IBx9rORLLTDoXgbXZTSvLJsdioDybvdqceERSEEPS+gD3n3qB87NLQrxABDO2+3Y8KKvgMZCB/W2
5Qs78gTqCDC8MaDMig7ltJ9LwBfI9uXBZobHf1nByiL3f1zemRCfTEvU1iBO42+jU10yD6mKXKXN
9Rmk6u3CNj6TsC5WYQIKzI2wilvnrEpvFVX1474JtYads+Y5zKU9r+2x3X8yhxPJWyVopluRy8nH
sOd2O6pqxAF5o4pWegjznvVbseiniAncsC0eoB9sHyrEC5rTm06i8VZHOjiUYwHEPhqBS0sTwUPh
5z6joy6pCz6svDdcbXU0Ju0a5IMNZ/byM6iql25HysJBMFoFSnKjz414SiUuVfso+LliJ3aHKfhZ
2hXF+YoNo9krb6JLglPRsTOqRT/V7nQU6klQbNgSzEDhlhcx6VnyBOn73AZHMLgQ8NanJMfPeswg
BgFbZxgsuljOZyB8DwZY5aqG0SCE7dNyexYviSdpRCQc9XyEJWwvZ6T6NSfm1XejfddY0grFiY1w
FqM71RQ7AVM0GgbAAjJVJOotjR2PzRQNZfkmSi09kq8Jh3PQ9zsdqLSVZQNIoZD9WjW37yCmARzB
uNAKuB56VCpa4+s91ujU9xboKXkD+qupheEgihbXgdnum9vwmVL3bYYnsRvp1IfOQG1zYWqjNdBp
/8AjB/Xx+kD2dEpTLIwuz8bpdb5VzKSXkjpcQjzAORbWome8FoVUbGSQi0dKuNUB4wa5+Nto+gYO
uBiWdFuG0ZUc1vLSwEaz/h8i3Jba0xmGUaroWC90k7OG8TjncfHNL5DOumIz4etAwkocFdp2JbDP
Rj6tRGepRSX4stikNMAyXGi+C2zDfpYjM3KmOXdh586k9/foDHMRti9/8i1hIIUi1DbPRIDrK7+c
gfrlSpaeU/yDe3rc1q/UoY7Xtt3nURLtEYJqsnZOnUXyPD2Mmu9n0VgB1h4W9EnR0DhrciGIS30O
OKH64+m9Nv+DNWOMS9noeXxtvbAaVGyo82fpLcb/1ixdO+/TZ3rictOpNKFCmCgF0X9T4C4pbiAO
4wnRZw/0/BZKhh9TxWmNm9Gw+QSK4BcAz/6NzSvYmSGj1S0zUzb3rPtIZZumBT9duHybFdTie5e6
C7nwvJbEdSX6fws7saieV1fQQwvFwlilBU+VPsD63x2syFs8dUjzstYoBoQDcFJwdPiDBs+s9SV4
4bo75QV68ztvPtqP3Fy2jqPNFNLmOegIFsPNRlGF+DenPNq+gNApauQBJ5VGSGRepT639W3/r3wE
b/N9BbDs74xLLlVBbUz+oBrTAIQMLdqw6PY6WYLhL3n129ochDEi8cbsj2UOuZLnNEQhtVw+m4Hn
El8kEsbxyYNKMKHGdvwGwXCgmEFEpbs6z3ZR7iOLfM0d9Z0Wvfbhr6AS21sK/Cng80BkG1nLyujc
f688QyI9iL6Ijl0kpzWkL6gozNj6m8BNMIePdVlQyrcq//tV4DEvpqqmAo5RwNjtxA4sY/rD1yRW
o2oPaRhZNxQL7EEqDlHzsaDb9ad3Co37pN+Ol8Um6oXDiVhMtJlSsfrq7tn2klV4+kS7q+QahDmV
wQXK51RSUyVL1jS6edUfGsDoOhlgkZ9vGgFCIh07v5ln6ceXcyf/lC4oGr+0qrNlcI8La51t/AEK
ViuEYqeb8v5+I5mu4LM/RBmSLg0Rf/7f7ZvFOEgDDm59SMC1VB3ySv5ig7kGC+V8lKUTlQHAslz8
opoBEJoK/TQnmZobjiVnlPi1kb9YmRwX3oi4IPSXjDx0lCp8DSEEPlhzLDZBUwbGlTcuT7dixb4w
8t8JlAX8EcGviPRiVMK5DF/xODCyFeTLm3OY2YRgq6ugNw7Yk5hqlSUoOG5NGVZhIm8hRY311rxP
4JODFNHrOEP5MFqs+gLXFKI6hYVqFL4jwVNquNKz0zpEjFhfnvww9jFmVNIqc85ZSaQwKhR9DhuS
wCPWuiy340vS/LbU0pk41LAq8xY7flHNxzhFR3niWojDH77JpuONUmVVjZIYjxi5kyOdVkI2isDd
g7ir+SGbVQRR8aiHEdUJj1wJcbJF853qaPmtGahqzPKcK+vr1ojzQO+ZTppd5871ILn8IQn4X6yJ
SgleE5Njulj5z0It+G2lf5UboGfOGRCMojRcW2lArsavmZATG4qPmpiyS1TtnvwTJMhbO0BSEwp1
N5FRd80b6GV/+GlO6MdiPvgKRSZXNzJYCm81JxvEn5zF0ek1s3z9/f+b2Z7asf8Op9xR3bi+rhCU
pePEuJafcAe13AqJ/1KSRb7S79VdqTmLHGy7Tx7s6kJMzz1oSRVAKzmpPAa5FH8cRi3tVlO72wce
qr/3uvGR69SeQLfzz2w7SoqcVm8iVRivN3dNtS2SzXF8je1G7B2ISFQzUyn0H+6lSSSQLBiYsYGH
CmImx1RkCyaNgxFEpWZ8Unw93z5NE7Bnk6OTVnVdXpTx9unyD7I0gx+etoZNRKizSzbRTEwahshq
PE0f9snsaEcOMjZYVM5qGAg1ed52hiELQ9GUo4JU0E+yLpBWY0F4Jg2ltrvBhFBXYB6mv4w2FSuk
vWoPfH8wBzsDqdqIvVDTe4VcVFrU/XaT/Q+6QW08FZpFU3IAP0QaQ5fJoCaV+qs71LaAFB5IWcH2
mpJCEHaQNSAzAVJ1CqIhUjeuXLJdKMR8rX892svKKGQ+qnX4knMKfSGqEQCPqMpJCxJm2DwPM3LN
DYWMJJT0gi+JPOqa2+DKsBi86Pa6gCx/AY3mbiBH3umu3TmdYi8CElF9vu5726sJUjeUnHoUJdDM
2wviCCHq5V8PN1ZJyJHZOkQ3CkuDMe5845+YoZAL1sg6KySiKu0RUyk0uEMtVfx++aOq0J/vJY8D
auKy5gqunzt+8eryywVk1/LbqFDNP0bwS6wFaUd4XM+rgS1bp4cVnJTGYcT45WBJDViIEywHd/rR
5GVpTX/hLHihQxRpevHThsOoVFJMw5FLrenV9HXaj693VFypDiC2tg9iGdKeQPURPwLTywh66u3F
MlNnLUhd2/XRB9IH4Kr72BmL2P2zrslaN1nze4fDV5svWA646ZuAgN0xXdeZhWSTMf8Sj03fbOow
WWQLyaEIS0kMHP4DkqmHcAYqrZ22wIcFyMN6eae87ovogNtxsKZGqD4oUdeBPA1lb4X9b0ufFPvs
c9Z3bm7JYHFp4IlH/XHqyttWGhkUROavimYBdIy61N3F6cE1NjNMV6IQalJoj0AgIJbxuvL/8yC2
ZuPK3RiV38NaELHckW10PAD+OKAtotj+3uF79sE79u4WIud9oT30o4txYyBNnWJd5IDAMqjyShH6
tkAljzya+gwfenF0D3bQMocnulNQSRfY9MREpqZ8JR58qNCsMbfEaN3jHQDJLIP5RFgAl+uOFsTX
oir/GATE6Qr6KRzQwyJUsOt2/mG6iSkMz8r7TFt1STCI6EqiGkeFStiQWhYeAhhHK9JFqnrhF3a5
bWDsbDh7GWYWD4cmMO2F/qIyNO6AZB04ebJihWP8XD06mRfdf5aR8VAmqnqiIY+butmx7w2/Htt2
h0TAexHlS5wnoaraAHZ0I5QFVcJzlQJNR2pu6fqgrcSFZGDjT2auxAiSQxHipRLzG+RIXWgXLywr
WNTos894LXnmaEmVhrN4pqxHOyIPFXeRClIUa4XK5xJgBhlyTBJCdM4fOD85qyLz0JN3L6I/Etfv
qzls71T3k8rmq1wsg7/t4mk2Be2JCiHnPjmXX1ckjFC7ISUcrCWx0mO5kP8h7hgV0DqHqc0Bkeks
YxeDVHGCOmYRKOGazm/HrmAGiGwTsBwUze7/pdAaJIM8Kc0lIU8RSwVZuxPynrk1GC0Utqzo09K+
PLHQ4Hgcx4JP07KyxzvALTULdL6+F2/FrG7kNtt7TetKVbU7C5MPx/xugMCZZj+JbsLlLBr7Ugqu
wbDtJI+eMAWYtjai4ZhrY0EFyNpdlgLMRjKnYWqlqLKny0NuuWncXDPs911XtFRt4MYYfUd1J8dR
LTqq8BQhR8ck+eGa2lhFYVhXMeNsf3iyobg4tMvynMowzxtOfKHpgR9n/5LfDioTH2JjZZkxw8XA
IfFIZAjYClnfsC5tO7KReOFtnCzURCDeKUWGFBQKGOAk5A/BJ8AASU9N9pY7QKpHZZXnUMkksD3f
//bWkNtvAaMleNp+8inWC+LBp8cux9NkRfOr2lfxCdYPDFjoQ1mq57pfbU6Fg2eLzfOYLmm/hn96
++3x8xOmSUh7ZwoDVfh/MCWfBPvGC3D285ebEaHYZ9cJGzc+0wBb0mMwkjfHxWpMnFKW4pCShFi0
81hbhkLFTxrakf7B7mBslvMMJhLokFw0o8WGYxzGf39cdwWTaQpGrqXxJft7QSm/cYxyLng2kSlg
waSkekdzCQ5iJNf8KKsRFnZXwR/9zalOTopG1Z+FdJ06Eg/aR8KaPEcoUwxzKFJbHr2CLAJo4N3g
AAfjagvFos7wo49BO37OL+fLCxDPt+5sRj4cu6Q47nXCiBTTCYTCUTIbtIKDoZ2UZ7hg/ZWAcnzt
hO1ReLrtRlMeWUcSc0tAWYmEopZuxMdik93XLjt/ggbuFQSEyVg/6doYGDHHHErixuT5x4A5tkrU
xKpzz/Y2xY08v+V41I0k46oNLOa2T4FQZJyR4HFzR4nyjfxlDdSH1DJX2kBtNsTEUR5wi0Up2Ugz
XjV1RMYslighMwnqxSa50rmUTbp3/nfmK0hvsWEd0ZjefWWRFC9a9aoZFDpGijPfn1A8nojbvgvP
8Q8R/ysMynHjsdawXo2T7+iWz28QvX/ZMewDOX+fTFCsd1XQKO4pRiYszBNQ8ssH6/p2dRAWZF6m
XxCjzHZaQaH8wuPt+tQ/mG/NURnIR6LtdMU91GLBMbG0EWb3rRBEPEYUAajf9enYgIG5Zi2u/PA5
D/dt1j/z5yJ0yV0cxdVCAGXDmx8xJh+w3bCJss+iN2eb6VCa7ZE6hm4a9lkDZ+NoSXmVsImkkWay
i0gAaNQBdhsTGRg7bAeAnIIXg04EGtg3b5Go1YgNgTvBh8OPzkPXtxrN3EiSzi+MWjeNc1oGoQ4Q
lYXv0CwSZT9ayk5jGG26l97YsDrk1nRhsWQenKzBwvfR5tR5xj61gps3ORT/7Pll/RPidyuw6sdM
QyzIBponVlEZ4cYY7fDmneEpXoKXymb92GtMed8ueot5/B1frr7FvLcelhypBsd+r02kDsr6SuhO
c/HfnFQlAwshGHwkh4s/jsUvir1UPEz+JRZXzJf06AHBohO7/Rr26DGDxe24yOIFeQ3Db9wfkcyd
1e7nL3NFSh2AGWkmUNdiTamwlUpwTLPUbQdVymlWZLM6fiWDh7Z2B/LOGHQZpH4Z/pdnc0nYQ7ch
df/rlhGbzWWiWNj3WsOYdYOMpiXFDksdXUG+O7oR+hWGduYTIhu+xVG4PG4O2BdxVLotLWDQ4Jke
7mfJulUIfVDumTUucUufp1rrgWo4rIblfM8MentMop1PWZG4aiexbFhEHqQMiu/XQHkFSbjva+Qc
oUFpX1qX21B6wkrsl0a2TXCPFoib6XN1/fRNKHNRduDwIsSNDfv1S2jS2xx0Wrldxwesut5OF7eA
4hk59Pm9A8VxaWHpVi2XOmUqWmiAtrBnXqnqyEzbzAfGgNV+kTvGQeXBFl+fzjK/JiBHwScsMiVp
l3xEERbxhkBsS648bgMAKXYhiaqhAUf0YGhSPmOaYl0fICix75f6hcSAMNSUqv9cb/z59povlmTg
LAiucb91a3m7BrhKgwu3I1KYcCQWX94tXMwWkVETqZcTlLgafyO4/uM3fQqiQ1Htjl78ZpLlUydR
/KTHamFOZ6oawhHDrWCbNc3DlyLl5gq/oNTQL9m/gXbiskJHsi6p3yu1GbO6TtQKMXvkBXw261q6
ScUO+y3/Xi9B/SAHVPxI/L5Lsbu1mn5qX1jOQ40Uc4lHsYUtlwFgAqOI9eQF6wmGeK0BYVMY5vvg
2gY8jE+U7gMEHOvCOU4yLsaCgClIuasvNgYYXp8K0IJ/CZ3jwhYUJtCAF3l1FwSGbRmttlKt1P23
E3XE/E/3lcr0JGt1spyUk9Ls+3jpGaFKyO4jOCV3YruhWefrcS9wTTqlnEMyni/teETQ1kV38OoC
PYxN9c0mNgZconyhpqTX60UGKug0nWVubZ42FUtDxysROxR5ZYhiE8PBERanV37IBlMqHsy6YRnY
Px5qB8BosBIigU4Ksab8wx+oWDbG3G62t5/nyPhDcBPdljbRXDk2/SHtvVOR1VN+6RaWmHt0xc4q
a8rLPEZOsTBCw73CpgCc5AUoDBkFqS7xLd40yoLL8bzSU9I/Ni6qKqVf43DcohZGYVVSC+fIhy9H
wMpTggdhQOc/gYahoAGf7g8p2bcExSimtBCOGNIolk2l6c+z/tVFQs8zEv8cKsgR6/I9gXAI/fgQ
m+BufIns2MDYqGI3SdpSDqLzL2pf+VMa5wK3W+XXrLsSwwxW1SWqG3ENeybc10y0mo4Z8gKvjSml
5YVnro0HjREet4L5WMgrte43gvUw2rlOdqGtT1np1hxepk43YThkAZUGwBSKxy2VDXzyhVevRvc3
68HJEQIwBisxpzKg236+phzr1lY339Cr2JUmrJ9zVNI5fm9pZjHw5y86MOEC1+4dfUtsPT/vROkk
6gQCsj76MEmAzn6xQLLmDWRB8MFReTzrtY6CVurcjbkqHjdzCYaV/2gLpp/vQdTDj5awgOd/yWNN
UyXblAl+OCrp8b92FyIOLzjOO2NpbaDPx9xkzopb33a+0YZsmRx0qi6hK1vb1z4gij5zGtvdqkzj
Y7Qsu6PvxAoSKGzFZDLDfreipu3WEsCqKljPVk98kyulqHXOhPJG4Kw0zYzRQwrtdZU3xUeKzhtc
p3/sTQ+NwKUWFblhaWr/FeycMP2qKmVQ6+xurySMduS6JvS9E9JoTC6aoAobhcQkH7AO0cXCSeiW
Vye1Ce8wg2wPmYxLQuvoKgJslTyJjQXgfqbUSYpdKfyG7UP6cDMdx4xCD1uu2aqQgVueUJlqNnNd
joON/23LP8j5+VaxGuf+a3cp6YZr7Z1fZfMagvUxpqyOfuHpNkochbnRXg+3UsE5BnepDstWXwEv
qS+RKsBilW9lMlhTMXwsqlf4wUqsur6OhMXbzxr9VoUEaw+bp7a88qwT52jI8lu9ePMYDADbYkEJ
UFWsqb9dXXDIedlRJ8f0Q8U9Lw0tJ3IFE7EoWHY1uCIIgUTSx3JwDgbXv2CMzdEQ8UtDXq8svIjS
Y4ky2iMCVsyDVB8e7QDIUzwASp6UwQXJpcLAlXmJ6gwe8YQoOFY2Z2HURRvFnurNfNlgBSbHQMzg
8EJp0s8T2xwmCMe5vjEy2BdjxbOIp3Csv9zqPg17jwH54bGUy05vbIoJYy7mfoQwBo8ibhNPJKOB
c+1Chv9Dv+vhoRzGrjM2M3cdNIv/01wdxsTsZolYX1jyzdZcO/aMDdMWinUCdyjL65/SmUZAOm+Y
ktaSSZWZ+JB2s0nmoSjhrgItO0sh66gdolxxOtm/ePzWXMcFkz0UfGEqeNDkPkZzBy/uhch6ZSEC
VIESzgEBC15Y3MiM/vLzBL80M4r60bfrmLd40igpgJ2PzGjCtKUDcFcOSAkzQEyEEZHe3rBdTOnQ
2j905mbNN+tRaZOm/jRa4PkRJSHpS07TT7Exna4KIxlvsBW4cgzKCJJi4NyX2CQ/x/pqGDBdDDgI
2KM0gf/yChoN3JRdSDv+Ey6GNzkvChobARco++gVKB4851bgRe64i91oYgEOCZ48TorrUVuHytHW
3TVjyuQnoCSGeprlUcAWLm+L5iDkXamNHZUxcoZ1jSJEyYbtZgogazE331Xs9ox5mMA225vuJEqx
BLnFG7rYgaKIhbLkNbMmW3tY1QxhKGe43Z1R+WmFzQCeI9gtdOnCARt2uYdK7eqJSTsKLdbY9cVY
TcFJmfizGlem5YcVEq5rhAug97VOkLtXObCpbfezHr/uNB5cKrXRyPqh0UUix0L1XHasZY0lFAmv
qHhV9mc52QpkmnhaHitowS8vb4z3tkpvbzxLZdTUNnJ+M5gWkPU/m7glDV0zUzjVesLn3gKHzVbk
WJnETXkzQvGr5WSMzWwvEEdtIMY53RJ0eJR7P3yn1yMznXCa5wPqrbKzMY5tVDkdpgbTZzocgUeq
NCuT7PGQQqInLXxHeg+HxTq7P2rMeEged4JWWojatEyyIY9DRw/es97bOPwDszAr+fHf6w0qlzt9
2fyy1/NgGpnIvpp8cWBSA33EzRh+otLSe9d2Q5+gR9xBBZPUZ5/SFd4qknI3cphVne10h8NSGXSR
Ldopmw3G64n+6V64vzqD31zl8ISqvz/ftgIGgO19wjPJUGhqyvt/LEb8CYMlwrKN14NF0BcBmhId
2lumMhjXZadVbceLra8Z2AmQrQzfJCXb17l8hbOS71wN5PgMFebawn1DeK7XXAwJXgKT43k6Qh5n
1JpSRTL18QaCMSyWQDHi4QHI/mBi9L/Tlc9rpqqfVlQ8FWXF67AecNzKPHTnEwU91kasj0H8/a2f
ZIWuw9nBXXE63Rcym8PYTHPBkExgTHnbsGdD9mfbnPnkOh7p7O1b9CiE7dNVRotyw9vJxdvcbnQb
euDnZ7vY5XJ7OZcJiczWPdgJpARMZkwmASfh72fuJCX7xhrhdZU2JJMbrgPy/6kcEt8Oe1bj7OgP
/UjoegsfFm20UlL/Fg6a5svnVy//DLK04M9Ak2ici4iglvIrmvNlSlkJFi0NqNH3vWAwUEaDzVR8
pJIchdl3rgZCPNQb4ZL04vMi7ryztj05nFDsjbSChMDZJR1uJj2Sk37L6FNHZEX/kpks0QBdzAlg
/1fuB3zZQyH4R5v0cz+HT2uGIOVs86DJ5F6trHNB0zBtS+MxFnMFp5NVwvWOONQ8AZmaS4MWBrWZ
BewE2oJQpptEa7eMA/wX2iw8mn2fhFsTBkPHK+4vG0lOJHX1m2F91/Nz4HTsbCi6UZ+GH5IMRTbe
YeUYYIXADw4JX/6mawqRNfnFo4m42aY8ltwF9VUSWbJk+K8Nd2Ee1pyRiKHkzEN13Mo1qCMbR8Ai
4WYOIUmIRmMtas1gRqgBBFZQFsC/vTaDvmTK2ojUeACKLtFPo1BA3eoxXgHRNG52cl8w0fe0RAgd
0OBdVDUO93inNqLtRUJOEDJKLuynd8W0d/zYzPQT52ODaiUbJG3tav/WcRyulAOliY3mFqjcQGXD
/TJSkDLX32G9eBRmHa35g116JmHkYR5SVZYlLStgOTAYaCDuNVo1ClaJgkYIafoFdAcf9Ff0J5t3
RKGCAwFmOzP+6C+Bu+FnlFb7JCljnP+q3Y2UHadfh/TsKAqyoed1wXlwaUArnNMV+6KpleysgXea
cW/Tpvdc9qzyWK7/MTIjoReVncZ/I9uPm7wDuJ2ilfSyYWTvGl+O4wE/+/lCpAmUOEEkQZLGgSln
B82pN6ZvETXpTTRUu08JG3hBHyargAfeN2KaMMKJXAbxbKeEYKoWpQqGgtPOSNd57QEZs72JBW3T
zVK5Yb+aeIUic7+7eimdDU0DVNXmU1nPUvM2O+75KaogjNLXDunsb5SyKo+1jB+ogZOtDnujcrHE
WxETBx4FclPRSR3d56TurHaG8D19O4fa1enhyEhsXZ1Gx4+/Yb1Pap/OZG5MioXInzZyT0xijoXD
dMKalrLingl1AZGsXI4Pi3rVBpxwCFx/hOP4cOSiEeFj5+bosAcqIsrGulcXIYJ3ba3rTm+DRI34
M6sVs7QXJh7u9WYHhldTpIJH+VM/2NDkTem+XnZeruGv39xiY7n8CqUg0TX/VzZpGpDnmiM+AKtG
4KNG0xHzJZw1+Tijd9CoFOwOHd5F9SjhlFsgatzSIJccEIADM3oNBUaT5vS9shmmAbOKh4aDW/ID
OtAgWl40yoKrnrmyo0fvdbmX8ALyca8qDkIbwq6d+PwGsjb3aitqFR4ThnK3D0GngZR+CTqWD+G4
H1s025ZBE6yn40aXXIjCk6zoANevVdojGAFvUKJ+6k+GemuIZLxkT9n4SFxeYPSGKKqn0GE75iaT
T98o9FTbz3/tAJ6tU4aPUCjttnYrlF84LzpAQZl1pG1OyHc40vuYNULs0TdtIN3PTYF57CHY/uB3
GNRLIqtnQTU/64j5bykfPkWTCVf3Qqll6peWkpZnlWVuYdSSVuC6Kl3vljIHySOdjX/BYqQI3PdM
rxFnGN7ZzpGgcRfkcFESNu/jwOVS82l5pACKwzGc6BFCBssqH46qv2QQYTkDdU9ny3SNZCQ+v/iU
cRdBHYzp/dtODyCrW6U+ex7mwumdMPGtVJWm6pbR+lhItUoYyXrbXIg3rTqR5/XDxgmv7lsqjsfg
rGNcorBGMwMh5t8NQChJKM+CDXkEkPsuz24C778TRMXVPuDK6BRD9WPF5XZkSQm7/+NPZscvyMf8
B55kJVA/AfNl2FmMMiPEo9DKfZ0HYbJ9gGuzvIXLfZqtKcGOUq/VaoEjC1nuaZ7p8nCF617x4c8S
b/tJQjo3OxlQtUV1ZeHKX4A1gYOVA5gShQ61xXBXbjmpjrNNZQEPmTnJn99N3GlmAr2it5U5zT6i
HiMlZCEP3ue/mfT524BI5lAqtEX+P8NBQqpoxSML0GkKlX8+H05iQBHVMTGTBFctOfl6Wq5YScwl
xtKcsSOSY67wAgp9z1M0jD/RT0Mcyl5wqNM5lAsSj8C6xFkPoyiCUSoE/UdZZJJCnvz1kCgPXRVI
3+mlOnC86FCNeZFulX+Rk306j7vSaSmAMuyeD+TqXFIDjwpEHNAojoRTmZ45XnxhzU0usgaT1EdZ
O+gRDrsw3q5/oOFCZR5QKeBKqE3ce8XDHqOpiY13jXbKrdGDnAt2Pafs9PCRYTCdgUPx6Y2I1ZW7
suOB1jxkThZAwkH4D3BdaUYrjVH00USyGCW7l1HTUeEqxZXAlVzT38go2TA1QB19DYIrqW6+Fq8W
mbTteuw+1RXgheWrg4r0BHl8ZEdH0lGBCF3aHvlouWex2yfCAX9v5M/VHd7PPBkYcDYiVepmIClS
ufeLbi19c/k0p0Blf7EM1L2tw3OR5JihYA4CrY1jsBhPzrcgfRByopjc9xMT27bFHqI5FtSvYBoU
dxoTzd7j/Xzx/9O5H51n5TL4O7zQpBjpzLWUoalVYAhD090PEHAZ6ytwWyIpwCggojy1vOoFLIJ+
4KMJpE+eenbcsv2aGDfStkN+VdUQYYqTS79i8qA8sIpR9rlyaHwI3fBtJIIaXkEyA8Wznx3+EgKU
1y2l5Zpn/UZ+XCvV1c9D0lprkiNPHXjiAuYNSP7GocEl7AencOnaar7hlPkzSVVKl0K9+Z71EAv4
6Gadq+Zr3GVw4OADaTL6r1RAAQU/g2lseYnYN4TD5afUXlJ6Wb0FOHwz+d1XhQddsfUSqnqk7dV/
c6Fg8szJhXI3O/+CzYNYIfQ9GQ7XLivQv2yIwLAnjA6MtTa0LeSBCkp7p8gmM3u+plEhXpn1my0I
tRUSlE6BI39Us3b6FKvL3eX5I7PZmI/lk+/hr/ZZHWlxxWvJjkGUmr/lPPcAkVSUGyO2skqV+yw+
7tfjGtduPURBiZZ2hkUG5jpIMtWqNsxs9ZEkGOBPsKeuF/floqjcrprltr3je3Hh4DjRAokrtOVT
uZxkZXle2s7CUT29pJ1hbsuzHcJmNKv9j5oQTG3A4Ez9Wlj+CL/fpd+873lw5blVXDTbASo/0/dV
9EpMPrcPBSRPuVhhRMn4LrdJ2kfAnIJBkZLOMLzc/IfaYU8CQWxrjHIy4jdEPu09x6o2D0MKvsqr
DBY9a2iPF8+0raTgKye4DvsBtsKTrnexnWt1fcfC+mx7VKTCEhuyV05a9neREjI342mbZHK9KLhT
GBfIqGazwKCEM9UPFHntAwnG7m858mBuE1OTmb+/LFgrK2B0/+zDdQmrHiIIgw6hDyrUwm2Gaqbi
UIgTf0s9rG4M7BfqUADKqV+un6pULA9H5NnqO0vu0/OlFVsRvPJg81hso9d7kzvNBLf58QBEVgT9
Ph6BkLJbYKKEB0oQ9r16pOOrlUQ6OrfamWvY5NoTFDvMTa/eD6LImO7N+1Wt00vZdGsmxgIMJ8AA
LSGZgEAySC2++th/Vgz2sA8y5vP0qq6Jb3X2Uuo4XfUHB1A7VoFAwg+1IREm/CU2LabOB+BDdetN
RDHNnRltciqGJ/qRtmyd9TkRjvMYY4QqUuHmFmC8O632VRPTPXUYI4Bw5eMwxoEqgn+7UuZNtAUE
dMkzTgOjfjKRW5m0+MrxkYPYj6B0yLogurEsOjmtnOdF2WXVTea9R5vQ1Ckak6u4SqFXrzgPGOGG
1KxeaJbtwcvxpnpZ+DlkwYD8QxY7+7ojoeg5dEBYXAjxOKkFw69LPVc3SsnOHK/xFVGI29bNz2j2
6qziItQdXrZd79PLpGCmPlKOKwUo0KoTmtwWZf3q8eK7DobLuSDYw0gIHneQekWJzmdcztg4kWWk
RyTofGDk6MSKU2pvZfbRs4EzjZF2WIS45mqoCncv/Kg1Xp6s6O8CxyvenjZJnB1RBHpyJ4Xpy6Db
u5XDiEGrWCJC9CpqcuMovo6iXie0/U/08VJk3xavDiYn4rOPxX+I2Dk4VsiWf1YuIvki5mY3T1X5
ihJCkvUAhECu4kTGVr57KpoX1cnO9gyFWKVtXrrMObS3oWqRUioyDDWtgNC70U2io5lKkdI++F/s
2BDWUvBjCHA76XemOGyB04+2fQ/b4LdxiM4aGy3GFPfGbxqRkQNzCkkEwpM+9U+C8vUyx5DZ52R6
3RDDnb/+2UoeP7Y40kCawxH/RNj92/3zffBSO55YGnFEPapwkmWRmhKgkxzwMq5PezIaUQMWUSfz
lfPnXGVWtl7sRaA/3uPN62d1jDpmEgjdOAsIxjs+qX/Jc+JnrTMt97MUGeU3hue5nqAwohZZUTdU
6OZ7hzT8lb1zCXYmnAUGec1zXTl1UUQ/H78slvBsVLOBcFXWK9Z8RqjkyYZZV8T5dfcJEScUdBWv
z26xjSbiHy6+jfS7J/XyjFtpK0dZmMuQrynfJxdpX6xgasNjAk8s0X0iIrYzGcMFv9u3baEZwK1g
zRtTatWh7OigSPecOWT5JVgSaz6dXW/oQZysNpUXpbxbu6nIaC/clYfhNjLIRbm3jizntgwLwhZA
b694Ct5mhDiaFd6Ap/ckllTvwa3UMPON5cFN35lY2V9yjXQy6oO5zyRktGb1+EAtNQnqI4x2NquO
cCNEcA9YgRZpq6OzZG1d9Hxj8c6otK7ySre5f33L7sX4q2Q35T1bXV2geyzTLEVhvUnOQLlJzMMl
KKJ5OYFtQ9gwZCFj9M8xUv3YPtiMVS7kJCfDG/zHmFuxDJRWa82oXMGHur4nLaWwLEsfgzgARx/t
cxg4+G9AsKycR0E+36dGVXVyOpjkYODp9IoJbdaZuAuyDOnEAtxt9vFBR3lWQre4s5A266qCrYHW
rEV+S6C/dpR27FCUrhtDZSAN+wUBGrT9L8VQ6xhX8fyRDIcIfncGHMEMmmHvUyU9LNdwPwlFvxlo
2NMps09OhJu0L0S1/CD1UJJ2LOxlR62VqT37sjzYMZLJbDQxE8Tzjj3JCIuNymVf2InWu5H0Jmod
Tpq1r4krZ7BuNGmmZ/bLCtzSRBp71ZQdfpEC8A3BvnNgbsuNQgJpSnKXFrOZgT1nFKkQM0zb3vRX
cZ1puhad+BnEIGy7+vvHF9jmW7jE33F9O9n7ozapfXpYcoNi5lVlzuqJh5jFTUT0r9H0R59R1jRI
pqeCmQcB5cj2d09s6FUqt7HzJ+a8GwGDttP+bQTY0cEj8qce0zuvY9kwErvxE7mNJADpl1TzSM1Y
mCQKv250+8cEoynCBO6m/LnuTOyo/vLac3ueeAvsgoYkeq9b+yctSYCebhD7PJDQGVuJNsvM17st
HC6RaCG+4boQ8ZH7gI8vxO1UB2RNVd6F8dr8F0F/EAPwkFbKFYIzn6+i8YLCainuxIHfTzxp/d3K
XcmIsEurAAK97lD3OUzqOUkdDSLjR+7P3Y+S5tse9Zao9H/IEfldSUaYRVGzsaTtrMc8xLCHyhxU
E8Cxk3svDKLziB3iGBacHKXSnX9y1cHrf7Ty1HlKp2MeiZ0JvWcbpj85Gszn+yMooq9FGef1Exk4
Q/i04JunpRIDYaJ7w3CVsn8Doyde4ZjupiBBMun5W3azajY1JRpbvEG9cT2U6CPiPqylE2ZBB7YL
lryAxjQMohl7zeyoa8pgh8GxRDngxKZa7cj3yqtggXGdtlcGrMVe5DaUHFcMDYvOdc/rLaRX11/h
SDqbSya1DnxuCpNShREgeqWbw4RrhXsL/aAplY0vhTGposJBb7UbToZKjeDQF0w4c4PF0pPcPT2w
B83d02++rBwulGrwBONwPxI22wUO6P/IfG07yhsqW+zMspCyqImsnFFCrF1jhzG5uswzEwiw/dpt
zCLKOTXZNL4MdYR31VQ6lYNKf99UNUuQvuiuu0dkelFNv70UoWmBPZkObEfwuoc7vFExUbHGrQ2s
AQJ/njSKr7gYLvjJepI05s+3MNmvffBv3iUXApvEZyq2pPe4Lm21A7pWkhqw6nh8vDCRCAibh91d
MaAk2RK4tiN16hY507B1y3ZGbnuP/GwmrPDoLQb25byYrLieqfbjZnSmoS3cgjXcEVTmg6isJi8+
d1uU8eSSpcx1Y+utag+mc8TaQDNp/Yk/RbX9qjAKS/+6WzmKgCzEs/9BPIllyK+kUqPYBzk5n4Qj
djFdfBDmKT8rvFjrxCF/KMpAbaRp8JXsAhXFrWUxKssQcW+15mvGuFKG0ir84OZCioJ0KyLjti/Q
zF54Yr4jX7c1FDn70k0daa+BRKEAwjl4j8E1ut7zGGn8SanQv/t7mlXCsXzdgkeq689Je4VQsOeK
OhdcAu6tXcPjkHLtMEQ8Gp+Vx1R9u8zdiJqiyq/REpLkmEU1Q78tlkYgnEL9V9YY2JcXxThufCTz
qGjbiI34KoT9wfuFKFrtlXEjUkXrHXAosD0Kf2/nAnSqRTPm3qlBcw1H1CBRgGBZJU5qPGOGBg3b
9LzMmFRV0lDS4Tzc9BK2ppiK9jjyr1F8JEte9TqHY0FRiHpJs51Xur31zyS2Zmkiuc8ezoZA4iTt
6v8v3B34ZvP0JikBbsS0y8Y9TQb34yYb4x2N2zkOrn4JonQkMwlV+TL2cC7CsvzlIielyggxRkLt
KyazOEc7tBxLAEq0/QC5WekEMluJz8IyYwmi18Q/c/AYcDPir3fJKJiZABNbKsmicCac8aKkwn5Z
Ltxc/kor+Zl2MUlm6SvEf8kVDbxF8NM8il/KLQ/SKypBWvUbKXbldQYgjSFrN5E0V9btGRY1ow/F
wC/UR5QeqnSSp/r43DmIn7nuQ+ndfpCsxmCojAWitmIAGQynRvFQFEkOeEF6KjyvTYDjn01T5hsv
iXY5ZdCiSoKzW/p0zmTaTUwdboxMdsYv9KtwkdHGmWjOREk5biZleq4tfxJBqxbii0KnXxRNyisT
+5X9L/+COAHwIXg5ErHGeAVKs+H3al8+6kyu8cLvIjpvWgcUs5h37leKKm7aDWllcpuwqvzHVhuC
NUiu0N2NJP0ZZU5AEsBX6LjAj/zlTgFOm40TB8SkJVTSXPAfph1qhs6xRBBcrMDUkyfHQiFYl26G
7cC3UNyJxaxCRo/ko6U2b7sNhqvDqX5wuO1RQwyjFRnHO4KOCoIg0n+EoKFieOdPNBbw/JY55vPa
++pOCpT9WbmWu3dDAojQxrCXduxEaDXMxt8l0y9iC8S2JJA24vYJUHaxP8Od+1svpKe4wb+Ujgvp
YS+wOuidlihX5RWuWQqx6rblLpVi2UWysNjJVo2frciJa4rpI+qvbzIkWihnztRMEznJgfi64Ju4
JJsc/cVBsiZerGg1VQF4Ev0AI/h1zU/m3+qbJMvPJjl/QdrNXYS+zuY80ZOKN4j3mjYgD0qqew9t
VaDUDZVPJ3Ivyb/X+ERJYKLlk6b7vw0VlQ56UJE7kH0hzNqWIQKSgXgWtwnLP5+hlRxpkX5YkDXb
IVl16xowIWBk2k7O2e1VVaqBHpeJBPL/0bvHhZedgk3Jy6oJt2cbtZvFrqt+cT83MWIkX1/CHZ/8
T894/3ioVyMNT7/vSZTUzP3vIz/vWrK0VCa/x9rgl3ugUbfMQ0DREWvFrhiQ4jF1y+jOXwWAmlP6
G+wzxll/cpV3m5qpgJKhYE9XDblZQ2OTUObRWJ3GaZurh8aDxgSZkaMyxgwn9hNbBop9SCr1F39g
RlWqUXhn187wl1Qn1fCbATAJeRpQMxwVorgNgjvRmDwjkglKjeQCzJ0eHO9tilsy0tz1WztSpwS9
NzieiSXfBGAgAlq/wcIuk/3qnQMSXhWbspnH+OArjsIiAdSGACUyJq0qA+iVE0QbpzU5ukrqii1y
6am+HNqXXNjfmJY1wi2BecXRawjqQpVfH3EloZXz7i7bauMegJ5xhTLFbwg5mzHPOHzf0ln+y5Xz
84yss/GSHIPRmQaAIE6xUnNSDNdcdP0iPRzVIqQBqyCTLtfVlX8Jj7N+tZOINVuQeHfdVxRfH1km
ZOVdOaZaTuuxnCLQ0ndQB/9925MxtnQRVvN4PdrJs+pvpQPlTnIbd3Q7+uHXoqunGUamifYsciBu
uSRHvNjR4YRf1WxFepD4BI6cnNkvZx44zeCcnSF8hLWEWI7QWWGLRVVdSwF6bBgezjaxjpJkGbgM
oZ4blbg2nmPfQvqncv1AyrmTVXMk6KMSex48IQteWw1K3VrIATNoIdTPOMSFIFLScvjrPfJZ9FHN
l8VtuEcHwiaN7m+R9f96hRePunbQu8YfksNKfV0W44PP7Ge2lSRl29vM9JBy9qivMln+k+1AU/lA
rTjDxTC/lp7XR3ODBysjiwLiKvIdfyVXrbxM2Bvl6ezwmDoBYFW6ZdPOG7fZ9DuI8gCs+8xKziTR
V3ekxhr840XRDTn4+r4jNuqdOrcDOeWwn/JPZ5WTg0Xp2pM0XO3u2hXCNzYkcfau6vf29gce5H4W
oPkiyYdwdhOoLlW7pofeLONe+KFzFcE9VDFiJH9KyYNBOxC26wbpT8DbetOP8dlSSeUlvBaNMTtd
wYqdT16MihSAjRW3lEqOkWoLkouRdymU4TygyzcmYdcWwTxTVRM5gJsNpfFCmhEDkYT05+xVs2pM
5kpnz2jSC97OPjWH6GMYfCzdYe9WCFBWL9Y4STDtYA4gu18A3UNOiGBWw5eRd3AEXxquMSaCwhRF
4Nw3qw9kFP6kF4HCGBWutjBHyn37dfwlbS+BVY3DeNAh37nTkgpYt4P/pdbWvT+gL51LZWROsdLz
YjnPk14NJOp6TF2+gycsl9Y21lq2G0TLxBdxgpHFDGdt0RVuzmfEodWtpt0jyosQ41Toy/VSbSL1
0BZdeaS/HGpUATwGIo8Z4TkQOh6j7sI55tlMdMzjVLtxAj76eZA5ZTDGVdzkqzHVuLJNuqEio0fN
vw/i7ktQyIsVkQr+B4xekWURfIA1+0SFov5y0eqFUk5Jcs++e81RGUqRDscbzLr+aF47xVTFND7/
DWNZr4PK+v6EciBsVF6x0Q8l4MOLIyxDGcod3zYfw+aYHX1rGIMX8puCAsT9IJVQbkiL0GInh34S
IwkBuX0QqgXOSju+J4p+oesVheDQjWZx5l+act+QH40aQGMVymCrR606hm95fQAe5Wtyu1ohxrrT
euiAr8J/0cPUkwWsHnGDCQ5wR8/RzwjB16orIdVquBbOKWnrqa2HRhsEQNMyCZjahFjmHhwRJRPd
j3DwY4tLhLoeBr0beWxOoX/VrEjJafMP7PLDG0tRgh8dm0ee16KaaTMttrN7+czpU3Wyp57U7+Pf
KFlR2zXAOJXHRHCaWOvmxqxyWtx0nXCsu0jh7m6Cf2pC9UR4DGKrQ3Bj1PhwQslK0yzJdVVhNfBI
prPz5ChPRHuRnj4/ph22VdngK8iBW/1o0R5OHF5P15bXsY8roKnRXECcK1VApQ8HzkxZ02nh1hAo
00YiaBac52nKILC1OXYQi/s52hh2KPjQRpH6riucxpybboYXVazSZeSrposr6r3NziCD55Fe2Iqv
B43nTnFMy105yL3a0+e0FNn3RizrzCSPUxmir9pEcwsk1Px8f0sfjIk+TEEDeI1vi/S/fuk+2kx2
And/QYOI33A4fjLQ8NCLtkLczymBxz4NsCQYLxijM7uYQP9dJwiqGxJgv5OrGn+vdTYtg8K75O1W
qaDf44v/McvyeHm10oY4y+KJIYYMWjn/dN/ScG6ljGieivxQO5oXv+NB/E8U/aqx1H4mBogA5S0w
Bw8wfmYI4uwFltI8KVabBszV9enFFCr5uykbQbVs1YiZFMV9kneuXB1ISMZYs9tU2gWHiqtby8EH
Rju5l+Ye0pE3RLnC+PpICTgt6rclqN0/wnmqhJharMncGdBiSePb/JH4eg4/ZIq4uybrVZ1klbAS
8FwBWb86FPPN2/NVxFBf77s5OlHwCdYQq4MP8d4YkX1yb5BMJjABgOvPsJgru9dy4DFjSF/iKrwG
uauruQKzk9LBQrewY+5kDWH/TwDp18Gv1U3mEfiQYrgq861ahplCajEjt7uqjCj1i7oh6pAbH8fa
E8PuruuDORGeqwV1bPeZeA6LPPb8p8whYPn9TR5N87kDEpzKJz1dAW7Ah/8+wM3YLjMXBPm6fGs9
r3Z7OM5OE1ZrmrgBZF7WD8/GZ5CWhX1F7Fji19kDAff3BjT4AMmaK/0ry8fqnZmQtMX0dzYwFMda
/d+J/SLLTYZ1atPVPQ3otwos69PQEP0sp5guDAWz5uP6f9SgqWScO2F1o6JIQ5X8vlh/3zQqoOxU
Bd6WFi+VQKAw7ptMq9abZksLTPj2YWQm2cwzYhgTR/emiOh5D3oBlGS3hj6FXDxU3ya1+fAIBa1z
k0II+U1Ri5HV2epnxit0DDaYtOTaFOvIJdfZ58oJl8UeQB4SDl4PUJrcd48+IY+56G/tUZRiNKwp
Wq/KOsnSQ8ZR4OCZVuDhyDaZC3V/5AXNucvwAeBE8kQA9hyz+qERiPXrvwS4Q8bzQmiBEFoCjGwE
Xe+JxGJot3t3uz1DVXfGn3hNuPGN4XdtPAuOWWm6dMHn2IGkM0gJG6zNFNZjaa8kj+tcDbiE2zyh
A62oKdstv+rcJpjGhpz6mJYzymRyEG96gWX2RvTcVgO3XCVlzVkT7wkRqVK/C8fJrzNazQB9Kure
l61QpZxsffnT+atUEClJVoL8KBpXvKiFsP1v//Oe9Dfo2b5y/ocQe/uEmGkNl9JVgmYIELYSlniC
mM2AY+BetbIhGJHrhoI9x0cTdB5QGBPpIfHTzItCCjgvHrIv8dqwgCooUSV3u519nIv+En1e2G9u
ut5zEajiOjgKgAMfx7Ho0yp9BbKRBQn8TJ+Nuatrg/uSTNPn/K1vIRjD47jgAdqfN7BNukKKpF7C
IHGCKwxpdv2pbeMErHt1LqCxA7NwdzSxkG1dayqYMNyuI3u0uyWIvraQBBurivLSkac0fsfZSK+z
vb+i0AACCeP59yTAA7ucT1akp2y4n2QFirqgQoJYQobaKRPVZu6jMdWnxeTosemJW5FSSqjqyE6D
PY0x3PCTCyDooj4n5LNmOXyjvaQXbwnirJEZQyLTBhi+SuXaX7zKvw886NqGl1XOZbUEpTgBv9cy
Ow9TnmUgiHAFYn0Yp3zJEmfCM5ioN+THncSX6kU8v6HAFWsdom7vuMvRq8L9Fsei5sqbp8GGNhdJ
/37JI9pOgNFjCfkDglhp2OC37r5Zh2MrUiHA6bVurQjFAe9kyD5uJW9ZnE1J+kLdOuZ1Icwlqtwp
UiRVKQOqnGDwfdm9h5lQGTA+cPxWXyiM/oP2B16X7sR6ycGLko5GS3X5iQikYY0cIbh1bD1w1UyJ
jfeuG8cF/iQLW0f07nQQvAmFu2ogM4mJeDkWV8Q7kSC+bdMHYqIU9e3nqTx0iSVxmy0X7DUFAmLH
xzr5GmykUivK0mkTorNY3AVFKzCay1zhxJhPi8Wv7QSJ5h9nKT8jWfTOIcyAyrzALG8QxAaspXpU
vHlRcXtS1GgleVh8FdLjFD9F6/IBWHp/NChiMF/a/PJ8NtdlPOvCHk8dqFQq4mQOL0noGjkLWwc+
POZUYvKifQKzLewKp1JudlxiFMgF6zMFqyMGrxwdiUBoPtMGaY/DU/diS/mMMknPOQkXG6qADpL4
Zy29izMryl0vm8MDcQ5U252mKsuQcus/Lwmm1bKOdLEJGv3XQjR25svxuo3byYnEzq5KifPHtMas
J5S29hGJjwrxDd+jb4uURAbaOpLJxFvxkpGhE1yr5fy6+ogS39vy2/ZuWe0WndqOj4LrOCGEfvKo
pTvieYVTKbbcjc5Wjr3Mt1SzObCPttkf/VCcVyIMBgY1Zd2qwLL0tJkXvgDPDcdR75mMVFmAWPyN
HKF9V6Maxkmtr0OaMa9YY7x4iqTe8ZZSwlCy+V50+cj/uz8HPk41X/paGJfH4ojq9yVQKSyWxyLt
CpY3LuF/GptYXI5lzcGVU0ujiU6lwoSpQUGxFO3OXGMftOb+urrkUItAeWImPLyZhaCM8Hl+cDBQ
NiyfioqH5pZ1Q7jiS4r5wrARSAMCkGXc+HXhnbLhsTBxMCmC7kAZacXNl7cmhYJ9kh1dsqjNhsL3
t4GQCTkCf/oBTsgAnbkI8jO3UjY7XxjXosmMamfxu4J1BF6lgOtS7RUCZXpvCDw0ENYjU+WYTZ3B
A7lfKOiN/yejzQZ+7ziyx7wqIzF0mp3eq43IA+K3wvvhviIwHx0ikmUmXDCxG5HYcaQGKK6XlSvQ
UuYL6ODFyIM8P+6ZQyo6OFwR6Bf3tpnKc0p/vXc0Q/22EhP/NndyA6hlyS8YPLSZw+7D0BMvSLY5
YbT/cIr9y0a4V8E62IYCI6m1S9+3JEZFKTKzX3ACqmcYFhqypPTi01IGreTx5GJmV1iTNlXg/SIP
0B3Ms66fLhbumj6WzSOlwugCW12b/NzvQeqEWwl6+nkd399x9xenkbT4EV9hRu1E3aFqBW3x8Aog
lryDTMPjF2WxNUeC/dJP6R12QtLDlxpQOv0267qigpTEzw3SAsXoiQxsV5++yan3/lK2aZ3rpBxu
WVfTlFi6RK5/pPVSY0OGQS2mtMCzIx8PhgA0WQNrMvT1+BHrqSbXcveQyk+hMHpaGLyLhV6zzz//
9d3t7qr6d5+PwGA+kAvyAvNOdcz47OtdffE5Kd0WtGQ9w01tG4INHJY7h1xaXcn76A5r3QSbG3hH
NJxEfswAtlNMHKymiHPoUDrK4h3995IZFD0KNEnvFK88pAQs4u4wlsXmekwl1z7UFDl869UQUR5H
Y3QToDWx7AiI5IqlELsbDuBlltKLyyVpZUblyKTtiCfNgoRuZgYuJogT0Qc2WqR/AnwwwfFgL50l
uAjLuc6DBkYDRdyrkQ3P15rZ1HFuXUWcgQQ1bMTlIgblApD3pemWCvMiawGZOQ9pr+hit7ibGIHw
ZDqGfNyNpRRcY35E7S+aQmyd3o6S0Q+gC9bGgrRFugCu7TKv2SWw89PtAl8kxc5FM/8KwWf6XRNK
eQXgqNum5+96QWuiaHfa5gv8NdiA+dvAGI0TxTxbs6TmZDPyAZ8nZfh0G64jjKGPk/Su01JAhgM6
j1hEvRnC2bnhD3L22iog3j66NGW9XesG71JFArdi9qCJNAFOcPonS2dOPXHaE8hFHzcBsGT0ZYGa
AK7xIwPsUxmmQuAYECol1YyskMLv0p20EfxZYOxJsa6V82YC6RewIM233Br5WadHHGsTDr0kI7KN
zc0f+41bhd9CAQfYvbr92WznK6oSoyhIES4r43wkp+o4vbUdWpCgsEGiChnuv9PWGsGJPwYM9Ufh
D4v0wzFoWSrv+y5Sr5WfOQ3PA7UrDRyhjo5l/esF6/6A0fQXqAIUidB4LG1INBFmZSK95PJnQVhY
pinbzA0JTEoWxhdKjzNRFi3f/+4V8fHt/giNcS0HhMAMaKaftLUnlmWGlnHlIaOJcED5qgVgNNXB
rxILTQsjnsMuwLnsQ61JAGt0Vq3LLpmIU9WGCCZSelhb6fLoW88PDD1CWaWChsIzr9V3SJ8YfWNJ
mWPQ3vvz/2Pl8vUWX+Ai358UOOHTj6vnIYljzhafxGjuyNObB1l9jMA8YKtQr8hLkPy+QSlCuYnk
bi9eDP8ht7uWICIrnGyv3oxc8Gj7g1t/nK32POxmB5s3Rqn8b/dTALIlLue7/Z4PtwsAWAS7ynVg
S/2l53gavBavliIJ6gttVik0JiCiU6qKOE/bRvl3UldgZAQG1Zt/mG4VK4g08vyfmZbAiFU7XgNd
S92MLseM/AFM96KcuTg896ojNpf2BwnR9Knp+nnMcoSqMl+xRa2pS+ek87ykKJQC2LrE2KVq8A7i
8eXroZpqBjdsL1JIAHXtbBs2UROewNx5t/6Fye9ENySe8k2k4G0sMoQQpwRNJW1semx5hBzbS5oR
31zZV5dUFdNZ92w0WcIWZyMt8GcbVtXIAHZTb2L1PMWImSM5XHaK6dDAv2TleYF3Fj2oP3kgXkiS
O0ofYd48kVfUhlbrx8u5qdLyli8NrGprtznu45f0Uk42++tz9ysuppDXgktL4+2Rm3xWuKQje/yT
89GOfjHFbrgor0WDXyAf2c95BlBeN7HcOIjfu6n2xZ6Lt2UOdMQozCo02ZduWcSjRqHZMiEENlmS
J5YwO76dlr10I9J06VrPrjw1iinvdmvKcgnD5Zjpcp3eeZVpcUcj/3zRnRtqzkQK5TY27cpy0DXP
3v+vC+QLNlSSfWsPVRrdgTuSvbcqZs2p4NV5h+7SNYxNDZ/d0Xfz3ENP2VQMTc8KoZUp/5u49ZQq
DfLHHJMmMUzLt7fAfss8dvX9b0zoY+6illRKfydk4jb/jHDgGogRzp1ozqzTNQa8RyfgBA5MDTvM
eaUOcJUHMVvnPK0lsCbVyL4GsRaOMR2xLxZ8fzsocxz98HuQqLlugdQijzVXV2HuPQju3M2cuAGX
G1JoFSHFYimJfYRqmwc3uQnKvBZ8RMM5QGFkZ2bwsaShm6x02ldgZ5vPeDmuF5/nBCbqF+lWsOmI
sSbFR5YHULUkvEqBpFtNAMWdlanXs7Vp82xyOVxt2xFdldDLHBB+cYWHZ7A42aSJDxlyN6Mh6MFy
OB1Q5d5P48rgZvGQVmFE6tqmEfb6xl3eIojAi1NvhZBa2YpvGhZOf6JTOiNbkKZLk5AcpiiVEXHz
WxyFLKi9ztZ8WjVxGDyX2BoSOMEAoX5eMW3mfWq9WXKl4GJg2Gc0j+QrgYqwHoV5kdGz2ZujBT5n
48O47sDUwT6dAPr7fhE6wBA75bF1h+XNN9Ooemdrwrtwbz3R+WSDT5G9uG2oKtr8XNClit4Vwbbw
HeZQ3kwmFsiBLcYiVhSI2HDdU4u4kcLdXMYOKwajwI0ALQxusbNhLyPhMvU+d3BD4oFfOxEKcLy5
JIQCdvVs+VlUbP1hb8tWUavYl7wgc+Rk+U3GfkEYRK/qkHzIwGPEHUuTI6R3lKH62+XX5JE3Tp8+
jemq/zyWwj9+lj1aqODRChWR4dGHsw7Sy5zjGUAOKorU+E8zRYaPHgNWq+OG8XwWYk72WlD2y8BP
WlpV/QbIaJQMp4GWivSwmJye3TY1KLPlUquA85twqJRV2hbaAD1nQ6bMkOlbATS2LU/1two8raQN
s17bYdxSY8bRGcvSt/+ZveO+2FgdUvD/Oqtn/yLu331JUw52CBxoG3CnKBL4zO1x/E85NoDbW5yd
Gmoj7+NjXe+M9TbJXaxqY/Ow7CPKx7rH0speqLf1EdxLgUa2DoN23sxJhcP4E4kAuWgjDNlHwtEj
RsPN6ZnHYkniRNkuo4EVEVy6UIn0S9OAZ4IXPP0WRK/TEg1FatzFVudtbYHafMiXklWaYE3adhmc
8B7eoGJLRdzPHIRY76qkU5vVCUs7FKegNWbW4oWrgC1nlc49MbudhOZQHS8WeYnAflBnlGHOYavH
PaOhsDCMjGIAyZ3FCFi+Ukidb87W5QIdEG1I+++MJ7zKhyD3Hvu9zcLeVgAXB9rDqrdzP8Csx2SE
FuMfaKJgKLJet/RLIeUduCoUWiVz2inbFcJj7BDgg1h2HGVjgYLDlYGcY7upn6QqXSts6XXP1194
4jcBL2LCxRi8zv6Mwjfn1GvaHEiditYog8TU9fdQVO9sQgjGDuV+N/tNvKQu4W1a9UqVqEdUggs3
8MbW68mqgBk3IFYTu05+DqQj6vDs0qh66J0AW9xsDo+FkB++BPGYYlPbTROsDXMxRKvBp/bcrOwg
BCIHWhpjrs1WN+63cEKC3EFjTeqHkf/mGnumDQxUnG2ARVIecfoMwU/5b4v5GZI4sMnaJaEokP6w
EZN7XdWuISzIr4rb6R8vFy0lkJeqUw1LoEwDHbApiVDiTsAvya2UhQIlH9xWeUukTjQisqagKHM7
v33XZchwhWLV/oUJWcxHia9DOvZEmYX4Gm+zS7I2yIZcnD1i14R5fqGZymJoqJdBoT9FuIm1RtOR
Ag8nLU8GHuL4EppZrlskWb3BlXHQuI7HCvBlSYrABCJA4aMMOHeDWV+SdYImdGGmZL0z4mxD74Vu
4gc0+i4sLXFuQt8zMMqPjdwArgv0fVAb5YpR/zHOLIxSYzIVNdQEzXWVDE/F32i/g+ZoyHyvHYzw
1cTkeffbLNvdDeu5Dh0r0Ymd4S705yUtC5lQIG/gNee9WdXDPYSHIFRJMmtdVjD4OPJEj559aKtP
IRZgQNLcNAwNso94rAes4Poa4gYgQggJTMoK/H1LOh3wXG8NEonk1m9EZY3ixRNVmBDRik+JKonM
38sjtQC9UCnPyOFv6k//H4uuodtahnzATOo20+wr5xPUb6qyF3euBXTHGTbWRs+sqxqEfZHNrA2g
l8DyLojnEAT/wjY6ChqjeOa956cO2ZTxZVe4S2mc6K6TWrgeIM+lq36uqGZ7nJ09msxtgrYSeb7T
XbNUYvK+EOu2/57bhHaoe11vMOXRcZHD7Zaq/8jPs9Oi6+UNH7wj/TKmMJNeLfXbMdBmC5+NCA6l
lN6pnYtdgDkc9RyqBvKd8dDzveopn3FrGls0BPtvV9RxhV6zzvLDedleQafhYbUmq/bOp68k8wIh
nuxWnnNuDLFmpf6qUyJNLu2dUXjfVaidOKAX8djVUcIh35zv7eNXGFsSp2v5yQFWTYcxu+vrIepO
9eoUWid9JbAdqScsrzE/Cdg4FsaISHKLhL2avvq4cnZnMATchRWJSwEdTzwqVkCpdBRWSpmUL1Xf
pTqAwqc2hY19K75HS9Lhy+98Wk3MmO1G2+lUSFIz+vQfku8vCK9T8JyP8AW1QsTpbXnkea6pZ33M
kwVlT6UDC9UcspbBSoqBeEl694MfRd5NY1onk+Qbfvu87kOrjHu4/YDwcd+8Q/8r9/N1+FEZkMtc
GBBrZEs6MOB2su2f7Fq7s1BJ7gUYqnukKTIrPTaa+3F00mWt4eFWHjaoPZ5eK1Z2ToR12yqU+Pgt
YtpqQ0p7yfmuyFPiy7czfxtMjx60ajRyF/OtQF6XC2GIT3ZYoUz6RkZ/7a4yD6mgElEj/c8JRasr
SybTkJfxuJ+y1r79VjTI6YQGt8DDODvlMwreNT5coW8RpKFcxNGcj9/6Y9152v1bw/oQ/wHiQVBl
K+ad4AuKHapu6SDPdNxgHHpIfQI0saiyhg2lr0KF5il1GxEoTdbDSjfgwnvAf3XbyWN1UOMb6M4P
ZUoM6FxxwxUYtvU1swOaxBUmJnFqf7Uietg2HWqPVS6hfc48tegQO0I3wyKdGxDAp1JVA5PwF6jC
10AAFr9FpSqi57LkkWBUqIpgw33OwpgmP3SUU1wNcnqD8QZR2S6LHNnThwVuuTDP3UC1NJl/yy4b
B0rXi0UsmJW33ismyDHMOlRTTJppd1ujPy/2bwp65jcZhaRDSazzurE9/bdzR5+12WsUlgmWUk8R
hMdBWVreW2cr46by3TI0H+U+MZqFMHqNKNSKSQxiXJ3ReiqHxVori97uWbVt8G/AsFcRjq0qzpWk
BPWZ0cmTfmT/IhoomwiNx7cz5oMcGHzJBtP9lXx4q3nqrREPdVTbSPq/jeBev87nqIu7c807PrXG
Slzaz2JhW3Wwz/bn3H1N/l1HuOqwtqLwaC5J1VLfTc1s6bF5YPNkkhtTJdh2/y5TK+Tt8nsx86jw
yNTjCbrnKMTuKa+G856ihf4exfCennGeXvMPLSABceXqEe6b1is5PZHgyMGim+SvENoUGy/zWAXq
IAn4evEQqsQ97eHH1KOi+B40BUAbRgsIsghe54dc353c+ZlnsLf6Vx+FWKkD1vSjHYWDxkYZNzSb
J8iYTxyLlW2RANhtbvxB6CvAiSldmo5FQZp/bRsKbyJ3o2VWSecTFYljt5uK0K0v36QYlRNowJQ7
9NrjYDU3i4E5tgHL7ijiAO7iX4I5+wcI2Ze9Ks+FvVKkEWOcfLGUdPSsYBgSRlQkyZ4qyTCqBgts
QgshM9EYQAJZ5ialK9138fW/jZfbT1ewLkbBHX+aueHwe+z+m4bexQbhvNrq411e5tXCSLm+YatE
mP64aJ2EXGg+c/hqZ6HQdLPaFlRvdRqZ5oNrjvVdb96UIhqSn8Brs+Jrovl2IGyNEVrjaeSnKRET
n1u8YlsRw1A/8mQzywTDNBy8RFY3ZHTzVccYC/9hSwLk+/rkLoY6ZMp+ptgeM3TrAD5MeXRzxs1A
17d9wbhSNYKX7rcpop31UEXltIvbYEy/Rdrz2yz0vBJhtEiUe9bXIeFYCbY9Hh5xkBKJXnBpYP6b
woPWaEGgHRJ1NeK9enq99CiYIE2qFhlaerubAxB806thI8W3Ke+TRmKfbJz4DUs8V8+BtdnHOjQF
efgdDT0l1PKRXNiBeDZxjemc9eG1tJRn7Q1q3K2Q//odD4DXReDmTSAS2zMAkWA3TpYmhM7L5I8O
GdFvdaUfcRmrZFop3y1tgOYD/90YN7JuOjJJe65woEWrTMRmfdMXKZay4tBhSmM9IB7Ln0oXXKNt
Z8M7G8L2TYeJPLoVt64WrWu6MtULK/ruKcZB7RUzCMlCmuFIsBxWr1OqDEfDp2e60nkpHjeOCqwP
oMJMSWniDD2D9UsndO5PgHMYfMtqa/nBcyjQYpRlx3r5XyzlOSPP+qJk0elUd+9OpKDoikiawIXc
4P5HhC3gmw4c7bqiMM7zsTA4fKyZZYwQabZMTax2Wk19PbwiudGG7bJvuFGIrSe86f9DOERoxLoX
xq+vzfKa+qD46FlWzV+9Pp6CKwvxHQnW3Jh2lVdILaMdJP+YsKV3ikNCM8Eqrcm36mlEe/5y7goF
0iq832FOUmfkGNsnwGbwVRD3FhKEiBSA1gxx8wmlrABI21+qjjvK6YRt/YelzgXXu0KMLuKuabaG
wM0ysddiSf9A458DRaadtQPd61lF+OClvm/hRN46gPcH0KPPaHJtitmC0y4qp5wuF7IRAtQ7Mo1K
OIL/SQ0cES4vbd1//Xw4KwtrERIINxIzMD+jaHcN8lrdwrWp7bltWpkSUb50g4c5iCvIDAls3idr
WchVfwdnO1O6riSx2gvKrOfALXr/faras8zpwO2+UAH3F5Yt6TRkcq4YqzHMh+t5HvzGtUbsXOFb
4PkP2md+W3cvxRs13qOPJ4nIdI8wvy9WPtUuAFQBNvVtSXMjUoY81rwV5znCc2K87RrOo48mhG6g
uiWYg4ctI33/ZvUxQBHZlhQowFdffSoxFsN++VSfSJHFp75jbtO0Ie98jRL5z5m4Og84/gOnKMkp
Wf5c7k9ax8SLr40btojoRWy0+1vFxFALvF6SPKoyPeD0YJz8f4inagWEvdxg5xiZ3dug9Aduqouf
ZUiyeIjMlEUkySlXnHzbGScP1FO1Nvpvxw4DX3Gp8duen+okBzaS6ruYiKxsO7GhRgwCj9NZu7Bl
/XkZA3ur86qjO+uqLhG4fGHmRdgYebZLtfqZkZYCdxzffylrROZrKENBUR2nOWFfaEsOtKIFNHBc
cnZKmibRJ6Yo6hBOkkQ5G/v3CpTwTW9/jba+7e7DqPji4REcNsW148zXGMMJCt3qjqskkjm4Xuyn
zNUzPzHKFy+Jc7RaSS3c5qlGtqN9j8JADjyQFuaGwSmyugndKFUO7ZrhSb5TAxw1XT4cbjOsmEBi
uIVpu4EyJeQJ8YpjHotDzWaOFQgrf5D+dD1oN8VnGGuR5soSGscO3KU91A7If1C/kwf0UDcL0lWz
wzhJL15pYx04FLpPYcQpVP+UNu7E8PMx8B8j4AQMJv3f2XkVcYfuzVKuPLbiqitnLeoYEaGfpWV8
fJW7BeU13VpV+cIMGSfR6QXNiOOd+Taxz+GIBkZ91d6MHgmPQK1mGqELykaOFDoMrpruAv8HNNKs
9rP/FiiYK6NugKMtuVSoMy3ebBTSdw7fMqNx5G9UBO+AB3TzG3g5pPzd/zEmMJZZLIdWefCH1lsA
TgONcWKbFLojQ5hs6rBRFKXXDEg5/Vonmi0xoxv7vHKBBLoqxiiUPm/y5Pu2NH12fgPgrdkgslMx
2aYN+EhFrMaqwKcP8F9LiOs6plxmj9GWGvbpAnPJtD3ouO9gcdVuqg1lWsw7WKoFANGaF7SoEIpR
V7iatOluKwQxbeeR8NBg6wA9WWcoKURN0yKApO7KHBaOKRliQ7gdYuNteXsJeG1bEM8PgFTj7pYq
hlDevpwbDr0Y2nJc2+e4WcrSd+SAMr9KCnwJjQBPrPMaxfeKwZ7CDqDDGlnsRSJIDdBAND/Akf6u
drkB01xe8IwuYj3ab8e1+wTUD/qZPf13NlUsvFkeq3DloytiC75NWytpCRG/aj1eiyi7WXNdRm9L
v8nefreElJmauuniR8ERrpNI+8ti9f2pXdOyBBa/q5CbSCONoruyCwZFTodElc0UwdaLGW2sGm9D
pjJ5UH99WGepVbXAUFgEERZY6RVabiK3AbAnWZCOnOPKWPAtARgl00Q9SiNcZcmagbAsQfWhMdNb
TdGzhuMgxIAdZsMLkjGKiCV0BmkhR79w3U99HL4l3TzCCR3mccOhvSkDF1pxUekTzY3Eje3NG9BO
oERBQFWuK8QegaZ2/HX8qV8WVFhpSMFMHm8zdzriMiiZOTZaeVI98vKmi9ZtWnz6e+OTA/A2oFK6
IdcaepHdTWg41ibAEbJPTxOClJ15hN6uOInkdxWuY0LzO2LLKF1Q9R/Nc0/Kf8S9IQaQcGHXYOJi
5JKVR2cJ6VT7LHp41CQdR+iGVSIcSJtdfvYFx466LMsv4aspthGR+DZ5W++L+v/TqAnR567mshai
K9fZ40mnQvLzNFeaLcKZwOir7WdFW0HfpRNk31Izea7KCtrZNBRXaX5IpMzLXTT1ZNhgZuo1mq3H
tvOuBnJ/eit9xVp02KkGB4su3tz7wP2MyrtxOE/J2tXqSr5orLtvfhGmOhr9Eib81pK/qGP2rY07
uCA+81alwimL9tD1lcDB/fnGnWiD2wzM2BKQbBlHnuhBDI/mLeKfNJ12BpYNEVO4DPS0/TuBJc+5
5DJgoBQHHSel6PqiC9chJTf18NDVyrMnW/Ds3GQCFyXrX8SaL3ThAmLdiruVhnkSl9ZZfW8nnGdu
sTVSZIuOQ3lMqZNqP4qJM6UMLzx4o3k1UWOnOsylTZq7WOCHE198f13RK4z3eaXSzIs3PR7FIgtO
PeMk6vy7ZYGjCe2DxBhp8iQH4keAhaa6pYWvpikX+G2z3UuxRu6NH/er9yQut7wBO/N2wE8cquTP
WJniaxfyVD/JNMHh0LE3mz4FQA2N1Ac9k8ce24S0c+hTzFJHHva++9NWXck/CV0Ix2lcRhA0M7sI
jsUEk3THGVDa4IBwiMK3O/hcWxHjbqMPzEjlKuJtiYsKmf1cPj+68zyCP512fUlBEaS/Y/peAr52
oZ1xQHW/WSTxx6kzuy2lTZjuawotZiiGhrxf4ABjF6r2AwWCTiVV0IHr0cImk89LQS+FF03KYjl4
6cAsq4VnZ7fdu7k06Qwx1Y4+BBCF8ORm1XwomXZOmLN2Uo9j8/vAIQm5Dqd3HIZ+GLPsVZdlziAF
Fcou0cx/2ctvwMYAcfn53ivonl4LKce81yO+ovxzegHescdDKHbBvuQEWEwDKbD9ZSlh/TvxjA2G
HIEMt5zgamBF/fNi/CYJGjD2bqm7DiKWVPM0bDYB3p0XiLAZ1FBdIBKc9thXQ18NaIy+tcJGV9wV
I4tHyDqH7DhtbJuJsBrilnbVd4Nm08ZkQC/CzcTrPyXH79NaF3W9rLfllLgPjL8nLzKozW7xZD25
9J+SdWMU96ZvHLW8Ph3Vo58xqG1LeDqFZXpFPlgu6j3JFY0NcfFjIyH1gyJ+NDbi33PPtEfVjWXc
wCq+S9zAeGGfsZktIMhtGFFEzr9+SOJVLnuwMrTcZ2XwrhccoVOiMW2/0DEWmiUhsIDmWvhtMM6P
l+rTzCZzrqHFxtxmwo9f0swNgNYzfW4XGZG0IeCaMdd/xPjkyAVduE1QqWks04RkEAl3Sr3F152n
gpA9nslOLfw/YeIgV3bnH5m20Eda2zLjMberyTU7ptpOTObJ4N5fMc/pz0K/SZC1RibkXlSN7wbc
4HZ/oFB2IGM07MI+gNl5BV9tbSEZLa19FeawefXH14r/+M5RvqDK/EMFBgweq8mOrUmoHeKahTrX
21K+bFsZGfEfUXK8M5FEuSbKSxz4zOft4hbbu4SL7RSNWtjtX1YPt9YCdYFbzh971uhitDXt3w1k
LvKr3ErYTzi+OVfAFky0xchZjN8bm9cOuNVNooxQHqthqGNigfEGG+CMyiHk/G4QbIV2UV8OoCEf
CkBjHlhA9c0k3TulBMGzWHmRaSyNAp7LN/rtv47roJfaIqh5H8G1VLQo1mj5sQJDOdx4/mEb3kFI
NaRIUU+uUL27eFy6aH6EVHIUnju+2UxfPHn8APNLGmopKzEPJHoGpHjQu3MyPMsknmzHimOr1ane
ibzV+m+YUtLDWynSTxfTe1a7o+ROnFRIqh78c0upfBdJbsUAYw0QneNMPslgXLs9JkJtM4II04bw
egF/j6t163KQLoqxPrXhSV6PL1laQzWA/n8P9TmgXXbdWY5HFzEYj9FApT5b4qGRnbmKRmwIsbba
aD9Xl7OqO79mfEowSyz8uD7jAs6SPnvo8u45wL5ZwtG+emXk6idDuhWCdbBODXFB1t9ONTZzgE5L
LdaNevwiJnZIdapDmb9p9togFF3oqN9llfiq31d3/GTsFoWFRONiUFRSt2N/FP0S5OcM9BMduXkh
xWns0sayCp4LxxKlzbgyg0jlK6Jt5zy0+OpgEZVDC0CAPqVbgR7KRl45ZVv0MVs4Ba1OvnjUvtbX
wFeXoukA2H0EGzZi4AxI8J2V6+wWf2+cKWNdkdilczXgX6nY5dfP1kaIHZZLwD5pAczAWa5lXhVT
KczHj5C5yeeHt2j0PPTkJW/fhVp4Ti+Bn+4DFC5lfL2bWTc2SqNK/hfYzchf8zhH8FXbE3hCFEX7
/JfzdgCLip2oJqWyHRqmZvCJ+hnlFbQrDwZ3izTAZVTNv4ITspOOl89FoO8C6mMQzqDy/rluiOUx
ll4+PorwnBdJK5kD90VbbfmIWPrLbXru0dYOAu/C0OXrXbxtrYr4JgVuaQhy6/M7dhWS9/EhNvlA
WygQ2J63xP+qA2PPihiuu7nxdA1V6CbO9szLt+g0dIBvJ0JEiz5VDXpOWE75VscQGcwfxkMMXy/c
5q4qrzJ4Ahhn1z79K8l4/sBDa1nONPfTHJdYtHISzJEcGERLQUpNScNwd7h4uRzWE1pSyJEdoJWe
7uKNCHc5uKl2yI/YN8q4B5W9wGQ6RotNAONeZyAI0JcO0d1YH7z/yzXNjM+u7/KI0McQt6zuiSaM
kCJGMU58Up2DBGq+RWuBh3Fz3o8WCNS2fGyy9ijXMnaK4fANIu0xFiqBp6tSb0LrMex7d067RKdY
yars2pk4lIdzjC9r4cAvLGy8yZCk2sXOi8pSpn51iwHCtcPA2BDv5OvbVcAlzmwjxAZfvJY4qaMu
FZhSfZdzHvPsIjQQitXE+pcD9DNuqr8gfgsaM1bY6LkTXlyjzX4rNGSRFxvttj0Nh9lBQQ04pJB2
EU+tc1gR0F0BDX+JcwDuWWxCq1uNALfmXE1kuaoB+7ifBPDeF+35Q9EabKVcJNZ75MsipqteIOLH
eXNHilvBLW8nwL9H0ZTqQgJNb5YGQJPoW9pQ+rI8IEDZq8HreBoPREFEhzdIEkGtgifWASsN+i89
Jc9pmJP+ih+HBiU5Tz5RHkwQMkr4yTwtHhdpUkeDHJ8AfNC4yn6rtnUjh72Lefp6FZNW7++Y00Pf
5IEmDtxx9CFkxjASpIMqrSlH4qgJWZJrZc44Y7sv0aouZ//BrPHEg5g9K2cPulL2YojZD/qHuRi3
qjGXRwlS2O04fen2gDILQTqPjfpisoxiNCyN8i2oFzWos6nANS3F+dRfZQ1SWJbnGJD3RBDZK/L/
4QaVTM/kJDe9Zd4TypCURurBk4eAUQ6VNINS1WA5A7B/9RgchTIdTUm/psjmefKPcStU9C4kgvmP
0JPatcLKErVthdjagISfAJKA1a+M9o1LTtMko5lqPjw9phZ/7juYx0YnvagpQC6YoKriO3INiB6l
djV+TF53K2z/SDHIK1pPB60eXouH5l0WhXlTcyMZ5EtzZQMGdhUq5177fRwKG1yLOHMhbccpujGl
9gqC7/ogGGc4b+wqmneQEQFVszNtV7SnqogCQhqnUMKAQj3WgjAZm+Fqwt/EiosBBHnORj9tmmko
8dP4DgmoLX+s79J6g13Elb1l8N2E1ZcYDlgttClsKeEdqhPkD0kRW8h/uGBXE0//12Ak7nxJv1W9
+NJucJFyoWJ7Ee6cFJPxdHbBrcXDODZsRE/spK1JePbRqTpFyZsBeE3qsvCGVVfC9LNE5i9hqQKY
iUZjtt+QIXbcVI7/R5JoLQYmofW+9YKRPbvOWNXasdylNSGcJ/5Mi+mKFSXse5F1PBRtIrTfa5Tv
jSH63+e3CLg3TShjRnR4D0Fii3+doNlxtLAz6pBNWpPwg4BSEgK009V3pGZ54c9UM1pu+m5PDQbS
3LDlTiqOofZeZ2c/7fGxTRv9heJeZhsB9MjQCsNJ2CMuHfaZoGtclKAX5rJBo1QFj+WAjy24ChwJ
m2FGpP7Do/PHtLlIiyteZS9/ZRosumJ6A/Eh/EY0mzEtlMKiXhA5WpFAufhrqwwYftArtdUi2sMr
T5GSQnMOFJGwltAYv7fQcBgOLucNC4OyWxjC2IUR3t2sG2oYNDeKvEjcvs/XK5rzWVqPjkdiVEdI
NumwCbZGEPQDh1coeQUW2BbNZPevxG9hj/ZIfSgX5dZRACEipoq8NE4TvDK5yTYCx1v2pT4Vfwft
3qKStodhBW1XOpyn20My/gEq+CQfLYkjnP/4BtkmmbJndbFyTVBcoyxKNV7VSCxWqtr1E6wEmPKO
Dmcscmi/JNaNS4HR7GiUSkJtQZ7ZYF8frUqQqjClPKyE2t2rY0mp4vEVpvp3N+LTWk6CYTeEApb8
ygz/t+bugNC+LNd7NODn5Y/w49cYGDWIATAzxfWsnAf9q+Yknz801W4unWWWVmr8qkt0ibNFAI2m
xxnHrDdxaAKQlmvEnr4Yv5NIO4VYa/5VzOBl3EUsYBtEtI+aYNFTS+0ZsBPHTdlUR6UV7pcBChZl
voFGlTx0Dis7VUxJ8/QUcFaYAykNSeGgW64hHvniavzhwYFfa3kwykBEzAApmqAyCLf/FfsWRLQ6
rTC/gxIJx5dpo+KVtzMKFgGsNefOqZ/DVP5y05Vt/4NUSbmAcP5C6q25Ha19soIu1J95EnEf1cPv
OwMrf+Zca401rVU9A4/+qUPHB2kxAIE3pwHpEv35fC2COVki0WCUcclf1NHzdNAJ2rtUCB6IL+oz
OsLc03f8QIna8pZkNFYkOAoI9OzGvlCc84ddxwwcTbQafUYGfMlGZ8zvSv7oYMsu59HaRpixfEHo
xj5HDxUv/wV+2L6Abk9mZLM0LRYIxk8UvvKG77IN8ivCvRyb2P+Dar7H0ZwViT+lqR6Lhqw3UY+7
LiwDSJjW+K+uFUXBWdafZ/uFy+r5rdlq45WVmeEvcwcGmukhyjpTKu0jHqCkyYPKLYiyRkKnIS+b
LyY5IJhOUp7O5k2Qb2aYsZpXN1LYfl1bzi4uZ5mgXDXe4sJcMlyqJ1VsuIPN8zG4We/abEVg50Go
/jYdHYH+OcqtGor9c5OGVCwqqNhqDNgIUPlvHFAyjX6uqe4ps+kCfdNJtbmFDbYWh/hcYc5XOZMs
My5Tq6HOyNQZODKktroL5X0cqWaVHVeFKpVmfJ8lwc80j/q25zq9DBm3ZGR7gp/xNVI35WpMf28a
0AMwKXbrmZkn4L5a/oU9ZfOISn2H4/W7/VLjLHZl2LkX1PxzRa339PmVgsizCfbOTaFxq2GZ2TSX
n8A0s5tZTIPTgJX7dSLKjgvQ792hH1Mn3X9pMoCiioOzXTHqawjcCawa8+GyJbcfO2c4KbBrT8cH
LIjDk2i0Oe1Ufx5KToJutFnZ5BsJIczomY/R/E9aOJELzaRx5NBXqHNMJ3f/C3COp6uQWAyJCzWB
BaYenUdDNuOwLLO4BLaSNvDUVjaRuJj1gWbhg2P+wuFokpYgyMF+aooNZyFFikPfsEta/l9UoJMt
6+6rj9vB212PEmwUlYH9RWfQKVtVPz9ySq2Fa2eiDLUhu2qm2A8UHy7iszkbRy/IxErGqs0GFMnV
ZUyrVMi8SQzgrbhYf0JyzIGAj8L3R2wxOnf+3CO71jh0VzHsCcLETvg4iNDcPdvqufyKemVgyFRk
KkvYZbNUCOvPnNnw5rXv0FXFRMiUOnM7PwoK7nvRDXskX5+C3vHEBgd4+PPdIt9r4WYnHtKzHVDu
9qDF5G5SEiO0G1RTFTlCHz8cyXEZiGMAn9tGiyfmvaiz2oe4cfGU8obpqu1hpwyn61jDQi3XGpzn
o90q0+c8L3YZd34XKrQauLFePvnGxcYFfHVWQNPC8UPUd77bTZqX9VRgVrmbzrOZb+7h3Y/QiFl9
FhUEi7HXuDRNz7Lc0kezkDIJfO5fkeuSWkha1ioWD3EfK5IA3dNx6D8SX1znT0KsAyM6S3zWygdo
MkjXqHzxuE7N7KNJCbTbTIVJTS8e17lvFMNSqkgQaJ/+Ac1wJk4JUZJQjVIt457FDZkXo08G8wa/
QSEMxUCEl2MR9mh07S2XAdwofak3wBS4pw3kNzn6Au6lTNLgPSJVH9E7uCvLfdkE3vbSrh/QpbMd
Nj7aEFwHHGvjUQTVkNN4i3sZ2ZBTUxXkSPxFBEREtJXREY6Nl+lCMrD3zTR3KdhYvstafwIpDy3V
xzt23e/JizW0xG9gx8BkVwdCqPUuVAu757/jsGQEcHZuMG47d64d7BO9cvyJbclCJ4bV7RsIwNJn
jlNZf94AaWcKq86k/3IjngEssm9XI5KWrKYDknfsJFEcbkOSi8e87O3VCXFjm+GaeYK1sp9WaB2R
LSvaKDYINUyiuUlxjHwyRve9qE/GkzRqw5lBnuph8uh/fatX3IwVUp1No/uaT5X+mluSHzaPQoMC
DOMaAlPp7VYAKjFsbRZnJbf9qoJCGVkm48V7xhO8Hd+tOZQ1GgkxyfhoAEsU80X+IgQMWZ1YRPyO
AJCZTFSXvkNpeuAXb/9eSQNB7dQX4T8Q4bwDI6pNfgrOOttmxL8EpD6mGFbbXxox3dcZRp9UlH/p
n2O88gjW82dM7NXQXcoTYM6UfdMFv1FxSxzG+vQnaN3QO4igwog26MSpDrx4yFt4HAIRJ0I4dCMw
ptLBO3ZbpK5YCDU2jSEuacEaCdj5nuGahOS4r+5KrrgyCMKn7+hvP711JZe18kwCxnd2huAF/f3A
rjlbNBvX4ykBD938iIp9YOhqcMaR3TswV/0XnDLMogb+WkiHcmgnjLuEWYYidhYgIn19KVEBG2uy
a+SyugjAH575YyEeQcWcBS8/Qw+zaMushA20LZHAa1lnMYtgXJsk2dXCBnRTB59WiyTjTbvHjtB6
CPsw64YKaci9L7w8p7o7NsGzfm0OflvXy6Elac74nYFqNVDA8zi1NOxd/G0mJWZAmVFp9BB7gJhp
wT9cyb0LzMm5s+576vTerLLwT7pXedRgL0P5D7M2C/irbaDfXnyml6mZs+f0Qg409El0kCZq0ZJd
W3JOZ8Jm6YCnoxNceP2KwPs0oYj/ly9c9aCKKWzNXndLvIgLuLSkv5jRNdkllODD+kYBSew7vnGi
R908KgbRuoEil/O7f6SWTzJ3RUCS7nO73rquWUXifxQzyiiAaYScRbEXz9JydpkhtiBeYOTzPU+D
7+2etcTKtbgoEBcYNYA5CPDcl1Klms/KsCQfzFVxCNn2sq7JGFnRI0SRnXU3/RboVYahMTgbr7O/
oMaAz0UHJlV0a3fnjz8Qeo1h9yjC8JZT2FosKfif12RZsmSZ5UA99ksDqthTr4NkZMJSpGEsj9Ko
DL/+G00/uJEe2z304z8KXM392HHfuhLBYypq4fyJNuOMnfiXHV558ngReCjKOJJVz5Dr8Jc+i7J8
DK/qkfd1yvsA2tlPqsaAW5Z/uE7YsNNkdk3t+UhBuljZz8QEHJXH42rw5Hr/Gu+gMerVD4eD+OR6
V2sn0K1vPvWHDEmSgHrBYtXBbQTdl1ZkBJBQYfZx51LG5PNwx9fVAKxKThKHQstQtkWNoVqOH4A2
9oSXRl53EetK0y3ZEPI4hczWI7CHqygVKsFhiKNotz+kE4fVa/sSEVXmGMR5K/z6zyTu+lotZRVv
eSypsx1PWwj6YhjFOQv0aeD7HRM0bNDIIx9LATTpclJsTOeJJjzSiGOhfRfJ6AzgeDm7wdHiOezx
KlHzCFhjFADU25PcvuoIxtGKVFNWicXx6HIxXghekktCHc5v9X/F9NCCe5UX3znRsuK86dEptat+
Oa2/HQ7lrmF8mSAfd6QY0PvBhiKEBlajT+YblO8MMaVqT7Rfcch1AENEdMlNpBwhg+VBpqgI60E+
ICsIAYOFqARIj3guKLHy0FZ8qA3L4zWGMrDNsoMTw+Bnvbi98jSxts77qPSv+TK6kFGXAodkjWoa
LcCKDQYgVSHPqbW47APFxOQEj+bzx9XuLtNh5T0gKBUmHw9MpZH6OAwmtLCE4E528MwptdscIOtG
feMRvLhwaP/3rdQF3Z+mt0SOW2nujALpSaz+8LMWVSm8DxiOQdc/K8YlTlu/kTz+xEZOGvJ3EFar
Tu/h4+LTqQ3Q03vlZdugLWTQJRkMvyH4B2th3R6UjZsFir1j4nBdmp6BEx1CmOSMWRPznfUfIdPs
jiUjr6aqRWfExcwF+enVqEX7eaLHjLnUoyaq2fyd5xPoPxghVpOrK7M2jzs42Ck28RecD4KvZvsF
RgjU3BzmjWn0xXsFQlGMDl/EFKTpSOKnZ5QBKo0Npp/pbVhLXckItwmSDroDqSgsBgyoiNOvqeDZ
qEEU1K+tEs4ONk7o74qFAIiiTnNsGpbalacNOB4DT3ZxhorxMSUSgJfqstlWZ+pyqXvpvMyaanTt
SWbDeiFOcyLxahovJ73RfgWTVFf+fD4xK0gD3fuY2ZoC6ulr2cm5I87T57R57he4Vqq8Uy6u9IhS
43JCoKmn9Vt5NLn7r8y0QaWv/74GnL5kM2OQaB6nhUpceUynal0UchoLsOBrlBh9s3CEnA+nWoWk
7yETgJi6FooveaGfXeHjEbbmzu5ddRKPYJlOqEOTBbIdqHx+WmJs6CmCSUVrC2yWoVfMyGk9jVYC
BdZxGvj8ZLi0ROfdeODodYDS694wgqesrU32M16B9hBys03CNf63sDOQKOTQql/RDjs5IHEdTX05
l+n45I9L6M5zOV5Kh162BSYRfiiX2dx7GT6wcS/JejLzNZPf/ugVeKsHPK6qYAhu11TJeTswpK5z
OSJoEzGn86QISkQTX7a/QbykuWKxU9h7AeTETvGyd/Tl2II3zTz8z8ebI9i1ETi8o8OpmZzAe1EV
vwnJSGG7ngfaAe2dDa9Zair2ZAvxdhoz6QgCStxQ8CvnJL2HWDwz8uOXzwwRdZRpNwyPGL9KfrG6
6l/fOF500eIeu4fs8bLJiyx4BiudqBO0qn48du+TJxpJQ7YYX5L0xWolxEMhx+P0XSD38ihG5iVx
+G2Q8s61YouQP5Zhja1WtqhLWu/nvsaDjVMx3L3Z1EboN17f0nc9mvaZrOhF94/A2EuvtJTD+puv
JTRXmk03gGA581iXU/b5/LIyXHv7SM2/abMfdNYDCoWme/eyVQh4VXtigS3/8q17rZ2B+JHV9GS2
pvzI3gCveT7sEL/StkgHbfPbVVnZi+4Lz8A+Nx8iOF1PZAGT7u5mhL1i3SHiV8D0AuwuS0PSs6rZ
zqhQVJgOLnv6mAC5Zrz4Sd2hL0DB4Aup5zHgVofQPy8ypS/hF6ktM4zGE8ASeKqC/Znk9zE4458D
JLGFaidN1A/wqyIEeciyetJNmFiLSEvoxtabvDoxvnmz1uOM5CXx77UjBPmvShsgeE9bfpK7Ui8M
KtwkOBxiNUDpnR10bQqCvwWJRscRMVXX+9tBbq4SsjYFu0zVZVdxFY3NuNtTmzXa0Qzq+yhIQjt7
swY074VrfknvQ08OMF80PEZ1mcYJ49d2CPJInYsjxpjhR6ZGJ+mi5kSjsWKQ95zVbLopgwpHhhlB
R+IyfKQ0V7qn0kcdizAnRi1sW9kpF2Jsje6/5ShwAeedHIbmwXc/b5baBj8+1ehlK2Ax8xC7hgIu
XxHsf0WGgvnA+0efSSU0+wQLWzy3QYmfIjviWSTPHvi+9uV2UcStEqnn05rFIUKuE8zNuck4LMYD
g+bVIIoIP0M5P3aE0uZf+TCNnytLAkYgRo9mHONPyTZXl79o192Cb2HMGt+6vBW4IDHUg+MAxEJ7
GetaPjO6RvcOewnIuoSWdksFlMPEBBaXzaugS94cKmjtFM+LCFwnzYnU7nC/eion9Dz7WmnqQPuv
6YNjzTuFU16SRbrm+rXNffSz8xKPakesukYnOTSgrUNxJ6ARF/GtjL0TdPuzNiJmoSpG0bLnZirk
v5F2SsdAwN5eUlgfLnO56etOPIs0NUvv6GL3snz2XYLnnFhGtug1jkZ7RkOl+jVdI/5Jr9f+T317
ArrpoT6NIt545XMXokbkdBKA/QMExWEJcO1p3gCr2lY5sICTvbHVB7FovsQxQysu7cu0RozTlM+v
1V4FOAuZHTmeGo3ZG1Tpn/VoprK73AhwjgO0cd/5ngXfEQsFv/D+gNloW97Le5RY3v+K9JXHCFgz
yD7D1dAGpyLji+LvhFTDrxKQXs+9hfABNSwk43IyLUmk1dJXYSwViKYqzigUiOVjfFp7OgsvNAwD
EDwwqMrfWXMVZw+fOiKxv7C0mV7M5TNGxBZaLEq8x2Z6p2Gia38HQJQmLHXGxYlorIPlJWzzkJ+P
vByWbnauPqdt6T8VQ9gh3eLWZyI9K9ADl0cs1ZMW/sngFxMEWF93YQvukUc/USZsoKgEmeD6Z23v
H+Hm5Ij/FSzVshxsKqCo2pK8Zp6dn8BiOiTuPJ7SV7vNmgOVzLgeMbP/oJpNk367DYkloldNeyyS
dibospPFaSIGRFlkHtvNqGBJP+5aMzU4KhrULeupDd0vSEFf8IvGqnzXMNch+cp1ud5X9M+hu1L/
VpwJ9Gi15N5halT+usKfEuOUpc6GMFGCMTm/BpEtxX3NwxfkHCloSyhgT8O7XpJcFqpftKyFu4Te
TzT49Z/C3kWT6T4cqN1rCfy4vv/sfJ911FfnBGAHeQsc0+CCYBzhEyUu0CBMVAY+vN2Oq+IrE+4Z
e2k4cGCOdrTzIEPoRCfX56ZTvdS7u3J50WcHIiNFvIJR5nbIvsyK2OYOUwfGi+SsJmzQzMpSOx9a
YYx1sykoVm4WV1hk4NvrdySGeHg0s1X4uFBFFJ0GmsTtKYIOA56fRcdIjvFQVfpzlgqKlDA96ifG
qZNIzBdk12yHmvyWt6BYKr9pi4etkSnPZiGUluLF2rcVXXWjucYzGzwZiS2DFxW+r1CZvw/sBGns
lj0z4PrgfxMXozAN6pNV2+XiiWz8gbopWvPMJbBXrcS7oWP+jPVnRtfg/fv23ZgbVe086x67RRQV
+ktHrMhhss4uccrOGitiMwZybqWqmoyRds5JZ8SfcZ97WpXF1n56xPl7afgd/pz9e8eO5/zOZFqc
ZSu+VQjWt5fgZAk43tAXhNkoeLOT3lBETrFqYh0TA4pSYlY8NZ3Wo2zNROfPQbpB0wGoLatT4KJ1
0Sqsh4oaQ+uYP1hKnA7j0skk7/9KRrFItoIK2R+DRxMF8ihsnLhxjY9D+r7HNgo1vVXU16sVGVaJ
qO8CezBFOsF//hwIOf14nzKD3CRyI9pUBQ+x/N2YHL41cSpSoue+oMOh5naznVKqoTz1rgcGaJkD
XXvpEf0qLQwIws+PalB0343UntD9rYZrPInPyyxg3MWlSBw+f8HBxIkMNN57bEZlUulXlnk1pG2p
3SNf094188FyrU8QwLf2DUQbxS5LrtTNMfQTSGnENBJ3vmFlmaBgHjn5fXoFmsr6YV8UadVkg6WC
rHmJNI/JtXl1QfiTaRI/zoTHp7m47M9OrOiXSaNkpSxCdMSMVCwdW0f3iPG/jMVQ5UmpanDVJRpa
WvOfx3JcOggVb81Gl94yubidzu4SGLkyJPtncUPnTpGWN2fgRQgLOqoKfrKyUbFe3hSswHM+1kaJ
XTTB+bekF+oOtdSDt+aNmOFGnUv1/VBBzN+8VC2dPzzwk+np4+HwrFj/12zT50yUm+mUWG5c6/Mj
H7xYtI3SP6edszdppUuuweBMUGJhO/6XeKUUSX+m0upJ6UryaRbmxiU8BJIIGIOpK/LKHHopG6j0
9qTP14aJNxMIhYnFPi+F0SB24JX2sbOwENuclXjItdTVX+OvGKvrNR30eMr5J/XE/9IqGvOXtFX/
wun3lqc8kYCnXpQJDF8n0JIlDrHdyI7zFfcc5g65lUP0IDgoX/AZ4xR7K/oJLU+n2ymG/n27CNrb
XU1s0y1DuOpn+kh3MHD1BfXF0eAq9/zqu6WU8zoMT/gZeBsFuxrqyHuuAnd/444goHIf2chPPKDC
UCY2NfBaLjA3Lg/AvKIVJslIdgSj4KAQ4VWNFgVbjENuJSVgdSk/9DHWLb0wMY7O9x7pSjiMnpcr
6NAYUsKNfSt/Y1h9entIuLm7zP5MRZ0vDPLQKtqsXYipP7jzzEQkWxGdlrNugaHbWc6OGXRDNgpt
wyyOXT6LEhoLPWBNt0Yz0n7LYhaqx7AgFQ84PEeti0oXFgk1QaPixq7ENjaE7SMLDYb1IZ2yfitB
rz7IOYr+1OPDu7IXc+bZywi2WsMo7NRY1XdpsKyd1DQ3cmthHfa7WpBBfEZT07QVMtPAdZfPqnU3
9zqGGq7leiHAkqcqECaguQG0h0Vw4Js6KjUfi/NbX8ITcQtbq1z8h3AbDHE25wVl3bVQcNDKvaC5
UerkNh6TeLKbZkxX0XOTBCiGmoBY5ibBvnU7WuwYI2K/6BBBaYCBpufzaT0YUALtXx0B1kbcyiCS
idQE7ls8IVuKBdUIPPODpNKjLmWlnSzyC9J41pTiDTtItVZXkpfoa3fssDG+/vick1ON2KnWsGtm
t1XEtyJ2LtnE/+L+WKqQqCNplyKgiKbOAR4dtNIcQCIOAoYFq8yXa0Ev1awhyrpEyD88TmQs2Uem
I3EC4eG3kxgGS3X2NIyNU/2su++oEVhVq4/tSKy5xvxnrU3hOnT4AFMrKg/WI21GQNK3rEKLuUms
dFX2ckdIkC6pKy0C4DV/FcemqQpOuCbhy7FnTrQE52PmCK36gjijTSn7y2YUfitAf7Jh389yW2fy
l9rFF+7WJ7mNbZzFAWfW8b2HLk5yQWUJYBMrNXNy5Zy6+sh83q1XG3Miz54Y9X8kRR8cGZFWIFsI
QOOb0Nq/cxFIZV5Vvtd2rKWpOrIEGC2Ks3LPxo/O6PT27u/ufEyOzNlxeA8fbusFhUPd+5MtPrrG
fBakW1KuvW665OIydN++YCnV3c44o11SUcRdQoIG0Ara9gG6+KdcunfNUFnef368kNEkfi+ha2pE
nfqFRuOmlPFXNqAH0ZD/QA8sWQH6uS9CQcq+RNZOU+sneuCGEw3YBRghrGK5oISDc+ZYA/EpBIax
WhvGyMMxl5ADWjDSC/fFCsA4oSHuSHh6uFSGuN155INyisMn9AYfHCQHMvtyWTW/jaxrz3M/wZNU
0fFLxzZzscsg0EE1CWv4GP0ffsg0NA46pdf+WzNhBiqefnKMrx46gOSZ3T761iUyVt539Eg9ohiT
TZi4LkjdePVzeQmkD2OsKGXAUlR/kt83Vj5CXknPe/eYg9WNYEpj6XqCyRv5z8SPb8uQoGY+ACMJ
hwocmUnUgeNOK/oWWMXrVTH72l6y0LExNXYbKpRplrC8kmpJlcvWcyRQfSMqKNeUfqfK9c0eJtGD
s7tVR1u9w5kzBEdoP4IZEGrYcdUQ1u9CUVXbKAzrk3Vym86KfgdDHiKBcxydGJPe63GVcluQD5gY
Gh30n0p0UUhMZoTzUYOMSE0GaOsHH8eopODgBn/eeM1Pu7htFJyBNIufYlPffgA70xS9kWtePMv7
dRPz1F5/chadPI0hOr1cQfdq1LUT7bdDAJQc4i4PeRDQwyGi64WPX58hp/cGt9SdiyANw/RpeyJ5
Ji+DY1GxtzUK+xh5o1y1KlZXUdt7UPxI84sftTnoW2LY+2OGO9u32VGGP3VuFdAVnXOKBPKFCu0f
vhHzw+4n6Vx0fGoQ6Znc6XjnRtfRq4i3iHWsWAjlpWvcVnus8OD1jvrNEK6xbqVsYl057aX/Mgug
JOYiscLkOUtLF24TWQjub+kANAVdzkx6WAWNv51cZpslURa1m7QiiCvX/JpTgIk183oY5K4UUuAW
qNjguiufTwilNtUZiwomhD5m5b91Q9JLGem7rlSG+u9RbHVVuF8jti22/oSLmXn8HIxGc98iektD
6fr/8W7X+ioGOE6PIeUyArLB8kUH6kYY1Hf1xiJ7kJpiC6hC4eu6GD5Rq+xNGWKkam6QO+hEuWop
FEdntW/MJDWJJKWhzKi/MvLXpnNaflJem9BHEfxRGM5BSGfXj2sxgHVXq+40D0Gj1piPE2liyRxM
GL7I8X1XIm7GGLfD2tfbROADQBsCdVFOddejuLhZA1YWoq9qFfA970K1H8L5SnGm8QMyZaexv8l6
8nb9eEOvGlk1thO+/eBlz+M7tgFz+gTWsUWeJv8f5bKMrqP4i9GKdN1IVVCA8N7fqBT3gKtz6C5l
cpojaM1SQP/aDkrl0JpkVQ1Z+4jxjXyS2h+1XDLdqPRZwdsXamDpsJEOrzttRP4VJdnBc7kp70fW
TtzpCrWl3ddaTHU+t0GepAyN+Xo9WZT/XXUw82yytx3ADgW6WJcyh86Pg9MyCfWnRxTWG9YdFCur
RbinodQ3col9HFHQggdr6QYVVOLzaVh4VakaUL4tUfv6T+rCrcYNglA2AON6khWfSSfo9+DnaQq3
5B1swYTfwCAwGpRcfAhKG+kE5PtqH0EaPygJ1+6KyE0vBlwOGjrRpbEGojF9CXP6uK5LCV65HyZj
yaePfReHokId4Oaby9oa1g56QpCB3SOrbb1Xlc6Y1EtppSgqezgPJfloM/GJNNm0315QNq+bL49A
gz6erWvYS7RYte1DzNEkxzBvSnqGS1LjqRfMORMbt72HAfjL0KU6l2/slg/61/s3DTDAmjPCQlrX
aZhz2XCnvbpDHQIbJ/+fQ1c30LJjKOPNYCx91KIRNVIPosDpVwvTB981WTCFgmaNV0TtpM0keGRe
KfHcQ76LnYw1lpL9RE47ZYWDct2dxqX3Vc4/DOmfYHDfi+nkaDxP4GJ12/dcE1bLtW6LSUx68Z0E
xBKqVUqaD9JLnu0TPVwbOCB0L+hWn6zwcxW81eHdsFUVlQNKof1UCgh7ZMFjJMjXD2joVCi8Gvac
3KBGHyoBOF818pjwK1eP6Ajdb6GTQ43rl/+/73EYwa+dlkR3r3RVOvbOtEmNbkW3rOCaNLeVnvwQ
EwRAe/xpmP8+5MHJltl2WtqIB6Iub+8CAy5YJ3iOIsgPz3FpKrZhVOeZwCoAOUGg6kp28JDa9vaU
S+C/8HPrNUPsBcF6Dj9lB6znK64t9PwNh4xNSwbBPsrxuCnOguIDBFBJ3zjtFv9UxH5jIe7FdiWm
cU+x8FWa+brnZsSoEJWTLaneS2Lc4r0XhV8l9ZlS579ECGj4e8XwQdq0e50dCcsJMSOYf9czk216
i/yNyGbx20MwS2rsTZC981/Mnej2JyIfBWid0yblnzLlE0MTrik/4d4sf3O80ZGVFzib11u2Lnln
T97CPtgk8Dx/SFa9O/lE662LrgVzEQWk3PUzMVWi3x5fQdQ0mSABXTRALQ6Ohh8tnV8YOV4aFdbb
Wd3AG6eBwhfl+U06L9av7wdCSnyEMBNfYuAJklr0kByXtJpOWMtuFTCLrcSkBRaWjWQ8R//AZR+o
vYdXzIGp7zi0a4ehVQOfVtYvl+6OkwlK8K9BIMf7/eLEk72XYM5bCfbqIr1AlnayFgrGpLXKxVsN
d8bqdWKRgeJfjBTgJJ7L7vtU2yQzNs1yGcXH0gFKduq0WDN8eXclxBlM+6l/ZimhwLBq0BykD0r1
cFpW3pP2TQ5qlVtZFgn7GBg1F43gx8H08rVtqtAX74ZLW4azAAsip2b8OA+aCu+9jtr0qz9QLjYd
RSQJCz+tgxQMKDSgX3F73fAG+Pn65+Mk9UGyzD7jLl/etsrvdcN+DGH3R2Gn7ESDAk7sc1rkPYsB
ejCchD1a1/lodb2D1FRhkZHoBUzwaiZgXgyaEFd0fXa2B8jjvLs6fZybYF3+UME4dnmdWEYwFtOU
Y60M/ORY8pvf+2t8DE7fx4LZUPTaa0EVtTK5RNOVDRojfRFJ0nvSPRlg0v4xxQNMIygrEo3vko7V
rrZStmzDHrd27AqQJfVs71298SQKshytydNj3YHO9v2eVdB/Dxv8c3xKkdTjCM6hMG4JN8CWsVI6
sp2USxa7BpfqmBcJHGy5DxoLu7iGIf8U6gObxp4jfjilAnheeau6NqTHrgGRKOZIVgZSsBJtdZhI
KxvpupdwRCVJTSCwl/LF/XquiCsOIE5L/GpE0QH/LvEUGlQ0FjX2GsYkCLcVhW/Mtz79N9eFGU1I
ZpcAwhANI2PcS6+E4sqnHXS4Gg1vznmuBU3ELkB/Y8fcCe35cu/fWv0oJrPndgC1CRA0h4z0MJui
Etew+hbWk45jI+7k5Uw/s7S0cmumvFgxOoPL2a9dyUjdJJ9r8x85PcZvtCBMOGp7REASFAtPOldp
6AloH9BWBIfmRyr3oksH/Sp8gLakyAfOjwXeTAYoFq79MpJwUVWeSj4t7TgsE1svuPfPHsc/i2nu
0pZqu2GPzUSKJ4i3GdCrlhnRf6KTEbpSYUBK0/s5SokF+ggSys86QjW34DHQaZTKzoikc3nZly/y
c774IB0dja63xKMvEeuuqFSwUaYJbXBR0eqRWoq1aTyx+bs8zwCc4tt6Y14SphM6/eIMp7PjXrjz
vx1Z9vxZhDx4ea/ruR1nshgN2OiWS0QikNuHDvlrCq2MHE/BGZH7ZbGItTNXOXyziFHzb8y93RzZ
TjGxqRaiVRbYg5fp33GMVQXDJTfZpL4DgI+T2BGw/UV0RcrXKyyQLNmJRXHHYcDfSR+6Sd2++0v6
EpHGE7NU1fy7w75MeTtE8pEFQTMU8wGzA8A3OlhnADWK5sUhTa4yAA+jNurukP84lJVV2EoeEFR9
nowj+TKmM50wSoF8qx6oP75H4nhiN0poqD0rn8OG2uTI1PYTgvz8o3HtSLtxfcK6/IvUPs1x+sQk
bJQEH8TRtjO/XKnDdxh6BsBXMfVvJFzUjuF2/hDhRwXTxrsPgHZ4kpAsw1OtE37Gakyf5M604xRw
VT0kaOx+a4EB7+AxCmmK+Tv9bO0tGU4LPQUqPTxN22CtnJf4qfgval86hb6ydrjI22v4U5ysL6Br
2SZEIP6Vfo6XG9BguuKfxZCAoLek8Qh8TRvwdOSg/FbBe/lHyP49OSaF1giLZmOKt7G/BH9P0JIT
zbxUXjCkf6HFRJOB+K5lAOVY3HqppZuCg0SqYypHuKuwA6G1UI1zrjNuV6Eo5gVqF7BbURPb6fk/
1iVvtjqMX+V8N0HbV2aFIDvYOxg3vV2X4t8FTDls3i9EnI7Z9TlPbeSgSwnKtLQbfygNNbA8XiMI
08IAKeoIlZCbb4nSzJWHiS3BcC2VPnWHWnN/KRYU2Eib8AGsPeATNaE2FCXOTFi/8ipsaDnEwXLy
cLsycYyLRwzAO29UuYrY2fn7KPBgi2uB2Gxra7GJ0phsDcf3sCRgzh0hiPao60Xzw/vCJcY/AO3u
mTFuWQVabG2Lizp+1VMFdfHVR5Jx4LQxR06Vibce3o4PTK9Uq7iqmAVoTulI97M9ow0eA/mrX35n
VB6eRLiNTKupkXH5zIssm7JnI2AzdCF4xUn/oQ1/CGhJFnraaxFxrH6XpRffpSYeED+LjlMgiige
JXamYEUoG2DMkLK8bB+8QdKX9ub3ERVhTPc2CvvON5AFyPXUHdWzh1rKXXzEGU+pOQyPpJ9OEhzR
aZHSWtRwfXhXt7SPRt6pbhOZqASglrhOGxT09GxV4Qbnl3nz3VWuiLRcnhgibIIbnnbBjalxpiVI
+JoVFOCyjnKPwC2YYiexakLAm8nCyR6UK3M2btJDnWLJiEcPRZjK1xsZAUe21ctYTLqmp1gt1KGQ
HjuZRvCeK7ZzXM/VXf4ehy5WlaPFY+mCoY9j9BVUs0HS/lMi3FfL1xU08k4vtaJBe9RwFPQmdUk1
/ioi0LnFcNtYrmpCzCxgRkXJd98k3G/uobR8iUAKByLNLvLqAH9oEjKiBu2cJHTR9k50r4/W17BT
41mIpcRRZDAe5qYfrBdEccdcHybw9tAU0a44lKpGg1pPPAC+2qIexTxDSESGdfNkBNOY609PUHJb
VP9pK54mNNQUo+Y5lS5xGMlzFJoYwk2RB9PMXwjJEAPv9iifKtJhPtTEhhwTfHZWEkv8ZN+hKtZ9
IUF6V3YBlIeoO/kfb9rt6bxG5XyAbwuKI6vPFJP1kXwAYy0zveDkmdM2dCvrIwm+caAfCZ2hjEtU
2WH6npS/ZspVFDwEzwaJmIGKaKi0scsvWKr2y1l+cIE7ZJEwVJPEAviXaPN/SBQ01V//5KNq92B+
c14hXpvHgvtTmt7LNUvH1DnDobP/EASHoT9drbNcUdAlIewXx7o4SCPFoKHdiHWMUQlFsPVoTcH3
BxGQQKKI+rADhL5/IxpQizrJMHd+B0yWhoa5lflwkvTKsrrdADzxpWoBueNJ9xqVJN3+kWqDdHQv
DHEd+GdKyiNeuzmmidtzJtfL3DrwU7A59f9fkQIvBr0Y9PyalJgPJ/LtZgg0Tb4/4qmjOnZ0YFlH
V2XEK4o7z6cT4PzwfeZ2JYusl3ZwwvOHVCnhAp5QcYZm9KDcEVOAYcpra+lIrgfoUNksxkI8yDmY
4uapk3xvqil+rnmlP3/TVxGUPHBdXPJBQlqLC65Yr+8Inu/gmbbbmfR17Lqh/5Slzwy64MO2dBwd
W6QSrpJPsu3LtgQFlJEuOJLmRJ7u9sYCu3uDjxpFj7KHiBg/q+C91wasYmQ9uwlhohY0GgHzDkbo
c448BmgEf5J+mCfJYVIiFP9l1MUXDgtxGZVUq/ltVNIia2oTXuj8B3zrjgc60uEq/O84O0Uk6NdH
pVi82UAn7TLgSUR6MK2azQsECj9lh3BEUWk3t5SRkbJPIP0mLGtQrn35/PmmHNJVUelW7lp4YXwJ
I3nNEQ/8CEWEUYUWTYUHuAeY3nytg3V8cIFM6g6L/rq1cNFOBWpxvTD7qsFQwpXIOtnsyed2Howx
agcjNqGE+quDWHQlah31KAX7svSulrFZ9nPPLFK49LoYYx0DLCot4utCV9QCShkyl7CJ/97xh1EJ
bhO3izahGQlmn5T3XThfPR2Qu+ixLQPjS0vXhSWB8BAqV6cMZ/18MDE2/XCWpdr0Qoc3TojvOdz5
jPY6C+YP83DzjerrieTi8EERokMLjRqJPdlJADwn3vIWzi1WUfdZvjOO8b5WE5t/Jzifm5vx2+/X
XB7fKou7qSmJAgGjcFBCwVB09JvOR+JwPCHfMnzhcw0WoBgh0PlBAKKjoWDKEc2PrdO9cHrvQAE6
BIIwCA0eUiqc6dHIyA1o2hoThd/OQ9tFWBgbdBkvchpMUSgTuHFW9/zQlKnjh7dzK06lHj3WepUu
3UAZHIUBDi0OLRiZFIluh1svw16iGLDhX0sOVBuat7SMsJn3F1u1AHv0TINRc6b0cvBziLrdOe+P
CU51GMX96vGGm4Qf5mUVQ/+oP7fayaYx2Le8xF1+7FsYrzTvBazBQ+TkEe5XUrDJQV6cMMCmJD85
HOY2PaaQ9HN3U879o09Y4vb2sScz5VAVamg/RprjW5nML6CQSGIpxKBBt1TYTwcW4tsmIu37NDs/
6tUMA+rqo5De/YNg0warSVVZWcIhO42hwpI01RM+0ki07fWKp6p/wasicLfS5MnPM9TGu6VgBkW1
Qgr9kl7MKOVuQUIWWCjqevAMID9y0BKMAEkyrZ/t4d4d/CwWLvUtV4bv+73EdhaPdx4sNaydCV8a
oe1pBskAh5nebaAzFPulRGn1u3OEdpiAQMNgkUgix2/yCaSMHclfJMZg2YSGhxmONuYtnedZk8fM
kq1jH2o5WvQgF5nEIpEI8mXab32vX7uyCcm8xUk3Aier/pjgb1UQQ3PLuXKvwSWq6inBOTBt4mcX
/ahAf4SvXxBkBT8n6cOiFMerJoK4HbZZSBXQ0dwHIYIYwQEgSaJBZUdtCoYY2kzRnz1FSv7u1DFK
637/+nuwkRBuAUEl4Yj/w3jmSFP10RHDccBYphFyyxX0DgNmQuEwzrXx4INms6ciyUt6kcVPW/CT
v5OQKuZHNeOZ6kVtB74H6gwFYdLfC604LA3Dc/DxvxAi58ZXrBj4hEW/Ef6YvOfOmKvpYllqszhd
ZAPXbgDjrUZrS/GohuFo8rE96D90Chx+y48rbhZoiKVTU1wdTmO+7un0kszbYl1WVFdY6HfDwzLl
vE1M6hVttVX1GlCZW3mYVCiherHIvP9RVYqT0wF1NWOfGDKyRrsqKe1Kk0U09dobf42b3yEndlyy
z3OJcBA0R/Cs+ryrsY7FjrptrmR9d3E9JuwHJIl75dox5AOqwcj6VJy0BNMgOAIG2xQj5jX/ohdy
3bl3PAwrfrLYeEB4SkpIIaXSwoZmbV1WMdL5Is7N6NHRxn9NurBiC0t8dn9fQ2XynhLH9j7y0XEr
vHMlvbMAcLx3PDatx+7kWwVjk+xIu+ay4rvuM5ENFR/pbpkSSinOHOpCe04jUOjhx5OmnVwmVmZw
SBFmvH0YfgMBOvjuXqm6w7b9MHX7l4fwOcb2lxMPev85i5i9BNx0jtjY83WXKzcRbzOuTlhW/d7y
ia4lKe1OEfWSrwAoyuuauu4tIccu+/arEER2btkwe9LmevRhWTCa28yocrCNkcZLWc70Qbb//DQb
I55qARmcyn/nPdXxN/QDsf8MzW7983O1XGEYKnK3hR0G2pT3xveLUejiJxOTnUnyTRgH2t6DMqNs
lyDZ60ZXPYE/brqtXqRQz+ceo95pcKUyJ4QirZrd2CVMHm79nMoKNntw89KsaRD1y2jkqJX4zKkL
zU7Kl1LdwhhEZTMNejdGPIE0xXaddf1mk6Nzo4oy+BpsRn97Tac4W898mjSp7EhYGYCv3or5e6vm
n5xy2lQJt3n9l/9I1ITUyufJOV+RJm5/VpIZSU6WLfTvneay/Dzl4+8wudYkvv85YVDX2zMBWU2h
teP2nq8NXblppIOWyAbaRv/MGOioueaTHeGxa8PZPfjpbvacn1afrcWjh2FcZ9tj76I74My0wNaJ
CAZAI3jQLm/g4QOQBgSYv44z+BNJKmH57u55//oDmHiURMt+ULFQot8wO0wLPOkxfAHo/U/g0NlQ
8HzD6yOxF2jqKjNvxLEQizcy9Fqr5ZMmy4Q2eFAD7zzcx3XFR468XQ+pqggTjZxT+mSBhRqEv7pi
/E/Jj+Rk94tdnNbHii5gE1Gviutk7RHxwelxk4MjIpZQU9PbZCV6V3A5Sx4hdC6I/k2sqihLhaUQ
Sahf0F1OLEs8UN9t70a89pDkJ2qotfZCdp1A1r0dLSpv22/i2rg1n5vH5wIvI56D+9+5k/M7ya/t
AGiT6eXG6Mwv2lwpFVx+fDWfmZOj061JeUWcdiMuVQ5uGWyB3f8XAJKmSsD87YBENiWYXSxASTkh
qg4igmFlO8hDrFTs3qioXTHwBoYGe6dmgYDPfLbZHw+dQni0vHgaIbbC2ACASqcBice4Uq58ZzSK
Q0X/lNKf1zLh0vLASE446wjdhRc4hN1Xroip9TPpXtrXYOkrEjrmLYme6muh1PnN10mmVNK67b6l
s39TNesKaWk5Av2RAejrXJRPKFfqcsUJXEFD6VeyX3uv1n2R/bSGNzONkyKtE6kLRjdzjpvEk3Fe
HuDamTVXX28Rho3r8Jn2p4Ez7WwDi0qnHqZXCXZcAobjaflMkAAaKGXT7GuiaLHHtx1BgO8YBQDz
6ATfc+VzZl+7JWBFq7Sy2HNsfZQFZJ3ZL5Wa+Kf4ia7cq9Fbl26E71y5RVX9IFbuf2tMyFs+7kUZ
f9+oUj56fGD6E+EproNsoVVwAyQVavzIJrrTvwPSRjZmSglcNav4B/YxNm6YrXGRlob1M7CdvIWC
X8gw015iLHbH1sCIwG58kpEbytvhpNuJNUstjyvwSR6f9krc++KXitDiSaKv0VpU9SIeeWdlDvBf
KMu/43pYghxwNIvgRyGIn1Zf6nnPBB72cRmgraJkMzVHDKsmQIFXN8pyiu3X7ppBdYb8FVXp3g1r
CKxpC82YL5oXAJM9xMYM6swkpxuyFeFuPSsE05gX7Bo/0ycNzvv7FmyUWle3FrQc1S3YDmkyT92a
S4APEshUq/aDxkJr1J6hPzNrNAVOcZ5FN0C3//fpzjSi9eiKi9xRi4lIQym6+/3trHp71kqEkgTD
aiWsrm04nD6xW3m8bJfeG4I/5fX3RUfjtJUyJzsjWiuS/3b+rK1rt1igqpiX+57tkHheW524gZIH
YZnHOQMdEsvtGwbq7v7EkWuRMb84eItXvcibTJMTX7nHJxSjZ7xuL4Zw5Cl0lPmy5E7immA/3pxu
teLkWhPtifpnCrmM7eRCSW3I34RFXmVfgHB3jd4Tb4umIzXy1yI4rlkVGPwx8UxiY/tpB/2GjTJS
BLUh1q+joYP6EMRrX6g6tPImFEWUnnmo21MJKnxcs5mOQGJECN8Drl0WtYq2LGLzGJvP29iuMo8z
x8rAwyCtMKLwimc1yJnHEcHUiSICv3Kuy3txNC6dOoItZRvZX37/CAPmOoy0GUHiVl13iFHWKYot
FnrjBnmxCz7ZPpvVqeBuOeTyhtkOI6hoA/72Q7jCHS/IHaYkPV7bbWD6hjirEiF6AnYsPjtzL+pJ
BRAJdgPKsSKznKZSzBBT/b7xN5Kqa1LeK4vf1/c7q8OxTIckvKdNhaMiiuBAh3TYoAYLzx6/r5Wa
9osOfg4fR77S4abszsLrEaJ63cASu6VrtrHqcYsxgIG9XEEGL9qKYjwmfWSDiVswIwjvj7UgfycG
FWFNxUD1ldOgtKEwV7VwtEW5VN9fLAdSvKqhTkVOMCL1WjUdguYPvsAyAhpT2agY+bFhkmupUcGf
1D/jraWDjvWpRU4ZwlhfCKFTtpBNcI3NStOzHr9UUPHYmpbSGJg6LyJN5YKTKU/tPIwO/Z3Mfy7v
YL4vWgrCVH7+g/cQwqK4EdBTRtHQFQT3VhQQ0w0lSFpFwrsoNtgkMcFqO23ZycLpE9nRzmGMUKCT
JKudVkI7vbf8N3xA6d8T9qFfo1F7qVFYuFId7149bRkXSmZpVWgg6m/2gSC9n1mbv509REm1Wyez
I33Tlu1cC3NG3NyW1KgCsS0KgMXCYSkTw7qkwlkAAQhquezeSdZOCkZCUEXhrIbS5zmfvR9m4ViJ
T5TSAvD5/+0u2r5vgkdPglHJJP0KmHeaPf48Ns3GxK634B+5gHzw23XfFq86UQL97Cf6UbytrHqa
jcntj62OOpJ66mQNVQjSNaU6AYI8s+Onqrsnwy7YiDNBiTWj5ZzTk+HuVe+Jm+hrQ5xvrj3K2O2v
MZda8AMscro+S15BvJDo+6FBn88nI7FxQL4dNJ6f1rMADSukBjQWez4NXZkGzhBF+GeAcDqZOOKN
/V6LdeU9rbLAhss0XiBWZsZ3CsIqRF33KJQxly3PkjKvgH8UbxltrDU9eMan541n2n3BE89bUp7Q
QGKBrvqj4ZZw7hctV9aTxWTPI1C337Afh6pN0pWbCWeRalR1yB1E3jsfAxks8uakModRjaa4ulyg
OhDYMbpyGJRudIsyblMQrHmC10nK8kvlYB2blD6hhePpi8hcAUyW3j3bF0KdBm2DL5KjNPhRn/+L
ARjFJjLBJ5X8gz/9io325EcvNGMMplvoTZ4zab7KEwZrBkZbgUMJAukClw4taBKorot6k6ibbbp2
WaxNcj3DZ/bbMNlxSg/VTeNB3L4j64MOwfdKsE0KMS2+WAKuYQFusR4NgFBn1VbpCFcb8o48IsA3
Hv6FlpE9+eFMoouCJXfXjDpVYqjcqAcmeM/bEENlc9MFfij1qZEcboRFTueuHBYbLqa2KJqycuNl
A8tM7t6tsH4g4lsB0ZOvFGOyLun0tZinb/c6PbRaf/yuesnOaEftZeNNLfPepSjlFVgeF8BpVDAA
45mxRbpu7iD4uVmHm2pkw/JMKgxNB4PoAQASytIgQG/tcC83iRy7oypk8TSz0xz0EZynin2rCBsi
46fXnBV46/L9r/jjYWMNl7sBns6OYAluCJLs6Wi6gWPFBJFhDUck21wa4vths0RBpdDGO0+sLQdH
/T3howbaZGVPa12JUzV/fkAPyNSg0w1sgp2awS9ckGo15RJOl1fKEK4mD82gS8ZYvF7xpiSxmca9
2M+mJaFh4lTmtA9t/nT1MvpBrBd1KwCPLnU9ggfBvia94o06wqF2Z9nXVfkGCTAbYPzGTzILxYrb
2M3F39KWGNa/lRrDYVyHnZQ/INLAIzTQjkad7C36AiMAAMUK/xxZYw81Ibou4veiUn2YxLJWGhQh
dakihrVFXlp87pP861lYS0y/IxROzXr62//da6QZWP7u0G0oF+tkdPipio5nA9mrcitmmwknV/RL
rS87qvzbHUZ9OCQrNYBoPN8p6aibclpMtnDPExqCBp2MLO5br7AajiNqLqINFRDcZ02tQP3fojYI
W5EeXKs6dFNedlUQzyOoJAfbMIkQNQpUm33EDr+wjl1rav2TCx91zCRpx/JbZvc5VcTW//OZPmX6
piDZRC57NNhoqcWmfVbr4XNfNnfHtnbGHEx5qyAXfucvnbDKAQ8ssG81ZHkDt8AGGjVgMujPQqfw
th+jz4py9DGsXnOMhxNb9icOItlBp1wTWv/mk0WDkmIEMUcKPyI3BHa8ayzEsnORl4b2u2ZvwWP6
TRNcngkpj6TUSwCkVeyKPgSR24WA2YzppvFcXy8kuW1FENEykkjXyvlyw+nnywo+u1xREyUX+7Ma
HgSy3HJwrksMfE57bwPsL+3UFMqOvRTBBDikyhQ6MMsolqRnaPQOhozj2W5S2MASxJwBHrGS6jmr
dk7/UElknwACNh2/6h20prwLLWKb6Wu32baDIqQVbxv61fe4/p+Sdp8C88fKnaeFi/ja5feLnhbw
COxbUvlAEQ4i6JYO5fJ6P1TlEgih0UGMqAnO6o4xl//lepjU6bPZe9s2PqwjQZ9/n/sg/z3o7i7n
ltRTAn6CAKj0YL0e8dEUdHw3XXGx5VkUFHQ8yKwuwRPu+w7PRJGP82CIZJVR//j9WC6UdbQmiyc5
c75AQ7rhdCAr60V0FfHMQ+UT7L9MKK1AkVltmdVLU9xE5k4bBHcp1zFgiJv1jeQH1PkorOPNrKCS
iePyqpnyToOdcysm1Djxq/N34DygnGx6nY49OdstZP0mtM/SIpUJPj6smsP5M84OA5XNNcwyLUYX
xwLJl23vzWIPrk/TuxMm3b31gCQzibBcYwfjctzafzPtzP36LNrvM40JvctGFFtAHhEP2DwYAglh
aVCofZUSV7bhXWQGipgXfbwVr+rKiq4QI9yOn9E26kMxXANSdjYlBv93nDSuhTTzSuLja3ispCma
AU92cq1r8qhXvIXb/KDt9yNjyIBT1IAaS4lmRXUqyAR+PnqiLHo4uQvfV5Itc/Z88YxzbLKkr9fW
x5PYgPBRbz5jhFVpeqyCUy864c/B9xixQ7jI5e8w7fp6XIE21VH+JoXeXMeF5cIwCbDsQ6ivAya+
3+0NNca81+YipJY2F/7/zOqgO27S0eAu0NhuSagdXiskmK9ExrMCU8QtXcuBvvTLK9OdwJtQQYow
E0Jxfofj5wWGrg3/gJqGdlPUVYjv+FwAucjYng4gUVGBW80IpURrk8Rb1LbSIqvdiA+f3suOb7Hw
R+lhS9S+oHwMptzQcLHlEVljAn/Y2qPfyF+bSd1Zp/3D5/5VtxEalEJuboLPg9yUmtI7jMVTv3WJ
RekvsAusHxSZHyEot2nxOEIDpb+dNTAuUTbo1B2fD2ttgOrkKZdFGri5vut9hgsKjHZEvt68Av6P
rFmZ36Tf1ggu6hxeK2edgkoYzBTxDBISukzYzrMvpFGOwJc9ur4tW1PciNkmUlzHuzJ+fPds5OsJ
s/2FhGKHS+Q60ay2k6xDCwPHAAw+v1w1SjYPcSoKI37X7vGR6nlGMKR74Tt9VFnOpbVK5rUtPbvS
yezd2OXiUi3BqZktYKQjB3lIlgq5Ktwha7kBiksvbXMmoMgKoNaQiwHWkM75LjYB5TDi1/pBiqn8
VG+3TeEoo5EWy6B+gldc38D8mcPqxQoXJ8omSGI40DrSsZXYJ3SJIZVlQ4rb+AMZ/IbmKBe/8w4n
jXN4oeW+8vC1YPNst2EwRWC9u2HuaZneHuyyn55vvE7Y1LSJFob+yoYMsnV13YGjmQgiBewe6OSR
L1CsWlFJlBF91Mp/s2clK0XtiJVwvCwfRaczprs55VfsAmSoqRlQdbjXIfipMFgRCnTZzrW6Je4/
G9Rnyyyucqe1iOC6bPk8+eMdnSaVAdWQpGZiDOEWS+8HC/fgvQN+TMJODHAp909pLJEH8nWW+t97
X42r3TcfrReJrRSjaJafPkEjp6OS8ox4hEfS3kkjhTqN8dyvWIFcHUt7tNQwntbh1OkKKLEMibAN
xuRyIfmP0JJIG8P6B5TtBMHZkvOlwcRYgLxYbOwQjuxhWVmGFxpCuxIrAgsq6mFM6Zx7fNS10VZF
9251rdKLjl0wc4+XuEFCpP7p7QawxGJ6q4Q2XoWStrtGRM1/zGM3HsOfGe7VGnvK2QT8pa0CQEEP
27lHxTMoSBb0uLeYmEvUXYsdkPuxc0TpGL430da+ov0FkAsAjptzaW9R4XG4KNQTUcnxOjE8ulT9
Cp9CFB0HtQDsWUWA5tvbuV1Seqxd2FbkcevOwMJAJHjs3SSr5EBj43G4Mp070OPPPnchlRLGrLdg
lQhjqbfZZSr4FbMtNd1gII4G259nPDQoYNk3UAKx8BbNVMUZ+jsj/HRs9tqedhExK0qeDCw45VVE
r1z/Y/UtGN0SZN9bWp5kiWYHBlaizXNy0U39sHS3tBIW95feDQ+dkLzJN7+FP8ZVnDiNwrFYYVCF
4UQa+3rInO1V/Upi257b51BkIckIzrP4hWxngKRSXci/xx0nF0NeDaXZftiEd9DkZD1cQgmWrzGy
1aeXZ8EDL1UU6UueDi7AfkEK2d8h/SEArjo2xxVKOXtdu1GKkRbWuPkHV/tdHzZAUP0frQu327tF
qKjJQUYOYBHjmbfGEXypgYz/WSQNdza02dGbLsFkZtDIWGmF2wPqYnBdlXPk49FSqQDvp6GI5CTN
MIveNVas3Gv3d4yaeKbYYMu4J0YCMEJKLVlFV9P51Va0FL4l5ZSPodS1hpLHJafOHKAWj99zcQQ4
6tdg8l/m2Rwe84IF7ZkYy5MPCY9k9c+WGGpRzC+nz+EtsOHkH6f3t5jGt+agVOxiNxhl6MOlpp3M
esps88dtrXa+dGn7qr3MouSHxrRGwCyts7g/wZdl/Z/73PeEN8ZmBLIU2nLe/xx8hwAxAuYm3+lM
HQLiB0l4waXFOkbQFbjjHR3HKPcwzOa+40GsS2Ey1p4ABEpIHRN5xI2+p4p/bYY24KBTv9cnjwI3
QwgDIhUwVba+ulD0bAjyhZctkUP5r4zJ+NzPxouT7q6xlEkTxXbP/v2Yv19zuM32O/M7IDZsCUh7
BtPP04XQ1JHpPLVX9pu7Zw1k6TwNyF9JqUuhuaB6PnPuXvB5Rf1DMMRi/PtCFMcrn6iC3RPShUvM
oFkRT/i2rTF8tvxax3eTPbnDPgUgBKfZisWZb/cla4KUt3OPbTdstZZ1yLGxuYJXKGbOC6X5Tygd
dFyVrDPjsA9eWqKoY2Pr1BhBJty9T/4UJLIrlPCA0JcXt3Fn00nMGfS9usxbgygOnt3El9UAsTha
jtoXdEdPeowp1xcoDnfg+lRgQRs1QxlJpouRAlwYM7CgbVjKUHT722kAfG4N+iOuQoGMhLT9uIdS
rFsmo1TGX63LMEUp/5NNC3LkIifIv/LkIUwxRvfitPC5IYnCntwSgpmMVEOu8+hYGbMTJWakmsCK
aDQ6LqrldLhVAHnTMaksNpx4ukrX1duW1xVmAXi3Zz1ScnASzxRNLok7cp1omOdKg8Rs9LfxEoYF
bPXOhn94M43Mmair4BVVJag1MGM4FG0U37yI/MU6h2Nc0fddvjsjD2slZhRlfTd29NsQbQo+BWBV
QHr2f2Qzi9l9KQefrgre7lECkfBOXJcFg8VLrdlJnIBI4fRRunE9caFMgJz7u9y8kCXpt9O6Wjy3
RVlCO1FvmcWGk8gfnbV3ENsPlj/XwPLzl1HZsTda/TrKGkzOIpJH37a/5HKQvekk28QfeW9XvGCf
xJfNfXg227y1LcjEVWfJr0hw62iMzFFO+Q8i51bbJyzP6qG9DA+MVkIA+jGtuSkaHHfAuXubyTBg
sLBK/R/MdcD137CHFyeVJ6ZVprVETMKIa1SMCa+3yHGFouzxuCCPEEkiNvAFeeio1cc6ZWIfTZne
pQvXRquJXtUC0Y9E2M9h/u9ItWGgacYKRk8NLCwpufVMGC0UPiJuF8iS1llTz+aFDEwdFH4jiRpo
jKAPM8l/KJuMZF0PcNLIT5b/FT+3cq5QAa/F+uEJ+8vN9NXVwB34GQo4LQHlxuuTlC5VgwK2xKVK
LI8YtZfe23IsRvwAH3tCuf1rg8S5wBpEnB1/6XfWOSK/GQ/zyItcTTb0EflKghrMZZP3b6+dd9tM
4Nq+x8tLEk12Xo5npLMkenby0y3wRgMb3SPih6E5DT3U0neqOPD94v8TkTd9L8q+pH48MYdjw7HQ
ndWqqkIyUzrI2IZtCI9i0AWRLqeXM956WfkI3QMgFsslS6q6IGHJBwlgmmuIacTtkKVTU5dnBnpE
D1QJlikxZ3nd7CdkWfY42jPVXK5goAW97HiQkridnOEha+AEZ0Z9FmPEzshiC8ksz5uFuou3bDHe
CzkSH2+2jfVYLtZjBI6jJkrWtYOT4OvUyy5KfzKMHpzzq17YAJRTOjuSruHVverQ1vRm9b07RhKO
SKsPxGAe53QT8EzIstQ+Pgn4MQdJQWZ/fTOzcCvGZyjJLrMxYlmVYyiSanoLzEuiq0H7PhAmzS6I
pCQVpufvOxKYYnWcnTGqspsvFgkq4ckpdZaY7hxz88GWPSFuLkk0H7VkjIE94B9RndNybAHNCHDW
v+wMF3rV89Jr9IUZJA3xNppn94InwLSq8+LZpLpRQ+4WX9xG9S/K/4f6r13S7TbyoFLqNzC1aCFO
M7dpL/qnGArTIODX6SLGoPOKljlYNLWnmdGGaE7ekRAI47gbyATjLCMS5hfzmcDlD7qPYxlXlX1u
K20EoqU9KwARznoxMOW3GwbSTrnBYip63S5xzijIyix97bxF5KolpVXqh341k8XRg0hAnCZEf9CJ
VXcYPWXa1RLyFOVBdVzCMlgNLF1ZyG+44WfT75Fqeb+YeyUN3tEPNd1oPc7R/VyxmQwqGSNMwcTs
QjdA18iqlxp0LCPzwHwxjMnf+GmvXxkkx5KXlLAF2UzaRhQik1mgOlsPE133cDyA4sELenuvn7yr
XLluf7fADFVg7wpSpkM3z23Si8nXNWXstxaJ109+p+JwDWVqKLoWtP771IyHw+O5P1u1jq017mtZ
cF0w9FZz4ClwUZOKEtjaL6QTXIpeKqE275U6pU+/j+i3hXgFuQ6Vh3rSLrO5dqDhe1MDqodHGppu
oRdCzPx1I6JQDgeiGYyStVitsXSVym4iessj5kvX1ln6LD+Zhik3/me+GtDgnUDAvLwlqeC0Rth6
9eVTc1kezXQsYKLQ+BtPbWERil1Wo+wfb0SP8Z03Zlo/t6gVclLEB9vSw2ts9pcHGMWi1JMC6/uy
B+3zQxG8S+ZWLPtoj8R0eQBi1oxdOfHPnqE+QPz9VSUESb+fSZkD7RLIX0rGHUTMfY71S4VinU8H
avoCcO0Ol9+qQVv3OfFx9VpuWKUwAonOIwVp59su5tM8LqgIsW1JbNtx4f2s2g69qQHL3max+bi3
ut8DyXyuAiuqTexyV+johs0Mk26U+Tr89JQqHlBMXu+rRoLptt/gUIZqXQ3eKmcgahawJeIG0FrH
pgsO7ZbIfOysOVsyDZ4fCwyeRj+tEA95gdROnXQP92LwnaDICZG2Pqouimg40UHVZlWIJHv/Tr7j
4H3lRKQjV912HdisJ7eQ8cXHwRdpmvTlchKhLMY5zvQ7VFjQOHSRNqpVzZngtJTRDurrFJ5bSNGG
qDiCnj3Ez8IVl2DqK1FFtKMhzB1U275QE/8KH4Rki1WVTSxUkvey3pkN1+93QWor9ZTzoew3oPsg
0XuOxlkhRPKx50a3N6dx3gYlMUCS0sP+vGEIFaXGipDjjKF9YUVjFvFalXo/jYUu1equvwzztE2P
7PmtGgnkbgE+Zpo69rdmdy6wGsob0e9ISVD7BWKaTobVcAANCEvIoG1s79I4US7dctz5OLViRNqn
qXxuHTseJx1fzdmqL/jYgxUEqSxG2WaTR8T7/eCrmX/uhdvIhqQU6PesbhTSoUg0iJf3KL7afdVE
mtQ8zd7iJ1gn1fcKomvB8JAAI3PmERF7asOLkG4Xe1nONPukWAouoPPEa/yAiNoiXsdlZLsSEhLV
Nq1Brx34NSeU0/gyq+WqkYQ1dSMuDaHN3vJUSEpVMdKYJXT5YOoEkRCkSVAyrMpAow4NklEiv1M2
XdatxG9gI5VslNZ0NdXMRIJC9sMCe9UTHB74gza1JWr2zEG0VRt9ahPw90mQ39vLtoZcumCbAE1W
gOUeZarKhiwkUePjtazyaXJC+tN1fjKcpMy+w44wqT0ofn0KdwIBeezma6O+K5ReMatW20oJcDUt
WGnVBfxze2H94KrI76XuRorjGUuDNHlDtLC2vKZaZhz1c/ri/vsJoLuSOjqDdEUv8GQ76VbfCP3m
gwBBn60DKpzg6jAM07MmG69cGlx2+QSoDYfH5piVBBMIWSV+Y19e8ZhiZJi2rq1CirniLPVE78ft
MUq6An/GT5yowC1stGKVSWoxvzYlZWWXmNv8h0sUVYkZUslJVfKio2cJomqWCaaIS+pJ/g9+qkzB
d1bQSMY7RBDXYEwXNdfcfGoBCcmUHmMWJYNjLCKP+vtiNYS/UkgT25rcTG40KAO2QCQmmpufeztX
ebkTUxFsJ0lkLQ0sO9WBKbFnG6hUnfOI402CqZdnOHW/GRIFP3cXTxN6vQKJKv0WqW+Y+n9D1d43
A6JOPQjnkeNZvvxiTjItgJT+NNtFyUzphuxl7F725Se3exP3RPCCw0WdOKIbdige9K89K4pvYraY
oztUgkfcwJpfayZpdCwTId69rPFfCG+oCHng5EBeTreBaWkhJX1a6w2SpwbGQ2zLU9vOUPq9YvD4
COZvZ0Y3WG3Y1U0Wo8VZLcPPIjkdfKoOPVpcQ1wO7etq20WHgCIshDb7jX6qLr53tz5VZF/ST6i9
XR0ra7UrJEwoh/6L7QCBKVWZuMbB9hCAzy/YNWxmhFxpDs3QahsoLPyVo4ds/iwcG6zwJdCdGzv+
QvNKdYbu+CPFZyRL6mh6SHDJl2If/MYpoiTBYXc/fGgqpMUSzxT1RMu/069n2+AcnrNOYf5nDvtc
gspM2seHSK/qGlMvokLRZw54XpgC8PvSqjDpXXhlMB0KjP3FLypIFmp2ctBj7O87PZcW6wqpp/Sc
MnoooVoXjuojc38G9yxfX+Fmae5zotiJ0XB60C8dj/mXi8DY/bP/rpoK83g+XgCkSvQloDfp+vs5
v2SOOLt7NRo78eQBLd2sK8aPKJ4d8dHI+VXMoRmTcQ6xZ/pTjekK9Jb1cCTthcM+lUfzGdEloIab
9HQ7hGFn+U48GJ4gsxHcY1zc1t+s0ZC+1bhRnjJHIoKKSILDwhxY/TFvp02oTfhDFy4E9KNqMKEg
9O6QUr6Ssk43S4ULx4rAcJ72rKDbuDVzSmQ3l1j6TgfyM2G9tfPe0dMW0iucRR+mo/lQUooZeMnN
cJidPX3iifSIID7tW4zz2fnLvKfC5VJinNMFU0l2noXJlgdLYwiguH50Cxu6UbpgwGWuZJWOeLcq
8l2XHbGYXY5W+PtuZa8o6gPaOXDUd5ARTgxhEmvLxbR/9MyNq5SR/yOo/xJ8/g6EOF8M4sPrCFTz
M6u2HVtaLY1jltnGjBkX1AOvrNg1nXa+Vb5FePpDMd4wU9ItHi7+81+ujZeaxp1TFV0LbQxpD16/
YdEPwKFkYXlprMetO3i5niAEHC2ut1uEbLYTTh67I989UrazKvdLVdETgJtXdZOQSWuZx2LVRQ2N
8t1IWqKtWDbLw9lv2dxg3DZmmWeIAmn2zbKoJzUOvk9JaPWK7RpIzgvZ2I7+oY3HJlfenM79YKAZ
O9Pp5vSXh72/LK4ZHniicHo0ssGVOFd7vioKqKzbH2v9Y4TTekmhnxRKP2if5aLLvuykcj9qvHjI
PEPVK+JyNbyfODSfolEoQFaWNgEykcWu5ymOZ3g0buJ9KtsNrrnK+GSupa0h/sk4ciBwcQ/vXxnc
iomUBsPfee5j9J7oYb998ZEpz2nUey0amIvgMH6Ap5Eh0O0anlwFRvOK217P1ZGq9UtQbVSFNnVC
E6vtjT1dWCLN/fX+UJPqc0sfVMu9WE4tLTFhMrNE432oNJOtWzdd9KS8x5qYai5H2ti65yTNV3Hu
7nNWLnr0l4ffgWCTR2JaU1t489pY/hLg6njynKf25u/zmqtKD8w5vAZLe7Z8oYN6zh+7ZsRz073/
6YVSq1AcF/5WVyuus/yp1Mg6duI3fRwsVK3Nms5fIlxL1g9Zh2i0qywg6CR+3x71CmnMtKQUTP9N
FoXoF5ahZL4dYdJcpCtZCGB+dpUOt6fs4oqYrwmkWJ6rfTJIZH9vUixzu3HdtzYPpYHCaEKuJGHz
4tYuW5Qsj0ydcQi8jzpjdVydOxVn3BsJ9Y/qijJyPU4k6MslY3IWW3Jp8h/oF1AlyBD3Cq8BQR6H
OCTTYzhmEJVfIatgjP4me66x0uI+bRGAs0s7v1Psipg7xLbFitBtXtDSb1vdNQWmRckxqACBL1W+
CXV9LcmIsBWsJODvXPP+HdNWi1OYWyiKpT72XiMPiB+XytGBNf1NB9+9ab+X4PkQte39vredOPbT
3suWYtp3TG1i3/0gNaySlznlsgTYVvq3aVWC+xbWcGcKQOVJqXuovZtZ18Y8GK0vAq/TuD4I0V5Z
WJ9ahQ87rRSfRvKeQ9ByTetrEPTUSjcROVqsTtEEWQOCjpQ7tB5Pj8VkFF2dRTmVnX04vP7utNlL
FZ0J9NXdKsPHCqLJJE3hLMPbJ9zOwTlrzfyQN6J57H0wk/UxKkajrJ5JG+6kDTv8qTPc5gJTG7Ix
Bf7HGt0BgX2aSP+PZD/HbxkT/r+M1ggTmZ5mP6Aq21H53IR5xQ78kDxHOcBRzFFwMYbbWteutv5d
I6FkF0VI14Evl+DnrofR/eNZgjGh7mAPyGdC46EWhoYMoWOghlHKZlzy7ZFjGnhc7VZzBRSbOZRx
fiiuYz/ribVxVia69cY6tA2YKE4ptjLaTmcZWon6OvD3kKSHWWFze9uaeJkgbBPL6invmm2ZBjI3
sW4ilMwidH807koHlUEpnJAHntsIUXaXjowGD/LjuothCmvPNLayGMnHlIQMnpDlSEqxWhsXgezb
FmcoPfm+WU2lOl+KR/8wBAkQvwAcYaOmmhhxsUGzcNikgDlTP+XzmvaM3PUhoUjuG4zTEqUoXrRa
jeg2cwlDCc+JT51YWln6O4910+McvZPmDN0hHLjm6diut8oyp3rm9MNXOKDFB+JIESMDTsNz+E+U
TJp8zwRDZzVvhgObtNQ2zsqRdY+lnVA6g5gpt0FAO58sTO46XesTWrQox3A/55GZybINldtiP6lN
Bb44xcqCrFgLcnHrFHYi5VByk8TWQj3YVTV63+EJTASPQ53gcCp7wPGwiArA4Z9QZYiMMIQPdYi8
MIaguWIla7wfNUvtXfVrEoIdVZxMg9tn8WgCdb+h6IwpsRboV0pZogcPr/Rvcp+suIPfWdCmjmaE
asC2/TXofOGT507ovxS7IRmQAPozUmeICiuMh4vaso5oW0Mb2IADKgmWJbw+cjIuuYEQPOV288Cu
Lbh7+ASWKZ8WE4GZG7kRqprQrkAwPLgksUSFuASZtisivZS/GBpOlpL5QQKi05CzgRtO86fyt2qs
Go9PSOZkj1fwBViUoYTEjZuL/C3SvU95XI1ECGR0eAEjGMPuGDywDuFrEazVayrPh/BynZDbXOPc
xMnqzEqwyW3Hk42O2lpFUinqPO3ajW11M1hQycXV0qgxgzu0AeN1mgotvTk1t7rKzGfXie4jA7xv
XNMFNoX56rEBFnoJ0U6E+5D/sNRODiIfKgVTxPah7WF//jrab9g7u4ScAuLzbIGrHBQNKM5z5nOx
iHatS8tQwojqK66QGIz0smoDmuBUeJKO6YCcN0jCeIWx3wnrfuBJthNqA78vPik6XP6Sf2vKLUgA
kncj90fesvATHCw2kYU4DEQZBBQCL5BV98tKm1aE2L1zzwI/8lylk8wGF3nEi9JMfzN4DWoej0A9
ZX9J+LxT0xHZa9rLU/LJFjA1wYZHd/yOmBeu5amKNttois8wM4gP2UntQ0J/hcE3oyA5EWxiryRX
zwobnA0wKC4DQStf8NT1VJ5+sRhr3//u3c+4MCG3a8pkPA2jyWbWoBIEoxMt6R2ldQfo+awAoXGZ
CFOiEBTtALDws2958Cwt2bCangVHJuFZyuEyR954i8FEfCwL7H1O7170If+HBaUY3SJ/DW+Vxsb8
ua0cpn86XNY1JMSNuxgyKLGzaTbxFwf+Neq+EhaDZoMAL6HLM3U0R7uzZwz1Qdgj/OhHwhIp+bCX
qDec9M1nEKfGwMr8dLhoZztPDKacZMK+m+n6qGOj0pjKs03hHT5iYXB5l4jLSFJZZW8R/L7H4cwh
UijtPN7hKhJge3V9QCPiJC5Y3teXL10ilfS9YLyjrNzGi6lxJnrHOQgRrrKI1Rrx02+cXx1U0X+0
R16y/O/cCff/g7J16vySLNBGK1zS2EuCFzS2tiXvN8z/sQQ6RUGmXapPcPp9Ny+j7T144+DIECTR
Qa7jsrZCHg3IOoH/JH7aNX2VKtrWEhWbsg8HgMBXSP8LcAmUOZlT79kbGe28PcVG4j0AqI9fawln
j1M5lFHOIBKZEIk+B1nhuYYXrqRFu3pRtvnfaxPdS1PgYHMeOV9DyJFCd70iLuThYs8zpJTe5ZQS
KbEvstZTj2JgqU9CR+5gbfW7W9RgRVX4B4a2xEiKApJQLlryMjy1Iq/Le6aidhZoOhnmEVCyyMTu
RQkxUYrzJn+JhNtJBtrmNT8UhuwoAaLBmjC760jZYcH+c0EH7cBTeknHi6BAf1r8N7iZe9no5Y4w
2c3i+2vWox6ZB/qMPcqUnTfTLqrKT6scn3XbFMAkz6C/K8DvUb0oWJJyZWQINOn9EtvigL+MZB8X
34hz4OQad7QWP61QizmbHJmfmYAtq0YjUCqMhRNwDmEAnTiadxhib9g0MpKDUSgv3lPg5lIoYy2g
ZosRhU/O3a5FLoH16DkdTaT9M7DiAXELupIFfhXC5zai+1Numdd98dgXsuO9W+mnkm6nKhj4Qxd/
jxJ3CQoYosFyAn2v982pOwc9IoBXNU+nkGToJ/YDPTIH//jpzEft850C/JqLjjlPOpucSHEjwhk+
MgbqwThWprZwJx0eCLNspSecxziGTq6jfQS38N8LhnLjvEDhhu2WUTKpABf91kVLn1ZJ+km1sg70
3OfZysFtTZ3UjdVz+mLhgYZjcFT9VZ1U5QHoMm2NSVxZPnM5UyH3vaERp/lvIAYNZOGvM8+ZFtdC
8KreojVxsso5Qgr8zWSD6jUwF53OvrvFEEBohCq4n5uNfqB5itm/2s8rFsEXlJmGCiD+1WKlwxRS
HDwli1jrOvuBr32++2rAFfEhvD34Zpb4wcoenYovFdnDxWoAz1pWJY9mT1NnWclU36cWftWBXZ2Q
O8yghiLa3T3CwVUBaz9M8c85Uke5oH++hHeEe6tSg4GnknRyKp7qvn7E9vDi7X4GBbPIBZvFm4kk
wxwjNTKmeWFSFz25vBc8kE+R0+2Io4l2U6Gls39YiRTkb1wgo/H4N4xRWIpIlAXeUA9BJVQYE4bW
+/II83Wtbrpd9CT2bzwDC+CoRF2jdYKZFIOavMZEEbfA5Pow/JiUVcXvSYr5kVHz9QQOdy1JeFWj
gi0QwdKHBHtQ+0U9vc+TRB7ZE7tOWuRrbXqeOjtqo5ag0MFdKufPnerGi+pR2yc1ohnnxdoXEU1S
mGVoXYx7u66xCNz2c4Lkv+z8QMvOc3/iAm9EqR6Ffd5XxfUrqwnFlU/D/rmWYmynI/tkkoRUTfwD
3kCoRZaIwh7Rx2MnyN3iwgdPHBl+zr2pL2+SdaPTSP0KnnEXzng0N3WLrD5MHp2IgtaFmhBLaQp+
oHW88+ZoOdAKBh/DmVKWm6rGcZmB736+OCglczSgIvIdrmN+5o2rmqJI1+mrVNDnoJ6dxj+A1FcI
iiwedcyKm4bRjHwbZfiicO0PVSj3VjIUPMgxAZU7mN/pM1gB6vVgo4zV03Zjg7fEz7I+mKvERrCr
M3yJYf4RbcC9MMeUOx/Xs4foNS9ZLBQ17gY3eByXsgSpSHkNIMsi3H+G7TCPjPQ4ZpXZTdUdpiMa
BfyYhtTo4AejHk3OWROGFFMxPcJIk6oXjB1V3OFLkzfFd6wShFFJ2nPCxmhg5Gm+NkL/ecyqgFns
4p+H3CNK3WlCHi4jPsx/RaghkMzT6i/HkltygODP5fnor8TmxgxP9wxMu6SDnePGXfLCn4oJrZHs
KdR1YNwFO5meeaeENrqb8RbSUwAXdgAQA2oL4JI5kWHxzRdQxtJjdRrNdGD7+0ND0cobZ2/ZqfYw
PLawqgLvUipXS7YCymD9O4RB9mQiLEv2LDB/cHNoKPAvb37nd665wgVaGiI8Z5Fm8cCwvJXobVhh
bF3LeK9kUFddROTUGZmLUpChAwoa1ztCOjS8fPU1/7FgNDHzaNhBBEQrzYWLW9eKXn1SPKyII+BP
56lH2n1LL1yLl+hNWjthNFGphGPCju/aK/UWwL6bMB7AOqvqB+7/rQamuPUpy3/xoIBD/vpOfJhG
hxIZojo2fqMx/77N0mNfSdss9xlAjGUsyRAcyh2cZH2Wfa8WjCbg9Yzkhz31iY4LDm0J0RPHdUJ8
C66ipEaoE+wkGZz7zz3Tvccd+LFnVq5xjjlLH3VKotqJk9hPNx4fk3EZxcjspmEd0FAOfCrhHArV
9/SYvQ+w8XVbRlzVqR8aotVMr1cnEE54tVVTnmCY/xQi5rSxVSKphKteIhAAW7yZpm1kwHBbXXHW
b0pF64PMuSOYJJ5PoKLd/iKN1v/GGcbw5e6C1BDj1r9klpqXORbQACBjZEkowipRKBC6t3zjNNuo
U0+uB3PatJwZwmlWXihoE2cbmqW/ydiSmBAu0YiY2DPejJiT5gKJkgz1grFkokTM0LRhVpN9tj3g
HK89vjNUI29PKmwhdfFxRckX8TbJwkaJGraqStMY18q+WJ8rbvovFLxqPRvftqISNdrCjo1HpgM3
93ARnd4VZdrtlC54n8W0lxTcGpqffQwJsWi//FmseByJai8bGgmoGmZrT0Apc/RnmaieRbqbLoyK
BnsYXTYUtPMWMfzhkyqoCJZg6Oo5vKQ+Z7sbOJ5bhv5TiaHVh49tPui55cFzsBiqWBa0YODkL5CU
Lk2tuCSNFXok2v9VHg16F+TupiEMLqRRSTZ6SNjpV/4nyZ19uYkxHIWPjnmD2CCAf+/rCdTJ9BDD
A26AfTO4eu/oaYe7Ltc/3dszoyZ/pRDRulwNm9FvR0wFRqvYLHrLbi3XQZceVd/BTiZFSoHNNbW4
Rhl2wQ6cPDiW45QKmE1THRKLi1YhX5pS2J1EvF7FCIlWW6aKozevcOytOFSSXDzJ4t7oNdl8W+Mb
LnqW2MlSva4WwwhQQHEnhRdy5YuTMxmLwLyncuIyOi6GsU2fz2xLypVZWwUrBXdB/GNl+AuDYhbV
rN58rmOUi4NntXNr/+ESoceiFBLL5oI9DAVRo8DZzi0n8Xlv0+RJNEUxQCW34vyRjdJbK/mLoH5u
yEE8cdceUUaIlFz0tJajewzx2eUu/rvUEYNoOIBK/LUXvRkLKTn/I0GT99ibgH/E9Xjk0p7xfvgl
bToYpYdzw60JAd/pUxgOboQpHbGrpYG+PyCelj66ks0Q92M45f9AEaMpzPiwXcWTV+uBf3IVgcaC
8X0gVy3M8dsxQEbzodTMxu1lq4jKrWsnQN4aC/WkdAZo41FRWmN8R0ijL9btPohZFaxYAe5Xat9E
siNxHdJwCwZAEep/c1JyUgWN2A7wkaRbaUtN3SSdbGOXMJqOHeaiK7bjXF7WerSI2xR6TWTz9Axa
oiXZzkVAblKikfak91R9jqQcmwoEgb49Edxwjl/0KZPdmeFNGXRpL43SOL/c3ZC2yGXaI5POYCrf
ogRi/30zs2j8r2GW837KKjCAKePYb38R+fHtnKHAssaPN6JEonsPpDFLgzfPpSwt5iHW8o34SOBM
ZpvRPoDa+UmSwr30V6nf2Y86bPn1TJ2T+xCNSSRIbIgQDCEBaoPsT7ty5zrAnisCrcnw2ZZVImic
2rN1XEb+8mnneX3c9Z5JjJKoJEUkCnXvVcGIkORkS7ehFFJ6nG3v48xGGKEG03a4Fkj7fTOYpjbD
YnBiWx8kyM+gDJ5kyTvzR5PFOXIpjF8C3dhV+UvW9Sdtww2QbXmb7pjDFn9IiM8kfhdw2//Xe90A
X7+xWqK0DQR/iAJGrs/V+SOxx60qiwXqUirzQOBjyo4Pi2EFnPsXZC+LCh2HtG+kx0sEzIQgJo8+
lmF/tE23O5hEDjvgPK+7WJcxCHG8Oo8/45ZoAcwkbm4CyjUmNaXopxjmroYX6vJrCdZ1bPFVg/mf
Ps1oA3i3y+xtxDQpF4L33ukWFKlEJZeWJtT+aNdUDxZEPfdwOYUgiCEDsTOPhfpaulZn0VlI1FT7
I9E6VVN/StoQ8rLpWLyxaG87qTcRNoLwHlQNRVN4V4vmQYu5griAM2q89uy0mhDEO+/NfCoQpjvX
+kyvAckvJq44cSdECHV8f1xV93htnBnPQt5AzdjLedNJxHXwaS54yta3l3fwS4EmFiDYqXc+XAXu
aaItamxNQguMMcoU8LhbcbWVaISVkoRSC5By5/a7RG4Bz9YHGV2/kuJYnF3KarKMI2+Cl4qWjsbp
9vcMweitCNZHqdZImnF+nMIEXii5pqRNlYEzPGGEdPK6xcIOGstshwEoJuGvHcbT8OVCFH+a1gx/
xaxQ0xTccY3JLoj0l2Dx0RJXBZRZXMv5tEWoaIN9+c1pQfu090yH7wGbEsrDPt3+6hJX3pYqufzM
8WTqdoOVUxfvGJS9jFK18V8Z51Nc4WUsCJwYQwFYQQOMHB8HvidbWtzCVnyfthUJXnLacBEDO+qm
VwbcwvE7ohFvCmfZO/qTiZHacDBm8s/PwnbZnz9SlM1PzPVPwOoClCQyZIePiTtrk/nEFWw2Xj+I
ZRDBpV6Qx5bDKd+dk4F1peNdTTHOSNJmAg52Zy/2qg6D5Ni9EVyMfyqOvN7NnxNbPG+5rX8rwGuK
XWdoKofuslmafPGiMKWCkv0WrRnViw9L/9/BIFhDPwI8sF+JddE/FH8yN8WNIRFm/M0IN/rVMXgW
W4BBOdjdEDkpeJpx55tnjmCccp7obmXyWelAw5BvntJqqjR4LaIvyAbcCposs99TfZebbvCAMQnf
EBzc+Xsa339dCfQ9W7xO6c8Hs+op+hRI/14hbgqVFF1zlgNMWD6232HFItKy5a+Nt00MBL4deKsr
7U4Lfgo3AjmZEw9wndobjniAL4VSlzHRWynKJZjj42vhEN6h/nQKE8FnDtENJ90Sqz0p09AH/GSE
9opr8qDKvx63ZipWs/OEPOBl7QDbCS3Xu8tghaSVwEawhJm0FJ7DuLmPadrdgcxUbuhateixHuu9
L/cJmrv0nOHy9lmYgJ8I/hIOXIFZ9OLt6yacjsa005VlnlIgMGSBDD9+pfDA1ZKBJsCTDURsL8p1
b81q1+x8U5rIXnEFHTRWfjlQV6ym/n9ckIqihLWxjiMt4qWloOqGuJIBEzKD8XpgTHBXFcRiBH7F
XdcvOd4+qjfmutfMpa0snhQRza8nBdj/8txzVjp8c1Q5KdMUWoA+pEMlu0pTwBLBeCjNrn2Yd5iH
vIoFKofLDi+r6dBUtFsMpCVTgzNPRNcvL9edjxZSo5lCqWUK1jhLN1FH5AP/PYVDxsFdma243IXD
f3bVBkxb22lxBaCTf41ObhxFOMejlXg15ieE1A6gRBYhKaJmJcwySxz1G17pLLhDH+966+dWyGv3
hJfMuK0uccpdQbZN0yMMqhY/lJexul34Avk1htpHmVOrf0f6OTwvS7If8FrPt5geKZ7tTB0Mn2Jk
bbNzSotz0auLO7h0qix9KUfnunRCoC36tlHmtXoy6kB9Um3yHdObkku0N1r2HEMPyht9oh11QigP
+4utFhPblqjpMqMMk53djpfnQXOSC92QvALz3grrGOPlQn4z6ha4uBYMONuwYScOqBS1QRCCxtRt
Jwu/vQ/dgmJjKPUZcZFk4Oty8rkhKI20U4aN7NfmzzKdKS7XHr0nfpx7mDrbmcpr0/5O+LSMYN95
5DBpOQ+gqhJmwNu5inkITktYtlGx4fxKTA2fTOB/cuDr6Xfu1qx+ZGaJ7PorwdZqs5Q3bIsBWdCE
waVjYei75WpTuVmUTdmvk1OF2GJwE5GjhqgQ0AM5n20eHZw8dbUbaLMrTpwbSOj+z08efEn1Qtup
34zWsFsduzNB5QgGjyh6/ZmpdUX7sEAxNPHnyfzus3xD0lBS8ZMyKNatezkRYhAAhMxOVdqhpgUR
42DHK1RMqWPtZUtntqCXii1tz/8hky1NIy9pn4FUP20t/aTDjNZ1pVw/3xxu8yzkuGbHVaa71MFN
Ex9OuKSSohsdEhyOxUrGaUS8EBuyDvAZRA4vxQzI2Lv+2F8/cVuhEtzWeP011KlXj9rUFM0EfPgM
sNjYFlDflisKX93mV7mKZO+WzqjWJ1TJSydBv50O7TZU+udWkEAcDF0odwXiNM1Ndc1XpAEB/KNA
Hree4SxwQMpBUMK/mQCy+KTGkWwez0KQy/COdd3gXZZ3mbNuOBSZ9HorPvKAmTTQS50YHo0/BsgK
cUYVoBF6FGKc98DNrfCNTMKVmQCigxaZ1SUOyeqCl/RDRHR979o0NRjUCT1VycDE9krNSOJIbjc3
bXjdWv87To5CSZGcmYJp4UAPw4Z2VFP1ACiYNUauwL4ustWSV7anP5mR5fTuTrZ7d+th70jYRwdr
/JNpmJaXa+zNwzZX92DI70X+VGNP7jVXKiEBS6Hj2AKJ8w+N/gmYkdLaXALNdhTqieVC0y5fX5Jb
VRkUl2D1YQ6QHfRVbba/T4Sny+xQMMyNuhqCGK6XANjYkuRVlbYDNJpZQd8L87CTItQLLAQPNqVu
QhfSWf+Dyek+XgL33vbRZo53vuBpiBUso3Mzw0TctQL6PkA/wBS+ucEOUGqCpi3yvTKfyOwYR/oj
d+0rfA0V6egZ1M49lGa8SIbnEmNPRoPGM9P2duCrvNuetg7DKK2UYy03MjEwCdd6EWs2WPCNPyt6
VBdGmCED//xIbe0VeFp5qoWMORFb+vkb9HyzcItwI+zr5dm/pAmnuMyIX+59UsUCcxgM5us3QO53
P6KVWsiULZTQsQysnd/QXfnzcu71ByiN8NfHVLGejYCQDzc+RUXf/gs23i1wgbgV6yL8WE3c5Zjn
9areAtXiIv+1lho0w8lnezGVcQGKJgKMh4yJ1tn6vQQSLPRRh3YQ20D3o/9aKBgLfheL0CDKz5Zw
j6uXyQkqunqJmah22YQeJ70Ecj0CnVoJVvntGqv0Y64/eOpzb19K2mEnTQOwyxuEjolCQAFIU6JJ
M+lDRatphqG2EVDR/hsStQ+ggD/x2qgUAj1Ue+0HMUXT9odA8Zttskg4e/8IdQLlZ8fRSq6M+unq
1MeD1w42uBj5e4uvbPUFkjV/+wJSxvSutaNTUigtSEJk1KNAqYJOqehWWwh8T41viIoYhg4M1pVI
CwFNKqCxFOcqWOKrdcMRETruF9DIlqwTByE3cWyo4ZZiyVtOWuEU13Q8rNOr5ZnxQTu03nNDHwTl
ANzn7bEiOZVysG5Jb7s5YH1+G+0IdudGXxoC6ZgZjgwOmVm71u2fOh/JhpPVr4TCnRD4fl6bEOnT
friKp1AMC+1LmXOyIcSwRUUWA7c8ZmLdP83Mp/8tvJMefXL5wXyx2aN1fKzqDChsRVW7vElZoN/Q
NzZtQbTX1DBMp1qVXsK3IwVzmx7KCqJ+x0jI/qHBCyb/9bNX/YAeioagV9g/lp7wiSXounawq3Hh
HZFxvaXNvTOPXNYT1mcdbhHyNH8tC9CwCu+jNa39OZRBvoogX6+VliS8Ar/R3bQA9xUkn5GFtapj
cZZ1u7ChUms2Bzkmpoxx1tw0xfmroJ6hYkaqHINbGXcfFZ9xJKv9hmsyNrkfSHaIVnrbePRlizQk
NYIUb+9YVdBI3Fg5PR2/lREs6O0Zzef2Ap4W89xuyXpEOb7jZuX205kMUQSER3O12r4fjTtHjRG+
ny77WKIqlTxvfZy1BenRPFCMUtJpILljLDOCgWYnQVTmsvrG79cehjFYCdKthLWTx3kcrJfb5oN/
u2jy4k6NhG3Cw9aSfIw1ZHxLjjJcgQJ2lHFQmLrue0cNmQ4aD6j30eybinOMAjycxpfciIWPU9Hd
qTtvkTgIvlnmqq+EkkBtd4htx/ty6hjt8SKPQ2hK5QRVCmR5hm2kCbPhXy4PQXAr/QsRwMD4CpfI
sZd4CJEhIkRn8Yis1cVBy8KBbP0CE9V+zURe36XSONs+iQ9EEV/chxnCNL481ofthQ0y2Em9UlTT
pNFMWX8Kn0BuVXVUGzl3ZM+GJ8At4MakM9nSw9CDGrqV36kdBQ9WcNS00PYV4kcdEWp604I8pBPe
K83TwLG/9tBxeGAOVvqK0BsiNtKbjf1dvM9C/flD25IbwjtoPsUjsrcw5bwlLOjpyUhPXkUuGBGS
+U1srn93bGNRXZTswVUhMkrTbIxzMuJEx7HfOjrfY8zzEHUDVI9mh2vhkPxGhyflFCLGLYIIGfz4
dFlW5oGmvVG1yS+pOp2f1O9fzzalfQTp1eJW04zm7NR2zqV2I2rE7e/xNFuDa8MAw5KTHGhBHbX8
0GrluUvvxoyUZpVP27eVngWG4oRndAt5qqm8lU/wGJZYEzNhGbGygi0RFUxTXpu5N6Vlp28YbSVi
GLSBNyhEQT9wC9gZfKLtRmE2elVxpVNZkCttt1rXlqGPc3yMXwsZA8BEOZ2ylPpZPcZgIfcr6AnG
MRLGlk8N1P3/xy1PwlxfXYeR0nRhPJS3HHao2FngIyR7VnxRJMcQClWyE+q0B+T4BP0EF8U64F4u
pdLLVRbUWKaPWZDooVJ4QG0auHGyafmNRwpzwB+Fe/UvSmpzphKx/oTkatVxTtT8LSXsygWdOhAK
sQRkTkSlI31dalvfVgmHKuvUP8Affz9AXGkogdqZdjuslkvz3Lebc50fylwMdM1BHYtjwWyPHpWy
qSBSm59Je3ThqEOiK6w5U9MN9J8HY6FhlGB/zA4uW6PY3F9Jn3yIpxXHswi8RDnzoZr8xhPrLXF8
kblFO9RIuA0DpOigLSEda7IZ8EbA79apk/Agsusi0bOFS+Xzl3y+8y3/AtjUHaO/uUldWxtJfvuS
Cmy4OCk2eW+S7z5NSJ8e5PR7zp2Czj6Y3WJhB7/cgWQJE2uRG4wESf3GUT53sYY3ybClbNaYYHMu
0EIkDzaXDQKEyqqc6FLx6lgfjbt4CpmFITRtsfTJoaw9uq7qn6zPGPo/kHPWjaR/3SAdAOA/8j/9
eDLxF2eR4jZqCuOo8oZ3Z3/w3hz+bB1BawG3Wk7Q4CRNzl7PmTJDi06vxn59bH+THe2wc7vVVfGT
tiOeOJta6EkOEPP/ILZaRDqcQ9BJh+1edLgv2G1X4ixFSKpwvwaldiaV4/RkcoQNrOK7dDLaoZDf
2sRJF6uamWeKzLlHV1GnvteFI1YdLyJWPFSn4/edswz2Rqc9OpwVskhaurwOK79puI47AbAtDDSh
+Y1FnS9FQ/SBNtWzBwi6Mfcup0uBaUqZxgOQozZQtxlaiP7JqCM7GyBv40DfRyR/E8oC8MojS6Mi
XvECPttbKGR00AttHnENGMm/SGTPVx2yDDb10I1QYKZxIHSCZZmZxHDLPwKDgoQszuoaTmqnrNfy
S4yesMt8P5ZT1n3qPkKVDtogJVmiK/j7/vIBM2lZk9Nl41YXmFOqkOWoHBJmXhnfO7MzO9ke99hU
XtihPbnLDHO+5iOs+hQiFsQckjWSVayp189Krhs74uoM7EQCdNoXJWSWCNB8Daj2nLoUgynvSeUd
PpNgjutSqHuMDQ7W1WLmtzK8m5FIjO7xQMMw9PGS9wiErbpuAyQLYBr2bRCokJZDJGcA2u14VmxW
soHnvbNI/HynvGLRkl9QFQRQzZgvl3EVKxk+/tEXHOsPsUhsl8/TjZwB48AcjNcJoY26njdOJ488
qUdsMvRcy+NRhyfK4h2yqqg8QUfroamzY3sHOfL6eJlfCqgZERIU/SeMMLoG01vbb23IRiZgNgKV
vUJs0lXhxs3D6s+tZcqPfBM/pzNy0TwBkyBoWZbAFiq+bAVBqCKz8Z9Z3q6kimutErNODx2Denpw
YIl48lMubqk/eyZBHdBOAuocx9Ikfo/usfzrYEDQgE9+dYGogrlXSRcCzbgua/Rru+6zC/g4CKus
7ACFkFWv40Y5gumyOHcawDBYl7mXZr+r5NTYWCxBDPqzUTS/T15eUxWhRVRL9znEBTdbFA6pYWKw
wpMJwNsDJ+t9dmcKsOVJ4eyMyhAo4M2c4jJd+pXv/JVLUm6yPJ/s+lQB7qpvVDKM2GE+KzX/RKnd
knzjckaUe0X54e/7ejth4NZaXfAnZh1mawPCRacGeeVysLXRDYMEDiLdWUckZNP/CLAaHrjITjXj
qlWgY7QjudLoiaWJJBcT7XxYbJNHG1EYHrqUF7aqm5UvPGAmlseqQGpppqVWx2a9GY29ic1bqskc
CcdzvGjW19IGAAcFxdN2UHxXNYqhIEsnpH/9Y//vvB5t9MQBFsdhI6la+AsSmLDQjysTQWGEaVpS
Wb4ZHiOC1Y0bhTj/kEksCCCtopN2BUHX2G8F4lzaQNqChl1L6dU72wNt5SIOMg783jUG035muZtg
DczRZAy1ayhgPQJ1pEE+wtcEbRhUu7kiXTP0CXLsj1TUTimX27LtsD+pnLCCF7XN/TLUiepht2PD
gjmjz3yvC7S99nI77KYg08lhIzrN3TGSbZaZBrTrHHAv4LRgueoLptG+R+i0RMQXxTqFAycAMCZp
9B2lGi0kd8NZAB7eZqnIiYSyGT/P4LgmFvrleP5JMBh9op1oJgxhV2thBVOPgchzgS4Tl3I5oXiV
wGW+gFWH+1r6fOVCQQz4QK+uLKFXoPTGLQ1HRbB+YjPzb3GoCDrSA/4Ah6fN3D2yhGTP4OpwGkrV
7gNSjKUUFgI9+CMs+rhaQ+zhNG2Fgcz31YvMJCawYBjyVXmEo6E1/IU2XIHHwDIha5Vjyo2k+FUk
ygPefWUULfH/dystXD+49kx10Isw8JedOk77FhMQqq5EQBQuFtkRBtUk2lClUVRfxmEbEkORRRkB
4j91hNFz0gcd6d6GoKMnXK1DpIuiUoETmk9XwlgE9e8hY8W02V7EGUAS9AM8bn/Hf2n3V+LSKaVX
KGnQOuUYkFBLccLbaUU+s/NT92PUHbf+QhFXt5zApvR15PsGnf74liDe1xS0Wp/h0pO85jxauQj5
f45Z7o6xinO5Ky9f7SiAHtZjulB28vmLOQ5be7/yqjUFUSauDaH30RwX59a7zgN2IMh91/t2k+zv
T77ns4pFnZJX2pGg14EQjXxKNur6BYuTQvCGvtCONlYgzHDzjf/ZjChm7meaqgFNbUUdY08cZGZS
XrvOc+0CS84ygMFzT8zvSW7DpE7+51nym3YwTvKGNrIJj10Vf7F4g6BhzTX1ojrj5RvdlqicXDGp
EPvTqOEFacjp/rJP/RAch0bqADM5ZM+Gxgyhxqt9d75dD8//MKeu+GyBvCFqu7hn++W7NUQo4b81
HCOJ+Gweh7du9wmxT30hfz3/gl8xAQbSO7gIKImWGdty63TH8EM4HkGC0tv4WhoBqsEC/KYsyQJZ
uDcL+5R1bOP2r5XaQzFLEm2YRQp+whA+PH5DpSs2nSn6TEsOMQ2d/bCeBrCYl/B2Bl31cUtDlaTv
IAH27WtgcppNe9b92JTVwzotsBt52lYZY83GnbrXqfSxIZMGdkaJLuUyJn96JRNBSHejES4aH44v
CklgOE+pHaFiz+mi/pyXEaXMCq2U1C0sSAmLUteqVjniL0wPr7zhLPDwtXBJeQeM4cQX/4wA4Z5q
AfQnWMgfg3Xrinork2qx4J4FOUqPWEgCDIWm5Dce6lrZdLZAg+brn3TZqmrbGYvvWmXxJqJXhPsm
AvhkJmldfCoUjGO2H6x1VkOF7yuSaaF+1P2zF/0ReH7ulra6BF5EvfVz+jWOqpqQLdK5gXYqMLRg
YaXDuyDsLRpjJMzfpzK3dTGcEF/hcdXwEzH3ab2VLPHsMgXj8UoyQGKdLmR1qPUGSlLN/ZHd/sf9
qBxzBSWtOJxBiY5oc/EgUU2KCMCOceYI2y7wJhoECKuxH4rpyNi8Oyg155mbo5ZOxSQhLoKYwtzG
zMGVx6LI8/lB1QH4IgtFIm7iFsT0h/gmzY2YE8rmRCx5sZi8DTLW+UzQVyIxX4KGtph5ftE+LHc3
baOR5JjydrTWqONKH+BiMb6JpLJYc3LVPBMnCLGjb/gQuw3RwMqH6hA/8cET1Wsj3EECK/ZnKP2W
NyeDqJxR2JQ+k90dPqa1yzeVygV5LQH2fN/bW6IwIfDg5AK7Kh4UfzX4b8cubeBi7iRpmgVd+Wq2
4nNHLmNJf5n0iRd/bE0T0JLChTDkDAHoe7RUfs/3i26RgOIOjMyGf6ya6jwBOrHhmUbach6SoLvd
N0DMFr0DxlNK5XV2FnaQleejxN9bOAQbISn7PutGT9MBjqQZ2zInNHWfhL5tK4keAS1GHKWg9NvL
D/Am5KeDnBTpa+IjhFxHSyJ6PUqCyiSEcwgCQQ7ItW5O9YbLg2vkFCSSSmGt/6hLSGeXiaJma6lX
Hn+tsRDcM0o5PJLcVFx9W94CFM+M+xaOTEtT/2k7+7ssIZXjVJBzcGbrMBBrh4nXNzCrVEcPrSkW
V2XgFk1erS2sAmJMUHW3SuNC/eLZ5RDUDoyAU2snlzlUsy+Jup1nBE+BaarHLBatQng7i6xX6Kix
o/h8KZPU3VkfaJGs8VIvc190WShGqbg2T9og8elD3JDwVyYDa+yYMISDOcn8rhv2hyog380jwvCp
IfXzK7yj5r2n5Ui9XTj7EPBwhiE7sejCLYeJPgdtlbAe926ztvOEV0E+CbAcytnqBGeplQd0ygJR
SySO5g2stXa3uJLFlgRV1RvUnm83yEHPOeQrr2I1SZfoVg/B1PrX5BPVSPz6hDzgLDMIbMbvcE3Q
z1OtLLAMl5wiIsmbbFYMQhAYLUEg9Hoslv+IcZZgzhjOPDBRP+0jWFwdxUP/l1HY5a182EDEGNbJ
C5LnjfUYunTM/SEL+TQanAFHy12LSQAREI3oVL9aXWOI3jpTF0ZYthq//VCwQPLyPnfRGcLJoQt8
AFvPwVauw5FheHZhxzvbP7pJtrzSSwPCPovj5HtEmeQ+8rLtaJDWE61dqyeQ3C3+yfS6MlrWsX+o
T5ODHXP4BoEnkRhq4VULsFl+e6Ax0httbjujpUqPzNJOKX+9oKE/VBJdrpkxsK3f8R0GhuvjLIBc
e0xhv5j719ZGyY+7oh5CIsPL4VgdASauqY8JOfY0FYl7j8WD1ji62+xeKQGtAnW6y3MIvjCuf25W
c3ampoXhyjHL28Sryy2a+mqgEQ23V9wOG7KjhepBx1ebtnWnSQSHmD0MT3dvY2JlQvVbkSY6mtua
KLOmE8Lc0FspvsOvY8NlDKEDCjviBQ5XTTikHJcLYvmK82Hea2l8ci/Z/nnElTRLQjpddIB2gPIZ
lJVwFrg6c9A9gESrog86/B/1Bags0O70/2s/rKH2pQ8E+dilVv+JAD1vW68Bchj1ddz+FQmT3VA3
WRsOhVi124bm+rKZsa5x3y+iBVFbnS/fwyvzaW4rb1xd2Ldch9qHTpVREXKiFdwKUotjQNigwnZE
3Zu9Kf0jRJ2fKuFrxTGqf5kasAU2fcnLMbHVtIk+z1nH3VcmKBhJ9ymcDeVlbkAQwOcTPdXuxHLa
+/YR4DfIk75tHsAKXvJXm8tFKRjfAqybAwE074tok+z0KWlYsSMr++vh1vmAM3Vj2tDdzSDib5Ly
0nDKL8mItXRs/Gk0ek6msZhUmBXZaRRIMjs0nO7WJVRcm9xwGYtMcr1gI79PjFV440vi1qlvexOP
wRpf0Onv76DP9v2tyUHoyslR8lAVJe8c6RXKoLL2kfMrHmyG8WR4FrS1/VOFiRgZ5Xs3Mpm8HcgH
vUU42EEXwI1L5iK8JSFgrOVQ+owzPNoTdLrVM9kaEBpEzzkOUBnK8VJbSKQTtaIm5tI1OtUFx3JL
RvmkA6fZrH58tzCuat+AeTzm/8PDyTqyt2F4HmIO4Kjz777/hvHpiJIHL9Ned1lLNI4krtByrWh4
WShVkQZTZggKLccLZvztzwQEis/jRPpVW5al8PxdOqroj04Fd7nrhrvGqY4Ngp53DiGPkFQRbwSc
eus0ZBigwQqMzoNb2450js93sSPR47NosCw8U4zuZZ3lGFpAJ87f77xPz493AW2KJclXiIigzPQD
lZNWFuEXi2shj7uJXd0iZvJr+nQWeygbzKXXYkRgSwWVzy6chZGNIZ/V5U+UTrJvyOlJSx/k+z6b
x9sks5Htyq1zV63uYdYwHpQRbpj7chbPr94jmynb/Wm2EuJanM6Jc6p6QPZ41nYfCprGavtJWIUl
lU1DAjqs907vXt78owcLBMMBuD9PV1Lp+uKxvDI9o8qn5BGAHDaWoCsAyADEKgmdKdc0llvr8SCp
caATCGFH1HfB3Hd88jcfHZZw6Owmw6MRg4wPcaPUgvwqZCZPai/Hq/AjzYAzX7J5msxvlTJLn6Cn
BbfN9n+/mP8h9mtt3MAPUDOCduHJ9CXi+lzscBNd/p7LtWDBKbpfvNVKzJLHNKYv8e6y8faEcse3
rIBvjDSzccZSPyy+QL6n3WPQtIo8DrjERwKDScv7PkZy8qgceDx8cv8biSLgH0m7rjLJRlCiqi/8
0InIocU1lXS6Qxtq3nHeQK9ivvgN7lrafyiBjuQQTaHIMDvo3vD4IfycKqB+7EsZ2YGnrDfymDKi
KBSl5VSOlJ1pho9CG/4IjQMhWBEo2arm/PzOOOpHc2WHWl5fdB58ZpKeaVu7WkCt/Yd7UQ4JrwBP
RS8kvYDB6fH/jGsmK7eLy7lj+QI2DfNTVeBJ1mrPv3bSXmoQ3ynXxB5Spw/9b8bY50F8jWlf10Rm
zkl1f4KpAQP3NwjeiPskopAgI+CGhE8NJigp76s49qQegDUjYbPpkXN3uBUUEcm2BMkwfalqRgzV
oZW6/andLVCLWkd2frPEP9K7nvwPLCddMTN6/y0RjmqGIukzzyvaJb20IWeYm5MP0hgAWX7w3034
EPLflCx7S4OERVCj9mJUtpE0jc4LjjSj0UlsZskjFwne4pETbPBtkVGRL5V4GXLdSNZfVWaTEcw1
y52kBPhWqLxjay+vTOvOKzI8E+OMcCYY6rGH3gx1W26tKVakOpDlpgm3MsmWVcCUwfyEY4SQNx5j
A1gdjZPT4ufFhqnaELlSQ6Z86V+eKghUToHvTFMemnK0w4LQbI92UXR7L296hFUJdVMdPtWy/1o8
u5ElW8S0UgXoFhqxepvv594V4eRbaMD/b9PKJTdmSVk3V9tvKiELe2xxa9V23pykSR46EFX2tSnM
l0RkrYfHeCkaJzPIXRlLwgQDikMrU0BFhC718BDf3BmVoWKgn08Qm/75UmPhMOUsDr5k/llml8Zr
dVfx/cvhYpj21hjnIDsQlMSUKt5nLlKyYPjtBernHYRVFaKAFDwwWJ6oY2PD8f949uoHVpjnob+/
vMsvXqgXmqUfEBoYmwv38707wwCzAwFhfJZkpi6tEySikVBnSxmqqT2K+83spvPSP4wYvwFSJjL3
1r3pSz6uN2xlZDNl9B0IOWQ3e0h+lSdF2Bc1ak7NzIOrRiQOoz5s3Nf+ALeyknsaxYisGqIpHANd
dx88/EEc/Ye/s8EVsvLzJAGR3quNR7xSgr0wlLthXVWNvwWFhU9uRPqRkgu0SFQdRsXeseWi2275
xl7r7na1gCF7KlPlou03j2YFiCRRkrjosXNPDXGB/sYJJV1sAsQrVeCtumjmr8qq52MfPaXwQ6M5
BO2B7LCzrk84CLM1ibizv5REvPCisA7ENee9pq1tbu4I1eZOuBVqjok1iNOGkn68F/JGNlrnzH74
P5pe2kBN4pQInqdflwIo4sOpzpMDqMJF2QoYkF7SnQevGVSw5wc0rQkUU0L62hOZEhVINcFO3W4+
RN1B7XSUdGz9iiBHstHfWS9XTR9rzhOYiz/ohiam0INK1p0uuPUbqfBReZr8XXGhm0LsP6PVJm8r
mfvfvBHuHtxBLHzeZUKBN9zdlJZrs2jLwEN5Zxt1WQweosI3n3BZ/v77nIdxjlF6pLeiA9fmET5E
ymfUTRhXPp2I6xwQYFxHDKsPX5ld/VPLfE7B9DvNiCFte2+/QYnm2lH4ETdlJHXW6U5mytG9Zimw
zyzq2+buGPuZHsR2nCIWbiGVmJhi8IgNRY4UDBthP9QrkOvz3Qj6auns9aBEFuXhjW7PRcJ83KKD
XeN1BV3Zk5g7migiJOamBBMZmq6+WZlmQnkk77I0D2xxZpPvpkHZGronXGdsqG/lvEmc3YjXctjB
x77EPHtybiMMj03Sqyp4vhtktfVo+yM4C77i/6lINZP0HLF0oQ80mJyOPcXFNUsrxCKSWq/SKCqw
z9ACbOBNuBsqel7LfgHNzdeVoa9+4FCK4AOgDp0NsW4R/nwOWMpF6GwdzAaGofz12qFqxqJ/8I1q
vmriykjMQIGT5ByYQeRq8VkFcSi8TNBE9No7fXqQzMZbKmIrSiVQ6GasiAOplzWP9GuCxwWNN0gj
YuzI3uM/4s/IY7hz2soFfyvBJmRttHvCWYxH/gSHxT/jibO+Ap4gj9PkLm4yhzkytgg3iuM60cmU
Kybypg02DIwiYQtcQm6OL8kqFaHShneEodfimdfpKE8S5A+wyNjNr7Jdzsocka+4LE0crYrw0bL1
Fuq1x3KAXU0nXFpuZfdKJ5adlar1gAATjvn4kgLRQEWxEztqUu+mUC47C3Ko+QXPnPwjgGDXjXCw
66pUhJuP1TpQ/5iWv7nQm6W6qHBdpmJxm0sHh0J2UAerQcQepungB4afROg5Ikgj8yUJv7lDkNcq
lfpHgnq22ndZZAgp+qenHOScDdBrreRtcReTUZHnOPYrvElA6ZzbtTjBKniBmBmwGDfpW35789WK
xXQH6pDurqLZjCP1zy0Qm+TENL1dTHoyZ7mZm9cMoOn3p8sqeqgpP25hxXwB4OKaI/4oHO9fE7MM
2K6bN9j1mhFzD829RcMZYZIVkI5txUIlDXFqEdWnWPafIRUCMS/wS4osxt3uHmmEEEWZjAnujC2k
zWQDN0G3TrVKRtQZNttvKaHDSSwv/bjUBb/oT4Fw/hfvigLB0H+jqeV0hXkrIzah3lm27bXTmiqI
qJhfS2Mwc+Iyjby1di+Ze/qHh5DHgZdk417hley7CPLaTy4U86KtJyH+oiEJrw8zfk0CpnqYifkL
5X0xWH33j8hcWdN+gBlDJM+s5C/2zJO5PLBn5GT5SqZnaUHU8uUq1TJtSVpY639oCZO7gkVQvXKA
IMLL/5nMAexHCS1MpGAnd196yJxNUYJ52qJV3+b3JjoCsC/zAnITuXzZpH+Z0e3pC5ACa3JYWREg
cnrccmA9mWObOpncPaMI725LEqJSkfwNK1/hi/VZJKdWPns+Apy+16TuWuhvmj/A7dkosDFmwsG0
pMN2jEPvsDZwZXaU7QFbJppbO2LfjVZ5OmpPY+mi/HHR9Uzn07kGqobYBrZD8OyRR7Q3WrsK2zaG
YoizudX0PQi/nCxrvH1SC9q8Q+klTgOsyfMcMPWarWbX9yww66pgz1UDwbiZyaf1bQ2U0RWdRMLW
GIy3J69D1AcOD4HRMMsoACfGUb7O8QImZ10QGeLi9EyH7zU/cSzMHssMdaJDyPU+d3RYxukyZph+
qisNIjVHl36aRKmfLd1Akynmc07hG4U1U7uIIyZXXV/GbL2sl0Lhk3bwJ/kbSxM7o/dX3THlQZuH
GQxN8OX9kuAxTgOGcSngKR1d8hm+7knUlJSXi5FXlFMV3uGpYZAF//OiL9vm6Hrroz5IXgI5i2F6
u8rBfdaWvbWaXq53RVu65NMstuGq0Eq7sNRD3QWCX11J8WP5zjAl2/powkjS3eBxmB1Qs2/QNVYN
C3DlEyP6U2Ww0Z6twJk1KCl5oARpRcrqhe9yT9hoIqR8kpsheGLPds4AsczGfvMCdAKchbguTc/t
3LqwCQtOyw8lwacnpOJufzFPawfxGcw7a/D0WIpdre0AEEi8pXi5G9hXQ7aLHtTYVW1UpN7O7eP1
AmvtK6er/gUgCxUqoGIBMoLQWbdTvwsVgikIz4V73drqkK2t9m7vPeR3ulYnVb8dUrAzWNol3Z9j
GB7hdYHje7jLYtwV26heuKeDvhsOLtjodQ6PQAtfMC3SlIvB8Av8M3UAPw19IiijI9572GOA/9ju
PilzwOYG/WTfjaKt5skHslbwAxq4FuahQEoVzRUKKQrC0tMeoyDXTkoh/M3GrpYSKSU68oz4Xa3T
GFc6cpWdCS2ih7HQ3rX0P4pu79O2pR8yZgCq0pGR5i5NsKiXjUuCuxxQTRfmlX5wgztfQMG63nvO
7FakK5UWBM7IehXXNrpH5vPv6M/enBKYTlMCoFQefHRTTwcTXs/a80cY9aWsG1iYTuIU31slbO0R
yEPTxu6tCvZGYP5Z9kPUeB7s5+sgaisqYCadnIm/sBrYDkF6pKBYp/O0hAa0TMucY0VY7re6Tgy4
Jkm6/RWQ9sAohLwiB7WLqjzqHHS0g377eBfzFC3bfoWsvNRErid9KJIhT6emU8+ivCWsZeZ+ja7o
uimvLZuIZ1DJPzEY1WDLBAm+VjVW4P2WueUMb3X9oqPPK2TJhTGRq+uhhLhrDfOHkJuddtoSowMN
bcCpRbJJ0v1WyD/8WM+xUm7M86xxdIlfBzhL9jOnEVBJRLB/qAk3xXnJxQ3EA7z5EyVcCDTDUOOc
TDvZ03GTnRBLzk369wOgGRXTzxmrsVLBHW/m+OrSFKDS7yhR9utzO5+BbcJ+1anwIq1HEV7zVAaf
1w9qXwQR6U/JlmDXrkHacM5/SbALc9PslU6jtLN+fsM+Ybjrr3eeD2OL0CGtIZioSO1pfHbTtEFt
YvsqQhO0vewUxd19tn3efZUUv/yrM99VG3rxIiOy5SHiCPUkxmnA0fnXJIFeafMnFyI8QGvvc47i
vY4OwbTzdP/Bt1poY+2l1bl5SHg7AVq5NJ9KBRh8BToxrDmsGK8qmU4BacWXSqoMd0fOjgnlVG6z
nD50aUHfFeNEChClTh4Ib+dSgQxCGIdXcedbjED56xl7+nYVB9CudU5QkT3qWWyT2fqGM6pTOPjq
6mjMgQfprdljD+kakYEd5vjGMKw1m0ZagVv/sJv4peE70ShQH5BfgxDYtCuw1SHECDjrJvJuEcKV
c9yg/4NgJHmkITUuWBWy0oHIvCW2dyqb8LsiPPmgJ0jcNrSL1TNfAjIVWUf1NY0ImL5PhmZvtuGE
9iyAdvDdc+qpXpeQQsGn+Fkqf3vufazGRN0iSEBePABj35CUJYA2jp5TPNQplxOrYeKqlRfywqh/
Hq8hhKpMcRV4bK6fhK48NigWJOkHyQa+k/UWTMxSfyHFuPEgXmGl2hFLOyJxE+sJPT1GPHZVdN1N
Lh2Mj9W2SfgPntoefVBDG3BShrRr6qpW0LLWHFXzJOxXTyiJQO+Tkl7rcpDgCVAMYV8uh83t2OpD
yUdlyru7/rx9K25OwI+R8IMroNIImRIe4pxy3W266oQkw8ILFdOqsGgqPJwuasDGWCJHTxu0EB0/
+MshAIMs9Qv6iIGKSbgKDQ1mYhWt6YVvmYFVVeVh7i38AZLa9H6XibUVXP3UQ7MUqGR8tviYxYby
S+qqQRoaZSwXMUFz5sqixxfTu8Na5932/CllLutpAWBAJco7Z1agQPSnvaWwtHjo9qR+EAOsyhbx
d6y7gYGtQSyHIBjbjK9ckeJUCO73eunheAeczPo6ffSIs9OWpT0vtiw/AvwHll5KT9N6ZtXF5t6K
v5S0Slgxq60gCEE+lc5Dg0xZvhnwvcarbQQpBerxZa5/yvYhQ8srDCQ3Jq4bNZejuWKWl9dAvSdb
qPhRYEUVBwv/y0ZkRZHQpST7bjEDToRTYfHv+S06BQW0NLWkk1BLbJIqYJDi5W7o932kAcgFdR8F
uzn80cuCvG1LZmiyJoUtKzB3XEi3ZW7+ElyXebWcWVZVthvpaW5l9BILwhoy6eWnkESeNazoN6H8
GbOOt08pDYTe3zEdipxTLUPXUkpZmJ88rsNawr51W7EdBF55Ifgq3ZP3ml4kvCReLOJj14N9daj6
XgUCFjHM3aI33dWBypA9BS8m10Ax+bmh7va/ZfnUTvF7OF+Kode5ZNOT1a+hJ/2oidBZ8rmhlCKl
wpid7ZT1cLxA0QtTfLVVt/Z99d2tNxKFkqrcnNXBrYDvlmDoW64G6VdUXbJAeiXpYrXAe837ESEK
EqV2o4ZdGQAE+D7pJ5/rFCG+NG8DmxuFFzHCqveEoCnQ5Tvq9rMSiP8PmjF76/fM+YLiit0zPEro
WV3lVf4JT4BbyeVyCLQzVVtGkQHXkvg4MDT8idWQNaaa2PyChQSF467jA0B7XX2JJ4rP6IWbBGtM
7NsCRYsiLn18jRXSjnz6jK5I8x8womlheeOmHIP5dl3jfF1GZBMBUYLZZh9fWUstKXX5vVfa/oaA
MSjWBaAe9cNXJN4pPwdJhAuW5MM4z0o6zzfgp1GVRXE0lMXI5nPrGV4gqAk0wS4fxxrRI0aohQZW
Lvck5CrsW36l61irsiasMId7yT1gpmEWCsRkpUVXdPONgCEVCLcP/5UV4DzvgbzA4/G+3wY2fgp9
YOXQVeGOonf4uqce1nyCDDJDgtIcut4iNOGdLWRldAUwyehR6qmHu2wMh0cNl/6boYUOSo5Sq4P2
8BAghS2HRnn/nXz2VeZ/1H2d2lOk4rftePS8ZDbjbk4w+zmr/yWkOhbBPdIVd4QROjbJVa2ki3oX
yKCa7QplKfx4wh8rDY8Ojh+YBNsUlDYH/6gt5uSVuum1P3gB400llfCNSXbWB45cqcqwWwpscsLF
zKYuJnOEiioEcNRWfCaPd9o4pw3RI17ftGtdimSQXhjjuXuvAKDbkKciaDkR9CfIuXEqHuFE6pC0
aRWlhMJvaEusseAEYbtZaEOerRe1f2wpjjTvPzivu8Qj82q6nqxFF1nwaMt0wfnrbjrmp6qwSJQK
MQKOTg2iLkYQUav/1DYtKKSvy4eNC7gh91VrfMNEsTgtPk0KcgdzPLSSc4rTzzDAY/wcjpmFFoPz
PiYxHY7Oc0ArKKyexFRnDXK3W50hJQ4BT3LM0NsovxMO2RNBVKTWVypX/F5a/k1h6BDaXuLZleQe
NDWTTY8avNsY4dvgVNv3VqsuzSnzHsK7doQ6CTMJcpRCSjozAEHLspKCM/JxfE86ACVOGlQtvYMG
2e1A8zm2RY9Qa/NfIrUm7320dF3Sim2KucA0Yrbz4DjDCXpv7sEmYy7RFWbPDNjR2G/Chl3pcpAY
s/oJ94wLF3Oc/drS5ltJoI0vUI4FedEUMKZ24G7j8KLNS7c7ChmuIRyJLGwiqa0meplKAyokCcVO
B3LgX/ld79QXoaTrE8hvhAIF7p6ijP9jQ+Wlbqn1Vn/vExNiUNUv80Ha8hasRnyDOQyJIQZ4Q+Lg
w1a/zqHEmdLW33hsCSacgaOVBUpM1EaZGsYqVMvJYDI9rn0ZYNYVHaJ0UaLSC7zZgX3qXVUyjJsz
o8EmQnQpMTiLXxl4aqB+nJ4D8zWSZngJhHXZiP2X0p+qp/gREz6do4zI1j+WiaVtDg+w3SInTMdD
lFS3AP5GJDg+vrIUk6HEVNGQlpWJz/x0Awk3rgVQYhN57Smsfaltoj1WonBxj/DPkSU3OEPcVzds
p69E+yxbW5CWRQGXJEV58m0pzCER9NrPqxbIMHR2EaSkC3ZdPMwVC00hXmfJEf4sTHYHdmLFB7Zj
1H5PtCNj4ADWvTNTmKcfXmyNJ0OlweV1c7AYbjhbDsPa6owhbCwAZSbvhdgXWlTYx+Vnl60c8j1M
UPWvpkTR4G2oNkzQ3ZrY6dQn2A9hHvQP9+nFnJtgT4p9FWiwAwuiPG9JZcQP7NTgo+lglJeDiHm4
GWqtC6HiIEapNUH9qwXW6ffnCL2BPwspMwfdHGXWRN+z9nC7RtBtUSJwpLlqJnbbMZKnKJd7X2x6
Oa3/2kkxBwNelv1lGBNAzDjQbQLtGmEkqJ5OAkmz+PDY3Xrgb8rjW18+x9DFi41jHXsQ+cZu1tgR
E89tG4oUZ35xjeyYCSIWxU6kbAxSr4MCrFAzE+jona5f6NrTy3SAV+on0nzSKtnPOx6EH2e6YvVy
sLXFw7tFuX2idOSxkev3o9I4n6IKz/Ek7iEYCORpQDkOA9Xliy8yV7nJg9RSPowShlFWjjUAiCOw
ykWFCQ+mAWAIqIa5IDp1qXSJGR/KM8/zbwloDba1mbVs5On5nBcdmo/ezQNrBL18De/NAKz6gxJe
ifJt5WPfptD0J/f/I+4wkEt0OhQ99n0llsHumTji2Hl5BE9AVRy3gyQd+v4bxFc0OEGbThWN65/T
zzOhT1AqUwVViMergZTyiMRnulMONIOek+iQR+ZVhtWHHDudqJlqivFWT/J+kwoFx54dU6Uty7Cl
S3BwqfRk4j6eQNMbzme56Qkywn5gHwhILpE+cQDXFiH34UjrCfHAHM8PUHktrBLcxdaBCjBClLFk
veH2blp74BGloC67ARQTlPU9oF+Ob9wgkRzUU4glRhPzaSVZFVfy+xM2uNP9UWhOSdj3L1FtZEy4
9y+y2VsOjQrEHtXDKqn3He6+iT5XIQSGjwcDaj5u6xRLnKHoPRpiiOche5UiKEDmuKz4ozSGgcGn
cpWrQg3Z407S1idbC2tC6aAEfLef/Hef4Mhgeb12is76I0E2b59CB4QtUmcY5MzEgG09glQ+iT+X
ky7O0zsJTQ25ozL/rfRSKEfe5j5kUTSVBEZp7fhaE9xJvr7Z+0/3sjGyDHOtaKd1ucERdc+kqKab
avIbNvcImpClKBCVOkTfP2DbF2husTPSIVzfsLH7BCSL3O8jmmZjCTbZibaiQBN0h+KPik6Rjtg1
HMfCAnKMc9CvxxQr78R3KEVn4kMA8AlSOzlBI3fSfQaGrw/5CVj1G4BrS02dYxU6z2JseQ4vQnah
saSBXIoeYVPn0dH8Kf/rO/YYSw4yDXdJvUHkWi4LPT0G3kq5lchtii/bQmC1d8rMkzZ2LUbEJ1Uj
SnisKIy7XpeIwkCLh1mAk7TCIDN94Y6CbaFx4EyCtB3jo2GKfww3hh+KX/ONrHHo7sBi1uFL+LXr
PNds0jAo+RzmyPvnAzgHn4V9R03PTlfOLyLkE4jXDG7O/MLoeaGX4SAc//Y5K/mNB1tBwDXcAf6L
uv+lKRxkyxAzZBlJN5M/tofeZA1TMGfcFVATlA/n7lvhtpfSpSrtyOF7Jz1PbIA9wFQ9UDqjLY1j
/dpCNy5Ltral+t9twMD0bgizjc9D6a0vP4SoVEJB2zm57z/aesm2ovppdTQfAhO9gVKYTpYmu5VW
6IWxQYMSarIO0ODpwX94kR/gV86+TYUtPWaEApr8jTTrwMDta97m7KenUigVTJcFYZEELGB46UWm
EnWzndYNb7siEb5PcIHNe6cdEMhSGvRlIzyVpm9WwEyz+BXpphOgsZTsqi6rQmbsd04eFT6Fw2mT
MNn7QMOGOGafQCNrhgXidAn4uKs34HSCzgV64EaHvI+i/1Vzk/BE3w5ZS9/mntJ1RzvXPcqhrZbf
BKLN84TAEbDDOzRldi+bNQEZRlOM27gkYyNiZCVJ7Q3cU22+Khr7qNNGxCCjvO/fkxpSGV/Wsg6C
4OtdMagOzCSw38HxB45hXaCn0CMi8yyY3GmV1OW/gMNooAgPP4bALzWkHUid6TaLw+rBuV1/TmTi
V+J2fsET4/XVF02j/pAB4oqoy/EDCt/MiDxHDfEFlaTwOZqOQSpS6kfozRjmeZzju4lOzqKmVoGj
gS5re9cTOPIEjw8I2EYbdzMdVwXsFiYUNKz46M8IiyWVO1DNVv8FH2+AwbVq5IyVXMI8LzX9Q/lz
u36CcO2YlJtPheQrq+nkAdIipBiIFgmq+N74wCVUyfEvJ9shAQ0jZg6A+OzddDxzvugIrvnQdE5p
b61oza6G21N7+xjphjrms+ZKnd1QJM1vIWwQhYtFwZ12v4NF6IIHtFRCQMeEj5St/txSFeC2MEZp
f370H8yI0ESe0OK5pEgX4/olTuxDFvByRTtMLliIAkSfoWzOOBq10QkyHQuo9XNkJVoVHDg0Ho1y
D76clUBMOAKYlSLWxK513kv+Hdl+PV85Xo1sAUxwpPY1uz0d5zFFGKPvGwyYcv5sen1obgm4TBjI
QTIrdt/0TXgJx5wZMufNd1Jx7QMHHz1ACxvbL3dkyxrLGDZhjjfU9sQDTLvgUHp984GuNnFAL7yh
KN8kvSUxwGb98E2p6gfI65KVFEzGOy2PNJ493LmT2PtpNKgx1mfbK21SWq0c5gD2D8Z4zeCps2UL
v8uqgrnDFF+NDcQW/F0oG1Lyyu7kPCgqxV41MI4Ql1Mx1PkNmZPCKidAOji75fz0UnYXTBxeOM7V
8y/EK/BP38/lWQ4pV47p72gRLinX79EAI3Y3hyRxyi0A+1VPr5KBDskuX643EnyVHOLd2OmE2zKm
TDasAFAXS48XzKTy3yuW2SOLjeRpd7X7KflQQlc2thrU0BULNvH28pxtMfTkib0GheSXjf/kc355
Vjvcj49ItWA90l4CtybzI+N578XfXGy3AIBG45k6jnBFPXBW5zuIQ+nyiSs5gj1tk7K7+cjX9l9t
tqtbwnQgoBTHBDzHx1TQIsID+D1UHTkM0SfqKvK/jclJALdNbEIspoRyF3tzTtUV5hAnfidA5eGL
jJORV7uwhMt6n8jhe1BRwtvJNbTDze/tB4cRvgBKMRR1XjBttjDoO1sQ58z76tBGYSqfbezuiL/i
4CJB6fhVVyJixBPjOStS2KFPI+6OoICf+9ldmEhPapvMbwFym9i4MthCbef8V6XB9xgaVUmJaYUd
U0m9VZy8c01ZGhmSl/PhCG5YZDveSJviocVauDNjdxx2TXfdwtvGaPhRyPzFeGJpY7n1C4vDOyuF
KUPxgMj24xSqfMNcD+V+HnlEt0T42gnmieEiwX41+rQafCk7PdxPEyAgxjCoFGUf4ZpmV0Pcm/E3
t9CHaZVYn6cKRTiU/CBWggZhECd9uYsQu5x0NevmOEnVr25fn8UENFwbUl4xR7mZA5xi7vQ6qVoD
S+ClR4tFqyMFItg6PQNI5/LB7fdWXmquHL94YDam+U4xb6DzJOfdvCPVB2zFW1961GXvu8FuJcjP
EzmS8z7ORDbqJpJ//C8gd5ckiXBgzXetHkqaen2u2XVgj4fXdZx5JtyI815vrcIOFeQffgyeOfOm
icyrlr2zGaYSPT1DwKx7Vd0Nw8jlpdBAcg/wUUGhXxsEswGhNyvo8bTQNtnBqUOwLv7j96BKlsGb
XLqvBLkNskChErWohJ2pucli4893qjgU9SkdGqkoIeqhLX5p3YfARsX6CK5SmSrDZJrquX47Ahe/
zsikX6IskUezoCDmij1Ypei6mmBYC0IZCM1s3KzcwdrcCZYsEwZQ95QcP5wK+1sIyXOtHZEahq/3
u+tznzNB6/suKZ8nD6pHj6ALbQZGdZwj+U5IDvBAbn8DbcJmSvuMenfB1j2oDCaQkoHxqTThEDXo
2iBpbAMgGi3EMnuCDCV+oaLgLJbwVYt9QyL2PT231sb2BqO1JXS8LmsrfNQ2bE9WIJAuEggxZy4c
P2gs7cftOFu27DzdsJixMtDBnfCOY/qnqg52J52Q4QJfYMvz7sy04ZIvuhhNOqIwIjA81m3dHE4A
MzJnymVI6eKEy437pJPJxWQQ1qtjMMvpW2p0guiNyQSBGF2+osQifYZrbdMhzkxZcSaQQAIecgc7
j/g+Of6Qv4vsZRau7BTvJZFUI0OuLr7iQZcuqragAuD7fcQHv0QbBQEJM8YfS7G9w4CbEr6DZrOg
WUEgllbbzipdzFkQvfkZMG7DHLCU92hfON7lmnLsL+CYwF8piNr0bcdirm0vAVLW1dEi6rgadEbS
9fHiFNf+4i63hLMXeRBXa9G1oS8dpHkWtS6S2C9A1ml6Lk2V7N/ZbnmTNFce9oHeN4q7fzKBqhJG
i2L6d4/1IMiINM1Y5KxMRvJTjHhm1eG74ZgagdXVirgZwlWGn9g2ooaSm8dIz31XAU6vQZSrrKg/
7VEt5GsnR+hSUSwlZg/5h5f2L7+Q7WSIa0ElJTUeWEgyTRGz7pGcTxM0K6oqsIVPk5kbHz+rsO8f
Gj/BAXzdKuzEQ/pa5o7Sz0zMc7IgJxQpSyezx+RXksgBBpCN+yAbGpMU0LBgv6Jx/KwReT19RaYe
ap5+NuKvlLlCU1K0Y3B6MemnW9rLs0cr0fGOK87CvEiSgLnVZYdg7nzv8HCNefHwnXOS/im6T1Rj
1KlwZjdldYdvwjRtjjRnzyVJ/vfC7odueW5yBNWH+lEsP84PbFjxteeshzoOhlyB37UTr9HGnEv0
WkflEyQzQdQ29+hmFbXiafpvN0Yjmg/mBp3ksRW48pOU3Gv0Qb4yNIa9q4RWnpVUBSz8fic87VBM
ovYtHU3zbOMD9TSRRXImszlibDQv+Gd6LX10uC5oKVSvakNjGb4SMEZzJaVwuAhwEcihd2w4v/ys
HeqOWGO77iKirrR/RTK0XAbVejI2plIR5WV7hGLoqQ9IVkWsqQNKvOQvho+3+i2JLdmyWJJgwp9k
et5DGFgrNJJtSQ/+CWJpYPX/Y3ZjITeglkpYlrjvE0lZZ/r40QOGsK9BlkGnAkFi1V94LRunwrw9
ozv9vgUGuMQsOTlbFA3ZsqGjEpiKk/oC1t/EkSwBuG6PgJIUf9Rz2npbE2PxPeA2MUoFklZNZn7Z
FAQRDI7dK8VRlOSjMF4yIt0Vz1n7msyplUKHShESJRns6yPkem6XfyJT17tM1/ZBR5RaN7ASxSNL
U+jJHuwdBpSEVai26Q56jsBdKwml7PtRVVi3ZhhK/kyjnWQCWoR3xVqdHi4M/Cr8QD+hsGYlWLtQ
DD3F//EinlYVQWmdx74MENEGhmbkDHcxUSoQCSXhnekqom1wdv4PocmVmYmexcb7bUmUuik84kCV
i7sPbj9e1gL90/yi6KMcFAY9bWETNPzpWaYSgv7tj0WN2e55S10TCsZuX+Uus/dZVEYB1dKr2ktH
A4B5w6ofAQOqOklyAvWPHP92Bor9Mb8fzrpKgPtrePiC9+Puaw8QiC3YbRIuPJYsN3euV8dtACw2
HQf2ncK77uWt3u9886ZHkl9Rz+lOhSMwnbRBfk5Bu9qlgQ3w3u16q1ZFv0YupjOu7Sq0GGHtbXfl
jdvOvEkfWWh9IvRoDvab/nhB02DxSuHbE38alJCkRET8qUVjrfzTwrBoZRCGifF7xYONuGaiQgvi
YCFe+XpRB0Xd91+ht9DI3SnmGiqwp4L/JDOMKWJVmg0fP6udK/ndj8ROWKlNPUHOoyEslgSBIxje
am8IvGfP39PVOvOOMmbHbZwEDNRy1Ba7037CVSBEEdjKoAtRRkP3NsUJut+Wb0h22paC8V8MHsjI
lnDDTgjfnalTsO2ca6zhj7TMYZ9vax/jxUqwfYqt7cjV1i/w8VEv5ZlXh87wBwHDobaEn0xFu42n
2zc0tRpGqJS/6BwXm1MaiJuYsFHGe6DUygVN9N7wzVb7gnf2rpFVUPisveljBKzQb546J3lQl44b
9THOPqnxhvjbTfzR71GW+XcYJ4XoSka7kAlCjWS15smeNVtWOVoDi9kIhE3xRHQoW5NhMsnBKKjp
mR/F4XllvW2zUmo9yG+Ozgy6xLJt2S9BCJPNUzufKEC6ndLay40ssTtRf/DiO6cN5PDDJn3jK3Js
mE30RauGtGoH2Sb5/fW0RkPstlC4j7JqTUo4AVxCXXZ+SjGGh9fnktc1bpScVF2j9vavDVHHVvGr
udtOtdX+GEt6/8BQZ7XWbpEacy7MIbvc8Uj8AaAK0VK35i5YNfNBASfwBDyxch0ZicxXnCrlMctF
b6V8n1IFBlHf/wS/bplMkkjTOqK84gz1uxfM+FzJm+rAD2R9L2OaS9KBPgymBCFrhYQtqntVDnY9
J0GrjSUF72G+ZjYjVbiBpBegI/3v8Rm3Ri1pusAZWPDCpur/mkDVx6pdWVeWyEK0iETn6/jXUJXZ
NvDTMxUbiyiJ1aqhAbvRFoPRW+WWHsJiD6Yx2DI/hZHijfqad5RFymbAd8+40Chz8cyH5jnx/jyT
1QfvZ0XpTSiYE9THTvjc5Hst3YZ/zLDFHczBMUpTD9XwQN1IW6MOLrn7K0zjK/ruRdD2NxSZsfB2
kudrmAdbWHdayiyX1E5obC41OimKKmxooHPM7TOva2FlRtaTeqxBMpU/ANe0NuKJXsvWQ6yaWsqS
gdaM6xchIQ6f0a3Su4l4clJq+kpQuV10PtVHIo3PjhGBRxqJ1EU8OrBFrysZn3focuOrZmL+mRRi
zFIzeZFi5ojsAOoWbydjUlxxL/plYeHQdr/+U2bg5CprH8mcXpNi1tqOiRXXkmlyl3biIrHYJmqO
/6joCNCm3PvJqLrrOR5y3t3sKGSZSFUsMGvSUSTf5pf1xmby+EseGmqy8csqu7a1qVE5ukDYalSj
HoAEuWFEzcO9sCage1TzmOVUHUpJ7Ujv1Qz4JCjclMHMviF2FMuG2qFSFMMA0I+gafp4olyy0Fcb
QfEiBE9zD9mFpYB3ZrRgwbrsj+7coDQOS5Dz6muKOv+AFByNVQLJQc1Q3j/xRJkeMdx+VGgeAoIG
JQdBHdCYMitJ7fc8Im8mH/nRtmNzE72EvEgjgj1kipspYHT+TGoz9waUgbFnZeIhsZ/DI3rzVR5V
t1+izz1KlYwJGvi2t0QTZnMpesDSCfWHXBDfYvgCpfBAXfx4aQLhVHvXePZeIjlI/PhAdiYG2mEY
6NZvaLwriKoBJuq6DaKtxgiUIjKODKy68dxsWO19Xsi5/b9hFa6uH4GOgyqlI2mIXPdO6N06J/Lb
yCqwwAbL5PPM0isnPSxXMqCgHekIMXBDAzlo3pP4dWTZC0Lmbn/VFIg4kNI9BysQAdPW4reynX2n
oP1dShZ+3H4xWGniyfIJLMXaVNf2XBOzpwS8IHif7hn5+vJrYs7JG+2sjJ+VxmDYcWaiTIp3cU9r
3LSAtmlviRr9KCY1Buq+HljmCjy64RfVGYIjaBFkuOPHsxT4MVpSs70Z/WbTug9yogEEA5V3L11I
oIU/ljaiNdKhP6lj/D/ss5V4dY99fardOUmMgRQYwN0r3eCDFQ6934B5NowfbBu8sgNilOJktr4u
vxG5WdY56CRoe+JCiHtt+wc9Rll1LbwfT9i322RlGeYROq8Lip9Qw8HrtpPtsWIDAMbtcTouCfJ9
IdRYTnqbG56mqsmN7GeDbvr91bai1WbPgVjN9Zye0I+rE9wO9W5sO5Rw06rZ+qP6mXBhRBPe7/41
5o/I7hLXVluUOT8CA38RMj7ZcaXQMV2GHd9gnaKiypo/Wrg8c7Y+9FLoz3ETW32W5UiuEQYni1TH
IXJ1BZ2aMDCcS589Lx0Sh03KEM5FP/UyknPYMrWjN2ZsMEomc1m64f9XkTmSnNpTPJNMuttIkZ3B
o08gdTfyuJzxvqKzwggelVLC+Ts0IdwJPxS6+gnCGh34QtVsO/HjQy0imazQWwRfKPaYejKqyhVq
pyYAlqrhTtbN14LTtsHcWkCscXCoscOuuPkIH3LPmEj0N91pQLHP4/84jtj8N7zZQYcmGMvcxsTZ
VSwdOGWrcfdBPbfbirAs9mNGOL+n35f2pVuA4EHzmJsKMmD0Lrok+gwjSOb3gpCM5j0U6bV0uf8D
8wVzzLQ4zTXyDk25WHt4adAJnKLckl5ep8sAl+Bh6XUgDJUjVyVn1Me7VompprU9/0f1oR8lRPt6
KeIkRCI7mkhLkczPUMio43NU1o3D6UwsZoN2FhSyvFYhg+RQ6qYfJ7Sz1+ch59aIm5i4HSr3TeVw
svlNG3EviN3+sKAow43lBqAccqccAEMFQiYaKLHX3x052c9AUcjnixZnO1RoxyFJnmqdtYb7O8zB
P1ro7I/O+fdhGL5OujhNTUyB093+JtWEpmfQsA0kepohrDmoVYadfTZKXGE36GFEJ94lAINZzU23
5I0CuPGmVTQiORQ/I/YeXhrU+dO9WRdz/JUvvzqUq2C+AleNvMXdDkYiEZk3Dqf40fNwrx60nHYx
qNz/5Zits5awc3IzNv49mCD+Tq/2I1/a78M/kPZCuJxyXQP+3Z0upVwzqOCpQZP/tFl3SivBbnUQ
a3qojeFl+drv2/lVyNCykDi9taY+bzgCfZlyeumTk+CKavTJOp5TpGX7t/oUhKu7KUlVqBGxLyH/
xz5g3yWmh96YfjbFxxVy/dV3JLPBr7phyPRYA1VIFhBhOEQ2SMCaQ01DC6HkL20Hp3McOUOCw6oL
m/bncua+Rzlj1lvneKgvpZavlQyZSS6xibz4+yFABMJHEaRpZLvPWYGhHR10usKEX5D7RdLS8GM4
g9ptv7gtFmPBeRBTb8PoOrT2i30UP+9sRuPzOMCQI3xwrWinU4C0UlsRLYXC6bDA0MBfBjamux0l
0mSU/3Fg7J+CXag7fCuH4PKX9D08BO6gTM5Gydh8976ods6pE2IrHYcZ/VoRwFKFecZLcDly/CL+
ZI8xI1cYBR/eKbVUy0brJWdIokPch3S/vxtel6mSWGSv9uO7U+0qoPlERcozMrtilxw7dlveCdVA
ooH7MyE2zlNxEYAfqQmwwiEZA8DgQrHntrfFB1+/Ssnpd/CdlK/ghtZSmIl8cp9x7m8ieEw9b/fe
7a4nWjdJKghWdI0Dq5XXHwrkiFLxAYQWAcyvbKOipzxWskE0ZSQmwkylWNdhLsYhzh53jOXjI76m
IP4XszCYien+rJelewnxtNBsjp/DawEkF5wvQP1tdi7d6EZM5ONi8uhoJX6fSpaJPTZ74Pz9JXF6
7+3Yndxy8u64fkBEHAARP11B1xZtgTX0BCArGfYwf9Mi6pt0GpkX06LtRfSiqOqS140Uh87lsyGP
c9PtUGlgldTP3OPEfDgbKtqVEJ/k7iHtVAHJ2WMvsK4f1F49ggIWDxdcFFoQyj9OVHvDtI7ZmWGP
ycsrUDpfu1SLCHYqhbAvy72vAPlpTwcxOzm7RgJyVY2CZ1UFNfSX3hfqWXaWM4kcM/hy4W8p9woH
OHI1eZzRIAIYy8RVXXlVvr/iA+AlE9ui7n/FWRdIphpuptbX8ugasg/iOXRVJUJMbpUw5wpyJTLy
aahMkAwnUt+SqDNCqKHCwBOm++8eIM5spRq//oqoz9RwumvNVCC7KydSG9N2lEFnDwALosAY40s9
MDrrVQRHBPq6tDHj5bdjjPHr6S5SEsVoRMf42c4yyYGeeOTSnCplIv8Gs+YRuvSB0jnZlNdcnvS4
FHf9PivkFl9ZH+uO+MjmAMIinfBiQH+he2L5c1qRuZNDIV/MK6U5ZKby3PZVotmvKlECSEEGhWGW
Y72Ogm5CIZZZnUeawNA9e6X+Sts8QQPFQOgEk9C3OZHCgBnj9BgiSNYBiAXXjQvaaxyj0QX0DeQZ
XmEAdMulR24JVtml2xEcwW7rDfr1EtpsMp+1WdyKbHh1kldAO3Izmn+ge+qfsg5nXTq+fT07EmPM
3jGqZr6emX6BqeR5fBQYK8LDEMzNUyB903d891mrsHebK/iQXua7hDI5/vTI4FybrwpQRKEmc/lY
NfbB8IGngqnNJHD27K6mWX2sMfOZ4cyhsNXfZVrUb4vifUMgYSLLX2wub25EPvuM9rfLK2DMm0OQ
8O+XJMR5Nt1xAbOkCyo/kjihrD4NDAKlzDrP+fsDZ1xe3mYl603OXvsMh/XTHNShknmq8IFGkXVG
hCwSweTrNVx0ohKs7b2ZiNZtJi8hU3P9We2cfbyzavAgiXnzq8FN5O0h82HKE2+TGLv9D8k8VUfi
ImasRqABf1wMIoPMBkLvXwMVG/1TDHxaPsRlSQ3RX7DPJaZwOb+FGzpxjIJQP8eVrHn3Pfclzihy
IwplfXXUBwmTalZsGDJNR2s+BdewDd9yDKL2wmKbhGir6+DLNZrvDpA5lmLv6vHJfplGmljuNifp
4HuSizfeWEdEcAPED/QNjkCP1DjUTXD2A3flY4fMshs4un1RSRjDE/cxiXWryz4B+SCe3LNbyGpL
2fCF3g2+pATrAcZSBwPFZysjvkNIzN8SQXxR9HHU1JUrUY1ociK0+WVkxMrlzKata0FiqJ7U11x0
Z3ykk6pfJD2x8h2MaFBSCdBEcDW3ksSIO4ijUM0lq1QTdbzlc6oh5g54aKGjy7bfSCFQ7G04LsWs
dH9wkOvyNy7i0gaRvEWV6kiATdW8kRXTtrEiGQzg+jxz/2EhkA4Ms+IPwfMjYyd0bNS4DZS1dULj
cDdPe4oyPG+c/KRaN/eyl4MnVV2JlGSuGqYfVgfQu+68dWOXgtcsndP11REt0+byoLwh0ASVMSp4
+AVJikkF4RLxmlo1CwfJf/yoDVyuYDM1Uf3T4ObaHX1TN7sPkRGFw1QRX2xN1+vtoulcOpWcDG6B
vkthiq0dDX49+wjRQsOPecGO9sc0f/q2/ZEN4iywczcpNa6MG6JsFfqT9U/Ug+3eg2wueynd4a/D
ExhFAuHw06DY6+tkAoy7dVOHaNd/B5xwqyL9DVaObZm2pLf24PtYqOT3/ACSPsKeXDN/b4C6bFgk
pgnlGeHd0rQdu2km7vIHhUT0XC310SkpmAcPEWykWK/LkHMjQ6FYJC4HkGYOLeOXlsynFBGSzUx9
p8OFZMvFwtV+thaPip/FM/mHtvR8tt32PRa4miau/mSFiPNDlWUqK/HRqzMQfVpYWJ5GaP2hIFde
NR6jSICTw7vHkKGtYeQ6vm2YB+NffBMkNkWkSa1yrT+noud9SrQga1TkeDEmRLYwS7E1GvMPofQH
mmXDD1LcBBT/FXkIC+7eG8aezTIbW52bPFX+UoO+zZDAKh9wSeJ0VS6Djk8BsTuQ4vvgWfeXleHS
3jdR3kwOA3hInlg1VdM/iHw2bfs8ztBsTzEzGBL7zqvkywUT0X5/tRSS6Wai6+XVXGGyBrOnSn8l
POb7IqTHRO6R6z0Sp1/NiF2aumLxkUr7m2fPsNNoxz9RbeyZ3aqu1hjxkDfT+FIJpe1bU9tHT6KU
Btw9j3qqYcCGkVzrGjsmbMh1SZckG2h29KWGrlt9D/h8P37I8yj3y5lVluoICa9eXTkQ1O/ofFqv
aBqIjHHAWqzBvdbuIYqzOwSPEiCKBj2bHPdSdBuh3R8NYefTJFu8HEQCbPT1xhK0jym1yxiz5O6P
DgjBe/byUBRL6q3uThLMlAG20eGpRG0X6H4btpLm303jlS91xXa5ChZ/KnAJ3lWCO6nmnRUkV6Wu
84UsbAKFveh54FS+6Ak/x0KRfMOXEeekDzu29ZOIi0nY/Z+sOEcr0qQ8ij1+O7UT1um7IDz9IMrd
0mM0x1InaNrVAUL0gKD0gzsBimgGNSl+IF8Ru71mTh0mrFTjp+HycxrwnLhc4UUTsHKCfubqY0ew
iaJ/KzXGrge+cCax4Esj/lpqlLk23bvjS+AT8+qAxMKZl3nOjKmImWSeCNzYe2hm8TvylmbItTOh
AtxswPmSQrYB/wnme2tBe+QNm9ztpF3yStibKzDrQ83nCdsdQWnbkxmS4/VyKOFQph9ruZkDxF/6
oCJKPbkAocTJkmu6aFc8VKGS0IQJ94LlIvP07Bm2CVFkL6LhyIekmmbnYNEPrwQS36/3qolAX6+e
kU09+VniQNEwXcGsn/wgIRdGx9JwDYbhfkqDnbTf4lCKanUp3lLWSECHk6DHOxFrviML7vCsvj5d
7BveCZfQTSsBPPotEoT5wHG1mzQZHpvnv2+ei6bjZq+T7cLxJ6/WwSXYzDXF7wuOsDyJzB9gkUaM
0uvNSbkv7Krafbx179VsCTWGR8iiP1Uv1FJSZKHbvWcwCQhxBPIYhfX//NceQNzUtu5zwDJX2339
12qZ2q5Ccbo6tU/Qwj78jibIEUEoPsLHl+z3lBb5FsD6yhZCrD8mkn1Kax5nZhocdRrgI073FTFr
edDeEQodIVYAWerqOlQd91WossFjbYLCn0a0v72ZnikhfnpOFYqikSM7RVlEQI10CKCLQGB98WpV
lryVl1VOlJ+4NjUzTgJoeYEloJ2DW3ssKvfS9iGcQn21OB95TWAVOmpKP2Q2giy0Za2Ge26+n1/q
bjv/1l5QbTt7ao+C0lpVqdW0ILth42MFtuLx0biJAeeW1TTTC4RuS3C45TAvK8VYnDo1xMLjR14W
UNn3IZy5YEigym76iedNwQ+wI3Lxf3vGvoZipp852wCkjIcvKDyujPDCzw3eQfgLkpYJgr3Z88Wj
f3E+noVlu34LDKutOLIGIjDxJQWVA0kAm5Hg5D9PO+Bpre8s+pZ4/mSi3GQigDsgM3KUzuDfDJrs
s75vLrdtvwSKXBhhW7vbKmkjVITy/1y/C9WzyhBuJCmJnMXyT74dLxYjN3zkldXn7R3TknPG/+iB
4X4YDxEolekykADW/xjybyaQ8VCd0RezHGURrr1YRWPOmUBPKqXEPoEw9WzDC7h0Sk9lJ+H4m5V3
8RRb3Fgl38Qp8LreG2CmfI5CcluIZbkiy2dnzEB8FOVvkUWsg26D6K3HaYDQKedABqQJTdUljdTI
ph+syULsanwOVzwYKnEvREji4N2YEvAkHZyzB2CFUH8Byn7u6/ea3JTPDONDnEhVh46aJtT7aMWj
DPv5auwafTQpOX7y/SSxO9A94goii0WvPbO5KMK5OG0P2m8o+WO3bI93TKZOVfwDgXSLww8hoQy+
erHwPjsFcPYhCwKSHb5FKnqu1Np7vnTzkk/LALtmHrPbY+mZdRmfGLQpiO+C2oUneL7Kssi9Iuhc
j9ZlobHdVeBTP4dlOD4bJk3QmGmYX9SkfFdTXV2xGlM4Df77/l+bIa9PDk/uDsx5F/XjSSzkKUSG
MSoC8VtlanIPldB3IeMOQE2G73DQD5RN9kYWfbu0T0o5W1RxdHyvneUShv35u+vSHTbK6QMzj5gA
VLMARwMAdgXF7/JJRwoRhWE0kz36beCkRR6Zl3Vaos36ZR/UQsqfssBsTBuul571eMmRrhtHSW3T
F9u4fD6eajwHcLvIHk8KcTSCbRkkyFpPiVhV1ctBqNl1q4LeczIoEiL0Ila2j4uRhS2h72IMBEqk
2XNEWqvccAUDRPEVQjvp8rRgNawvZTCLK92RAObDZMJHYRJHBjck/L9w3gngsKdtWkcehlApaEPn
LTbr9Eh7hC+mzBH84xusqLyevPhYoM6hFZcf5O4RYQxH+3jaa8XQ3dD88iYAy/bcQGlLhbS9gvro
NTVlpFnYflHArZo4qy9/I2DUwsE2aBBq/3ZlmOS2krpUioZLfv3jrLNYAtyh9udM3pikpFJRN4kb
/y4ZGu+rdPw98CPGQXDpKfE3hCV6gVFoqnpIyPxmIwtxJEdMNe/lkyeUgENDwLtUuauVxIqJSvJF
yy2h7GpTxQPBLgo0ADe3/DNE6QQ7sGFmHIadtRhRMDoo0OBn7EudrPXBVFbvRjudErOJi7C7sXAb
r3AendOjC9XK1hdmxtfNdCFG2qmct22raQTvwleMjTi2pnnyHzur8BQY3i8vXUhHFawJDX5mIYgg
X7mE+G+DQzTOgyFMKKf6MIivf5mZyEZQRcyJQvyj0jMP9zIQviVTgzkBNS1BGia+cLnv1f/YcOZz
q3TkiLXX0e8QbV5b6ShWn5FfgHcArQ/oAV6hJtYg3Q49vFk+CW1nsl3PdoEuV8hxly45QIj8wCja
D54uKont2iNats54FMKqMzoWgHDzNwGo1B+5f9H6/7w+vCAKf2wQt+Xp2yS+alHznIYlnL7TcilL
Ji8jMQ/9gFcFVG+iNDQYp+I3jU7krMFnA5/dJVhUvxFV1LfXo985M/6wLH5sNXs5tZ8oUu2FvCjZ
ahfTVKqvzQ9wR1c94KkB1NrI82vveP3/g4/qb4uk6W51v3zGlNt3i91T76fYw76dDQrHxthhs6cK
JvQI1DadmgcZnrLwIvuEPjoBNWgFt5kgI+K4dmuKGvHgBXs1bwXCtdwqTiYXXW1fggFg2MlX71+G
l4UVfs6VoNaoHnfWvAgBHH8G3ddVKijm+ip46rBgmiJEQktia7MmEoEboargczZZ5LAfBpf7036k
ErMBciNkDdFl29xLGnLb6DuVFZO5uImFFmrLulFwKK4RRQB1rsI85J89ipOuOvyii8t010TU0PoK
l5ErFpQczm79mBgev1iCbAA1j4C3Z+aiWZ5bG2SZcVacegMkqUr/VwBfhaMFqetur2S9B9a/HgQU
ndxMHwED4GXTpXhQlUWd+YHj/V+xFL7ERXHz2/SX3SuqnXxMKmlXQUmRJUc2vEWLU8qH23ttV+l7
ARD13n7nPsALBdIgr6QwWfLQPWxBBtzvGeGIwncdMFewhNBzm/lYGW9eVsk1l9cqsvNOS5xNwpda
pWRMy0dU7gHpasXoVt+fffZWxI6d5OBNF0pB9puG99cyP1hi/iN0wA4rY1+Y3fdkXaKd/rAuwMwM
Oy6aEwMk9Md27PvuiYjuwdkbHeOZOS/w4yEpc8ihpd9HQn1Yzl8dJ2hqpxsVaH/mR6G32PKJ2SLo
6EQISbVlT6v0QOh+YugFZ6YQAjL9EtmlVH3YTLhOvOZK5yFLF8bUsrl5Skje/GvPjq5ni5C2rQb0
/1uzFEtpQWwbt0x0pIBdGXOo2tZtRseH/qZf59G4+w5IKU+99BiCIXrZXohrcdvsZXDyO8PQbIyN
aq2ppQ+KF9YSC7yON1vLIPWmMyIWHL0jPukbstvOnXeAMv74X8dXQcKFfTT+ZJALqSVTdtTizYGD
tFi6EtojHUJAtMFP6iEpdgUooTlkOaK5VubpOGIL3jibQu/B/dC+gZEGiHKg6gWe6Y7vTAkOfl7V
1C/3XK1woLtlOcElrN5+cpKxqLersbvT5CHLzX4uayUt8/e/TbFQFH/xUVCmaPOkGUkI31ftpeT6
eVe96FJNsKY7SGTb7T4JIalNWPFDYBcWc/oxH9pc0jijEG1BFZZUUpRNlrpkE8VofUiLdHGzANvs
vbkCgWFIb5F6C86UyCzQBXclHaqUI9Q8l10zzG6iKlZIY0OaZGMPGLyLBr7DCvVXpeu+g67kcciY
hbAeFC6ags1YMQz9SuPqV5oKoE8L6z4PFErYDMlb+6at6xxSqNUAjjeMlbSlWNdqXOqphz2TSImf
r9elAZmzKibXmVKnzT1yRnI/+GjchVU6St7BasFxmB4nAMQLDZdyvwbIDe3LNpAgb9CtcMfm/ahx
3gWC+YCXGTeESqcQYfylCAphARxG8h4aLItEehTSVIA6JKz1UXf4Odrc6KZRNtoH1ZNKr0RS7WmZ
VcYbZbXXyrutzUl6JFXjw47UZ+wJ/BrPVHOIbW7jKz6mqeL7vaQiVMSjMqD3ZPVgOafBhuCN0yGE
Sa2Xswe3yfleqsdlkx4QQv8sJA3QjlBqhd6hMthX2RB8HPOUt0GnXQJoRu7IjMdowm2e3reTGvdT
3iqcXKFQGF7YOQQBIA7s6Kf9nkztojqzSmCUZoYQW149LtJ3n1c6McQ3bv5YjQbLpOpGNQysvZ6n
HwfoJisXA17TN/KZ06VfZcW4A1oLwKrNQ0RKMYVLfZxwdw/YJdQPzKmepFZ0dWQqSBXM/QugD8NL
HZh15KGyV0oP63mEbalCzkl6S59s8yNGDhQ2HLF4HUlZ5MsQ1DaU2CXf5tN8AAmwvT9uYAfIrpZZ
6hBpRk/a/TRw9rDvsGGNC2vSzaYhrogW4UbMY6KR7GpGv8e6toKeR6oV9NAq4eAQavlBH4x+VBRc
ig/ejMOqAOViiuBqVXvjmDWcg08zeF6rGrbcmwS6RLAykPJlvyaliAnti04uvAYjTeW8qZAZEjL6
K1XRNbek4Nc/WyL/BiSUgC3QR/sLni64tTM9e445GOuXctZFSFfbxLcZbOPJn/Dy8KiE6ezOOR9U
K3sXLbtI/eaxw//bcQI4TAeQ3Esxy1LeJMpUrzF4dqHLn/hUuoUw7CRBf9Xrp+EraM2mb+1+/sOQ
GkQ6L/OIbXuTXm4xOGy6b6aVmSnSO+zl8nOe+OZ6YGJ/wh68TPos5IyCjM4tb+onCbgNwnvm+qDp
cEuuMxtXrldtMrqu8NR/422Vyd9WtRWQUsHUw+ryNc23fTYX5Woq9g4svZyOf+arNRqjCfpTC2YY
ZhqqRZyBSvurLPiGAt2HkHXse2PITXBW4synP736yWEJ8jGHhVG7Gk7v6rlkAufk1nUG8f4FwQa8
VgUmtU/8wVBTOwfguJ2fTzf/iKKtg/uIsSFY3Czqmd94WVc7IPBDJkBJtLWnNDYM+U67dUKeTfz0
CN35aj3CUy7TLSeDiXdas+UFow5JTv52dBnu30bclx6vSzLa8a3EMBlXpplRo4RiVRjoBFO9ErCR
nNOKKexUviY+FZhwb20NY5cM+Jaf6bywF8t3Yt2t7KnTqqHDB+RN05e+bf8H73nznEUsn3d+tSA7
LTZdhAEDuZBXMl4LH8AsQnFLLGLlXT1+Vkl65A0ZuofbFFd98g2YiWwknu+oxxufn0BPsK2BUPb6
OWzOAUdTIerQNU/UGwCNxx312rh8QyA7DuZQydJwCgunFsPAsTbfBQScXA3BE1gKpGyr+8XKgXjJ
CMv3u4iM1OCxPQAnT92gO7hHN6CgMoafTvtO+tPLrTp0NJuVvHowx5eTGo0CGzlIX7164zqogOAn
YDNev4oHM4XuOXVaulalYqFcgzJRVpm9g6maRhn1wPXwO9kW3aheXzKaCoW3eUUIdSDvWPCmy0CA
syel4nvkY3AyffZgB2DUKFU6ED31a883BMPADx14B7pbWHv42xopv7zWXSxk8R0ecN8kivuFUyBi
VErpi+O6HFE5WvUtfQ0cA32MfR2EhgEAmscE/e/IWX1jl5vpfPIvvKRx3DQ27jsplb8CeUshm24C
IKS13FjXHQv5PfMXmFgCCJYwnCKh/JrABu6nvUuEM3iCuco2F5/hAoGLvBI/YQa18CB2ct1rPF4M
2KW1Qe8qGjciBQF3ttBX/xdFTAio4NzVOn1Yfmrlei20mw9vCS2lwf21hmPvSRSr1Lde4ahAh4Ro
fYo6PVHmoRfkMT74Gh1TiSQ7Qs1MsLDXCe6JB77lERHAGT5P8Vj8XiDrukpwLqOCTkeGjp+Eq7AO
5kHMJafauz9iLCm/KVbt9TSmd+5hg96bbDhwvGbvuoUqaPj2/IqafbkRo1hPwT/G/2UNIWbJVN8u
E4hp6TeLbTqyBeWOM1tDOTjoLUxO1iwm2rKAdQx9eRTr3zcJef9WHOL1a5t+iGg6znDxV52fefmm
p0C5da862jtjYeejiseXj+xH9sRc6nCQkj9Zfhv1cv/7c4cee+ft8O8W8NZCLbI/J7hVjs8bHaZg
MxTpaI0EcCu2f8+652sR0Z5arDzhmNqeXsx3FeWtBJCms8GKlvyZYhH6NsABrRU8yRd1A/2utF18
1ERyeCe8MfdLWz1CLAuargeykUtvfa1rvBMsc60LJHrm54F0mO0Ymidp+zaJQdKNGndhWUp0AU/j
2pBh+VUlf7rpj1BHnBbitWZGqFQB90sYBtU8p18CRbR1s/ZHbPfsWFTKa5cjxBHfMjouEmi4YtiW
I7mpfKmXrmdwqEgnThsCmBeKmKmtd2BvtL3iYaS4zm2qrqEHdNTMGqqVvKjVDoyckCcmhYIZF8oe
4PFYN3wo0QBOWXbXkj6hiGuS5KGIHXqbq94Ks2/uarJ0ZvrJ3AZx1+hBEfF9l5/yxgbQ6JmbIDHL
nAZZ1eXg54LXdueCYT29Kjy0OpDCOiMg3jmAIzUVWlGOoGXIgtc19KEUQyAb5Upa3alF7oYwnTF5
Tb5uLAO4oqEx6kWezPOPNqpeQtSNl6HSSRE0bY05cU/+FXnIt7ekWTi2j1ZzgOE38Mg62KR7VAXM
4lmt71o/bFlUE/tOHLDlkd782TGLTQ4dI/xLz0QocFZX1ZHTPlJW2ZJznJzBmnoHqYCGJautt2RC
oWhSzzQNVv7anNpRbUtVPNFYjfrUZ6kDV/eG3uhomk5zZHiwqOwO99lgIGBE4KBY9lsZaUjOOjUf
5NtKNRcaat1B75+GO4borfaQLpw+B+eS48qjrxIQLn96MTYVGvK2o4CcnN7WpQ/+vX23+4MODhen
hdeyLKv96CayX8n0w/ef0CaVzICPJ7h+nZHSI5D5DQKHjZ6/t5qHcj3AWe75J3W7lgjaSmJ5o4gk
4VDkN58QDqGkdKPBYzusc2UlyHOUjdWCEC7ivTp5iEwRkQ6GmCdHM4BWq7G64Pl+uD3IRTzJoGvp
Lra4s1UvO2LpxZwKBP6SFzneG4oEFmL4Pzlk3PBVeJPn248vnq3/u6AIiyrJGumgZbh3nLYCrl+H
idqHdlGCGyI/Ex1kNuuqGNy/nqm08UJRWW870tWgZnMlzSMKClT7G2DxLiVVK24bZoPH/kF6clUO
oBzZM5KiZMKQZ9bhvF7SpmMlexC1TUVUIkvsgr6zi2d0A9vsMCwIpTBHuKz1OoKzfVJwE1BCFkOd
+EpxaVmTBijdSjOEPv2oETmaw17rKaceFdKmYZgTZCcZctdu06sEei6wvuz8u0qhUHosml/ibhqn
LrNReSJCLkF8dyBf5tZC3hDqQfGvTdLfF3VHThC4pjPd4WxHsKwAjkmF1ZH/mb1SVBtX6Upvwgn7
Sj49GvW7QTeHdCN6pr3UsMea3AAoy3S4DGh4Q31OyP5nVmr1aYwZ3amXacbj7KckDDJSdUtWqCl2
9EEL7xabZJRBAj8UVeuXDq0RYII+OkcnV8rMddltAHXnO9p79n0rHLbR/hPPCbHAPHtxo8x6lkYN
ZXN7PL+jyenGLtUA4vlCBT/OSX5CB24ndz+Uh+ZQTm2XI/fClrrviz7EH5qnALLnFK+7UX4hDOLY
zKDOE5PcywHgiijEGQODef9ZQ5HgkyRbNVTfTHqP37cX1cEpQLKD27XLfgjaW9aTwkUuzfv7qHc5
gi5R+xnsfUxk208uzVMzA0wpF3ccx1LJDMTWIvfyIqnF9H0wj+HNxHh8C4V5WoqEtZRptyXeR9QI
dCS0AyCUHWLnX64/JbqtyolCeAolvqG036YA5l6UbNfdSDm+CUdl8Xl7LquAwCTbKJJ8dfisG0no
lodNnS6yZhH17Iwvv0+4b/gVNqXbKQ4W6ZMMKljrjPWKq6DfgCUcZ7fY6Cnnjatq6F2PzJrLsMsJ
Tan9/ZeODLUYjccHiGDQ9cmu97Lyi6y4QDWTTTmn/EqX+7mORw/QpkOoiKhBWg0yXXIKYPFeQG2S
Zyb0jVq2+PDvrsroqjyGrawLSM40O9GnVPNQKiB4RrHiAIlsM30D10IuQ67THmXU0g+mGfz0apXp
F7VFRZUQBO2ZKHsHhV5+qdIkA18acXnbS8ggQdk5X4hBsne311yOy9y1CpA8pc5PGbBdQFBSwkZ3
WmxBOjIH5Ehazlqc/omZo30tMN4sZGx+WXw0F9NeA9i8pdYwDFoDzpOYHnyr18u5jNgiANaeG7fO
Qyxk8KWS9KJCsdGborHY/gkZQlE8mxqUYYADgA5wBFVblX9MtSfGC2eOy7Uza6vdp2A3bvzhSaYL
Umiqfe/U/XGyhBbuvWZY0AJMnN7RIxZPK+js8oI992zIYxnHam4aaeEMpW1C9GR85lbdl6aMxI6W
gZrObGu1c/snELljGoKhNy6HjYtvcq1oImegfvtznUusX2J41xWXdsGOmX04KX3zLnEEv6xipx1T
hIWbuJ9R/A/K8+bpPkp6/xmndHCMMGrfgtlHLgojqkWjkxVfSKBOhybdddLqHcl/Ve5t0FR0Ozpr
sed1znpNwHkwhwsCADABbCS6HBBapcTffzS0rk8yjdMfTfzeBSkW98v6G+jAr6HoXAtpBrILUT6v
bCYiK3mIyz1W5IvF+QhfVhUSfUsxuSWNJMnzmwiSQlKjkx9Tq68TADocYQGmVpMD50n33WK76QtA
XoUL3tOB9biHn52WEICV3YW/SsN0gK9KR4Q2IV8AA7ApuTFZEAK7DVqpyLhpSwBvRC/1oezNpJfR
d7U4VtdB1tbNQNFCn7CPs1ASEihj+EqQwQQbqdDLPKNXU3ULoA+ZHWuAgXNtEpQr5rKb24P/rltO
Oc3qnLjOXBEetwWFYGbS7KrhjhWDbZK1dXaDISOnFocj2QaXA9hoTQRcEoD6Ek1xP8aVB7b/mUoN
z3obw27fk9iA/wYvhuTiNF1Tc7rh/97M+bZ6184LkZMYseeSwDKMbYkYCFWZPWX8SWAcCLJS/IpY
2B+FgjX5nrw0d3eILK/bevQMEGD+CXXnD2pzsU8BevDNh4WLh2P3MfOrBLRt+37VLS6EfzrQNzvp
wvyhbeme8MXumW89U+vejWkxPQr3/hSqQVm0mU6iFVXetfy3XBIShiQH82FtAy3Liguepm8WjYX2
MZseK09MYsSOk0ZZMq7v8ORsRkpm9Zbd9zXT1LRR76OxwXJiprOaXFCSwSPaU78JyBko/qq3uQAT
BWEApVVhTwmqVYyc24masBHMgV/HwJfBReyHpKcOLLSJ/8MWj2C/WISb0k6zEysmx6jUEu3C1dCV
6l0/LlSwzQ4jqlfV2kx1FuGUzAMtaVhPcD3Nhkav/XumXvw5ZL7GQQV5LIv+WfD7T+9TUhXCg5FM
5I1mf4HahW3RdMqaIL+N/xgXfOnzSrpOjQjj0aHhnLIrK8xVmYFYSw2AA0noUqSDYJ1uH7P7Nhy4
hgxneRvdn+/oP919Bj8XJ8ligh9lFH1CSWkKjUTqL39nMGzmGJq4PHeTvmzw3MOKD+ynHgx6agjv
3pjEBC2HN2hG5UEHq97hQbLVk4aqT7mNYz9m4E1M/Y36wwsgH76TEhryMG2uOfyjWD+plmCeVuOT
+ScuFGAjlkg+twkeRP6gRR0bzLMhrPN68VhOPChhKgAVefSRsxImwNH+Z1FC2dSZdolrj36ENf0C
juGWpNTgZ9qkyH1iKU1hjX8F7cjXvmYGddMFxpOF7wwJjhZT36Y7+liB1Hz8p2JH1oznFVF21I77
PHhLkOJpR1BPLKeUA+y0F8FKyh1CAolBoQjzIfXhZXrVty3zcaS5s0khAsX70hg+iJIdXBnB2Phk
8t6k5jgqBFqjWZlfwBv+thVbOKByO/1ryiLshOceufE3WQHCzg4uP4i0htumlybqBD1Dv8S5ITRL
TEJQq3D7LrQTiQJ9kYMkofJ8OTzb+vvH3SNC1j5AmWpXMap1nu6kktVLQH45mbtsBgn0qZoC4ciW
TzCa/80A47IDdRt4m/k4eORyXvvs4QFkYAVOYh6WCOnznIGsqbty7u4QkhhgvPnG4EN+s1xixInC
pWWXSlX7cPT7o2OFFhQkc4ZOA3SZFejvM4S8bm08G0k4n1usq87+jK8ZhVlICoJv+MCs8pmmCnEi
ogEyUlTUwdlBMxm/Nau+LwpCsZjmPoAlSPa+/WTpC7T2ShPtSpWNCt3aTpKiAvRzFydJaubkrhTb
LnSY0xp9MGKZUAS7jQKybop3IqbTxFcJbXSZgbEAQzGAnNRhZgFF4+w5HANb3fsBGmDQV2q4bpNQ
5Zo0UwbqjX4OCepLHHKG+S2R3yghkzn1ecvDX+7YWDUmIJ+ThqZzMqlsdEbHWphEiux24fM6L+d2
GRpymm8Oc5AooPVfUo3/NBwkqzoarmZJbTypMzy/69YarG4qe9+cZNbVShqoeR2axID1TyJUk/o6
Kz5JAJpcPNWmlzdE62nVYZhlzwy++i7qRtMwM44XIm0/bBC5rRye9NYUUPXX5uk2IsyJ2VO2xs6X
TF1vp0cPzRw5EVRHQFhHwUaDq8pKyZTssrhfHyJzAAAeT7hj6ArhqfjabfjqSmOKwHAOL6inmTwt
UovHkPhbWChOlrJlRrziYEqcxNMxFL1XQoT2VoCFRaSCHgn5TgG3zmEOcP3Y5ktTqxw+Jq6rps0+
GTL8I5bjxI1x2g28lzpn8UGItsk68OG3BUbEX1NrSkb0NEi6MFQQvrcdWijxsCg6dDzmZo3XxK9C
STASq76l6DMtjlY2Xn+cLMofMl181AS6RXbodBhSqU8Gw5kMD2LOoJUnU5z8fQov3/J/hmWjoi1w
WlIKFtLDpM2lZ8cKFrDxyaBW8jmEaKxczsSf5lUqNt3rFZkc1g7E2O0brJVZfVPtV4pUx588KBfu
JyVuPlDNh2Dhbxss4Av44vebmU4tAcZYP6S3OsOsTlzeJdjNOpVhF50Zg72rA7EXw/bh7qRWi4mY
qTmxjJQB+mnTFyELcYG0nMjGy/G2gAJYr3/AwicLz/l866/fXXjfBT96sAJ8V4F53itvjHjOiG0o
kCqdcOfwLGHYndoPGu6tjKDow1XDnUBbAHy4AtURwzgvgQObl7ed/Fmk5S0KMzbtzT1KnQ4YzWjD
trS+GNR3MeR3HjXEQRnPAf5Z/XsnAlk3K5QRjTEOhH0SsvJsP6/75B6R5VPZABHv+3m5hhHjddao
8tDk2PO2I/uVlTksXn7kk2gwEDRi2GnKycVYPJgeVEqg2eGswa6rVRE3nVWzhWiHF/VZF4RMSFFt
DTMysPRlYr8RWnXTddQs5e3CI0kdOifHL+YSwRK3is2YsRBI9Mn1Ok7Vzjc0b/ve1Mdb+s7Z0A34
sIT1+x7Rc+kWn36TufCdVprC8dM3rn3eN11Y2T52zUul07vzp390Gr3lWpoK38QrCEUlIy0zOx+W
dcDKVebj8AMniv6MyWeXH2x5sata1JSajWjsT9YRY08Svk/uzn4WmO5VCP1jfLIk3q9Psid8iLCz
XZyskXeNFzvQyoCrlMBfOILJx9t/xGIoXOFHTMdAdpzIaFwGXGCIBRzZqgVjNaxgnZBpOsLbNaJX
zxBm3tyH8Tx8A2A5NR1MbUTSS13Gt1cO0oPWGPT2AyJ7zStSYwOJGHBrNF5RrOMNrt2IzjbMFIgq
YViITgYV7Op8MmVrbDDWzXncBZx/YvUVODt+evDZhvzAgxugxPR0hET9J5s7XLxKkZV4d6ycvyTw
9WpBeJdMucptLBXLPTm7zfvVWYPx+9PBtrqFkUUQbiey54WJCo3AN9RfutHX3IFiWbjXXZvFvDHh
0jGSFCuzdduQH6dh54IO75a5zc9b2NmyKjzhRQH2nviQTFEk46aJs2WZpw2eFVftcfvibzoP6mha
O1j1Rs6FMJzmmAkGf+lpk1fVdBS4n9DMGKd6YapCLpQCihC3ozPOMf8YF54YuD9lc3eDFEofFWjZ
w/mf0yWg8X1QSgVwbKjpdqk2EnHx4gb/Gh/JVOW1BirzV76PTWm07RWr71snJZcZh3yZkKO1BpQd
wIlsGH7clniNqsLMnO6vc5Yyzqbg+42n9nzYLtsuxJUKA2wQUZGQsf+ofGQjLmlAhuwhsBsPvQ3/
rQNOffFl1tQkUzWyxHWKi3QDwMe0KeEJH8NqPFmJOUyZqquFuopjl0nwojpjejeTCgc1z3xTmRnB
+IXG4RJZiRQZoIyK0ckRdOTZ9j/8TO6de9rrBSFui0SbmZod9MZ2wvQpwrQqXGy+EE7XwvXSZIFl
vQdGBXQ75EY5h/LScag4CHI38dJ5nNYPdHNmUCAv6cG/uKswkHP3CzpfwJ4LR7/pc/ZCFjL92+38
oFgqyQDKQpyoaySJyCfN8BmL9TRokPtYbDbuVEui83spHywsIXMjydvqxPwkseXZUkeqf0bRlMjI
lmyKKPPd11riv7/a3W7ewVgeMWJ5srDA2ndRVfcOMo1McQnzSRXhIPV0uXLtuq1LbCzLR1taIWgZ
SNR4ArXpY+mM3AvmjaAT+QqNwoKZ5IFob+5yEw8zHFMKkxKhMu40vsHyJW8qpAlUAy35/T6UCpgU
Q0pfi/gEZwTw6verdEcd2WjT3BC4pHqx/rw+7eO8Rj2rbx3D+AEYudoulFZXz7ggavA7PFPZ/V+2
ke1oRcUj0grYtE8T9c60Afs5buHMTZryKwspdEuTvfggN6a/JAgdbbjkWM38Wzsp6vxzkmwlMqSo
SdGfSGUMgIgX6vM9dGVm1rqOD8C/UZjYRdpExNrbymUoCmvJPs/DszwQoTkzRtiLseqp6pp/qPvD
7CCZjP1qMgrqJqDE1DkufOBv27n90x0SpTa1PlB1C4ChP1KWsAuWM8OneG5/c7O+Uidsc2c7N6DA
Nxjecog4DR8RZkvcXcZpegBDZQY+3ec9fDIUFnMBWPK8zK/c0yJH9pQ6aayoig/akZtkz7PM20vq
g4o9U0DaUbQKud4T1VXKT3omsSy3Wo3hMHrhGGs2eAhU+M1QYbiyKPMB5197Jwqgf8EpAMapGzBl
xnE4vwzyIbtJ613HNwJgIVmEyN+v0K+4EW5kY08fQAxd2KHApJBulfC7JUtbczlku1B9A3Rqi0OE
gZZtsDWayw9u4HvSa3rGqLDHRJndLVlzP3XY7P0DHv/qS+E8yN/hbZk2XYFX0cpV6icJoNfX6CwL
1oAuj0GWQ9h5Gn9jhBLS0bc3IkBgqDOAh4TCj5ZRePT//5sMpvcrnfNPVr8iiHNIKvzvJcO/eUNu
UGp7nv8axeL4+EATCHvSz4cQYW6YHBCbaeXNO4gY2gp6BGKFANGcJyTqPRSQKYixkV+vbUEtiNtT
PR4wj0HlcIOQx2MnHnAogO0/CViSv01vBVN4qzVQUtRAhsZbtU0Eb2hGLYMrC62S5sexYEZA2Zs8
JdLhducmWoKrxcbuDD9ZuNI7Jr1tRvG4qYxBJ/MPouQTpqAoo5Dl2DlWl2XJ6bIzGtFjf6fS8Med
HlVDJk7bMh2oJTXWsCXTu+wJFewr/vIPjxorlZrcuqR4Q0T48939oLJUpvEuLv4DkXvKb2S+7T7O
+2JDKI2o0oCG9WvF0P8M6QK61suUdupSctDvLoZlRpx7noEojfInkRn+oYNqfYEa6Huj4wHTqoIi
02DO2L6OfhURj/afI/xFz/fKE9vln4uDQ+pL16Kuu7HWdUj5HtmPOHJCx22RW1U6gcAz0hjeDRqA
RovabFeV+gQ3+baFEZANpn9FeR/zz/tQr/PRsuT5Lh0j0O49fJ4GADlYsY7iYbLZwQT/wfW2Fmnt
ssgk2JLm8F8PLd1bf3aWaO/qaHXqPmaZreBv7Op9FuqSDQ3VKOVKuoLKNEet2ZHkC3GevOv4yu5F
JgxPF9gCEfzlafFG8yNUDfeNIjaqugCBwi1buQJnXQ4XlrzzujDuCJrx7Q53lQiwbn+hJ1vksBYh
l1EnTaPVQI7hFmQrekBU/5NITo8P8oKkoQi++/imryo8ORWVVUNhWXv/1WLl7OAUBrSnXfp/eME5
kOXfMF0yKRX66opKIoyblzYypSA8RgHr9g7sZ2vVlNBxs6PEjJTDWE0XaZaTWYVl8jM352FuGGWT
CR5mDV6sNoE3EY1EKmEpCfe524QQQkfO2XBdgm75K0cZ2E3s3eBHEFJ2LjvuKX80nzKZW2vkirVD
CmlstLrM3KVsKSfbCq3S7ZNZJA1vzYa3a6v3F0i+7H7Sa/qbQwmp3PqgKdHo4W+9FDjsSx6ChVuS
dXBtXl4pBbIMB1rx2Fn/5FZIS6Fq3eswKFFoKfR6WaozMe5Rvgn4HaX7uQeqqkN5834NI4IqjMPh
30fEmzDsW1FqxUhEWuw0XDNbzrZ0fH7aMENRNjoCXg853DEMwVz/74bOWe0/29VTJmJOufqWg7IC
WnmbIGW7CuBr1KG2CpDgvNfzQ2mrn9zqEMi1Oqb2fFlh5DIeUqf+ZDKPKhQl7yoBt+eBLB4PbXF4
nq+ctJ1rbLhhR3T0Np0XOGiwTPT89Ku9ieISbb8egKOSKkcvuUvac4M1IxU4RCfYIyWauuQbINjn
JT4R1/fivH8t6d9LSaTQ0bn9Kw1Tyk09xfUvgvGZTcK+Tr7vqWC+d/WFqSO5vC3FadQssUnio7Z5
JWJof8CEDdoLoUCm4E1KTl7Ojz8QiPq/oND3G0y1vQ6DubA8mpLT672KW1enficdsC5qrktc/gMK
Xoo6MXBvQA6N8hxup+Kk9c483CNQ8hS4/CBmML6FKlZDOujXc7SXLb66YEMYy+iWWSdU31w53dur
0btFlhE84+mqcrcPvr59j7wT0WpOfhnu1ZdWjY6vgM78GoGPek5eXuotHrniIqSb1D5xNAZ9NK0n
5rNZFWzIkMgDm27igZ9OJn4wUM0VDySsr0f2lCqtj2imTyeoSmhdLjl6uWKZwM4nUAEbDRZd5DHq
n2J0JZ+iwNcVMJAXRqquoES5v5DQ+ylrbFDcCaIXzXTh13/lelq/xGaApWu6DtbxGtpzcTf2+UA6
4yM9rS03SVhfV39lW9svspbaOfHIWnNQY5erX3snrZRzb94mQK9fAjRq0d4uc6QIB97ecMfGx3/a
V02XcKyRyExEQFMOFqgSRQtBvht/SDS84DfnldgoUFLPC74Mp8uDmFQK19I0qdDRNQFP5B9tQG2U
sU3rw37fvYkhni9DzPXvaNAwfnfocdheBFeYz3btUxQeFo3XfbNJQcnzkFUo9TMD2ebDrjUofEf+
XvGn7WigDphdp35Q91LTnTXjXIyfknfuB6js9WG437uZNYbE1GKtjRAdvb/PAOELhUPrSzcalELh
ubVP7czPJN/rv3HRZKyB2NvyjgSV8qPqgTBRPWNd9padWCoo6NI1hklEVdEkvsvQDIbNaNJ0/Y/e
3WxWqvO+XX+juXmukncsQObur8kIudxSQanPmCHwSjDtgJnfGfgistYixHqrBKBqNZc/1mOdlTzQ
fkAAYFI/XwIP3j+bskql7SpKJOunEuMoRaJR/TlmweXLK9MeTt5jy0KWPtTYrYqmphc5dHYrKEB1
V5FUY6JgmBR7nSLBAkoL8Gmm4rloAkfcn7uDjTHz138rCpM7gVv3jbrjdHV1N/0Z6CGtuWV+6j6t
t07s0NbkPpZbm0Rtwyh7JKkTdpl6+gOE3yK4oFHLNoDHKIUtzDbtgPFLAtaXbC5mccaSGkRnBvSL
SbOGehqfwCg9UWEt4WDXMqH57/QSl/oZvpQxRT/z4f1ZnrY2JH2/7v2NoBpHay0NYAGuA30ucdLB
o1lW/TUqYq3sdhk8tRp1BLBM6iuoc44NAKGvJGe25qPVcY80ONM3gsJxa4OabUEFbU8hc4igObrC
QhAIO2xE9ZDF3Pq/RGExlWrMlL7LHV3o31xkl5AOPb0fZ9YfPb2vz7u3VAXe4vm56pm4pE/EkVq5
NXVOH08ugZ/mqP+VdrtW2LIdjmJoDrImuqW7n4sakHeG8KnB/mkS1tQqt45T7G+0wAHAu3coHM47
jWypPRdLv+6o/ka5+U1/hc2VVWaIyHNq7wqqKUoN0llHo6EzE5pBO2saznPhCNz7eVa1aLBBvbgb
fiP8edTS60yuqLj0LYZpaK7c96mIrcMgN4MDYIRIYjIAaTPoR753UNaR7SdFt1CG6K8N5p9ZA8bq
Py/2PO0gRrXgo3+cKDAWbU+w4gN+8lD3lizzsZ/duxL069MOL+JNCQxZhdt1uatXo89u+Kjc7Nio
3N0+1oxQrfsUwo/Ps6j7cYI7EmC+TipYjxK/eyCMG0WFbqsyAzLXVyWaaYt70jy0gobdd9dsqaBF
1/PantPczTThsde0eWz+NMvqNapLtKUXYtXsNJSdr8MT3WYTQhbRKH9SIakzrrxWTpa4FZTZeb9d
gJGhiWKVnUxhQCRahrpvmsXoZ/XDYrBM+cgd3lAQ8YCm2OGmSHC7OR7hK3PJub3tG/HzaPNQjlvR
DrFJ8rnLwDu5h6P4rdUv8dplHFC6B6XZNOMZ9XGPgr3Pw1L8guKJyL4uh8oKUW2JFk3FfO9VVrL8
NJrDGAadbqohgWjoW+aBKpRiDasG7JbDVZ32I+7WE1/myfm5n+0AFpiANe9iPdqnWzSTWsFbkKL0
UxOeVt92gOgVvTfsZTOIzpkk6NIav2o7uKvliVJsyHTwQ4sS5uMcTjllXgvKOtJ68zjG7ZjvFgma
eF1tT5CdVt/nv8KxuWNvH2etp9qH6jXsG/Re8M8eA8oVfirsEqHUwPOymLNmiF4As7zEHzexHhzL
N7abyMcJjusLqMYF8i54TblLcCbSLnJRPfrr/ASiEFc9QCNgNFQd7MUQQec6JjPj8BZlEDTz9Mq6
capY4iDForISFTbjxX0SU7lOeGmk/efcux5OGDygmghhiJpbEQ+5FKlnPI1bvfPCJY9osRMozeyb
e5xijwkTZeMygL1bVHQfMKGD6fikytNVTQR1vkAbpiSMVQMgQ3pLkafADDx/h6GvGfuI27/HcSo2
KcKczuRuEMCAnCf7HS1bDwpJ0ujahDTCrAn2S7RUdeAifK7VklN9/rdDKP9mpJgwiPqq07gq4cYx
NLA8gSHgAdrB577y/1Kl0uaFhFAgPMhP5ISMKIykvNlYuWF4+XJpCibYs7aKuqo+hgiFncHwHx3t
+YvYu4TuMk0vDSX2k0XGUdMKPoB0uNIAh3ddkW1V/eatHRUg3ehQmXNmTMkSzAY3jvvVFFrF1QT3
L3n5bKXtdSEO+qzXNBAXM7UEeASRXsDYeWt1Yu4LJAI/eY5rBNMNU2xSYEuLefKanYeFZrox/EkP
4uxdvBoHC5w5SX0T9zdZ8xMExnwHkpyNL1TTw3FMap/84NiNpl5pnnJjtiMz/uvIGkAX9FOj+J+b
9o1UHVFyyXNFt5RarFs3q5qjFFJ9nIKr4nZKvwEPgbZTUx5f77HfjgSEJ5jsrQelv7mwvw1KOgcm
2etxldoP0JLGnZWF6L1SUWrfLtkH1z9OmciBYyB07AhCeI0J8NQ11HEUrqR8DrTCEUOmHmnAaC+T
3PXX2WWoP0N14W9Zbpc7dCkc1pVrhf70JDiJZRHu7t6RCtQEUGCjwYRuozaEmb1wSIs9cI5j4KHD
lylDtmMByZ/rX0TsMEaqYSVISl24X/ZAw6CKJEBj8N/QYwnXCpX18ovobyECtW+4y/YnDx3Wf/TL
wDclHM3TCCEaHdSrJ0QFhbP4Pvq/TBibeW2A3thb796v9gfIbho6xFbFjJrFyvJJlYD/VNS5guhy
HWpM76zHMlyJ7sqAysDGWlCGwgiy3zPXgKrKfCAznwCdFQ2IRrNuPGOBGMFpTXKwZf7r8WeMakF/
1fB39wb/xDjGAol4fQWtDyna2u+U9g2uWq2uSxNIQVN3lnW+Njcb9AVGD1M3DObmhgiviP//7CAg
FGmgwvzuji3JaId/t1rtU72xHeqbd4e0Ov62D1yVQvXKp1VeNWCYr6osrMCmLSsuskAZMA12h7JH
mXDsjV25wlkcDUpzs5W15ocaAL1y2Xg3ytw7OSl91TdLTA/mSLJjC6P9tzGRcjF0F3tH9vgvqeD/
rgKwwoxkprL2BKoMSkLwouJp6wAqC4UV3NvUQCgtKrvQm20B8dBJh2X2lLtjWLi1LPyQqD+S4srk
ImSwsgGUZbb0YJBQfJL/cHLJ5EBg4g7Y8G5feh/k81p9Bs8o5QDP7wbB1LiB91yxE90oKu55UOId
IqG/alGl6NqWdXBmWGFD+u9k5hh8bRZbVP6HLAfxtJ7Ka10y3P4MNLQqwK4JAMcaENa3q1sq72IL
frtwYfGGiQ3fUG50vw81ub3UhLOx74SrvfOKaViRX0m043xWTWEYwsv2Xdbc8q7KGUDilnL5RkaG
JraxaRtCQfjuOpGBcJD6xDgGHYPWeqG1L+d1SCi90B61SgIZTJk7Y4DReXS8bNj59GI9RJr4+F+y
2tC6YaHx66L8GEv2annhFyjhArxYfJF1lZoh6X1nPFvUYfD40+F7cnHfOM/LhVfEydca9QBclkr5
U/akMqdevqnXTRC0cs3qnXaFl2kO8eb0kxtwZOD8iZgN0dCW959J5xRvSV55Llq1GwEpQxElpybN
1uJ8D6hJE4xZXoDWBNiOjlNOemg3IUBCh0XIQuFc0Cyf8c4RwoJT+KGivQqrhhuq1bGDB/y0mHGh
kAjbJWutayfJB1mv/O5ar1P92CIx2QxjLj6jbdG3iwWlg9BTHFNsnE7Jl08YzhgID4A51XqYRYix
FABYp5x0m9HvzdAfqNV6TeGzvHC8JCEr0AkPNLm6YG9UV4GN16eAtOo8g+PBreuprystiM3EYHfJ
E0LpnKMaViWHgJ/YoK5rWJWL3ifCgiwjolsSpBQGAEcYViKj8Vo170x0saYednQ5Wj9ijbDWGR3l
rsvHcujGSwOv5tan+eE/djEV3DFrAYf2Mxd7ovIIabXlDGQfk19MW88q31amRR12NP3/oFLRu3+R
6xqg11IOpzFguGx8LNsu70/UeAeo9pm9qAEi4EukBLvIP+m1nKa1DsuN56PlPACTAs8ao+UDUYp2
vEk+kKlbt3cP0euDY4m3zzJv5YGzWF8kNf+8F6usw7fgkVlPJ6qK+kCBsP1sjE6eByTLWK3fwcD0
qLsSkeNjvL5zDd+20JVooyhUmvR6s374zXYOOWYw0JVMCyay/INZcuXavPB09v7EnOS/0jCW575i
kJL1wzGVVG6S8GwMRjU8hFA8C2N1P3j0S9MwGwyX1tPLziitTGNHRAWbFlyQVkKNxQQwazPa3XEZ
tUVB8xlsP6Un7nV5yi1lAj8owBHeWBUtV0lR59m0bFt8An0O2uKueVPkD5k2yw6IQ6m5nF0AHeeh
xhCDrXZL8wqFdLM4urH+yez7r9eH6jYpY35+R5KI0LE35VqrLfOrf58f/fe3f8Q2voKquxffMdeZ
r8CjAulvOdTJ27x3jsOBMm5hhjrbXzOLZhr8CTrXOK5P5cEPfo7CEfrX+4RUX0CpC7j+k35bdikn
eIKFsp1fqPSy5M+YdQaEaYmVYQbfVVps47rsg84uTyM5bYrLIbVK7ybbCeW06wb8eGHgxqY+UQQg
EyiqN6EZAJxDrl+FsrrSPUxiU9UuD1C+ylwdhAPcK8wkWs2JvO7qzRtCoh4czhMV2vRmTb9kGIM2
uZeFy4AdPp0ycZQ5hPzbGjqcAyXpcwteKwP8I7FYfe5uRkUJg3J8UFYzEEgaFwt5EBKbxKYW76+P
WfIEwaJ3vVhJgkJAW7+huac+qFtu//oSDlcIx11988E527KlssOPu1sTWULOOSxPzD7/x9rCt3HX
VT7uUjDpm0T+55AR9SxFT2IkudNgZpcO/TwvMd2U8cXTQCrlODtuciBq0/jrpdXCBjW8EWY+uZ3B
1R6Pml4uLYKr69KuspJ3iWjufAuR9FkS2rtpaR3h3k917tghQQjfx8h5wme0lOIVo9HFm8r1TPml
uglwFPkVNKy74711AAAEH6eCm1xxBBxU9JiqxWKEkQiZ5Nd32rF/yqUc1AQSsGx9pZWdmvRaEDrE
K3/GOqtRVoGM36hyGqkGhkhOpC+R7hlDYxcG/AJ5ba4bghO8emb5bBdoWeCvOurhmaObOgRjQuLC
LalvtOrbGKfxcqHZSRWA6F3xOMKKaKo2AYxYO8ZQZPsbV9VhW9ZqkSQ7+vHzvn2ebHTACqQdTSAh
5FPW2CMC3i/E7pTY3njUcUAjgOI9uuz3z+9jp3Q0xlz2aODbRkyLfpkaKRVKZT0u6tml2h1cr5+L
MC6ScL32a6SVGAvQw/LSr8fvbRb6DpBT6tOgVot3snNJYO+CHvOWNIvrhc/5vVS7RIwbZPf9DkeV
oAWeRq8QM4n1Ui7fiNRb7UWEexCyFkCXvLrzFAmuuVt4AnAYx5hWiM+oV1/6FfbWuQ6LKeOGeO6i
Of7rNwUCQx8mVRM0Pc0HPriunHDmWoMS+zltp9VDfEjOq2VvbHjiQbY+qY8r0jQJGRC8rezui642
g27YgeH78Rs1LaDPXY6zxKwoud0W9789Kk/rE5zJlYQZ8XypzN4kUJfNaL5Bwk8ryLhXjuHfSuR5
p+rRItnqunSm+Z09FLBUVW3JsFl/Fnz2ZWdDNNrgBeowAogQHiy9At9a9TWyPfJunGUX2hdE1Gdt
TV03miePAOo3ZoyjaLvYpbakdFfg9zm5G9xiaQ2i5sDtdqWGkUX8Pr08al3iXdJXqy+dNyrOtL02
uDIEcQCY/UJbhyDmZdDlEf+NeqEoBCPGuDvR21nqvFBspD8f89cQ35hCFZJ6L7ccbDJliWd+iffh
A5pEJSTJuHpTCpEHvE8ZxfPrxfPGnlIGwr4kqt1KoInDpK5ESJB3boSPgSI8yGc8vsDgrh/o95nA
DC8DFqwJJ4L4Uj2Q0PEC+FjvVva9qgSi5+7rq4ow+ofdojIYtRnNmkYupevgutgLeAHpMRxXEC5Q
zgpEPH3TdLUt+peiKJhiBHvu/cKN4Z8pRsiTuQRm0jkxfJ5d7TseiVBYDgsqx1kMtPDhh416PfoD
DyTBTT0fKo/6YYNRsF6NTzGWaNKcOhY1t8a3WTHplayF+PAPx1LObLdoID2Mlh2t0QXjWVAbFf68
HGy5EUmMEhLdDVRGtZzRIUx6cHBhbSYkjzxhYUzazUfelEkIbkq9PXZpMZthIL4vlS3wpXXb0gkT
WQ83Y3LqRP6mzycT9wrOzn407C+an2SWcQgBHIDi2h0SNor3FBao/fnYN5r7jxXFA9rV5uvMQIpJ
hiXc+2w6f48x5q4dguCz9sX8SHKDM88cJwxkgiXLHtDuSpocFhgwykHuy+zGK5SOVQWERaN+OYmD
rYvU031Yjaby3L6wZVqeuCPjFpWZ6LHr0fRkMDGnYhLhYgqjA6zKbRfSLLMb2MkHRT3T9p7IK4Hz
pBKKyJv05czI5TBsSGJLg1Jju+2cdMigFXkSghRtZHSbk2V6SVby/ZNoXSJI3VZxRLGRJxrBpYJq
0lxrludsmTkK+0nOAExRqE5P+8vG9SVdlCj3qu5S3S1p1WLDxjzuKmZXsqs3v1zfxCO4V2P4MPOX
bWFG5G3trn2CQzQ+IXiRy3Y4BvabAHHc8e9kmBZ6hXKB1k7xcVmEHnjRRxKXen+37ZqK/OVxtdGb
i6wYW9X4LSzVto3wIQIUxCLNWP3LboNlwlLdC5XF1Oi/QJJ8lj24nAPxqU8SzwM2AbxUk4skQw1c
WCRALc3CDXTQi+ATW0aaU6RosUM+gqVQLZgQ1BdeomzJWRGYRw27Wp6tO6MgkKjLsTkvKDylwwZ2
W+F2dQMnY8VChgf0dKghcrPBIBIZv4bzvE/otWphHxehkRvdSHEkwIGEpdxCjPRgTb/vxEV/csQG
Q1CPs5p8rtarSZ+7If7DZsvIww0V6A6fxbHN0qf/H2ggzZvk0o7Y1T9Zn4cpxgKA2ayz3UH+gn4O
NAwi+kGh7zsqNhTSvBP8HOzQn9Dix7uOCEsWUc15rA9whgZwOUziEhbqBMczn5EKOhrOGaXkq/1I
uoY030CwQbnX+iqOJgCNfaI3IBM83OuqZ5JYX70DdkLBwXT5dROMs72aQYhQmb2Ss4n6MLt7wYNZ
odlxFn2rbG5EqKdja6S09wxji8WBpOw+VH3JDN9yv/HDHauVpuFhOVZw99dG/Kj/Na+zzwgpxRt6
kgoSuLIxgrfJ7g0GLMNjTA8mu1J53G9W1t1tv+Jsnad/NL5P5+qb9RLZmBcduLPxkCXZv2iir5Ia
v93sBnlM2FdaKD2rPe83oveI8SW0K5GLcZG9obHBpK5zbD8rLU9qWb8iWOz21kmUqSzMVa2+Iljw
fX+PTlTXHHptEkn5m8TEeSc2BOS3h+clIphPHTqJ2coH0L5rLhtiRdFqjhvPiLWG42BBR0CQaQsT
Hye7G596xalfg2kb9thyTeEN44bKAzcv/yKbR+dFBIKPn9TQAS61PxdnQYxrMUEBDIPb7mJHUJ+L
MDo0siYQKATlFzE59+bx2/RXG0vUC403DzTq0eD9aV2Bx50/JuVZzFDHoeqdmNtda+c22vJLqra7
xlqqoXMaxGjyt/fmPTiEtczyiDAExNOekCLmLPxTu3ZH51/Xq+9HBw4VaPNNt8770MCvfgHsplUE
ht5VtPLwm5sp4huM60S4SFX1aPVp9k//HP/qUJSkD4Q+S7+J6xbb2v4uhBaURRaxcrtvTvL/cW/J
6/Pt+o91K8oe38X2yk6S/iLlPGK2+3Vdu48PKw9WFRPXpa6H/riO3h9ul7g2YIOIJHbWHno0uvUU
YnDt6xtLbMjHjXwcNt5M0FmnOPJHSiPEBOS2xJ2E56Grthl2bskB56Mt63/wY40V7B5xNMic0Vyj
XxgeKFfp6bWqWDy2MIjI4WiWwD+Dgg5fKTJYlW7+xFEZJiNcXhSuTfJmu/VySequO20LmOBbdowW
BTe0JsoIYg8crtitEPb94D9x2o4FxLlSrTrALPOGqjgZT76FKVOVJNkOFm5odTeMiyJnKlY2Ziup
2G+2/nr3PDgrsJIkiOjU/WCLB3iTssTkuk4LcThoSY52ic8J5be4HAFdek62LFndVFvKGNa1ZwfP
IrLJ1Y/2g81nJrvp57CbPlU+B1ffn5Dh7oNDSkXlsHZij+QdBwwafKMKDChS/841oQx3FomiAZ1A
L6yZBxIRWb7iJK/SK2vqJjSt0O+ey6M8ICt0egQfx2sNXXDsZdPbikizFOw+qs83UF1hUMXGtHGn
HoyPs68u9Wd0cdlJHNhzmFBDfwbxtxvIna7pIN2IFirQyQ9KrkQVj9dsn5HSeoZiuJzvLiNHhTRC
Z2DzRSzwReRk9cfk3ldlFCvQfnoXoNBW/wvyv9hb7WIBJcwVHgfxtKNo+CJ09TtkrjBV+Rdp5nDD
X+t1tzQvRGT+jmP8ltmBLSc3BzLlY8QI26X7O/JTbZw9+jdPpRB3eI1OH1KlFQrC2Z0UqQ2gvevo
ORoCFPJHbG5K8KlfstjSD2/+O9WXAD+Kyu4Lj0XiMe2jaEJ65LxmrFrD8h47graHv4Y3aMLybgGy
rvJLyh5tQ23ZuHQKyV2z9jL/LryVkyAyvSNprGjo+6Oud0vJMuK5+Oj29i3e2V18cRSRqp73AyYH
H4e4pjRRfQIX27h7eqLtLelNRm3GoftO/dN2yJ7hf9p5eNQHQQhdASPYPnMcgNj4UwKS8ZHw8gSW
anqXdiWeF+poELc/1lXos3TFplpYXNkYhDXcF3wIg1+UlQUGPgAhsXJZiKUDIl/gA4TiqH7ZggqL
OT+XvM05HPday9gJC4IDo9JOh7yZlvXF42OuRWN6MRQFuqBGb7fMa4/lN/rAEPPACLdxudcBbNaT
cN+FH5su0RVmAXRHtxXGIDFyV+8kBlpIjCWbuia8O4E6Aj7a5ccKN/WLGX9C1EuI585jgApUXUBn
5vwtcJN6lZX7G+Suxq5bK7hwO7BFLgMbsKggl9+p1VJgFmYhPxSmgnjgGRe4q0Y+4lwT2vAzfjsZ
NmzrdqPG7xsvhIVizMLGffktZXQuKshS+RWaFp/JQhesINelHf2zx4GIo84hW47WFbRuqHeALGzX
gM8V0DuBOPPuivUJMv856y33KPgA3nFmwwY3N5B3OeT8Ca2f/2GzXSmqfijGDQTvI/tkF6Ormxxi
lJ6EYf2hTe3sN/6w8quBFD2DzNAejO0ySiUUrSQrl3skvemBszuXV5Rbu+k+9isd2c8tNpdCcuTO
GaCPqI7DadI8hRC4sWFMUw/hggO41LHB7NvP4ypJ60BIO1WCoEWy2LGzPXYV83hicaa58zyUVhnG
fgvq7BW9dI39Oc+PmAEhaGKTC9yIL91BHQIFvbFSZ/cbIXZP718HhsnOns4RpcZJvvb8uJIdlUOE
aJNn87kMROxnivE/453Z+BjJr2h0XgKIpbULrNe8fDiqJ2SmB7eRt9Di3qIYyIwSkKi0oMJ4anaX
o7xokyO6oUkev1KVQwkC7sb/MnjFGrLFla7+24mzivAFiuMXPT5bLGcBPLLGDonD4IOkhYKyQjcn
1ytIGkD2FejaHSQpx5GCn7iVGykMC6ndnOxtDFrCv5FHy9/NH2eYMKA5USlNmHeWyF7pDKSHTTi4
a6leWDDyRqk3G/i/ZtRl8tKLu55WUtxGZRCQl8gOLxOo6eJQr/Wgiw597bTTvLjLs0b43r26pon/
wJkNiUT9TPC08t6ZOK5reU5uDGr/pUksNmcAnjOTMkcInZxMatOw6vkg0ycO4QoR6G52Wgx7kQsg
C9lK60hhCz9xzkEdM6fQdhxezIZG5+w930bFTLRAIxYgK2B6HbPN5DPq9maInJ6RyoWt0uK5XBwf
UuP7eyI5H9bt0alo/7xDF9FRaso8zUxj2J01znX+PiZbvfEkl+ubAkb/WXSQ4dHJpZ0qo0RXHiBA
Rc2r/H0Kndy2Vb24eAYvhNeWFWvByC3QM5KfEjjED+EDJBa6dxoHJUxpY/9Nfnq5ekjeippuGkMC
tOIck3bfHi1jg0O8yE3nW5siCcB78ENIRWVsRNYFgmU9WEG7LGo1dTD+fs2Atz8Yuma0zbvjB8jr
+hA+8aMIidvht1JP0/vUCklQ5EM12zScTLB+Eh2FUNmJFbVuDnrF3BAJVR1fbX0rJxHNBZqJ6+tm
GIz/fvqaK96TjsJUjkw/OYrrLls9kp5qE/Ynmwt1HmEBZ2xocxuYP8C1QCxSwBjtXDZWeNI5tSvO
Qm8eFQA38j3BVTAnKrFvbdB5ONgvLU4d8IdRdRHnpCOjiTitLyc8s33kpYwPDZYkN6LNMsb4eU1M
6A/ICTh/K7jNihrGBPLIp/4MKZKbiFcLdWyMa/lSsfCdHlh2xbDqf0YA5Z/b4DPJgwZuKSihzL/n
vuOZ8OKoJjXe317iO5iIs90bSl09KlGCjt0/l6aFHLywUE771ypLi5TDT6sXcywJPV0mL61ApzXc
DRsU39uY8h5sxXzmHUjneIjl8kEUOj7Y6VoSdDSeYzNrgfAqTO+uUWTbTodAS7eczq9WVLz0HKPa
3hfj/uIn9Mp5UNqXK/CvEkssP/KLS5a7ZqCTuFBNpvuQWBIYf3oMhdCngQLwnaM5CoVDSrRmjnx+
ZM4+M91s4G6XCHpTgjk94w92+CGyLSFf3oon6Np6oDOg9EVdQ6jrdLd4OSYZgl9+aS6SebRoT3+v
WwYZzEh3zD3f+wvwlz/ZpWKiFRD1hmhoh/TajFx5RgseCyInBw1kYRHb+E6UlHbp7Tl9ohK/Tgzq
xx1+NvZKshp6x5z38BBVfS92ojQ1RRxtjqKCaiGbDzLkn+99ZqN7YIJlj44EcAJshMbPbfsUQG+q
Y3sy1qhGRUVUUDpqRDuf5n0WQXREoTALG8uLdeu7aYxsrmHiC3HJZWvM9jbjP66RCQGKpTEijhlj
QRKhcVPDpkS2mjEjoGG3G6v898df2LMsbO/XLsIuuTdXMaMc9mlQ3m3Rx97h0uBCyksyItO5wJdD
VKN5Efo+5n4rXGwV6y2S8rkTzybq7B2h7T7vJDhvRrbvgJ2a0Mhu5FU6wJvx+5kTOsZa22neaEqL
b84aSZlQI4iWGgmGKlKW9fBigO9M0PrOFBPX56QCZJHXAASjTW3D8M13keCBeNqAjqN0D52RbIji
p+YuBg/fD3MG6sI/GY+8PM8UM3d4hX0Q/8OMlC2Gi4wVXmhBBrcqUKihNSUNAAn7GpummFXXDlUK
ikSMo6JCNyi9Ga7bK02D9mxUYcO69dLCo20FYSyC7LPnO2l0gt9OY1uY9Vj65gGE2QTTWQsJKhtY
AQSj5XSC2qF3gGk1PcOa7mfNL26pPpbnpj/Qfw1svDZJtR93nOQlr66uYzIKtVZFAf0W2UyqnRet
Y5bNKHSJ+Rw/46fey1wLQGmyKLNH9qMxFLjoJ3yjXQJgGEOGv8q0P5mEyhEvjqfQOxyHXA4asm45
Ak1Fo1QFGp4VToSlLNOQQWlZl8/bGisWk0tRMqt/CADJghKfoSwAGVc80dRd//YUyrylGJF+bf6T
c46KG0DlVJSsQO/ikz13OUYDl5z0ICrGEYh21+gsocsGjmQn4c8rzCIZ0VISiqaciRh5FiSONwFV
tpHojLMB5G32SkhK8hsdBnE8NxGlC7g+YBaGFxSHPsoFoAfcOIJIHwlfF4TEANd/MFDXU4O0hXVt
4cKNlGTeVl/G1aq5IKvPprm5fEQus9bPyA6zz6wVUOfCjRTRtp/CICipmKEjhju5eF1U9ur/LSCH
gFGBu4pYMiV8BbSvmad/f2V2cyB+F1dj4K6bmwuNixVmdl1OVkFm+Pjqa1/HqV9zhRUbg3jT0hDW
0gFN23rwn57itXsRNRzV94rtuOfT/e+V/tBEjPJ/67tBNX6FZMQK2//diEfnpQD5B4J6ruLa+/cT
VfkHJvWJrhonHEiWCjefSL8+gZHo4wazBb6OYE5j6sZuOkf6PcOFucdnzw24FzISI5E7jKs1dwTo
xhydMBPOG1msu1S2ICBZKxgoBjw4FrFGMC65lnIOAPXHscGwgmn4vRHtsmOk4ttQCEo8Iej74GG/
L5RiFHausOe1xTL2vg/fmTLWqoMA2SAGiSnoUEVbIFkYTFDYxZfMkKCBMR3Ht2c+ygpOYaZs2uWs
lDURijAejW9sQidSydKPo72iwdhKVOygPKQF+FemyeAqXEEcxPZStJuBlkEHxMD6IhLSHJVmVKk+
UuWVNWuHS/imjiCFKBKfcm/qi6IXpRS3GVswZsBjlIYZUK1ucfZk7qD2N8/atKR7ZXOLaV5RmAIM
ywepRsEcLiFMZdZwCVjbWDhfslMZbbawxiGkY5gveus6iUKSS/bah8QSsw4Lj6uzPryk7UfnPRY8
WgOUzlwlJ9zkcPMbYFsANaJRquZ6YKYjRuqNyzbUd4fSkSTwsvMi4Oru2IdPJ+nWuzCvJmjYBDhy
4kV6f23ggf9wNRd5Q8XHd2mP561i4Zan9LaH5ztKsdnd6tfdX6oXEH9LKF0n0F0KtLAcM+CqXNuV
4DVwq03efLHaVWV325vSdX/JAoYKKfNDZd2bFsMiw0R1t9nwoMOael4RSfn8ZWMDdVH/F1U2m2g+
x6S0aS7vZ2Rnq5NiujwzdakxiVCV7Dd4mKP5FJiQvhBFIuNsUbx7w2JJcrzoO+wjVwUXlzUHi7FJ
q2IdYN3phriKFOOwC5SejWavwQO95Xjijib62DrDkO4BOdMsbNkaLRP5z9Xoq6+LPEzOZFoL82DN
LPRAP3zz2273Gh+62LJQdrCKomo2vGnk7HW7aGPle3UAOGzBg8YIM33MdSj4h0I/bXyaW5ZB9+t4
hURfPONcCSZM/AomhV7ZYFvXTG39ZOiT+y9DbpVmikX6lKw23xhlyFFD3cmH8LdCJRXJu6/qx6t2
WnhI6m9HAH29Ff51mN/lsMXT3WNjBHAFbdAe8MHLAA3D1gLNvAI3DjU5r086ZF8X9gFB66tn7Fo7
OnihLynFGYkT6x7EMe5v5CLmhfBTJsBH1RGV5q9UN9PWADpTKo1GmtuxIJUMaw0yzlfFFFZqjr9S
lXi5gdnrfIYaIDcPHJY1KNuGWNRwJwUTmZCHukeyFXx4DsKo0DCaqFRsAH5bm6VwU7w0bmYEqGvB
Q5TJcBhs5o2u2O+7gEdV6wGjMeMnHH25u/TCqEQkJjS7GcpJ/HbMQA2jD6wl6YJ9d/DX/HkharoC
gGTWr2zWETaNTRD2KUh6OITRpeUzDPpoGShlQU+iVjjZ/dYguFVu/A4fFQAWAmzSStxSbIHPGszW
23IbzehvPm/c3PJxHWW6zx3RCrkfLuK5YhBybhHnOy3qiQhoVWSSCTVF5yreIsuf9bFGrorYenw5
qqXK0rQX8BYoZUddAIUvwgDAG16bR93R7RUZXAC5WyT3lov4jLpvBQF1sjDZOM1X1hv58u+AwZ52
KEH6f1ncHuPWvNomnhIh5fRPDgFHLlqNpmeWvZh9FOSsGtmFdVkuuumke+/s1LhZNa3J+hm9x0WG
tfqbPV51ODzbJjr/wO8CfUQVvcVO4BPUVRaZ7x6pJVqpAk5aEX7W1mLRLo34Khluufyi6YhanfBN
rQFWuPX5r+sREmOIA9j/eTIWQXE5Ol8Eza+GXO8ys0ygyOi1iE1l+Aekp9txGVCbNkHXONRAP5DK
P+xS8cVgH+iVRBnd/7hHSJkN1iy0mqdL6qG6JwmceheSaGAK4P/teHit6JaACIR2F0Swf4cTB76C
+sS2Ic3ZVocsrt9U5Mg3UQ47264m9RrVzwwvw+Kp2R6e3HZsJChF/6S5TbAgc92qL192pxnLGZk4
tOhgo/uAHndswvsVl7UhazTj/w9/2OzH/3MBo4SALJ9Z2auDI3IWPqhwv1j0mQRBdkcPLbJx7SuT
AxZ4JrI/t4UvmUoXLda886FlFrrHJ5Am5Kx9TKjiyH3e3ulSis+gxzqI972Cygns3YpvQdBGbmGw
5zWsFLMp1p2RzvnHrW1LM240fLag6Ad9xIEOn6T+ydlqALPEEBzPlunYyWLAqyM44IO3uV40WWR7
oarrI+Z3mLxNnNYzY/exoJsMNGvE4BUvCiqbCdEky7fIez6tQpjGEhfQHqVCK9DcPnzDzjSSKpeB
18VfQ8HYbr1EgeZka8aILnoiAYqN43B4F/vEQIhMlTdiilxPgOo58LQ0UAdnT5eSYjoJ5ESox5Um
FdqZlOx89GKpdMG/7ZlQbnXyGTj28+B2E7oICAJV1V7aIFBygtjby3/J/Fp14Q/ZUU3EmW6MVn+v
nMse7e7clSqSAkgR5IgOyxeq/mQ8qrEl8XHlqO/TB5rzgZ35CTnIIO54BzM4mwe03pZHWZ01Ug5y
zWUEynbRw/Ta+AGDyVQqK/7eJUX5sS9lV9hIXSkU5RxSZTrfhL3T2OS3VCCwkyjKq5kozP/asomx
RGvML/6VnqcW2PYGpq20m1uazKucN77DWkHzZbrT+mUBo24HXtxlm+bQvI8JHOkrFxfoVEc+nuYO
VjdqYxciOmiXnNEN8/pw8sbHVeotpJI9YnVMjq8Jsaud28JDOGA+5CwrjM2Zc2sT18RpGvwi8uz3
dwas4XXC3znHF+S8Ltp9Bx98hPhuQZZCtbjNdicikyl2iskTlDXL+FbsUBWKTwR6sT/JBqV0UzX1
9qti7mRjjifiQwV4NAQUebdM+70mWGEf8TAjdD4Yi2gyhP3JwkHbTuMS86UfK71S04zl4rJTorDM
h1413vINogBWKxOl3q4y1EUcdSmWBYk5Qt3GoVrABDBL93TU/TYMVk/kL6f7oiOgfrl0pNy4sg+T
n/Xnh1HnkueFyUTDu/QL1ndQzzNSn481s7NQF3JtlyMSZ7VDd/wao3VQ9q04pisB9Q3cFAdMqGT+
a9ki8cxgcVJd2Q4znFUM7jCTePk1oWctpu709laUJY7QVWTWvK5yqcJq3q3QQimlfd0hHEFcmmGm
6utkv/rfX2+WVdXg759gvRAbxVIlEnnrGWQMvK3wVVsB7sATRhwJyfqjVrnWWEA+CifII461QgYF
E8lKxeIRgeyDyWgxNkOJlud33Pd/gl6EAkx6qz2zT63myHF6VZUQEs+ePf3Pv784vv0Zwuo75e/h
UrdiamuQAHcppGjyMrZO2EQBvO/HxrnaQER+j4t4BOk9wtMw79Uc4s1huv5BI7bu6b1g9ZVxDvKZ
IAdHam6tHH7vPwaqLQfC+805dWGIra3e7AgwUS6BSu2ny2bji1PDe93EVc7Dr16Vm0YAUHU7ZzaO
GLRVxuZO0pHXVj1oI2yIVYXCEVepqIZGEt419kC+7xMYjBSEtwV6hE9dYtm24yObgBtme/r3Kjc+
o1YZ9SBCKWSqcBO/jLHvJFE7evvkBKQLjY+KaYW7VWuqxjzD8Nbab5RSevp/gIPENOdXKt10pVoG
AXG7B4wQmdNa+uxKMrnT03dwrsBBBjCreZ+ogmqR7b+6EQYHYvCboWPKdTpCGBfZvbpxmWB4Xxzm
2Kl+KyP1p5C9nu39CX75eAyyID8tFvQzJzAKmfKIxnMlSJ12SQ2JzHZAU/CxNM8mJhEdLRpwPTpL
diDthHCY+GXRgVltwZB2rPGqJpHPxVOEnsW3ptslbWNDcDXOr2SQsIzHuU95ld5a+RuZEgHgpt/0
qmkUyFOfHPZ9+gPTuO7MI7cT5nMplaz4HBUaKWL6GoyqH0ux2FGugQcJ29WrSvLnQREGX6lDHK8J
tW85/dRWM6KesWIxue5MlkC96flQanedq7d5/ibdll+bMJ4dBUMjvXBqSARlvv9vpZI+N0T83YrC
xRYE4txxqOPtjOLbQO4xVfFkeuL5v6W2dago1jGOLHustvN9WVVMUvw8DebyXrytxkYYLu03dbLh
NoyNBvzVRvQAPoU/BHdyyKwtl7HAeHLs8hPCJAnicp/tLntufefvMciOAoTIEkAIGJ5mIsE3j9YI
y20lAFxL6XWCNlp8QrK9ckPFpzseQCklrhLDe7tDglkGMOicdA1ZHaUGLpWQZAezJ477ZwwuMqRY
9GgCPuhUHrUWLYwnMJaDnROQU9SzHbZnKxPzzaUxaZI/qHCv3cPhoUaZrrtDphuSOrYywCZDqYe3
af/Kdf7UGCaJtWk8SEAmIaX5eLTnnUa8WPUwyQtwxPpuThkuBusRan63R8BC1W6kmU/kMLqd725N
dRE/s61urszqyMsx7sq9jWWevFpP+fifqGg6Z/SoV3jFMn8rtcMROD2CjFwyw1k5hN0Bzi0aM5vC
ZDTreZyO9vxXg+5FdvSkQlcBbu0cl3HtedjdZ6fXEnwQ5ab/OPcRotSUA6DyiWFORWo6Za77a+fh
MtuwkAV+FwCJHQ6yFqpsmeMmbgX6DoF0qhlvCTggMxbhwx1qEnblVwuyTZr1Uur1l8XOISQDfPtS
mZaZOTqPnL509z83sPFRxrTHiMyeLzKMgzrIQi3Dh5Jf8sdtfaX9SQUfl4TFK4weYpu0UHcuG60l
nPij7tlcLHyFeNllahhTOk9F68JGIYuTrwEE63ehBn25oA7SFP8fuaOgeL0aro98iGhPnCUPLpRT
iECgzS755oJ3Ar4LzVp2fgXFix1zgOgHJtMnAiagYs9YQeJZjXu61Cs5jTAKAMZeufInSKUNqv8n
PUWlyH8cZC6zoTZ7XHHDCa8dQRxl/0AzfPCXGerrv/d+u3oEYw0/L+l/FaNVKb9b+ATlxDm1MjTv
Ri6e6P8MPKqw5MmfAsKLidt7IJY9M1nAx9SYB1ehnf97bevICynYCYhlk5SXAMqBH8Uen2wlXMOQ
qr73fqi8ivI7QjE5UHZOJwNRoAxRgotmwLtSH1+5MP3cUJxnXOHKChlPfPFMR8ohyeSEIKj/UYWz
+M/1eg73BoQMJXXY3uNYlzjGxBawbCuILwgVL0zWvZ5kTFntf1Z1I1X5O7DZXYQFrMrRXDtlcX3E
87F0VcCWg9TufdfjjkZdhmWV4xfJ3W5EKags2bob9sjqVINvDkoBe3fHO6/UMOS5X6Cw+FJCn/YN
y0iMGcdAzXZHyeJhpWCcm7wipf7m+tF59YVy3+hp9R12kZSzt9qFudPpmyLK00lshAqFxUmJZ3Cp
Wq0gs2sHDBehyZsl4cJQnb3DKnWNRCIz8cI81gpZInVKwIzVPF/caVL+P2FRkccM+aebZRTAUrAt
SygbxwtJvFZuHTERbFE2qm9UdrEYVWQnmp8V+DRtioQMrqOeSvro/dy431Futok280YWKCLJDb8+
4Wp5PouPZYXLWuNJhhQ2dN+LWCuWLikvRQydbKgDBL+Fx90gRPtcrLFiKTLg1OGt1+NB5/Mze2QU
9YfzG+jCZqqE6oqhhPwXlZRMB3ioBIJKcM9nFRk6G1b2nEx+6MdXykA0sP+wTY4X1mc4RRfcp0xX
Wv6Ga6DApT8fpbfLS/R/9ecqNuzBlQSPa1MXQreOtrcgwQgPSsOLpHTOKh0odXQwzkbdyvHQbkoa
ysXF+jo5JC558WBF0cqG++I3asEj4iz3j33yAMjKUcGGz+ct6gSPYh5u4W4I5TF8RCsbuFKieByB
PiVQv70/KtbEhB2txc7+uhcQISH5iQ04w3gfYnCnBnXy4InfkPZ/vO32jBzU399OO+HOZ+bjpNjp
Byaj9NBU08mjp3GFU8NNNGb9QOM7cxnrEZElkrMKLrM9yTyeSDq8zcGMtPPsQ/pnym3RfLd+xLOo
I1Nfpsn/ixb4PNUKvC4frt02efgIiokpgrF4edhbwzSpDv5RLGkHo7oCw5vxrTn0OS5bBxxKQzfp
MLypHejQ+7vTQdmb/jI17/9QeRU5OlXjdRXUfuKqpQZ4QciFqRP1iFI2WBrOCqTPAucwlssDkot+
rPc51mjC9QWhKcT29cTI4adg+JV26XYDzx9QSMuPMu6yIKWcVo2ZrNui8Nwk3/gGZAMHtKOceaM4
1BmCWBHIPhiBZIDVvpCelW89lQeXgUzu95CSAWyikcuomK/UKfmHIsIVKYMWiu/LtWRRwWIHS0ss
5lfNWGTNpGvPogeplETMbr2ds43/Nf75N9zZ79IL9+1pPAE6Eqk8Bz5MRad/5fVS6nSKTL0KxNTu
irHnjln2Zow7DCkoKjhEkvr1t1zdKzSI2ndAYoWegRAIae4eN1SFHp6vcCN3GY4lU/I7/NYeOPwl
zJjP2w+qW+o7Bh7gO/81VyeaNGNdkOixUCAmxI4GxraSCJjyKn2jS8dqcqsVxvRFs7EpTymdbaBH
YNV4ExiHu+OoS7TkHbwiYoJFN0I9xQ4QutI8taMIT5FYrTykeOVA8aDBVNonjxBnb+AzsQPJwZ6r
1uuHD/mdpTMDFoy8FHOChDxtGy5M+TBALdhJsz4EftQj2YuQUZ+d4F4mblVNGRpydc0LertMCsU5
iUyCr0VdAOSJAsO+YiMJgwXFLqOq8ml/HFi+QnA6nzOOb1XYMsmfZPmKPCGhuobbJNU2gFhjvHXt
8dRYj1TuTfaICpImEgc1WVxryife1AKIuKm79IxoGgOGhI+yWOoEdUxCBrsYcCo8D5Ycf12zwzFc
xGkKC9/ZbjRv64KukPzfryrSJ7CyTJSeQSC/jm9Ob8SkSRtqJ7mRRh/7XwV5t/B970cjurWDmwui
QwB4QiLec9o4TkxgHrM6m8lWa1ML839DRg4SR9zhj7oyZHqGJ7lWrlRI4t5/lBqJg6lIpnYxrtFv
itUTEDD8GjdOKQgIU1ncYv7d+nzcs42AbzI0aU66w8+apNof+CnQumM0Wojqy96zOlNp6FtgmeU9
3trv4dMHvUuz2Qk3WtEg/3o5DiQodgUwt3PIR0vwCnOqwAe+vbde8LfwC4EywGGOO0p+qh90nAYB
mOaTvGyL5E2REHbAnHaNXWuGSYbGli2dhPjgEBatIjRlqU2R7N+0DwXdNnNkkwXXaW1vLpwXvbIG
HKRc9bVPK64c92auGPwTVQTyPBJahHAj5owG5jGBcov0jPu3jQqs363YT/dTZSzoBZlpMmSb0mol
pCQvSgXPEL5cxhDW66eFm8JlUHtHZUfddZN7FpHgHE2bhyouNJvsU2peqjFgLPrmIvgbnXkVjtEy
/8PWi1luQbPpkxMCPyHdgVI3UIuHdFGHqWOf/NNuS9cxTYIkO2zXQj7lhSFXd8u7hvNEVFyXq/kC
Cx0Q4U3S2ZCdKqZNZTg9kC0rds+P7f+nqTAkf3eeDqJLeXVeOzGYGB2Xhv/nb+mXo2oicFL6sUut
2r+Bxly+ietgDgWgisyMjKGvBqNR+npds4kNhDG3zyMoSzVUzJq6Gt8aAhT54ogU75R/zzPKK1oq
y9XR72j9DmKUGNfaoxer37W8k3PX41ravc9TJ1nrN2GfQa+oG5ostcIKidPBZ11ZO9yFlsGfGpIi
8b2tzdupKmeIojTPHg9OsGiIK04dM72l56eLfOVF4zCAk5n0zNXeE87jQLwweNhSvBn6qouoN2J6
Zt9qSvC6Mm7X+qRXjyRwNUmK6mwaoNDqFePTNaezPjJsGo1yv0Qk6XVzP4TC88axn+GLQk2sVhpF
XJ9tcS3laZcAH/GMaOJAzMWVdQ+Aftvfu+hZd5qxhiaHGctmY0CqrdBbBJh7hrf2mxw6joyDqOZw
S6QJXIRQRpZtHnwvOQUI092a/QGdPByoattXyQjFSF37XTEnGoirWAXqvK+H81maFLSDa3H7+eIa
io/A1E6tECaYw6rvZrU5gPM4YoWRKCU9A69acMVufL9hTvcj/mdA392H9i/A/esB8mdhMXS40UpV
ziVgcwPO8Rn41pht8XQ9FP2sKsGxQZvCkrO/83yfndxxIR6GXz2/+7B+PIEPCXmrjspcosRvIi2M
qvY2smPuW+CoM2DvP8wtvWRxNtosf1senbTP3lpQPrTeCCNPi0T+zHBIPZ09w0LOj97J3h7wAwxE
S/LjIpVmulc+ydv48oPUPjZ46U3cWyHKdnakMtCRjTySaCOaVi6aPAoZT6q1W+nSAMK32Bwskrhn
bVHBnoo9yVrPaaCMYFTeXq2ykASz81s1/wvIwZhz+YqvLVyHnUsEmLltrr1alwTIZi8alXqqXTYf
kmKLZeUTbjOK51faT2Pa0mEH/hft+4QfuXlpmU6FXXP1sPVPp4Psk1By0HsciyTwMIq9fZgFN3TF
YSy3clfKkKanQowlhBmSWfYpSFQL4o451so9wCB5LwtqXezM4tb0RMakPVO+1Nl/Cp4+FlM8zHWQ
3z/0W79koXKP3W6jLUnbkTaANeGjHbaDTADnOyE6iuEL3RNlKMW7RSymAcKyrLwEzIxwp9Xj8cdB
XzHKmLUxydRDfuI+dC7gXZBfXHmV4j5fC5RYJy2PbUR5APVHk5I2gAiP5v1ANmMwJUpF7Ph30EdN
8P0GeH/zZTjz5uirqWSYXWD42PPfLq0jxtXgLtzW32KX3fqOqbs1gFmWnWy0vsK1X80BtP5dwSx0
VIkShWhIuDGxbOM+q96GrzCNkPVghEaGWclgvF2Zma4oMrILNnqKfQmGdZsUdD2uWuaFhDOozU2n
T2o33Jd90L1byzhBfIVRCJsW5oBIl3BLCo6UgCB5SmVNVaaslqBAg7ZXe+i2mbpwf801bZNtv7Y9
WwKNibi4NCDgu5Hna6Bdc9Wo07coxiRunwToOQ07kWJj89mt8ln8CeU5b8Di/7QNVSIhuBO+ag9e
aomHHd0gy53rzd7Xb6Ngy2xslwQwagfCkaHJjHtHaxXhsS4iNVoBXCnqDChRmIohJvsIqT9K0y8o
q4jfG9hglo4a/Go1VluIMqSTp6G0D8CGp67V9Ff5B38cWorN81KWLbOG7L2vb98H3iPL2HDK+gtH
iv/UHT8F/UbxH1/RRddW0rZv3x2XVAksD5yVk3CbunybpebO19UVMkXgeMvACLFd9f8uKZIQf3Ss
2wt67+mqO905RP/gKMzJpJRp5Eve4MLeRve2mumH3DwwjlKm6etGR3l9LXqC/2HR/OoGIAlimUA8
4NjQXCNQyjeYYGbKbyjJMf1hBYp6omvrLq2+RaYL6gkLgWwHOStzXjmmrE3OVTyDQKmaQT+JnwoX
4Q5/b4uLd9k/NEHX9vrKn+Oe/bDehm0ks1z84tCgoljPX0tn77USn8+PLU2mb0Xn72Ge1/HoWoIS
nakS78sY6mMPA8jJSy8GBRtoOt/5ctji5rbt1wH+e7s47UMHN8WpUxJzNBtp64C+3gxDU+ah2oqe
lX26eDDt1LXtza9sIbk6nzI9PDC5XrMPHV8zmoJuknvCKnOu4rpmkqK8Dj5lzbPx+KZaJ0iFzuyL
5BWdg1tuq+l1OsKRSnNmsv2DTDjnq6ezQFe55UYM34iWjFcSE+Gun2bcc00Oar8crrubMvS9Tyd3
hO481BgcehDY/hlc7hyLjRs+glxQK2Znaj7Zat4eiPYMAZpzg+X9RdHbvivxByGEV1gjy5/8M2dZ
CP764JIMv5hNoq8oCXgGdk18q1UxJGYHWj8QhvMXZ2aEZP+rLUZSzWq31GyJTMU1Rkmu3BWivzBo
Xzp+BqmUEzR6gBYoUVXOPZ8O5MFKBuphwMg7alkZcMgSQs6Xt7z3s4ogsYJE5HHh1hNfLtzxtTS8
CsDI1Uj1EH9hQhnhDTzGPcUquhW1Y4fiskvbDFJTNj3IvksozH9H4xr+CJUvi3CP7LeA6AOUoFmM
KoUjAn//AcgTiQoJTgY2ws+z6LU5XZ+MyGFbm91n6gEnVSLSe1Izrblz6A4EzsKJAs+tO5yKOAQ9
d0VcaoKLjpL13vO4t3SAWK4eNgSl5lN/W/75SFg5nt0wExq6DUSjMdGpTjfXsKaqIB9eYd/f1AYR
n4JsbdbTnbviBZEiVfX4bUb2S3QEXqN1JvQr58S9nmd8vbVzImZjs+yEXnhjg5LeO/Nfqk0hqzOb
JvWmHng/IwmAptXt9CXumLsM8lpxzRv1+S3upUKs4AS5+LjF92Dy8Lhysl1ayfgWI28aI7Mor7iI
9Za+db8GQ7hW2zUVb0xcCjLBSzlPRKK80PiUgavhmv3k9ChG+9BBzKJWuRhD4lNXQsRCh12A6GBF
thjHkqmoYMpOsC3O47j3Y+Z0XDpwt/CxaD/Jo922oWAH7xY0M9PU4nBPxm5TiGsUadNwAPnuMuXR
7MWpmAck6GUuWElZ0NPpFoMqVxfnxOzwl41u1qtmaU+xabrTerx0Uam8RICyzhBR7pvN3dCnLGIV
R8Fa4CVpMXBYZL9VdCGr7ibQI0rrRiY4PRgljyRbLuw+1sUse62jzDUOMMb7VmI0pi5fp1bkwvCP
QO1ed91Lksmhou49G82YtlaT9XbHrPf99xpHOick+0VHwxwmemF7yyzigzKAwCOZH5bfE4SqRl0A
0ksFi4bscN5mfoTJHXqveOPr05efYSs2x9E7nZAVBjYTQ3c+iN3PxO91oqB9B9CYk0a0kq8KoWEl
B7jEhV6qQjCy7H6OKZexrsrjco7flBN7kA10Z13B1Utwh0F/4BavFHllwCDO1sj1tEAlUQt6ljN/
F7FoQop7qGN0LxbKT43fMPPJROaiJZxJHKC55RpYJTEPWp3Cc1zJ1gwg7vrfbtfD7BBs5bDCEGi7
Icw+Kq2IVPx3R3W5vN/KybeWdfJovogVQixTlBbPbrsEWATlOzoDOT3Iem6sfFquRGSeG6+rzg7C
DH9dbT9liw/2HIUgVsaYFioBXfR7ad/1qwff+MsITsybnMaKd+NxT94VqJ07En44vr0fOkWH4GRa
e9JVV5Pg9LlsGw1XYE6AO2Y/1KF9OaCMRmtiLNlFClAdtIJKBs2Bmr7D/LuJvJFxcZ7XNSMbbgyV
tXgczXixZLpDA/SL+2YaYPupGacSO2EoGqbA7OidyuwP+tFPNAQeOu/cwzFEKaTpyZQG5NQMDro2
CkVHu9nWE7tJsoeCxMAos0F//pHfjbc5XlMrW8wMeqzNbPDr95waDpr6vsnVc8CDvATvjX+3zqVh
nFmRUG41gTTVsyn6oLuch8JWcYL8Ct/AlFhtEl8QarCek02NVlcXS9JrAq8jODn4XnwYJJZOcD+2
YLHZ1X2czRegvcQhhvP2PrYuTJjxUso1Ww77+EMl3EXaNXgymYbQcKNSVdkjcDuFBQY/BMGy+IsQ
Iao20ZNsgQedmpFkGz60KGV9vwrtmjJ6wWF8X5bAFs/tyt5pZdfhEc/m2arquW7IAhIZPIYnGJNW
FHRJXdT1nIKSlqil7GPz9HyVZTbkAHO7merd0QDkTzpguQW97EbRSdJwdjndvwo0JPIyvGqOTuDO
JRGhDNwMo9a/AGdQB0fg4lDmq/15gY8Y5FdswoB4Wdrf4rSNZMtuXGVmaliA3B+2dDXiwv6soy9b
Yt5HqfMMbNn7GhMd66drA51b0Zdpy9bVGl/EWVEhcIXZ0pAyKtxmI6BTHSOY26RZTnsAtiZ5aMoQ
R5WpPeZhthTfEPJLXsnQY4j2q0BUtuhri1ct/klVSoC/D2TxmG4Yn7QMBrWi8zBRYiEjSeBXvKo0
dVtcpjv+xV9PrCUm3jSRTAbtdrkhzj9P+S5TsIHINj29SdRTzMfSt4VhAEA8N00p4mjbBGher76Q
5Rho5QeHdmDD9AJFuAO+TVBxeT2ExEOPgrBPmF+Q1W2OHahIn5noqkAuqNGswQYBiz0sz+T6Oamu
rV26Gi3fC/dbQ06fJZDbHI1aNiOw2YYuSCMbfaR2amrOwPnlj0oz4o+mgFjm5WgbA4KqU1JF/Qkw
jADE9A+iGmgIOny8EhU3Pjk8wbsdfEFNdbgdPrX/OR0SUtAK3ouNthLgwf+XypG2xd2McYpxMQnl
RVGSMFh7THA55hEmKEnnncQQEPnjom8BvAsnmO3F3gMxPfwf4bGAC3ZPi19oLCHA75Re0QtiZ3cB
dugOXK3ItyHJmS9ZauMaQuWHezcAWd3DYehIBsKsEINBpVTn57RFItQU459tya6zsTiEeFg34Yyw
oyPW7aMMy46WnPX5/vdt9ZWfmsT6ya6EyKyndQf3jZPluVQ/gnSy5dh20LHN3YjsPbJuBTrx/J2n
b2Vs0hstzLK73AS1GT6Yu4CiRP+GnpJGLtqJpk9UuLsTiNzOCHcG9jbbUX1uBkq3IOPYQuta5mgG
RQSaf8oeSBt7mFo25girw+leoSdF1CupJL5YBVl6LfY97b6ClkECmqatL3IZzOM0x951z9JTC/i6
mjSPJer60dn+nKnyQ1wSx1pRA4HbEjnNkcKtrP9hpyXW3MHZkj9U3s+B78sq7p+4pRuEcBpaewyK
pQIwqd99/fqQxAsopf41xyCY2EXtxceWFLt9jI10X9UuiT8Pr+rX63MX2gBAgnBPS0WPeS3qAmiD
YOx+ZwuxLVf3ImmJHMtVPrcSqOMfpf6YGGyAiBhWomTQlc73CO9CSRh7GNFyS/XKQx/nkmtO/P1a
ygA+5H94ihC2NQq+Qy5rzzQXEFnACeyYjy93DA7NGamVr3DmbJEnPQPHnXAa/0i12Yhi9x4B9ryY
pWa/yQK4rR0UDJHdkngapJLz8G3s2LhI0PJiBF3jpGH6zXB9iestN9KvcXK0cHssRUA2avJmvTrd
drLWTOnskGlrR3vs0zkoyaeEo5mBD8xclgWMIgSm6ytKFYPHrqJTzZcydvIQiBvBi3rIXDpwNja0
u4sqV6qsePF2icqF/G6JHo4Vbv9J/ypo/dp0lm/2ojmjSB14fDBbKP7Modv3bV8t7tlumGKj8nPs
wAbBtWRUdy/jZzjEpu/panHgWElEiwqhKVAIe6m1CUsyFU/In9MskLXfv02F9AP/2MtqPPRfQoah
CwWPQih6xn6n4qoin1is9wMhUT14UuVfLYWSH2T3MgoM540m2q5bqs5n3Eq6G1EMYHJXfk9300+J
EOc28OnvAeUYZnto/mSrS62PfmerefstnspFM5BAFU9aDMOpb6gyqtL/O2X+YDwzVkLLFCfGwN2u
bN9VXYy8II/OHz1VSggOQn9rPDkCpPqw8uoT6chaF8tPsSFnbXE0MR96EzPOl2DPxs/mbFW0ONV1
Ya4C+Ak5CoVzP+H6TGCbAv0o3Z/3dLrm9leHwnaT8mkgtQEwyUeBLsvhi07f1gufeHukuJUGVW0C
yYnOYeON87+FaSUoq4BHdyQYYC+rQcJ0bs/C9aOAKHmDueCZ2O7fcADi+8ki4+EuSUhy6P4n3Ote
nGIQqmR8YaJhC0EHZV7g6BZMKgo0P1fiOfyPPeUzkTZqMBDeVIwSCWLliI4+OJ2aCJTIyc/GAF3s
YDIRMN26O6BGcYFRlbxdbhg7f7HBk+LpuuoO6v+YZly83Pf1MYV4a0DXmdOfNvci/mLdV1+HfN8+
QFvoUrzg7w/myrtK7EGN760BWiajmwjx+7XhZqkq7nfegaFIZ56QeM6X3hPPRZUmBBLGEO3VpUxz
2nYLHS5a+O6jBPvyuceEIv9AgeYgGwXXssWA6w1jYS1e6yo03wKmEvTxb72RPwlYbdTgMu0snPeY
caXV/iaCsL09Jjss35XVxgXgP/r68VZr/wMNejJPy2tgENeHu0y0G7p1DwQ8G3E9grLV6/6fp88u
OcD44o4L+N7h319BYoRauPtRpmX1ZDn2ap9LD6PYrGZqylKPGAjRA8IXzcHn4m8S4CMIPO0UOj69
kk09O/EHtjC0DXGcPaadZCT/AUjr+nye0ndrDFwMWq5MteFfmELcKpNK6E5HnVwoYgj9AbnA00s8
1CseECnFwPRwUn10HyD3hkxv8k8khtJBcEInIKNZpND9YFDpSd/rzRivRCPWPtMemLaSrly+4Zct
5341Ink1BN8Jo5A9+K/0v6qCnkiwjLI3IJ7xupJNe6DoXhxaRsxmIQSBVfTh4CQoKz2jbCC+zzTM
KKCx5KpMV8TZVxoALzWp9V+WQyYYBmfJgEjKl7pwSzVIvXBqtShyO36zbbhrCLX8t9TYlgmSvBsQ
bXGhCrw1vlEpRkFMPHS9wTzHXrJniPlZTlbnDipSUf7I3NJEQ4pi2TGipWmI+5Hl7Vm6Vp3yyO6e
EEimiPYHcFov2CqoG5W9Yl0VJRQXxJkmD5majtJQljU1LPrlkY1Nc+dWGAXw/Uddd6tG73eaiAQg
qSFHlOGYf4RDDgMB/wof7bFUdcXHX72EQvOZKzKw07qQmxwXcDfkrwX1pct1GR5sUtf3Cqe5P2oD
Gv14HX079PL24uyOnvzohNXK1+NLGeo7Zx683HN8DhHfAzA3mdz8iSWCzE0z4U3PME3lAIrev542
t+EGzCj+TNTAvpTJxTFlyiXdL3alC9WtgxKCt7Y2AyNrsXGhZom3jYuC4lhBXT9vD5RFY53tSfT9
6aQVPrjEEaXr99bk9SmP9hWqNH3bUyEQ7z2UizsLOjS9kovyi4wnKx2vfZ2ft8VA1GDDwk0/ScoX
0NiwvGyt/dh3TGRitUhWl9MYbURypvYCRUMA2IJhE0M+MPbwUCYY4+DjilgVwoVqOc4py3syWa/e
k+bSJpXBuqBC3G6fa+nlerCL1+DLIoGzkWm/G9ZzytALxilR3aNHC0q3TBjd2gjmKrRWOGgC73/7
ioD4VY+NrVe8YP6WMSssZ2QLnAu18IdvueIm3uKr95xqhvp5K8VoryMgkMx4caoiXIe1rSVwRnaV
H3lWL/pVjt1h0VmLb5cSiSTHSjvQeR1dZ1J62m7BAcBdGJSKAWR6bPUHqCqFAAw4Lfub6RsYHgRj
m+Ffhib3VnnRyWjlXwBPB1gJtq/ExT8UFgDVB/qgOXb7DhL6Ktqr19g9WEvBDP+ezC/GngeRLTD1
WEtaZi9HVoB9OfMLrN2hweyG39Q1clMCGodCdl3w0qXGetRnP/D4aCtgM9umnqn7u4KUwB9Wxw6S
ffAXb/P4z92jT/5XOZtQRLrV1PvB2C0dO+RP+P6PJ/LJAEsKVjlLspX4xgZiz2NTWOouWch8lHEl
wapmk8HMiv7YiB39JlOrI70McJE6OUh3PjHUJll3WHdc2iCZYEh5WhHbnwAmkSOIJ73Mt+e/EYws
rRPNCN7DFjDV+CN0LQ3AhXvqE16fPL7FCMAcnWRRDFZwVG6Yz4JEKmbxrG83uYgJ4bepzpAhNR2a
7+738qsbXwB/nQy1JXgq5z9yiOyVxhOrGahyNkLAVxcB9z0v6m/zLixpjSdR/TM1J79tRprnBxPy
nT+3gDcE3/I2aBmD2gEbPtzHZNCV9k7fTIO/dIWp2bK03iEADJi9eeGtNV/ziMiPyZanYRXyWzWG
I/tsmzhRA37icPoS14iZoI49Bg/nQiTHZzTM72IiFzCjx09M3nBIxVTV8DHckcQXnWaw2GrNEwio
XYly7/FlM/xxN5vfgIJBhSXA16bhqtozvSwlOGeZn93Ku57dBvLDbiGN3036Op7Q/VgZYLjuk+rd
CK0AAzAAGXqmt2c/QUZHfoqlkr/UMZnM5uTcfs2dhgIaIdt1w01fdUXUYTyWxNHfvt6GbtYDj4LP
pliEovc8MJkIzf8jWyZnqBaiYZ1FNotO25/AblgsiVPpNnEQ/Ua4lOKneH0pjCd8sf4QgplbSPCW
E3IgODguJ2BBEx85XevNrM35Wrq2vgOFMRipRlk47kng1SyPDYwlp6r+YjJPwbpZKITllAhPCEcs
Cmi0+NKUH0J7BgV2PqwGLka6AGGIP3OQtfn3HX2FNPM3ZsJC5/IBEz88k/nEqijns6tB80XflUGC
gUyZcQg2P58RHnjfNV8wvadtrmQCFMDHvDQIB7Sg6mgHv56equPFTWfHypzf0i0f5h+Y1V19CBph
1WAFlBjJLe8ojhP7/7oLSATEkdgn0KA2hHUFpfafM7KrZVwLKChd7cI1Bp9p2r3WM9HS6g5DcB+A
U3GH8sRqMe7PCMRI1p/w/mYHk6kiLXkosCHC6u8wg6Ld9iPWUozG2DduYBZnznAsFfBuFDvX85BH
HfZetSmd8Wkbgq+ZU6Kem88jlRDN9D2Dib7gL6Ey9zhdGKHkcUrHIxFEagLbx3V2E/PTtzOzDSkX
iDbGztAsvdwr1PPQwMB5NlPQCs51mpBbs4cctrHu8kzWuua+g+AndARixRfejNuFkdwyjxm/KsQ3
QZEmo9FPgpsxpHAFeNt14b0NsTOfgNd/ax61fID3tJkt2wzLOQfo4Fmq/TeEgOz51f0ygme3diYs
LnJSYF22dyB4v/lFHO9LpxVDMhgWQ+hMexWhzRO1hkPMEF8HWUtvO3ablSp5wyvdygA2E2H4NqNM
nqq/c4l2av6KWK6LhcPRDogB/Obu0lucODGEXjoZI0Oiab4T/xK8QDjCvkF8sjLcIDQp9i87ss2r
YTTpYovZkE/hsQFXpv4BDs1/TKBLMBcKrFMuiqzZcS/9jcYgFHRHnZ3zbpDPpNVJcSjllvlHIyuv
GaIjWQkFXHhorEKHBWnvUgtq3MtwdYPpqI8qWZk7+FzUCu3TL4ntMp/cdsQTcxw0/ZLUHQhJaS9t
lxPeUYIyN5Ta3UtKOtOnRY4FFkD7f1GtllsuX8w6s2qP15luA56RVesF9JQ/AYAMVEKh3zAy4mwU
121YPTjZ2ymw6y9ZEpagQEw1FQQ1PvYSv6v8h01yvMMl+VcXbGKkirxtfLUQ3PZTTfrGZBa+ShdE
rGNLx8Hl1BN/tkIzyJXRGUlMzokF6ik/RwKs4/uBMNaFvWy1w1a9J++xBGeftqpvCrrMGB++whRz
DYLuBc8PTumBn+stHUtkmo24qT0LaS1X7hIdb9QOkkmq0IvaYre310TjT8/0Ch0dLBhymYFJxlay
qPlAAQSa66Ixfr0PiNCYmeV95yN9nuTINw8NAAokjr0Nh/GJdG3f6RCgvNDog/zE6YNXSTRYgO9D
sCSK4+ZuWA7nnEdfQpnaF2TJdQj7IfvbJ3UB2WyK/22TuyT7U9Wq501rHpon+DGXrEBC7vPZpKL7
OkBuYyZk8a4aA+9E7HS+VUBGJvdU6wP93aLpyAO90mvtEJvQFHsXYAnIQ7U4AmlFdrK4AJ2uIFKF
RWZSIiJwKaaPR1Pr+LEFcqCz3F0FeS5aiFdjbY840iZFbtnME5bzWQP3e/Ne9+clCLjEJeBXrK+j
5EJF0SFRtX32ZOPm9rlCkxbzlMh6wcLrxY6bSq8JXpcRbfYfdBXGyU4Xq5T880OuRVkN4g23uZsD
ILWE95LsASmkzYIhJlV3SKxggaqdEp036a7JdTcnNyFVqIu7FHh2fTXYOZvgQLhchhaxOd6pkw+6
EwZU8KuGcYv2nK91xGLReTnV5RRAtjULUAxoD7nQLbIGCWSePZz6d3f8tchq1ZuS5CryVL7ODJuZ
WlS7oZ0XN5lYlaifflpTqNdVH+T9g8f8edHrWDf+V7EUMbVRvhS0yBSoILoi/C24JDQsVNJANvUg
TMb/nGrHnN5+EebYPdK11sW0qWpJem6tYkjdcdMl+HEtL4Si5JXfpRcfmJgEDWUEbrx1drj2i+a7
qUHfkZwvNZd8CYTnGA0k70IkDb5ltaQoN1E2gt2GgHPxLQOQBcuRsSABkTX9qEWdEvQEnLWMObQe
jKRUpQ4HAvYszxFXl1bzgDIco5m5V3rIkAjajuTjEs3bkNHzHK5YjQ/8pIMZe03VYz8v9N2OHYTU
Dh9Z0jTA4CNRWn+sE0WVAXU38THnGs/GNInNUHBMpqKIS41dYHqjmMcj//lglTc2aCpFAlaGgA3V
noeALzAdvq/S7SqxBis5pz+bA+oxJSNzFd+D6Y0+xjVedsrrtT/POTV/Ehl6Pi8jzD5TZ1U5q4fp
JqIuCxQpJ5r1IgRb8XqKadFlXnJ5G6xDM/7OXsD98Jq67kGvHeuXUraaK3t3if/0R/wJIW4IMdzL
/0DzfOy1GPY+fTqEE4fzI+whwJL7BgyoNLcsC3biQNQdVdOt/wAXO+LCNAsMQKWsUB/4VrelIm8+
fXrMTjvVqu6m5YzS5OtjHFfmcdI4Yj3Bf3Di4YjypNN7EB8J1zk34qQBYNSuUz35MfRV8Os+Pc5t
P107/uK6w57O1+I2aZf+SZz0T1AbrvsoVXJrpEAbsNLftitxHnqom1xXx/9AAjWvlFBpupQojDWw
kg2+PrMo5LTDwxQ9LIOATtD0sjbjVyq1++06Mkx7irgrH9DTFf+JdJDM9UyxMGmBO9MqiOlqXhA5
HRYgJ7M5MrEIQ1EkYOEi3LH7dMb5dH3SLSeuNTMhJkL4MIccLOf5ykA0yA3YkH1/16unRb2/rNmb
rs/X6oOGKsOpuLYL4U/JBgsugVJu08a/WCHMKiqOpoX7TZbjf/EY4DBr/+QjMNS/ERCm3c1uBk2o
5gogRCQb/hhC33NMaqE5NqIB9DTby2iP7iAgtbaiUZtOujFWd6FQM/CSLdieaCEZ7BhdCECPioUn
25hG4exjAvBPfyz5fNs82Hai6khbyOm1Z6fU03Omf3Ba1jeHcf1L/y7/8T13ZnIBAyFC3C0DYPsJ
uIbVQy8Sv+iJXlpIU1vPNkdAFYw9Uc2l78PggRUoIT5IALG24jKjYwaLVHUtPnZyx867rprmP8+s
sJRBRbis1t/izY70m8pBxvgSxr8GWM9VY8gvBhbFHxLEJKxNgopsK9sfF8UJmlzmBMm/UMsdHmSS
mG2o/eLVnIK5Tp5F60l/uRJWpb+xDinguUBwvTMyFXn3Cl6w/o+Qs60xexBe6Zrr3A2VaIRZQZe2
ur2gcY89JvJrd7J3Eztj1nnDL9MezhnFXXoDE73XXRfQ5zJHKEw0sQr2gpLrDr3iauqtZMPpj+Ta
JfqP87jeVmiYQ82QUm0QSpEYNUYxQqJWcjjVrEwObBv4kJjq+BM1Chy3tVx6Byf0S3kfvXX5yap9
JXWrVFxWVshy6cwXggUMPc0cFu6qgMbckTNuBBPpTpvcgQcGzM++6Z88Z4INpGORzeJ2GGtCOsTy
rgu30vrZ3SJ4shw44Qq/0z/RYtl4zT60KiT9RFa9cfnEKHJajF2u97eG2aJFnF+i/pvWGlBusZsI
baqAm6WgQ1V2PSt1DGGFgHxl4is+sNywPLieRFEB4wqb+cGekPqoNctcsXNE5GNRGikfDRc24uQy
l5Upc4GQVmGvfFBwiunUt3V6jDv26mGyGTmNIx8yXe2z2/dHI9xeXI5phqWdsxmwnNP3dhmy2SZj
laPWT2Fe1JzjPFjfTprCa/qxqglgNUTPo+qHJKKkdxoL9u8O0W01OHbYh+t8HfCfTOZdEW5p2QQQ
CoUtTSUrTydlzWsjZMmHwpEhme4+2KT21Qc5cLnqd2TPKlGkZJ3MhxRPFUqbS1krXp3OQFp9T8ur
Yxgl/FZw6Qm+48mROxX2pylQ2wOcst6F8pHVHQP4l8Zzj/lBdH5NKSvO2iS14giYxxSPo3R3CHsu
y7WszWGm5Yc1dFLE+tlvZiLrgBdieeUkm78X4nQVrc86osOx1TqbHiDHPWlfqNh4ISAovmlhgm9z
NkRScvNgOfRwwI3d/O4du16xzsxRPrTjNdVGGpFWdOUujD+rNajaZTzAb2W9S2t3bH/yDYNv164V
9+6m49/wj7WU681bPXFV9PPtWlf8cHjswRYsT5kQwTE7H1YaBIzT8N7020qQJxwsbGG093T9JL9j
xds6SmWxQtLlohrm6MqsrJpV+eimpV+BfWEfOllkTR5RF6xwONl7fteKiuP6F1lFBv95PvX2YPfr
e1GG3INUjkpwH1BIoZhSssuSkPt3FFXWnNsVR5nQ2d1MajcGDmPZ4O1oFOXiz0bN3Bs/dmRuZhlj
ILJ5/DO1BzV750zYD+vdVDFJjmi5DJW8s3pC1SqAJ9yG/iE4eEzLSvDrJo/L6JgGEckS0dWRrxbR
+Nrdjskirg9zlW6jU6i4iinr0rILw+kQeB6rRM/qUvZ5L+zflrkTHpA9b1b+R6j5XyT168JRgFLt
FZxcw4O3s0hcqf7AN8P7jItIPhOTTkCFpaS6/UZg+1cugGdvll0L6dOD0g4wF7lYCzFcZl03Cvvu
bW9EUiGV46wuMB+xPg24A2KkRU2anINMTz+i9mwSc/aJOBE9M5QUywDOV/BW4f5FW+HKDLSWnVFm
tFeLQkAJ9/DyQzDYbJVz06iv9vG2rrAZVm8WB7pSRenUxCeJ9OxRvdzc5u3sU/zvvy+xfmc7Vmw4
kWc6BNVx2nsmXqwryBk6iLOzhQhsLXhG8KvwE0fTkY//S9Aw98ltGjSbOwE/sU/0WMeMXWQRdYQz
n0g/mgrb1+WkwuyXvmMWzvF//R4oz8g1f5JCUkydarXLQlOjuYpt+4/1T74Sz1IGUQSV6PgJ23p7
jCbFJtj7zwNESuYjeyo/51VE6gDFJGc9U4H4/La6NJAEpWTRJv5MpzxTf5OBbLTLiTr5gv+pBgaz
9Qc3ZEPxR6OUC886l4SCjxE31NAM6z6t7VbdOGDh+/5Q+PPc6aYHhuGwYrymR+XQQmNF2Y5ON9FH
GTeW9af//OKMBCfmP4Jhehid9pEReWP85fRnSA5SiMi8uEEYqxi3hbSyvc5QEB7MZlgNow7/rdK+
OvHSBpErr3bMCiKfbABCl1h8UM1QhXA09adAhWr9XUSKylw3DOYmVD7PjZJQfPbaXwXcQgIEzQ0+
lLSpxYqr4dZvfXOacDCjA+rSw2KAY6EZdJuioKvNehaw8PrAfPyG63b8fuNKJIFIQ0aFWnt0Efly
Mh9MNHQmPi4QRcrKIf7hdiMyIoEHqfiTAXnAQnhquuTiumBa7zoCK0qoTiDim1PSeEsMmBvck3kj
va7RHGkxNIfY0AvA4wGM2j50RwnO/Aphge0roNnVBeUHOSrRCOvHhMA2wAnLFwEfmtCadhVeDGXn
WkWt+tT9aEc4amfMf3L9AfTGs8Ivn1/neDF8GAQxlJDFspKIO/mGqdeBytGVwtpO8bYaBercUsZZ
g3udPGXzzxOTXHMPDxgcG3ldVHdntoW/6OJPO7C/WCtlabQgT9QlsbPFn1AFDtDIRx6fB4SkBc4D
iLznqjyzT3oB7Au+gJJcevbyiBJ2QvSQlk0eYkBN4NTbNAYfuNVvt/BrfyVhEGly3VYrk+fTStZv
O3BhuVZE04DINhz2S0FGWyx/tnCWH5J7zElrMoBJleD2jaasMIpPPb8rvAdoBAwgdq5TDMD1ZZOC
GHJAM2KgEyZQrsT69ZjkaUwu+5ZVFkPJ9PX/kolP9Mk8dRRJSSlDOl3B6wXIEZ+4jB0mb3VFL+03
C3Zl9nmQWLcVc685O3CjOj6o01HkBa5e713bx7d0k6Y0KezavNNMZMhixXnfzPlXppaJr7eV/Z3R
8VjrcxMDV3qIytFZgFYjYKhR5WekpTju9FVPRDt5cH8r+Hgm8RlS5cSLp0J93mFo5/EmWrftkt/d
XfvXdMKn4fwoMfajo5kX8+DjuPYzFJLoi42ucxHkB/RmQQdKT8Os0X8zzDNFmkKaCCaW27KKxC6/
WzNd/q5t1mT94pJOJjtcSH1VQoR6mJ1f4DImWl1l+PI5qZS4Qk06iUkblUzjD480q722hW1lNyco
/6kimt189cwc234EcOObLCe6O3O5aTv19z/5ABesXuB9wqked9K+MMlyhiYYBRTJEh/pRHzDHlYY
kF5y4Za3pf9M5prfRpoTxUu7z0eh91OHCwS6H7lkCrZSmuxiHpQ6Vev612gMSPNAWGVMpe8xzgnm
RYo5Fog32I8mXcyT1L8gKk88voTshBHjCk4j/OknniPfXYJyLTtZl9g3aOfHS7KwM2kWG3/SqI8C
S+Gn3PxuBr8DumbDuSdoD2kJNG9kQ8kNLuB7w9AnDFRK3yRr2wIdkiBo1W+X6AwPjVUs6LLjcNJO
KTgkbp0ORYQi4rytDRuvJKBt0AeLfI7xi3O0jhYpkm23TyWkwg8AGgyZPsSmn7b+1wAQDhQMEZ69
N3n9xWpyV8IDftzv7M3w/kSWh+BgAP8PRGruhDBXDivrBH0XPAi0y/3MYvCYd16VYEfqi3F100Sq
dPW7S1agQZ2ymo64E1akXb/IHOyJAGrJ9RtEsZli1TljNf6i3STVYQNWYVszJSqZ2CW/a1qv6f9X
SLSpMoEQKJe2WiL9C+wxTjaZe4EX3qEXCZR2hiSRN/gz8Jke/gfuJsw1Pr4UO1qMA4kBRtiLb+2s
HNvmStMk9quTbRVz3vYGJWwMCiGmgnpqzE0Mox86jRUw8S0boYWcSQtOhgum+5EsorV66Hgecte+
N1MDqeEXQI5G4xaPwcS8vbLLa8ftZyHj1ytiNwYHNpEuLv4+7OJ/eKVbPQ64Pr5LM2F3xE0gvsFZ
kWGvbe0uWG7Yha9lXn8hdwP+yTJYi3+4oQKLVJOey4OrUs/YG+PYd+WvcOha4ojQYm+hG6y2sTK2
640fyFv2JFz0Tm0Ol5Cggf/4qCsWk5QGj0QaLAxKRvobqxKd6HOLwTkliFL04c550dqsQSd/PFTs
VcX7pU/kiOPOS7lzVPp/0WuTx2BCJiRemhnwhwZYp7dwFUgFUX2zZTQGQfU28fknPmPtxankhKIe
UPYBUenmcnhzCa9vaxd0Tqky9tssMO6f8wabVF+4nOl34JePZwZOtYNmp8Hvy/4/zxge3qn6nF4Z
uXrrbHGUVpFSkRy2Vhhj6mpuggHmdfTfa9Oo5P+S9kXjiSOqJEI0eLr/Q49OZJevWiKkN2u6OWAb
6gxluV2QzQCYf154rwL4Bm6D8n7I5Eb8+/ONowfJrxp2s/M+Q4tK/aGDpBX9Mq7z0ZezfN+s5kUI
NzuwInmD8iapbbHnHSpwRFKaOwK4DNGg0v3bLdvPHT631ztbExPCuOMuGfFkCR1OKZudTAG1uMsc
m2u5ADWskhcmB58InRvGSWVq8b8ehaKzKm3BZHdFWAClTIAtfSrCm73aDztcHnwXgqBHcWPPq61I
R2lex0KNEuIO/PBiQkOWjRGEOr4gSY94eMex2EtWYaxIPoc8adjY+XpyU7AlDCjVq6ZIIvRnACfL
7ZZCVnv/NNpdJpgo8LlG1LJlmRrXCtEx4+Hz7s1XMcJeBHEctZCMhOfg5OHizZdHdDgvdVxpH3G7
88KHNUc/LXvMU//KT6eHIMRYgA+Lr7EeNL+SAfTodiH3aZ0u8i0Bljnge4BfnVlMlKDULBiQ0E1q
tqS+86CX6O4lI1nlTla6laXRJTy6ZoseChb7NaKZkaCVsRodsP2W/YLmLz+cFXdFia3jxbp3IgAw
E9T87i14zMnhE9srSFeznnUaqdmFD727ve4VQZjEg5PqDQTiqzrzhm14BIpUO+8/Ep/iNiBPsmSK
qROicHCtwyZ3eoO06q2wQyRpbihqRSJqkwtm7er7hLaZZf5CygpMe6LRnCURU6mlA+JhXQe+fvQy
p7XITzfhxc8kEWUhL3v4ARYv0HBhpY37w6sozLg2gA/bo7pxXkyFj40SulB6mxvxk9ZaFhLqOPoe
7Vif1TzXTXngYUBFAaEaxJScglsqBTXgIuz7dVfxDd7EJ2Vkzkw19HO/0jEPIy9NX3zKtYzWMSyN
oPC2f51rpgBjSWOE6GVrpmN37c9dNFZz7aHLi1kJbj4BG8j3rTIQOnm4GZ6SnMJordzHGfEyhuyD
M7XYl1N/tbDycRpj14TI91iUB7MIvGTtknBaxeJrBR7JxjNxCPgNJVWZgfcyNOotMxQKqJQxsWP0
1NS/fnCp5P4dRc15HfUiozvNO0ZPXajc0e6xcc/P75d/wiVmD0REfhUal99gEQDPc8nkt8YyykpZ
tfxw4xxWDcL0EQ6nIWed0jmRUZHEr0a2wkR6RJUx1Do/p8oSO4TBojj6UkOiJymphxslcmhB7CXe
KUet4tRL7L391nLiROasiqnheav1kjsaaekii6HsmL4ctN/480GSKF6NMPJ0FgUw5c31EjX7ckyD
3V/Sm/XftoiGhRLCdrGqR4lL1CisDElAYyunz1+V1+0LnDIRhHqBcSmVI/BjBdYlIv9ViHOm/3Mt
3XghgGkHvAxu5dBmbEvZY2tqw3kL7SMTAAlxzuAuer53eZfh2afa2WAtPg3YsjVUzOs0KjneCdSv
1Y2/0IYnDA7hbCfhZtPgD4A3iXKs1vZW6vAi0prQUTikRKfwhpRFg97XdXjPlJD915nWnchPrGCD
NbK8Moy3ROR1QLqwKHCZyEBxhr1o4ZZwzfZYbBab2ymc7x/+9mq08rZlW0v+EOEsFG8mxfR9Vg2+
V0XVychYjBLCOMrQT2wRDpfCpQ5dtlC7mP2KGPGhn9nvN0PMrsyjTP4fWABin1njufTHNnG0/Hi6
AbNLKxSiAlki0XV8J2mrb3mufLdmR8D47DoLtlyoZ+IH2CjAZf1BvFj5nE3BHWHf5NvUAve6rEjX
Br+z6ou8JPXr5r7CMRDkoF68FsaYemNUGUiOpP+2QHE5zwS7s+hlvnmfEoJvIeia2tD9oNfX/hSq
C+O08OfwCrvZ0wyJjvoNpcGGJ8FWrTOAprER6yq3qfNwyrO9uez7h+HoWxxiOLO9cnlfWucqwwab
JRNUHYzMCROWnRDUMesQp/J5LjsbtlFDuCA89gb+TrS8eM3MtXmswct3+11D1wCYxApajDKYcRLu
Tvg5IRrJaUcd6NirbQybjUMNdygHVcpLG+Cvh8bK8t8wScVbziKPx937JedINPZDXTEm3ZXjPJSN
ydtduq0dD9EQpQ+C05+dlOqiRTSQ1hgZwMrO8MDogsvG+43FYyo0qgUs4VyPNlJ5Z1BtH6rC8Zvv
WBU9PtPu1GRT1KAoSgpAncq18w2EQf6STxXwZc4o9+qqeKOpWfJApM6SGi4Sv7d8vYO2HBPSB3ai
ZbWr37kUM4bFxWT6McUC2d3JXng0Xo4wDXvZu8tbjA7uHK1PeENrJaAeDbxC2jAf7iooDx+3Oh+C
hKTN+HAmk46lvdDzrazpeDsTmgeadRgYUjXvot6nTi5PJbX+uLsPhSOmM89AqMO7N3rdsa02sE8T
uiia8KzbC6yPL1A7ItNdXA+pKwbNkrSzo3zRcJ1UhM6tL/R2NesHd/sH8KqLGgjisK9R7TTb7lSq
6CzGB9ekIMS49/ZyMzTOhWcDz7soDzdmWTWlv0l0kDkPxur70EUsqmkL5/fNct6QWHnSITlePYRr
FzKc1S5RGbYYwnFvXggBkoPztIjKoNTU/1hOlCbENEX3blLxHB25N9hGWTaVU1YduP3ZACSM+Zsb
VaCcrPzgDXesBah1nQJXvEuK2tGEnX9blqxs7ts913liyBD/cq357bK030o0oX5/A19VcKNuGbw3
r9hjDwdFjYQb/LvzwW8SgdfYgvPAFcLI6tEGzsXnbYk+7KCSDmM+hK51Ty5GDxsrsStpm9WTrr8q
8/I9+HE7zUkEvLjWEsyRdALXZFLfldjO7u8c25AVRSBTli5EGbmpWoiEF99YLkDwu0lzgd4trFMR
WwII8N/NaMGRlBl05EVzHW1AWmkTOY4+7vdBBwpaxzpEh6Zoiv0fdvDZ2V9K02gFtkqr9s6Og2mb
aK3QJZwqc4n483m2ZQL/9TVFkRIwhpLXHy5Fcx2o+IZXMusFjXY9dGUr0nqpYqhwvkxsltBefFxT
R9OtT1I7YljPvAD7EWAZ+huPLyhVfTUiD3pOi/JrhzIhwpaDwSGozm/cIPrFyC+DTag7jHatfmH3
Dtn+YCE0JQI6BxgvXKzn/YYc4KagTf+sZ19uhq9Dv7/5m0bbr2bkrfXUwe5WMkPeykBl8g68zYnZ
Qj5jXLQ+Yryxx8MVWWaVh5sSDX91LsUd8qSYL/vM93W4cRoquVW6h6BVpVMeT33n1wQAeE+1s2VP
ZukygKUswkDJDHhPH0SzA51Q6aAuvF8LD8F5HhP8phPR0iOYhr5YhbGih2bX6nCEPeMscHBTb0Jj
jhvZa5bMc03KD+96MbdDFLfmJToa4AH7l5bPEqr9nl0IzGwAZaBB8epMnrfCvz9KxSUWuXlBSKay
x4sDfvqizpM0YOLL2RDQS0aZkZukqq6aSKh5K6Qr3NmzoCurzHnWMQIFIV7ohcyTmcYQ+nnF3xy1
FoeiZtvoIaw9n/hfjbO4klaVLkFpkoIn0vC5F0YzJqaHPtaPBWMmsnWx8VMxnTp0AUcHJiD6wjeq
RGin8Dq8pcx1wK66sslBjnHcdkGjqO2dZesHDn6+AAP/T3J1Xl6povkDwC2UOV+d9zElA4P28QRF
FRt2PaYsVfuLnXCxh0SwZPbWQMX2AkORqTJHmJe0RkdCgHPVBx8tfz3H4/OBkMGj80pZf+jAXFhL
uIhjq1rNcodxJiSZsURxCPN7wIEzgB9JP2AVpbjPsWmlbu84jIVZR7wZumKLz4fqedhJXE3OLsIA
JjYKlqN0qpCWlIGmmt0pZJFs5JItpIlvRAzSHIrSwwlm1QRa0GAMXQA2E90gvz6c30DtyO1wdpY8
zJJf1um21jTK+fqXkkkFFKs3tM9Xksgcasy4WmswtyyJvISlo4DnBY53ZJq8yUyjiJCOu854IWCS
rmre/cMXphbqUpv3mWzREHo3Rfamln9dLefx+LQW1Sp8k4+koM9tHAHyKP+WNcOcdsPd3sWjPdk8
KbefmgPzRza4FzPixOxbrZzIqtIZg12W2DY0YlFLbWC82py/YRhZi91/J9jMlP5gBmKr6ClUT3Qs
uz3EWQc89lDUsdZA/pjhvMaH2gW1DwuF0RVvDiOzfvuIe0w//lkn5RApu+kEySWglO6H5/tF3CA9
yDfThnCK/KKsR9F4hwlvGiEUWllvr2GBsgw8vhip6XbSApsicDJ4nYxsl4rJfwH7/ajMT1pAR524
HdMyGxZwxE9rzvzmlf9GPqWlcnacutozmSZpnJNsli8R5Su3hYk/pu3C8QqujIVTv9B/pPvDzIcr
Ods99u47CRotDwWVR26lMwTaBDg8BEkSA1IQKFyxHwnjr87E2nuuEE9artNEaaAAcqIGmNOMpRWm
vX/XCRz7nlCLpAHrZC0/82P8cLH+VvEJXshkoTUwxHap5fwjHkPZyOwYjSrdJE8JXsmVni0S/M4W
NmV+ms5gAR0YXxaTl6VORKn/4jt+f84HWjUBoMFKe0uT83Jf7Ys9JfoCY/f0hj4vLJGgdKsf/8wo
zkgbajKcXUb6oaqWWao/YtlarPiymcRuKGvqCNP7ln3mMCtidHp8YyQR0L4Y8ARtvUc5zwHxAv5C
tMMYoSwSKyUiqrfWuRGPVLzCEdX526QJy1q2zq8BAtCNrbo6dmzhc4089aFGGG8BXSPkpP53Pu0m
a7O6zUIb6wHq2lQ3cuk69nKBE/a4f0PJ3J7fByXa6t5yTi8mKd8Gk/KbKd2FCs3eRkcG4QeO1xVv
5u4GXe75GcbjHRtnVHn6qIW3pdH0H/fDXRAYxbuAtDayqlIUyh6NTHsu2p9/Q6TamnVJZs4iln3q
jTcVVzmjyxnpdthrQ4pYkVcJb8VR+3m5duof8eORhDwGJ5xciMS58yM7DTDV6yvTDN7j/V8ciXNP
bRKchl/hSed/9g/UminkGvCNqPYFc1fc3SVewoR/TA7yne/np60IdQIq0+EODFlsWAExowffph1f
BVVcKcgzyydGHPNea3Y3tiphVc4pDauFatq0BRW6f+XiRIkBFBz6aK6Kz62c8Ai+e6aHEESRbPiM
rzXmJuuBSfQ75nTySMvbDvlAh88664GOS/AcBaru+MLMHtivRyiuJcuD/DJAgeKNliHDe0xSlEsA
wM/hyKH/6TtdlfVrwPdSJYPnlD3t5EaBPNnO1YdJnUxtBLcpycVqGdC2l2xpkoMAUdYr3GrZKXEN
Wv+KgEKmXtct7oZR3CcruN2Vd+X76yV8CRji4Zqp+c9pynG2TqJL8igKkGzBdpWVhHy7ODSFLIqs
dYD+dQ30EwkOGexVU0A6ofoxRQ+DuI9UMdU65wk9RjZ/pnPVRq0F+tpHZDUpFFm41QnQj645LZ/B
Er5k5nEe4pYh1ZwvXZe0BMwKF7GuWDw+Iy71Mdy4WT1tq6FX8fv6UBfX/+Zfl7pi3DapEekGADkq
nCETwTqS3TMPQ9gypQF3Px8k0vjl6nBEiohFROCdH0RqKJJLl/Pd4MVnE/sSCkoetZ1xi7T9vmQM
gtCbt/o5HmL8yHsT0/rwxpy3aWcihVeapoSGGsG+kVPWvMgO1dUha02BrXazf00wQdF2M/qsaBmF
mwO01P+AoRD92EUlvKwl+P+BkUbWQTgxLbkT9vTdtYpplzqeZ/IAlvRHxeffu8ZMZvJ6MzfWKeof
G2QJqj9cfG9Hogfy6kWqVuiqTjy0OhCkdy0q99UqUQsfu4h9xpejCuXqMNi54ZWdYutSMndk+SnC
0VyujsF0Y+hxBGvkkyC/TwLVq5AFjn7ZPYJ4KzQzW/8xKgscl1k3BzGuRLreG00oI1lOE7mSQvtO
5i8NCYEVOb/IABdKw1dU1FZszLUjJykGvBUmX5xjEcUtIi19ESMgI6BI9hk9rA2IvGpHEMxlTk9N
LyzMhkszJxng8tYY17llBSUlFTpoHAV93GIvX/kbpY7szyOWFpw+ul2y3K2h/F1V7Gs8Nhe4g37g
wcGpt4c+fnXs1OpkAnLrWK1odbWokkv0Yiiuh92wWEAUEXNdfqOWDL/2dvfpuNkomwVvMy4qt7Bk
GX3k1C52Wx2KNmDEiO6Zn/N+xUZnz43LGa7859FYrKdZIFoZECaPqQb+llD8KxGHISqIbPmRk9ps
BFeKkreUtVRpJ2qzjsriMjn1viFcIqFl1CJT/z6/ECcBhxLl2Bk1S4eNcYwYmWhoo3I7FjZTsxZU
P0/fZo0hetToCPFRyESEVZhiBImpXf9DgT2lo6MQRqbCfnetGhbZ+02mTpWC3EPsGhXG6CwAWzb0
kIOzRcQRWfTsIhumX/5mwqJ1wh2tIpWRG0eyL7HdQXMFzS5dHgMxo9bun7BrslKKwro6N+//Nqa2
hUIRIfwZD6qQ9l7fJ6sHz2+O2ffkDMvYHvfDbytZI7AQIVXemPP8EC/JnO6utxNCjEszM7IQISyo
YH50HdBcUcMFUL7ZAk/JVyTxBrMLtBu+7mmCEwM8FpEtxaa82eO+qqNgysFCIdkgYsfiJXZezjlb
WsVf8hDic7ZhJRn8gHV8V89c3UwxS+gHNxVV1hWffs0MbJA/GDTJLsygWFf7VUcIA/Wn5Uo/Gj3f
I1LGo9O5y63ZFVggfWzel0SjKWn9IQRIhBWj1xTL0FB2OK57/M9ygbVw0t7ynjM19AEiRzRSa0hN
+fM7gg6ugKIQrB8L4h7AjOewQP9JvHo5sp4deZjJiwenV0vE/ZUt0ws9PJ5MBv6kGZE+bGPysjWk
dWa/lU9wv/qQkEYAhe487fQw7PdYbKQ75RImS275/dvdGF+L4/B5fomoB+cxx/p23yeLYQen8L/7
bgvXx4wFnGoETZH7yKnIY7ZQIgkm+9TXKd51HAGTdEq32XUt0dQYjXNE/QGTrwYMf3euLMnZ+m9O
cE0DnQ9K1BV3IWSEAltaae9r8hdwmCXAWrqFvhiBjE4J/4GDNbBR8y9Dk46t02kpwjK5KLmMiSM4
VWn1o3fiuXGk/POre2ASJgtYASZi9ieiaDQz0owCe6Wnrix0KSDTG5sYXj6QzWpghnBZ3sVWuOuh
b3T9qZT6GqSIZMURzjBWl4MWjHLsJ4YDfLjc35S74rFM8g6BnOFOh0JLYkR8+g9/u7CgDcXQycay
gDQtZoHupcgeLDAr3SRSq82GNX5mb+15eplYKFZbP4a9iUdbOxC1D5YJO1QEMsy15YoMKE+0nFUJ
SpwL+kOaFqbOAG3j9R5kGiZICUBNfdIlPPrxAro6SfB8VIeeIvK0gjaN6rxGc5dPbx0aLgxzjTfK
QHF7vw7KWf2DtsSdFh/lLPVdh8CxkitIV9lJHnzj/edH851ckkTFU6Ndq37/LrXer/3V6lBhxdeR
ZIF2UOVn6DD3KgC+JT7rrjhxfK7ICplQpaPFJRYYb+/uNcKuPKhMW6jE5hV8i6Az69UYLfjk8rvi
99xYd0ko7+GKYUaC0sMdaNtQVs+b6vVMB+7IXU4JaZHyJWrd6mwUDYyxqSw8k1qWfAFbcv7fdP9A
IU+lS+YI1xxLn8iaVgYw5+htvl4u4axsM4DxDBf/uxUP9Er6GH0KZv2x0EFJwHzRUJxlEhwUdAkz
c3/m2X8OKpgtEQKHAs8+d9xgNU7AbbPZg7e1Gitl3HV4hCuE/sOO4DrBNxBaXrKn9Qpawkr30k9C
urEcGZ4ppfs2cpjcERJa4fGpbK7ni5LCdG64Kt7rM6/br2ypjB6/7xXkuqu6agSsNePqESt5xC33
3+lh5hU6hY58vDdnW2289N332rccLMIdyJl6ITTScg6/gWV9rFMKlqISztDCh2wkVSGdjdFNgJm8
p5VkgvrhNngeYi1b+OEpttDDUjJx6SIuJ+6AUbcAzGlHkiuvjbGVtEtY9npJ7Q/lOQXZYjudsAtR
aZEsrpsNxsJWtttq3yXfCt8rgmqWVmPzgBmT/qQd8YkXx1EHN53QiAPpbIsYqb0iuMR65KYoe60s
epizijSVZaHr6IGe/Ltc49aca8O4SJiqhX6sCnhxmzwJaS1GovZVEDQNnQCQgHjBCHoz0P8UPKF+
tYbZgjvDQQeijroZRLxXDfNUvQjxsv3orAkFsinszPN2a2U9jIGAIhwYGZqnviAxUeZun7PpMhL1
SMFalu5rybfJdRN97jFf/Imtr1gDZJB58LDCgSdmEqObR1mkqibmJ5U+G/UvMQZLnXHjskUsDo58
/HgjKKiTlmkvpBC5fYbendiiO31yEv8mV4SHhvGVi06/obUrun1RJ4B/jvpafbXkxxmbGtFawcKs
3HpEMruD1bLmJgZjop4ja+5CmyW4jXZGeEkVb8vVlEOCPrKoeXBSxJ7fZZ/W3EHsNDd13IOByyJl
0wSdweQv7zcXTbVQzp95JjyO9uOiI+olLQOISpwYxm2m/MpdZz17vnIef+p18SFEWhz9oeyHUMzn
6Rk4UlxWurcYhu8uy9PV/UO5vAtGuA0csp1ZgviBNca+eyfaQO/W/4i6XMmnZ0oDlkzmrBBjSUjb
CPazQPyHuPfvFZz4jK+ZaPQoNyj06F4SzJ7qR+ROz+2GUUXlzA7hEZ2JeDmqFHsG7RkmUgTuxvdP
jIAuF+/grIzUIVmDWDVTkayaM4Wc8KfLC1a530SKZ6TI27bptOcGhLcr8LAOYF/mcRPj0kccPkPW
dpQjdkMBl+taS7CW9jwZo0u054KOK5mn1uMcqNDjU0+tzdymBbMZbYFIq3bfKJV3355vZC6mDe/a
Rmi+DKg1D0kibMuAJlmjJMM+WAJ4741WTKWMObXQjU4jivokV3N92m0x+xesF/iMX4oFytiFc1/U
ldiHZt4EKHguCLcTa3k2v7AGbzuNdIgEDVWWOuyzYpI2ZqaKkdgrFJnMnvQewMlJRngwvTFjVXYL
FqX2p+MS74pn5rJJBMpQMy1JnjMXhk8slb5dDE54BtKfS1ZlQBsTnvXq8agz75ABnZMKMQEvHijV
FMFp6rtmD4IhrheGbltkNs6Dy1n5453rC30++QVOdIYeAsNfgAiIh0PGXPkXJNpDlGWI33mMWHzB
lggnFaFbmBDHy6y3ZX6s+igoWIWfLmB1sEpEx6KzD8xvFVYKcJfkbA2a24Her9z1blfK5hL0QWFt
uQTH1JZ3kgmyntDsQ7h5nFMhdMWeWRWFKVbIbsinb+w+xWy5Pw59zBntP0F3IvwtO7e42hbiqboi
kQ3X9X1UyQ7tvR3b9ha+L9SvFxH8OW7sQ2/PP5nUlLV1oXHEVaOgY8U5hnEp+Rq39rjxtVXwlprx
p/FAeiu7mVleCqAjrPjpodjn2G1CookHL02WnToxwar13fHZCvFc8TzW7d7xUtdpCv4nPrRGr3o7
CN5M0NbYbF4ee+p/BDxihlekmel4MRNCArA3qz2t2uO0pn0ukZdoW8dbnXW3/VNRtNzDeNDzE946
SmfJEyROy7JNJsG/SAoQZ2m4+6uekguaU3/qc/1fkjcOxOvOkwao1PlsV6wQP4mWuluX0pxX7Bcp
1gtHb3rnrIh7UKnmLLR4UoUMGaZkhNMSXktiDffy1Ik6tXC4G9EAHNZSFc0UrBatpVTKVpp7Z7FF
D7OS/7BegRQenb/HJleyMt9Uo7GHVRtbe82s62fc1Dmt195v6ocfkQFOKDCPBpcSDr8/Qt4gqJJZ
2BTvM632teL0UZ96+NFuJ3ncKOMWzrx1FPGdHIVUd8vjB8pL99ura7FeVIFiN2V4D4nQOZdfLgyP
toVgSyhQVlzPflImUAtoCyJzclHl9EWuQ7ebRTSYO/cx0nSVapBzRIrP9b86mzWhppVE7D6qJwRp
S8tNj+M6AHgVb54t9seVGuD4HBrn9ahORQxk8BDTpGiT44Jsvd0lBH6y24iFWIzOB930RbsRsSVj
xr5FByJJUsGJIzms1XompkDpjQLKv/lJp86qpdnsfofHQdqUcByexd4qyRfdZhY2MfDL2hlaYTWg
uE1ZgevO1owcNsDdjqqwbI7j9ackyKPNYLfiNY3FjOEclfewIovfb9DR+kxBJTJwZzXAYEE80MHG
6KqVT2rPd9H16wSLyKwWDJKwekiHD98UftnTld07I+V6hQZT5DZ8a2tOoyRE/jSXqP1nM8Z4hbdS
ZL+L+r8yMyuIBIaR8P/I618FTkelESc6we99hP/S7hwQT7u6KWFA2+wbWZhnb9Ygy8SpZBoyAzE/
8FWqVqOny2jngyjEgoxFtVCQJhPr4PT7nqnsHBOJadAcgVRbp7YerMDkDh1iX5G52yxqBPhRLkCk
Imsp3Sj+ivJTo1bDBgqVdYnj22PJq0cs4IiTsPC5jvhbDxEwBtuTzdJgPDmWYWRKNiDDj4t9lkux
/4ou5aC3tpZVse8agRbfToa/6j36a9zzaSgVwfltNqIJ8ZrDrFeR0SwC8VdMOas5WEKRkfZKePm/
YEwwSae652dGhrjxyBFtJDYgHXUBmmrW5jZVAvFvXYWre/dSuFa1lr+qjmWypsm1C64pyuP2CNUX
ejXQ1DZWVD5PpMWEdloLZWtjxyWqwP+FJwAqqtDkTx3UzeqTVGYRAQsGX519aCY5CIw9mM/aSASe
mGCBDcOVw+aLeZC//D1Dxw/jE+b9bWxQqFVnPThcRPDlstCaD7j5pgocV2tjA0r0fBbRyuSa+Yyn
8LpS0EhZxlRSc1QcEPREl90j53MVMdZDyfHvWnItibo5NmsM6LnHUE4TzsdoX1xSCV0be4UcSSFl
m0QwYjBMdVthvUsbiHBeC55VPMoGvaPwoPYLjI95PsLILs1NTQiWcUiNwOK1ewB+gvYGRi+TjA/R
gbnnoK+cKAS+Wh8aTJ70Zae6bTkhV+T2GgW/67L45O1F8NViUAEYzOQCGyq5AtOERgwBwmnLPzcX
6QvoUK1RywAVfTAmngvdf1YZ2U8h5KQnLawqr3G4kWuXx5Qh93PY70YbSP2K255Mh1e/PaHmMxVR
rLVfT+wiF17HiGyHVZqPZWVzAIGtAx971IujlvIid8nLAEa/9zGYR2oFFPL6KKlLh/T8A25kFl8j
ZEqIuwJPQd5FqJ288iDY97p5gVUn7DCYjukB5DbdsI90JX2NtwjdPI8wabXPlkTCH2kV57yOdxKa
aLRJsnHVlhsj6PxCJVdKnIDCuHcHdL3d4lcpjMjVmWAJTf0GvVjCbrRRLxj+PS1c4tiY2aJEkx1R
pUEJRQqyzl+Z6PZO4eoJW1ew8EgVdKlzCikd/Lzh6/lXodK2qNFx05f8/wiOMkLsoLD/jo6R/2zM
LVWJjzAYFuHOOOjIlw+HPNooPRWW67K39NOjSnNTlnVY9ivZL2/XrMV54whw8NpGp5LL8XhhvNaQ
Dzt20P61wdDl0Bcl1Zz5KRsCePdjnF7SXt79Rbtw+Z4GT0EeOiKlHsA44gSt7zl9NkaTqZzy10ZT
ljLi61CGCtGAJoVZSpGCDmVqq9FgSB3Ckt0WU6UknKK1+bdnWz5UgVghhlHsJeKpmUX9CouUbxRV
FracXZrSiMWVvUEcH3Iibql/whWRTsmysysCXqR0ZDrB34p/EDljcNToMHZbVf/NvEzLCl/OY7WK
0wUw+BMCRkHSbkhl9psEfSf6wtEIorfUqdkBRfnh/Z99q7nK7wtKNUykdBhuysoLuy0ctvJAuRKe
hFlaIj+RlMrg+8wSgcq1pgZt0QNh2biv7FBAcxIczRMzH3tlXXd1lkSkomt5erqxLRZQLzfxCaEE
pkgqDyPAmWQxNnXLgyB5PvkQ4a1S5AlfAkFGGtt+OhPAonuKBsKQITmm4691IpgDwLtBHNVtOcy3
P0lLNVhTDII18dE689jjIHhDUikVsv67nwp9SQdajMsK8uTZksV/YlrYbcqN+akg0uLhck47QKtg
kpWRY6Hdv14IN0LExUljsB7zKxDf3cP3+IEdy0IJwluLPFvz22gBdSU9q5RANXa0hKM51QB5Fxer
sEjmoVP5CCg1SCXVqlTu/kFFOshf+IT4vO7qXBebb/06Q1qx8fcnl0SDnvIk5ZQXU5I0R92vsOfo
rrgNpUnpUX2f36+3RoCHKnosnxI9vp57t+1WJuxIDZnpdAU4wT/MxbA5O6HXO1onvUJVcY8kr37V
WYiqNKswGx4ZhjUiqTdo6DF+ZaDQHkLStsTTmyHHEFqAKhBGtFCrp0CJy8fEflvQ8b0ocK7jSOEA
qkFmYvK3nyNWCyAD/s2IGoMN7/zrb7ekxiOTahP3tRmlzb9fvsUDiLXqVAlmlumVZc7dpo/cC2tp
+7oLeTuBA2zzzbxoWkk21mXFdv8yg/Bdo9GuMD0TEHGl1N22CPgnVpcV2Z5jKSeQwHuCarCnoN25
M+rBG1g/OlzwhCuf9adQuubiurfo16gM5If+dO9ES9r1Nfg0wImS9EpUF6+/n47Rk2j5LqustAcq
hsfMLu2ILW1N+N8xuPTibWUvcNBVy/rRAj5PgACdubmYUNb08+xCgyR2vAMBmJNaJVqgABCfhLj1
j6+GHOPYSGbvbbVofDRagfSpYQIS1YNS3P9cM9T22lnqKbFQ0saBlyIHn39FcqHt+wWvmqSbIZS4
ERVkJcqEfmL3l0y66txyyPaeDGwkvcDXzEGw4ULhFA3FXfo+LoaLYgS1zdggdlTh0M4tK6ZqOPMH
EIc18CIN+bas3YlXxO4UkKkTWpUSVyxq0pNZ8sqYcbWlqB5HoAVAZo6EzgFayPmfDkGdrP1G8DnH
zIpq8cBaxuIPXoGfhfqOgTuE+fmzMsgM77jPfT5dE17LSFDfBg7Ga+H6+Va2iVwsnscORjzOP3Jz
l0Wt8lVf6Kh+vTn2ML/nHfXQBMI6uMnA/4k/7T/HxqBZ8SC5A3dGW9XVM4k8TTlXjaRY1DBMJ+bx
KQiw4RHqPdNvXgsnOjZL1TjZ180Eg43Z4qtmgAAtjRGjw1/FXS8hOGDWTGpG+GT8jJz875oBgl2x
VrzPMM4VTX628GGYhgi8OgfOYaz2/v194GZoFVsfu79J3lKp6mW+B5Azxdv1KVpvkQf3Eodhgx9x
awCm2bzuPxZjWxHzhCtatGjg1baYUZkDyGeeKm3zX/UjP9dZlr5rBDRLBg4+9d/CVgPkmQKA9mbg
mRUQl/aAJNLNYHQcoFtQNXE7E7KWyfslp0SLAGeZbaosFcbQ6lpuO5vjG8XuGVgjpvBMmx65jk2Q
Z0Pzrh2h6MgdukX8B0bvN16AoyqvafLTJZVOudd5DNYSctoXjHtYIPwUCfAkMkXnd+hbJSrRog5o
mnDbSCm3T+JjnmSOGKoVSsjnqy3Wg8/4SCCiClnAzxM6qM3qmA71ofiZ5TAuvBumyLUWWzn+T3tp
q1LPZcWfMj52vgR3RHW5zj8KVkV9vMhVbm01X7PrJRahqY8+LyNPaOKYx6Jf5fzVyCubk6rBSO4T
UBirHb/7jPRQ+lg3TI32yoUL2r9DmApFwFkOOy6ID2cgwn1WsVTIi9S+4msIAZBs3rPJNqcS/1HT
j51m9z2zbary5i8DjYDHza7sAuEOl1d/XT1194X8gYdu2tJRZxGJ70heJ9ogXDnkcIWgjqymXPHU
rphbZ7oRGhVKZw9v3yX+xIrzMX1IKL6+YB/NyN8hF9YgYnD58dYTI2n3vqQWQ8qOpsFO6fDllgwe
k8HrggcWNA9W6YPrHnsHGF5IyvCHuSEmi6aCIWUH9M1aEUi7c94WJ2swtpJYj1D+OIXW5HvNa/Tj
uPUJPBwiV0ctG4exB6ZBkDfbapRN4IOOOSpB3odiFyG0wxRnCCXvi5qcjGx57cZ64lRbEkw7n2+9
uwHzwZqmEvdEw1Wv7c9i/c2oZo71mN6WS0hPlZSpEjrwETySzDi1LnIBW5mNiPU6M3xdSzgKrKkq
XE+fakTyrWZf1rX66N+6wRJJAjJYK5u+q+QLVIdksybBvbhAAshXiNPW/uRO/3/hLxhPJQLge7Ki
Bjupay0Gt8gMiUEIW6Eoa+8BZH6DSusylnnqnml7JDAUcqxv/LKgOGuRPkEIHjXp384hzr8lVvTo
MqFu8HPFo0AbFKDsc12cI/Z2vvIKW/TatwEKrQXmjJvFjCck5P63MpOVfW+4o5CYu3Hkhogen1DW
nHt2SFKOJqLXJu4YvwVnpZqqIF6yWTXMh85X/G62IcklPvJP3dFLTQxkUgRgphAk5z6FC4jFLZtQ
lzkwirx+lTm2S4ybcS7cqCKVCJ6gVfNmssLiwh25716JReza1VDXtlG6leb+4SvzGJ+xynegZ8s6
nwwbLo8Si8x120a5YEXZBvopYrdbN57hBTzLv2JLE/Pp7mqD51Euu0xNrAQtyf903mXMnmNCcGvZ
SMxLTKZqtUnKf9//as6FEdH1/Tr7UAt3UuxjGCkbLlHRm+XEJGqD3huzbpB78tCJ7w182PWGd4pm
fnGjEMXpg6AVnKOTIi8F/qu/k0W2eN/WAN9/LG+khXNG061Exm5V2XtKj4S8S3jFm3aGT6cd4c6u
gnAJXslL0/KzBk9YbKc1wt8Y8ObXWaVaAebNsOmB/ENyCn+6atKotOYv+VGNqPjvPEfY+FQyHCcs
txJnujBc8Qvb3oisQNI2o+8IVjhMdi1FpRZoOPHnB8zp6ZkjPpNv4xcywkb8632lW25ZQi1KQ3L4
4GjlxI1Eznz4/FfmjLF0H2u52ynF/Eli2vM/mXTE8XqeMgocMZ+ZEFtIknRzBLVVTZewlGLgJR6h
mh7p71G0zS7ML+IrBCv5BFxZVbmU84DY8YLIT6h7ZyRuXlwThlGtZauN5DxCXDMUwGGESB5yYsU+
69FsLwXCpOiPe6UvJJ0Eeo1kt1G2tMwQ5JmNSIfzA8OqgTbwZu9Xhp4y08sJD3Wv/L8LZPMdaxV1
KKJ7QF82IUNtujLJapxzWe/FjXccEGIFUNs+o4aOXGK02U+K0Rpz1opsSFmOdphkSYk+HmanXtD+
GqIWy7RwfOM0Zoi5HX92zn+BZx6SRn6KebXixMLzs4FavLvwg45OG8OmpXLDYY/ct8EvvOtM7t2u
DCIqW6RQ7bkasYHO90Go54tuYqUirpcXGO9npXgzahbDBvRvVYvTEphMEjQks9ezGjcddBRCRFCm
66Da/fKG6Qqgi6wNXnG15zmPAUWY0497vCDbImkdjEuZbbodiWQUYLNSzEnHDOOrAZrOSGDHxlQ9
z1SSmmzBInKDAXzwPdj8yEBWAIeTnPskJDsWZBzkJO7ZPXrMooQfxz/RNFkji/INzsU+ro8Qw6UW
USaHQlY/H/yWkmtGmtn3musN9ttOR9mLLUn+iBgJtFMw5Uo1pDjWksRcFyjdVv1Aj0Ps7ufjaoDz
Gci30mZnZV+VqQWJ2/RTIhsx6aThdYjUGCa5e428XtBwHbC+IFHnh+B+1Q9qwDvbz1gtU3OPxbNd
rYRibH/IDLWrW/euqvYSlcNqd9uXutn8E5vFWUXTuADqIneL3UnpYZMJ73Sv8gPkuFc/9QFVlhjI
KzgWVe0ZHxpJ+nQnW5PxeAVX1/K4smiuMYKLr8vxayFCoyVGZRka0/BocAKJSD2TK5HoKvQr4GzZ
c6852Z0Pe0udDhI7sbnGczrsVVZrCYsLlbLs1qw40h7wQbzNqQ1YSovOt+TwkyKMrgk6VWOZgzuJ
ODgmuyEs/cV71ZCgRI968+qX9DaCWU0Oi1sGb/6gTZpRDRGKB3JrBA5RCqeRhBRxru6+4vXwlXse
hT0CeswS8+yh7njnD7RLXsOQh1v1WrItrd5VEeNY8ODC8VGN9V0cfMVJgkJW0Yp7BZUK1H5DZ9dj
GNW6WUutUJ7PurAhtId5hAiEFsmeG7H1BxbfWm9xFtwZ/jV/XNARX+Wy5Q/5HeyBW/BfvMr1Vygf
6UuyDzirS0KS60LWt2gF2VhKLcb0ha4LWrHRjdRwYy7676NxHlEGtAOd6nUoW06P0z2zCb1wAjQO
oG9Iv3Jm+MUv6BQa32OLsWrH027xKc9qn1bKS69ne1XWt49j6hz8Kw3eJ/RiKFGm1dg+hcdattaX
jPTaZ2njMl464CPIucbJf3fludthH89/WW13wZeV6+D6a0wzYe0a4h1ghUqV5uMd7NcatRoZdzTP
sJmHj+2ZJj4Bhh6hztiWIhacTBSn8OAYKQpGTF/sEB9zs7KXtW09oQSZJGlja0C3+gmXAZel+onB
5AkWWdBYCvc+FbWrR2XWnJVKBs/zpt20ubzQLXB1fR+w4cxPiqq3cO5Q7JQchelY1EVst3MymjQn
KfW1k57En9/sf/hyPXdMy+svCF/4h4/MmZf8GY3LMVieWe1/Fpc80+Rn/tMCikEhnHgtiH2a2oA1
UycUZze1V+LddNlCjMLDc52O3wA10N+rKtMYvKn2Uhs+Ckf8FaRqfngBDjaISfrVqzUUwwGaf4LT
mB2czs5Fe+5/8CJy25+XmeMtML4yF6Zbsfynmor8z0x4Z7ISVfZDaG87EECf+v9D0tR9HXmhFEcw
H5oVWv1BEt1rdcVfHFxcLMIWEr88FASqAt16t76ieVMkmPQuCWhgh9gHqhg1LfEhp+oa6K/g9s+e
HvLuj2s15yC54W0gauWs1XEFlQotph1ydjoNq1ZCp5Dp6afAe9cFn8Fa7VCeBOv4HCSJBKo1pm2I
4na3831wbOka01i6Ecst8l5N7CEXMmQXNEjDIZYyOmWNxR0fjkQjNY7nGX4/K3v0wCuTzJ+1oe2T
Cgq0BFPbwZkKKRYFlXPfY0Cnl1SdAGINCCYwq/e8nSQ17yf5XamlmxYCupo4SAgKFhgr48qyf4WH
7C+nu6j7m0HQi3de+5MUuoCb43Zdqlg9YV3mxXLditToyxjyJOTcRo0L5OWwJFoGmWgVUIA/V850
/9V7ssBI9qys+ERwWBJX6BPh999EZ+iZVASGVwC0mHMhrUYTcxYUbkBDNAQ2HHuRJInG95s8i2gH
5Vq/oKkhI8Qg4ngiYiTxvhmLudzDrYd1mYa9dT3O40j6KYFYLlFuDubr2NZFoqyd1z5GPv9R68PH
NXZURdLcD324cdE+R214uW4FaXjW2reTTxZ0LxD7hG9WUxNSFvweh5bslD+L5+AMc8pPXggkVNLt
St54TDloZIpy9Iospox7TCYWSw+n8w29B0OzDQs3RmteUNs4dE7qsHg2TmQanDSLtdCq+1eOLtyO
+JPpYfpik64fRykr2srF4hfP5Vf5fvQNjU4rlMcSCW7N1HrSWLuICVmcmkZkvvjXt3tf3SMIh91K
q7v8zFecy+Ko36bncAbRlGOtVZwDOhLRQSJXmnbMA2SSZkdV9fy7DD/TIZLdxmWqGZtmKbGh2bni
lhViSfQTAeuRGgM8WCnFNpFu23Rdeu1lW65IKlTG5D+g0v9gA4K79GYmawNHEcxRuZZMnJiWpsEY
mFc9nkNQKpsCg9KGIJyymNnMQMSxOlpAwEcmd7kSQ2qreypSijDEIe9vUGypAw5J65omKhpip/Dn
TIVrV9fnYYV3Tx4dacDNiEm3RpZa2iiJ5dgDHh4ISiC8gqhyyl+OE3oX0GNZOsZBUpic8dtwWYzh
i6JPasGqeB7nkm1ytG0ODaWFOW8yQxd05oyHIn6WWwkpUP81UNb2+y04Jl95LiaiE5j0mRT9HErc
VD/czFoElgDV2z0Dp/pbeIT43iK1iILOZZumG4Usl/BVBWWuldsIsKfhq2GWqLeXx187Q/DroHrU
cqc9kqjcb8P2kbWT7jH66lfmMisZLpb5h2PycFYBnwEv2AkVoIKVcFqJ781VBOkSIRcqNs4gNEMr
owJkzxNVsCUY5/1euEl6SakY3Im63Ua/GQKjSK95hGWgnzCTwHfjC0t15YfT9jtcPuq7wiomzby/
5eZ+k5AOa0gSSDak0iCVrs0xxDYn3I7D0KACl/xrjs/TYgJj82BSDXbXZzeO7T/RXn5va8MvcHL9
j/ogtWwxt2AmluwSciTBrYrNqq7aHr3u2Tl4HFMrgesSnoHH+X5hgRL5+IEUPrnf5gEMy1SYIkjg
gjKyeSfTyoi4sYXcy1kwIkgesnap3IIqzW1JEOKs8tSUsCHTng3aYXxR2IkJw51DdVw1HC5hUOxw
wx3l8qZMt/xSizgf4y32PixbnJLtGw6Od4hlCABGd5zItlptITV0nMX62ghTbjCc9zVXSE4ZjpRN
oDuUyOfBHENqIfH5u91hC1a4EZj/k4IDj6XJYyttxQ+faYLPK2QHiWlXVeUB7n0DgPtWzZgcW/ge
HGPvC5JmWuTlginvdthksS2A3R61bdKrgIf/Hocu1nzNF1vu7jXFOIqyb8bGANbxilLODXfTwfhj
MeOIk+c/ZLD3IiGvkRZFGzDFSUoBMoDpy5tGBWV4VNCYxr5xgndWw0XWTfHXbv3Ix2JnGC2mK2bn
wgoRGDJt/9BtBXeCz6rDTomwzDGouh41T3KzTQiY4Ti4ezZMcLw/kirZU5Z97MOYBshD40PAztz0
HyhYz7hQqOZiYNyli0gedlWH1Au9CBibLzfnLIUWIToETfbqma6b4YVjZGOfbIxVfwDFVPETzBe8
ePqwB9CqXXxGi6FZFJzISsXmMNycBu5o5XVPv65WdPQU3GvV98lZzuyggvSmWbgs28WGQCKRJ4lG
pCeoHyGHVXiG4G4gFGb57Spl+owB8+O+1JQACJ+8HYCO4W+fOvYu/Wzew9F2xUqHBroV0Oan2ANV
oO1jKk8LiWnJN78v9+M7lKQhAEJ3J8b5NFMD36G+iKrSlBOkvQY6I6bBPXh40tCdOzlsEALuZyAn
a6aOLD5Q5y7q3JXgzz88fyG62vtEABmq86Go1U4uq8vpYHgzpmXbSAVeDm4X03NUDkF39C4IaiO4
MofwxXgd5PW82rMxMglI0B+A0FIsvJSUWM/Sk+sTaBgzHCFTN4s2aM4mB2frEizZMNI4zk2SaW+I
WxHl6MYv3v5QFK5z3qhrTPO/cNeyaJoavso/qhVGPBzhyDHv07sBawSnSs7dy6JeplAP16p/mQFb
goN6+A3VdJKIveeuG/UbJTfN+kZ2RKVQ8uDOag5OOAVeWVKTYM8eVO7S4r+CoYUfV/wyOe32c9Yl
U380CxHCgpqRoKHe38RPGZEFCpQJsYp2qh7Qj61bpb6HF17OfWHjCC+RsNMYXlnSBD5SiGjtv8gU
Kzip27AhiXwJmBJXExX6H87QAFTNmfuwBCrg3Uq5A5ycIFgKFXroQpJyWJTfd2YrU9hsGpvgIo9M
99qBhY8ngckMm0Y+xNwpnZeKAWUt2d4atoGGzM7iDQrx/OddB8GElkNR3WO+JeARZFArCpKkVDCx
MsIAjlv5NeYijYAmNfaFfNGbiCl3IhSUj4aADbfWAnFna9UjOPklkbkBOLdRMs9bkocyUQeObMIj
ubWZFh1ACvcFiFYp8/ct9I46+1+S1idFMRmOVOUOShBdzaQ5VFmaE6b/KEMSVzwyPFi2vKHj58UZ
fI8Kj9eCgkG9Rtrqi7aoZo0IaJ06rq2+ZKbhcw4v6zf8o8U2y+DVj14PUMV3UytFHDd7ixhDORb3
IBDU9yBDWjSqhKas/I1akW8QekCmAk+/VdxMDyy9B+Zv9hPwF+iwFHGo+nFpAYOE1u02/qP7hDur
qHPty6iNwnY6hRWiBLJQBBkI0TCr1CtALIcbH2a3eYZGFvfU97ro/t29LS6GaOwbJ74CsqHAtPXv
JbfrUF3PAfppowbvt4GeOnM7rWPkMrk95W4on5NIL4v3qOYGf+plc9OzPtEFlxnpr4T++vQVXoiR
k3VRTBrG9HD43N0QfwlZD+6C2oBWKR1K+s2GScQs31dcZJauMgy0Pubz9K63fG8NwzodZcFx/A2I
v1l8S9j7Tkc9sy46n7FTrNrTmvIdhUW9dRb3vrZgryjbOE/kBUsl5ZIoXgoxqrSpv4iwIBXM/ubk
PvmTAHhXMrWlrbd7bad14bS6ln7n9CkH9irdMSPvLc8ovKS7lpgv+v/LtM8atJKY2xgNCTTS3Ooe
XQVd7G3mpHSPLvzcj+w+JfglxKk9s5FLzIyMMBq4DIX+toBQTLWIorD74dqW7FYO8dbsdoqIwdni
w2Q9c20iVjsLzqKKslCQB6u4ujboWJ5ZtsLpM8gsAm7ZgMIPJkMy1BH4NZ3cVIuGdk5vI6PkVqUU
Bz7BuXLAvjQDnEWJvH92BKbU8SBrvE609v720FGaaW9YXBWty9kq42LZQ1Oq89d8B5D4W4Tx8xlX
1/pUEw5u0MH2PMDZw/xN49ApT/tLozYnupvoA9UVf1OAkTtKXXX2iB5nKvJKrAcT5+uhDZzgqfSg
gmq4R9DAQuldus4hMZz8IQbbcJyBFJQx+77K9bydNwsLurgx7cNNpDQ4KTTqdyl+nfVI3Mkbuvhd
AW4QykeCR8b6HGT4Km9pgoI9vyCeJ1mrv6R4dSb6k1R78sV3FV/2oKiQrkQ8CtmRGCgmbRcpK2QI
Bixcl0o2CN7INUquoNVxQJR/bgKkofNm/H2ORt75nWhN4f8k3eTEJIHMQT5UmSj/yuWtNG4fikZZ
UhaFddFn/ft4jmmRJLPHOBZ2JkshkVyCu98KXhPWtWy1RsLUhver7+hJEbB0/J1cdvopmqmiRwQW
BedtCpNqENV75oHxfD7eO0SdBQnFOxJDmpaclvbHSMF2qiNuhxdxNiiJmPm4ehIqvI08RJtEajW2
AT4sUOeQnCTudE/niCSC6NoFZEsjcq8ke4JBJwZa8u983lgjCpP+KOPGbT8HUWV+KxE/LaBaqFHO
Pbhcjv0Zc8AyNEluy9LxzGmBgrnHBWwPle6HnctFl8SjajXieDljXUQTuSZS/rnFlcOW5scqK+Me
MV4Rtp6+GlKSSjQW4JtgRAyFxBTMiT1a40t1OOBRlene6pTYgqXBPLmG9b6fPk27/W0ib0kF4ySz
18ZZ2byo/sgoLXEvhRB6ANMn6zdE+HtzKKyyhFrZpd++YqMYr1Aakd937g3kejPbWAcELa9pwR5D
dpDOWr8c6nPgGqSSl3yyWfDrsjdMJTmT7Sct+R9WeNc6hIB80wMveePMuCKSMzrz/IzE7ASAikI5
oBq5PRpbNe6KsJcxtL9Xj70151wQry1D662PI//fJ83CH/GF4NfWX423/nbpQDcFveZRU4lKbLdO
OJZQGA/E2jSo2cZ7j4sl6Q5UbAVeKs09GpYXygSfY3pW4sk/SZWNAQrum7RWtJRU/e6bPpqQ2gMW
U3skpmJLCqlbmPjoVqZqgccqVlOKi1vHjfnc0isA4rHPBULCOVW/TkwlX0aH7mECnAWo0pxwhT2N
ywul2Qll3n2/oXO23QHG9I9U0o6rc9DmrZGf6BthQ5HUND8f5PtSkFCp3EMof8GrWw11dFRuG6aq
aGN6I5PA3cHCuLrJtUM2cUnPYL+WzhvSDOPlkBscjnUIepM5gjBjAkunmA42Fw9UUGVSq+xFp/2s
estQW8YvYbwtSt2dbwD6A2fLjr3VVmhWCV9HIj6csBFgLaew0k6FyCss+TyNXcBpM02ideUF0pvY
198fBYrwVOnGAsGdUtmlemV4LycBxlEpL0BEHDwwSGs/QcJCU+3OXwaTWozbgW9zT0Ic18XXJiR7
KPUD7OdP+1eu/kyCgWgfA6/TD/zFvLewqOXrWnm0cUrcPSgY+pqcX0uxU/vjW3TIV8W8KOujcVyt
y8FhFrLI82h2AUS3k8rcvKh9ggfbQg7l+XdGlrlq64fuikChPub5Z1vWyqK6pCzIjxq0AhIczv6V
Ha1ynal0ZL1u5m0YLpxEt1hXEz50itLRgBrjlDEuQO4BNNMPmrxiMUdsWeB4kuDAXYlgTnu8KTHJ
+ktsxK+NT0FdIW+pgQB6mebgvjDglhUWDf33MWLax2Lpu8pYobeHQRjoZiBaEVgODF2421rP5822
2RpP8nJQeuWgxyUY7/t9xZp5uwMAQKIqFday3Nkfftvd7l6y8abiUNcEiBfy6b8q7noCrBuNJvsu
lZNGpApF8XT/1GIY4829ikx0cKNt2UamrfpTD/diCdoWkdFngoOuyntFGHAtZHVYJkPFBdF6PmCw
1QKdhJVXcuSzRa+LFhb8PGfeRiAshFIloDD4aIuaptj2WzwpXdPeaGTdlduadSGrDVNiZGa/E1aN
Kgr+W5udPIwdrwzRkMIOB5qKDv7kBpFoX9hgfvC7KVrthV/TyP9K1SceAo9HigYqzmo2AZfB24Y8
FgngCSELfDYGFdlPFId7trYfc8+bSLw2PchOI4bPG9hGdY2/yKKTJId2H8kIu7abGWfLdw8W0IdI
r5esKcrRgGN3doO9W6QASO3zfPRmbj7NkTXGzgMEbVVZOa+bCsTDhhZWeIWV7NMSkGggfClWl88z
Gk9/oq60cGqhYul9nk5NiCZaLs/4i85KUwF3EohqReTZ2Hwl7iomuPkDYBzM7Kjy9W42OY5xHH8C
pFvijxQMptQ5eHAKeyEgjY3kaw44BUOxj6px4esrC4In9kto02J3EM1KQJF3gGoKVFcqMUiSiY7g
jnsuK5FEWIiXfhumB1I/olrPLz2CH22jbupnCFaJ6xIdMr2yRI7TG8zKV7BQuRdaT2nUKWTkjaYQ
2dOpT8MECooq8E43PPZ3kNE9b2dEpHiEgd37SeizInUF7KGBUb2tczfXdjyJuQ4AwYNPLcz0LwlR
R1vMHTrUsyB32rQjPq4vSKSkpYzqjc49WYtehNa+dB+QUlMRCoYcS1+CsFLHLMGo3n3XU87V6Pht
pg7Ai3PTuzKgIMzdvvEpw3pU6MJ8jxw5Y1hzD0PPOnO/jjisaDx87+n7sPBXjdU530V+SU9esVqr
mcune8H06kEESU3/6UtZKXDROskiyZe0tr6ZrKXjkjl+RutLbRxpFw+nqGGLrWUWh2MODITFIKb5
urIK9kEN9ntcC/l2XASG5p/MX2jBh31slGYe/rrakbC4eTZxkgANmB516I31YXQ1HqD0ofjCxafm
HDIC0Kj9l4c7fiLm+Tik8NWQJyrJbo3rYMfzw0NfuxEZijaf676/TqeGXk6x/V3p75B4l+BT5HAl
Pt7K1B7pFTsRxCWpsq5dctjSGkWTGXdCu6A5hRqVaczkEXIfeTlQI47HUjd8yf5YVcQ3nt0mVRw2
jbDtOPyRdXuO4O9A0vqVlTGIszkwCgPNMokXMXCo6ZNiVBopUrBWVCWvDG1RufDMQN6yAC3P7xS6
gdv9/bI3YHE3SC0H/3MG1rbUH8Hd9p2eOv+/knDUE89xpmU1V1IBqnQiCLxaNgeZyPC4TANzsn0R
qanGt6usDUll1OFGJQUZpOD3LD8hw2BDZ5iMXV/2cGvvVUd74TvU7xUpPZIreMq6X8mMKWXhfdOv
/BLel7Z6Sl2W8JiIcqvh8wbi4nEPyZtCDCPRQXzdgipbNYMTCPMQy9MJf1ZkZ42e2RBc2wOzk0ei
41IMAFLtfECtOR3EKaulIV6dY2UtO8e17dFgztkf+sgON4f83ODQr5fZWKe8iFIo2ezsrucfM6+K
geIdn4Y+t/9QLR9E6rgInlHDDy0wcui5bYAmFRAc8IDS1pzou9060tPkJaFZAniADJjE+ZkE7FHB
rdyXEgooKsuTvuyfEaS/gFrt01doyjznaoU9pgKRfghZIYAoaGaCVilI/JSgJQgjnVO2nA0pdHXY
oEv0OtOOzLjSfLGeNLzk8sUjUy1wjs0AWdurd7XFcJjeS5xCni9paqS5FwWIh+M7f9qy7J3aA+dM
XktKd887wFeYtCjHM2NtPwWycgLRFDU6B+jtdbR2h2fI4aqtytCSNRedMlB4aqM4NuY5LIMTh3rn
UKVru3Ke6AtyAQ+Q1Z+w4gbJiGOxuDUwJkxFqrlrDjFl99A6Sy0wHYsukxtZo8eF80X3mAeQYkMU
kCms2x8yLAjKOv8gtp4wGEOcq2c41pp4JB2tG8X6pUizfpQ63AdBWwQFRDC5ti5ZINhNEqGTyerJ
VI2cHIMtz/nXFXBUjxY4mvu89wTpDXS/s0tMI8bRyBQLdjDbdWRHWTulR9T1O7f9RYsKisnQfMJ4
uvxXmJL8XEclA6rduQDwCVrlmxmi70lxvTgbObiu8zeLsPUXrD2MAxaq+yd4F+0gDyQ0zZi5hKKq
lmouWof1qzRF6GwZeVA3/mJqcCU7FzE5aZENRsYFsblEpwDFFiUhHCh2Dkdzer3S1Vip/rI9WsfB
QA9czfMPacr3LXVRqsXkvaLy6Uu9IuIpZLgC8HVHB5gRxrcBjvNflMg+diguKHCTgy7jA8L8cJWO
eOSFYXwknqYyfX21FNF5XydToRYAWNBW4Et+DGOHt+mxyG8rGuFPQVu3+7XJw4VQ9zOQhkeu8fXU
akWqoO63q0ViyyZBVM/KbJuC1YvQTwCAp1gMdbMwRpDSmx93iaAaGK9Hn21l4LLmyAoQK9+A/y2m
nkhssLze4Tf11jh5jt0zkb5Nk1Ayfb0ufy0AlCno5KUT4a6QOMSytZYtRJoFYC/k5bHCb37HwVJH
nixpXMx/GHbmNXhiOXHNy1k5MiQdnUvPAPsEmJjihvCOEfC4T85Ww5l+Yl5Zxb93zfeMs+oiSSfy
pnNSL0vGyxD3uRaqFFM8LBPjtV9r2VyVyfc9TE3lIKhKixC/LqBO/WoJa6iU2GOVlwZa6wbABnpl
DQf+rVpBLgVw9RaC/bxQ1WpX0j/htCihvI9AVn8Flchhkg4yrSyCt4w3Vokf3neQ1KYTGsU87hbO
g6sH35PMhmfPPt4cE7VNRPjHjFGFqieyE1vCsgszXfaETxPU6vMiWT6t5cMKLUB2ry2cFcgFxw6S
ahwtrodITvzPeb2Wo0LA4r8qeja1AezlOfldW9nBk5U0IwOLFi7qMxHw+n6oZ+P0g1fBpfepC5Jj
m86V5UX6OLwzEzWlVq6AuqUk1mr2Hl/KLTOooYces/zQsv2zhQV8Vl+okrW3mSH410BNMD3JlDcS
PFxVfhMdjdDl+CsAPxgo57oOk9AmU3V25s0Qg4cPih0cwSbUFSyU+WwSwMrX7vOEpuNHioIA2115
bhWcZ7Sw7eFK+QrxnRmumNHPrzPF+j2+UoTPynKb2LntvqfmRcNFAEx5FqI4F/raj6td7nOSFGXf
OJq4LiTDLmY17S3SWtPzJhTF1LVgvJnDo4xjuOKe2ctWfo6ENrO2jHHG4ifFXfMSKBL1TPD4Q+j1
w1SN6DVMmvBuucIpeoxUYcc5fyd1PrYGvoERl+TfR7SruBDAlDZ0dc+ekS7ApMp3mv6s9uVyfllI
goUdGDYabrbtEMmHl2rTWnF/++dinf/OtVtLfJ7V6rlgsTAhqSar9T1Q1sU8nn1g+mcPJnobnQoZ
ttMKAdSVnwY0oMnQ1pG8VxZlg82n1v7iRjaAfbORfiPOVAbp5vkUZCHFamom7Um8hbYPyd2gHaPW
tVxERYKd9sQH8bC8wV2ZqAkyr5TFRJoZgg39+KhuzOMTY/nqXkH6RKHinDoKHoXQa3VfCqQ417i+
2US6zIbex+btYdSoEGYdXULPukS75pX0UHjbPE08z9eyGaf53bYl+IzKE4BW8DdrTS1CI4e64ut6
EYOeAISuyu8Ehd1l094+ONsUKUG8v8BzgzFT0iagrueQnIrJmq7x+l7ZeFvFWhjDAJX5OiW2JJBd
mqMMdVDByjoQgRqMUYt8pCkAGhbK0t6tKrRtssSATZQIT6FQ0cp+ViK2j/fegvumOL5esCy7Q0bE
6US7Oo3NTa+e8sZxPnbgP7eNNKltxAylTV7alGsBv+fusWG2Yjz7ckOWKjxy4nn3aPoLYgDjWjNZ
luh3A0aHmGnK5uFzJJtG4mu+FUjnsq7pqT6w+05agwZX7eZhjCcGxdCVOZL8nhoPswPRGCeY5Hvw
AIQMKy30wKYk/aO2Mx4GwwBjITnixALE1P1KtTRlNJv1JJpIp6nLT5CLw0//bdyF6mit/1IcBGU4
jtsKhvUQieiIYnh4gDR8hkUVpKUg7ipKktYIy78U/khLZW247P5Sdlo06uo5iV3gwutrT1o/Nfrk
xE+ayXQTSwmUuy7YUKMWrW0ZW+oIx6Fwg4LWWENYZiBBbHIZ7I9p1ViA8NQjHKKCQy8sTULg/kdb
arxLUHnMTullrQ8UObtvHjlXjCvTYVFzmbJxFXdjLvENM5QdJp4JzfVkKeRjSPhowh6SnRR3sI++
jk8/lHScbTCNepbxpGN3wHLcyihAiNJX3oP+8jffF5+eHpRVZMW6HtsU7vuZN3hZs1tfLxozG8o2
XVdIbjG1nqv5vh6WhMkPYdkRaBEqHHfOkYwIfjaHmFcDrW/QeYztiHYISBXDyi0ZiH1N0PXK1AI9
gUWl+lWjSAmbIYh/CCr5/H7xIvaWaPDeGLCImnWzhb+f7f4MzbF64OWRYPQPESIvmWwdyruVpAG9
0z6X67IVm+C+bjS/XoOQXftGXyT7hWTGOYFHfic/f/JTFQEKZcOVBSrSzn5K+A6kTikBxv9Yl5uA
eKKJPxgMbPmFMnN5mOWk0UEvcibbR2dxttWiU8eDRFDMyOa9DL2buEPaZy2CP3975TtRa/bjAyos
yx0LVMvFHgWjnE1bBCVNmjPgA5vtPkuHLuU0FehKh1zTDnFnaQ1j4R07eK2HtTS5R74CmtS8nLeL
I1rxODy+2Etb5Ea0EGxQgWk0XZUq740Mz0EneIKJBjcVCHFTiFfx/ba6uVmIxf/XN4kKJ5+rxvfF
PzojzofLrw0ehc4giTliEtkGAFVJVNML0FqZaaN/Apvn6JN+t1VT/j0gWdorVi8MDpCkujxtB8n+
Y+Mgcyu2en8mtG34aE9W7LDmYtURgyxaH7Ek/jJjWHYqiG9Xou7x1+SQcB6Hyag0mMIevfJ+vJ/z
2JaANYRrnXPJzC4C28/9w+0EibzE2YVjDIzcV3MLBMCiTKY7W2kD2qn3pybJckRgmQAyr9xmJd/+
EeWWlXMb7RnAcZQ9pt0olo7FfYjhWkyrJI81BCzlFZTKVJAo0vM458KJj+cGvHRzYzIkPejdeBhM
lt1Pzp1wyPhyAqDNkbFMRJbFPU+S2s6T0VnjL/gbxI75msF3bPW+NCf+t1d0KxeFcMB1vB2yjSrU
Gn0gqaFKGpORmd1FPphwZTYumN8fG56s5CZ2bavFwixRbWvDX2MBvgFfSvAB6qxeyPoOVZ1r0J+i
a9uh3f1rSCQH6Io9QPB3kYFjRjjwVb4LF4SteSCGGiR0UhIzQXgDy5pXepmvL9DM92l7FYPR4+Wm
IP3qEM+w2aE380hZlvH1I4Pa/I8lDO7UiQ5P4PkMX4TQmrDH1KwXW8S9Ec2fEVlBQOZe8I1+Toxj
QIDDQPKocZxe8FzNqz/75te+vdljMA83o6FpIs6bLX54F7tLH7EIJwOpc7Tt3Fb18F/aUN8vurJb
unQA3tjVtNaLUT+j0ikLTQ+gfv4BayZbrSmHqmJdOxPiR8Hupqi5qLDJIhjNB5sz9YEvB1fzDp1Z
/Jm3w2N79gEBCTPlVmi9S2pzinnHZQ/ecATphyn95rLBqUDx4c60KGRGDvSDVjiIoRTOd6zeQY+H
fjPjY58Jiqek+LkUEeZ3KZCY+yWiloY6G/8qpBwKxma3vUCKVWdDdK4C9tnZg3pPxMCRtEwLBHo9
USoHUa+L2FngwSh1+eV3uFti2Yjj/JzYC8J+0I5o35Wn5XA9TvOQt1zS+0HCbE32CfZKyFKNncil
GSK6ZLltEPaQWI59kbWdduo9l2eUEsUDWLALTPrkpgpPjxANINvQqBE53WXu7vG1rxf3mJQOOrRS
r2d1gyheRBGRLlWc5yIK78c7MlWx4rX4rG9oCr9xAodcYiHC9I+p0qoFLDrteq17KwAJiVEBGvBy
xQAabt9XNTx2OPYPjMSjeX2vousR0jJG/vNND/94S/0ZC1zq0tsnK7TBKgFKFLDCkB68YLl1cqLx
34/Ch2rj/V8ljFOiamSMutwQtzq7afCopcobZ40BCybGhEe2XvYghJxCp0eMg2QJ1Zod1cwKrl1u
bs94hwQC9l3dEsg0320zQ6Ba3a/gmG/MaQeHLf8d3KBdeJMZygK8LFqa6Fa58PhKa7WxEe1cxjDj
r/l3ViyVCr2rg1Cpcm5+34Hkkd67zObXU3vr5e/XVvKRMMxSxoduQt8eLrEoJ5TavRkafxPeDuxc
G1FRiZsGGoN2/G2ZtdjRW5TUFawdtfa9MkrauToDkN3IPmR4uJ7w4gsUT5d6WYhnKp8nlab+yrcV
628c5hkT4mCH6XyLrWUfOHHlgFyEMP15543DqaiVRaW3i0PYiOWaynoXe/UbodVjKLAcimADfWWa
89/uvg4ZVXvIvL75soj1D73Lsfb8b0THlccCun4MnpYOSR9enuTqvkCv4uXR+Ltk/s0l6+CX54uk
YctPkfvXGR5+YeenbKZNoOG0/cEQ+5fJKt9cO/HTZhZAYrNqjfaAsNzBowXdfETUNbgCTdyT2tiO
6bnVbJ5hDEWtbnGMi9iiOipsdQFzgKZSUBO7hTle1lLiok2wo31xf6dfMh4iaNuMafDxtzxfKgZp
W+2rpvr8BHiwoCJpVRw/Z9dGFF9OZiXsPDQTiGTKGfQPQmwRTQCXJGLKCm8P0Cv5bnKwDezbRzlM
9KmPA9JhpxLPCKvL5MLqLv4QpmxXV+8jv0B2p8xbrHaHF/eRSwmN9b7bHeM6x5O0LWXbkRw3gc8l
t5zMhRlQPfpiviev8NnkY75A5LstUfMU+sjVgeeJFbEnx/7QcemaYwVn6jtqGVaB/FUxCix8IMjC
qldOLRc0MQGcKpIMX9lKJaaw4V2x+BSQPRS9oA7TrttVlM9BBP5Hmf0Cdj5sY9Faf/wD3xqCj0RH
9izSLyuLZYvgbTliOE1hwKBtqsPXaADglx5Sy8AWsAaN2/t7Fdn77NZzK4+6+HA0hA4J3r7/l6bv
xZDOfqANJXdtc873+ShXU1wg78p5uKuVU0Kn3JqK87F9Dwv4Xa/X23oCRWFpvXiCubqb853iVMjr
hK9PMXXai/Ph/T4M6VU2dj9ktRKLx7Y37KxY/OL4P4uBjHjwrgetPezZtU//S/OCXUd0ZVD3TxxR
XYlLPMN5BNPNWpmHrlmRyacK/dc+sF/RzNCMdoAiZyUoSbquDOckwBK0dXnh8j6mGLdFGzIKbRKF
Lw+0rsISAhpdu6xkaUnJLuidCTEchPxYs/3/fZXeurzOpIBeUzkDXOSuUI4Rqf8+Y7D7OF8UHxZr
7b83nWSt9LYYFFit4QklxZZdpyIcverjjZq8GLO3/WSZt051nwdFy+h4IhNscMKdz+mH4zsYIBpE
SQaj1QPwxyPirruEI3wOO+Ve1pAkPe9v8ai4h38L1SuGwq1fmldOhp2cY3OvHIJOpcItvXxjIjmc
i5ZwREWbbrDHu164Dt1T0ls78e18TuPL+aTG6urQ7V9UJ6K+pLG3mEMfSdOQ3Ji6ic2EtB7P3VlK
Wq4x0CsBm28TAN+s3xua+QyIWO5Y1fA3HzzsqMl0uC5b4xQZ448OHzHGcT/cjaZVIdZ1Ab3GRxA0
KMq30XgQg9IWyxWkTCOdrctuwRpA4LOQaaZDelT1QEyjTqEL1jMcNdeBf4I9a/HZ5ijjl3Fys3VI
ZXxYElt6RoJk3MxREiZ8bUCyhQh6AfQMYC5xKR0hm8SPsCF3YcQr3eM+PHwirbpaOKl2OAgtAWF+
ATtflvihWDwIe0PKksqXg93puXpX8dSDEMWREni1BgUw6UPww7nOApGrJDIoQtfxc36m/l+Wt+Qm
/4HPjGAhFCMzjJgKtYOfm3v4TTrvBYT8W5WyEv3bGiWL82bqFSxaKPJqZ9IIeV9ica1MWVIpOI/S
a8kEwICf76j9BrSR1R+8EJE/aYdsklmZd0arTfev6DcjirnSt7ZaqfcCLq84EqgbPmZu6Wo0brol
jA4dxNDcgNVKxJXTsoNIrzoOoknYnBeoie/IwnqGxOU1ks/8Ga5oLIi7uExGOUBZ26IXXtoihAWo
EAosAc30UufUTMAQKzoHEJkSkrpTZrK2e7YjjCPMfj2xTjfbayf0/gOZgruxvT881IvNMk2vzAyU
FYPY7OTYjRzdp8Wq54ImTcgOc0R8ZIQamfV3HDy58kTItLG+MLTrz62MczxOYkYQ2rlt233dCyId
/wpRQE1muxgFei52dgkb8Cm5aUDoGSmkBzmWzCCtOQBX3mGphE7FBzCBpZ4NUdKUWjsaJv0equAW
/hEEhS3sQmkY/JZ70cJ4GspRqF3yNdPmyBUuCqN7LiIQS0TQcoU+zsEOQuFfYnSS1C8TK4cc4U4i
hYrfyxNgF9676Z93a5QW+DRp1GnY8wm1gk7KUNhaPXECbWYMXmpA3hL/2N6W+pMXEZA8gm4JfW0m
atpJzsJk+6ssAa8+VC/6/dgRbT2ZC0Oh83cQIg9oBgmXh15hudfxSruypn2UbXzGdNB1/r4OEu3z
ySEss+Kk7A1bo1ujTzsD4BeKjWwfTNFCS4Pi/wqoHyxLAQFBXj2g/usMe2CQQlWzS1eRS9wM9ybc
8FkyQ8CAuDrNUgyQeUzAvXSCbzfsUVu8Mn80/rsSTR0Mmha89JXcxPFgLGuDGAgO8jGyF9kl4v2P
i8dWBXYKVDRGxBkRE5Pukjh1cVvfz4xrS+D1XBPgWuurL6NaNAdI8p2Jw29MQMj9oU2hHCSXJmrt
QtCRWHFSPcRdMn7c50mcbStUj17TPEUxz1ipyyKZGuWPEW5CHJa64sEXdXcnsPIlxACY5MXgnGrW
0tWhPttkCwuqgbaAhvtKEel7uZ5BgpH5H+3kS9/1devhOr9/oh/bnB1UxgfFkwDixX7qZEV8/T8P
dD1cNrZfRVdh4sYp6KfdDNciAHWgEAZtf3JkOsbTUvhJLUKHKFRnnxwM2yjNhxLUZZb55e5ad/fS
9KZnIU0V+AlYvfgkTxx0+Beqr5+94nv0+VObgmnDK3x/K81BQwNiEKRhVOdzw3Lfc8DGin5OytEY
Y4mv9kbX6xXt1bz5OjgmqxSHZB0RLz5Q1EdkgpndV5r94A8fqgyeHxpimKpghxeWrG+Cn5cU1I8B
W69UUZXgkc1yve4agyFZWigPgCi++GUcF2R4UGxKDTKxeYKJeip+CfMEm4QabmarKNQ21/S5Ixpw
9/YoEtcr+65/0s2EHOfASh8bmpvzbT2aL4YYpP0fqmDXy565JyCGnng9cqaBGU9VyIWmkdvPDhV7
+ti1mvVDCVyzaQOheHlIhR+3VOgmcHmNrYZgyqiBLGvfBp1S5jPL0S3ZLEwTZWLdHL6pZCqEL/je
eco1ms2FAPctfH4K0WmIKdcddxLuZGOMzboFPok7btkEfwG4Ik/K364qlSalHPgubnzeqdSQV4Py
z4U0iMjaawHBHZqwuHEYNZorrhkLNdL8Xl1qUHc4/UELf64tmT1mQz+cxDJrdLltxfQhAzNXd1/B
EpOQSRFHYEhfAsFw/mDN2PfM3gDfd1nUUbTyqJ5YDEH3190CfYcI3bJW67bXK0j24kk4fi/OMgqC
3W/QbABbFUyMm5uuOMHcN/f6p1fX4Z1uSVpZCjJHz/3w++wYQrKmpfVyFeqNLjRg3TUtEVreEdP5
9EXsFYPeOhYNbj7ERDXPe9V5Wx996VQH+ys5oCkYk4W8THp9VDoSuaHxqi1PJCVpG0l0sCLjELNH
ivMi7Mj6Ct3bMLJ2E04AftXClzhXsMy0l/wTYhLyY6LexT6hcQLIvEIlzGi6xB+ZKWzlISKSbrn5
BYNoNfzuM1+yj4nFQ/qU5q7J6hyD3ZoGnDpdpVzFbtKOoePYAlGQ1P0OfELW9cAzFOw08bpq3f/T
+2C/PQgzmvak7Pb8dG0zZdIhLBbKn9OWW2x9bMcCLfLC4/rBYlcKiQhY65xFFkhIdEU14jFp5BV5
1usKT84PsgcmA+EXIhUQk4vlX+FKWKoZOnxQ9WARAVWFpWrz2SFKCKVfA8HUaZ6PAbCsJEarZ74M
rymEJTjiypH4Y7ZnuWw5YNLhVMtjmMJista2YPdGr6NBodJXhrFgzJ45qpVsd5oFvc552ZJ2QJE9
WA0/Vhdgb0hNRJja595QyNKvngJbfu+eJVZPrvb/WcL6Sl6XGN5FhC1deE/GDUmRU8BtzNhdUwa9
nHL1U4QagJV1w5+I8mKC6Wjmu/+7DHyew73Tl8Q1lkSZ/M4H6ZNlhFx2IN2ebWGJ8uhtQC8Abi1A
/5JgpVHr3pACdP+y+8Qpr/PVWbApZU6l7uU7F5WsIv3H+ttEF29oQho2jFqHjn5tS8wB4ROmSJu2
PbirxWvTv7ZUrL13MQsI+2bz3TY15Jh/BT5h+9dEkr+4wHshq0HmtNh07qJ7py8AsVQW1a04rhzj
1U1wrKQC7g2nHvymMUK9QyRlKomfvo2irHFVEb40kGeDBOnx2vJupG+6UYSeAz9HBiMLU+os8dNF
GtJlT2jlUsjVr0OznOrsPZXECoJI2AvCME+43vfpcPKjqC4awP+zBi/ugtDuDyeg3o3f/RDjTX4n
FT3ISs7BQ9LNIcIhBd10ucK9YMNyYpfA7Mm3mN2+a4f+rSrqAlwb3e6j7RFG0VpclUQaGzCeIE+l
W+kmP9en6AxSIZs/tic+bK5hHrfPdglp3TUgIJlZixDVZlSnNIpB8dYTtcqJM7/5IUXOZ8Cnx59t
jG/qNHuoQ4dNmUjLMHuTqtXUqFliWSvDYHe5im3ml7U/FKEFqkjBN7Cag2xBkU+XjXQWAz+xPdE/
XA2/91bJ6ykf81xCtBZc0KkcbkyhLoaa8GvPLJKWyTYH6c2LszmG1QlipBD9UvVPcJMdW/AU5gfp
PlLE7ieblh2G6TfquMIO9cJ1EVJ4DyEyQxnFYFAq9zP5gaVGK58sureSrtjDtlMssbOT9kdLh3ZA
jcvVmOL2DlPm2iU4S3CNatSGW02jS/vIqr8ziuw/mluEMu5k2A+mx/DMiEJo2Uoq3+F02sGN3hjQ
25A+61cI5fqEyy8hxt1fq4fop6MB8ZPSdggt4LXNBw8B22V4Qqtq1Z4LFRv+oG5KQTh5T9ZF0zmZ
/H0H+pUqerO13QZa08SqebrkIXP7TeVqOmBeY3TqapiH/pn3n+f+HAwXLxPOMQyU/oY3xCFYypV/
dYMOt3ZdlCs9xRTnvRMWCGkcN2xKhC33qbOq29WfUBb91tOMfvnUnKn0hNTghc9R9/P9P2Ny+6Ft
6LZDWrbbZ8RdEZ9nlt09msSYjdwAaQTWogp6KjEdAfYuBV1Aoewny8koaz6Rko+s1CAdOxnhQqDi
m3FYKdrDC6GsCI5g1n5QDD32JeEU9e+H3SUeBmf9p0gBgMZRwf1I6FieEh0YmwnOA08hoirPtGS7
SRn9QU9zbZ2NyknLBsM5HIr2F8/l/hW3PWdqGyIo2JEhBp2HsJhyDpYC8r+X4B4qKLF6A1+mH5gv
UmsYn8ceTGYO9hO0c/Q1ItzGbjunE170QMPGz891O1/lofgSa4UBRSDLv7Bg44nQphnPaXM+4zYK
QvXDhwZ3KphAeoeNpHLj4v6ZU5444CtIPkbBAzVC/tw1MekY1ZpUuZ8UlNNKz0eaH3VXagmE/wxn
IWM5y4jG9LFoE5frT7qqPcbU2dp811D3ghytWzveLV9u9idWrikKxhEFbPHwLvNp/uzBG0RUSlzR
3z46P7ldng/eg4NTCgce+NPiIAnymGpkYeuDYesA9fTbfNSvThRhCAEHIj/k5zK1VimJzNApAb/n
ByeYn6RJw7uiUtF/tqltXcPLKICykt33+wOyHtuVGMtE125Iu5S+4bdR/uq7ceGyqcluMpmAYfrB
mD3uvSMjM46eNms5ttSMkUnyu+UTUucxU6nSTR0uXN0pcmYOcNxaq6pBQn5yO5bs2aqkFv95CGLG
IXmrHySa/K1eAlnaolpqq8yztaZYRZtl/cnHF3WudnuULSRWUGw1A/WDU9Vcc0NaNGD4j3aIZxvn
c6s4CP3caqID8MtO4xzvmGWGL6BP8MoXPXUCFNhsOkuKY5rvheaxbfGalS2eImoxdHvJjxqgFFw0
1CAXNCV6UMviTs2VvB9MIMpXxptDRSPXW9nqWL1pQhHjSNRGL6+1d+IBJ8SgUWsVmU0bESjLZ1Vm
KHiACllvsMDWY4o1vom8mz4p/gQvqKHZjBWMjUlv3rxKYwEBnSK4CH/pgdYOhqWz6+YXP8yeZb31
OuRInCGixorsDPamYB9I5GHcQ0SiNwhA73B3Dm4DQAz8iJTcUgEW6b130HRXfPJWFSbhRQHCBngK
Yj47KQ4BMXtNzH04phJ2DGAb4PaLUKy5S2tINLXQdNvKLXnqKS1zfvdx9/8GcTgZvdLZLnM6rEf+
L2LibVeYg5mY5qVvbJecdbyAc4enW8at7gqXpzo4jjSVF5Vl08QKu6yepzblYQjgrFxPIXDvPsIh
X4y5il2UMQZskDQwezPWcGGH1F+GQ6/Ssa2Bk59bBoWHNweCigcOa2c7R+vf6aSfI3IqW99Hf7R7
0K6kv5ffP2+xK3ziXDL0TUQkkibz2/M+/zkMJv7T8WyQiXBKk/qyM/mMJ4X/vXND7MdJv1KzM8zz
2M6cRwbB+xRDtBYlnyOv392cpvvbUk7MsBF5KRLbzLVguLymp+fXlBbDirw/6t2fQfBmzs9ZxHNc
Buer9OW/bghYwdARugpUuKXVQWpvGMbbB93lHw0V1lhcXYjgVx+vsigNrJqkuxyrktx6AMpVs3K6
CdS4CWLeZzqt9CsnD4S25XjOc/y6XE+7I/CtbIlL58wvJq5/z94jamKstvdzyN/i2KHfXAzu06x1
R1GeCJpKkzb85z6TbSlN+Aaf1SKKFQnNIA/w0kP1v37jbqorkmIGUjfPEUCObMEeULZBXSKV0zWX
vGhWSNL4+Zw+ncyFVmZqVazOGJ5zBylc0tx2mpAQsu4QRbXlv/6Wc2hs9vkKJQg35myJg9tqLFGx
NTaFXGtN8G+OjddC/yvVYpn6ePa/nqt0Oqrly6jJOgnqfEdDtxSgU+AwnaSHsFHCylDzCzRjzeE2
knXYOpej0l3R4I+5ekJV3PDbUS/R5JI2rP6i9HtKNdWFKQKFZv4MQJ8sb5YEKlZ2AAEc5BgJK0Pq
Ylws5E+/dkI0ntcwjm5Y/UoEyrzFIjc0Gxfs8decvf45o9gzYIW+UqssWV96Riy7AMSMCj0cRVdv
nI97KQOx5PKMRpP41mlTBRVSATl1Iu+PLCRi1lVqcZXXcRffQ/WuZo+pJZ6UzwD8Ewe+KQwSuyJd
JZaM45pvsmN0Ozu6n8Ok1E+zM26LJL5RoNuW9Y9xqvMvyOCyLNK2Y2pTBqCK/g63jBx7/iMIhw76
uWf2k3d0EdRBUorkxVXR6WHMwQ7+K5e38er/ODrFDvbMVGruakxJzf3aTIBdb1rVMRogngAK4yKk
gyGdIzesCe46HdokuaBnPZcVXS3jenpsJ1GjaoKNH8+d5/WN77d4NFbfIVMZZREfKvTGalW4vi5u
A8n+q10WzfFZK1QQZEvBjQvr+ogw6sL6A2CjPsv+80mmPozoP3um4t52vJcP1ZzzrHkx7PDv43F7
BeTguZrRbi2Gb9fanYK/7JWtFk9gFRPGhj4L53w4CnrmK+jvVmHHAF7/+2d5kAqwJ5r4bqGiUxwV
sHTIIAOolOOOc7iNpfb4YTP6q1jEPS7MCyvkIJ67EAB5V++LVRZ9Rlw4Ev/3G8O7hGyNvC2uji5G
pznpvhOLkwXnB4lA7JtFVXe498s/i7eEzxwR03ocKkuZ2hMmFJhH8VBzzYfIjORlrkf5451L7kWa
G9DqtgQWXWSEdJ11yDE0V9wkbnh7gYfS41ONVhBMkrxSi2ghzVYg58dOEUrOi3pMZJFnXZStYz09
5Jg9X54gp6EGFqgGsifhqzCkBrh1E1WRjCmiakdsx8hqGN5ML+TVoSYKWM/UVrD83GaFquHAglxk
SslTmAg/oEBgD3Yc5vYdwCcjgo52bBUzml+GglaTiza7GI2JTItFxn9P7k2oKlecx2IpvMgdOuze
S35XpmFuLDQSqLrs+pA5Svk/hTPw4LByQfl9mel3CO+oa+hz8/D7yLzWd0v8uDJadQRwz9gFeRVz
8JbACQ4ov68OGNiqPtmjqoAtGRzI3CzJNft/E1+ky3/hT6j1yQ++7PitcGvhxTFerOr5RGZWxWKO
nIF7C/fACAPREgfch0rhKpnHbMNSqxmkcpTnwGGcIi3mc5+3bIR8LGLMIBGowZEnuaa4rEfvZ2Ri
7rXjvqq3hutUmf7Xlh+KCXdHwAdMQc+9WDQ4gAFc2xi1edQb/ccMnQvNybJkjBbmlVdV8gK66hNg
DWbxP3p5gTGSfbPnmurlLm2tU0LpoqIu9AOOuHfVWFlqjLLy3bOc+rvySgUFrjNcXRILIoa76NoB
55sllXQSrjrhomamuK0zLHVZGhVr2hHXHqMc1EG9SeUOLEdtEu3TOAPgL3vaSa7g/mBBkygtF1Up
IdrD3LogFGKfdZd5+rfYyX4tT9Lnakcv/x6WUPBoFdCjhUOxYxpMBs4ApU9UgHHzUTltejKqsxyz
wuxXZRiCak5rJs0eG32qAquq/pUpQ1QX10GjWG3ie4ioKxTK3kt9ei0pnOmylE+LDg95xYNYUN9j
kD+3raJBkE7WlpvSbI59g2XUqe7+VaW3gRhDwJAfZ7QwMAAZfJR6e+WhyHQ00pL+2seGE+eqtCPD
+lxd0NtknBMwUHn0q3I607CS0BOJOO1ZQxPicWCQe3UkmseIdndtwQ4QbbiuuZqi/FtoV67taa7c
PsyE0kDIu57y0UWE7CfqEE0LXD0/j7TWCgsJpJIXg3iGjKbpC2JWmsrZObxq3cIql4o+nat04Y+C
AXjW8109CJCVgM0BZH/+V59Sm55RvS4kgzYgxUFZE9PhOuhlnpTdlJVpvBKqSvN7MEiIXVvl18Ab
x43feJb8dzoyo6sqGA6UXkprJYIgIiQUm5oNxjdqMiq8jTr3cRIFOzYsSKKWltWf5SwByqSlMV5Y
Lx9kRN/l7237kfch0PmkqSGm/PKOVvcRFvb/NBt4ipu3ssxEQQNOPXydmOC7yHDwOQAQw1mRF0wE
v2EkJ9X9wWPldJwnX977riT+Bf6H7++GyjdVd1aHZL89agT09c6J6ezaes4LTI/OYhTg+HuLuonW
EEyWlWZkGZrvkDwbA4cGt0RWnLgJCbTKygew9mZtd6VpgoZ0LFvPzUWKQ1HzNrOIhZT3jUsLAESj
O3cUORNPeF/9KJalEDlpRnZvr2AUX9lIrye36E/B5jYEZim0o55WvPlWooRs2u5ulT0CaCdChdZD
l90K8XNCLOTUHX7zCzM/itYqcmt4sV3jIV9Ree/U90ORvnGkM0pYBsqGEFVqDKE4lo8IL9TBPH8K
R6EG/yyfg7YPAtLRjFSXP5vgY7m+XACpa6sxbnwvReZaMqR0OXfs/lRXm/7i31UQ1bZxCa00d9uU
/lso6kzl+57Nov9azLEQ1EjhK6StUDyWjnzc/5AfHwPR30s146WaraDmi6kdLU5jzTftx88pSnEG
u5QODOUggHHIF+k6hO5FYJ9gNzfqwtWzcbOSQS7nLVnxxaw2mH30ArTz2+iJZ9a12L9Fw4kG6Uni
OKVCyOSEVSioVAEfMYmqZxh1KppyO/PpsMqueyr6aE11AvOhlNMN+gVfqHi4XfWGuVrEcqJeB1bR
bgXOMepI18htN/FhL7/+EhJqZceuulY+WiFtebM27Z3VvjIelFrmTRuUFv6APC/XihY6VCQuG+//
qBdfXGtfLMz0AyGm3WOLoJRid4cpsyX3WE5wEU4knJzmBJQreZxKHAHyYlWRqpKjRYbV96yfPYJ+
tKM+HA8a1qY+9recF5TULIMD0C/XwqLuRPBD6YkoyCnQF1p7h0YvOuFOt0Gn7HmPaV2QvMnucmLF
18jm8R6q/SookGTh4tHMJ8BaYLUnVynLSJ+/NICVxSE3Vshp5sr/Lq9WY4kF64/uYxN3IzmiW079
95tYITUnNLwxJXdNxFAI5NEtyCGHOpiDECprvwx1RJJ1JW87ij6FQmRU1b/V4QmhcPSf7ZgKlDsG
K9aTkG5ULb/wtMMF+72LpwQ2IPlFLRsNUQp6Syg7ULbhs3iT9l7ZBCtWwXdkmxBBsjmOUYU4glG9
UDXTnq0Zfd4ZQzEbM1PqpIGTlgqDJYMSBFiwC2r1WSbwSIIh18R5/mNmtHWlQj0RY41ANMUhSLFG
D7Ay5RL0y2Bmk5FXlqNfi2V5moE0dNMO+A5n0JUNKkJLAXBP9oY/X8WSNRV0Q1gPhw5BlHQsNLgq
9jkh7AKaTDp6ZooBAkkUDY0bVGei2t/iCDKNL6ulmDatT2DVi2nRLTRiaymUoRPXNWETzVPOON91
5bufTbRyk+nUBCA0JAGanWOO5I1MiO5CxdARpyfRDvWGTTwRmMZFOIa4ScmHVFB339NtEcVuk8wn
T3ReH7cy5TvhTHLq5mOB7pVK2PEtznbg9GMgleHsyhimYlzKUlhShwgOEQ3ad9VK7OlwtKGXZZuK
gvF9C6wG2To/jjFuCM6D+y2WP7+4JDafGnUR3wgMRDCa6nNiH4tu5spVIWZRgX68cD6dsHX4vrsC
xF5NejeQ5M2Wi2+7AGEdIM6rQx2NL/KMTbmLv1k/2Ue0BdPqka3iKrC3LSZvof49MhSfN3iCsLz3
0PlIfed9fHt1L0K2/L3ZncQAv5xVm/Y9FXDnGsm0qQOsOVTZ4NwhXidUl2W8S+OGk2HoBfp9cHlR
UpKcTW/q98wEl64fZ06HOkPXbqLcz5G/f91ALtiSTmo3DnVTibDicCXwxjIserLDsCJuB0SzZR67
Dl3igiB5RQcdQ3hwBWTZI1j4D98EnwcZTa3b1vWXn9EDAz31LPjMCtXXTvrm+cu96XPST9JbxivX
7fJz4RRS9WCoZalQEJj2vgVSyKUqvPjUs3kHiHDN7NwZxGdfptHkFgkavYoaHyLJuVDiJOCmuDGE
RG6rzhfRlA4LEd7+OT4vN2smUHYSpb4kFSIry584vADqy1LPtH5AJvoWXHOyxLAIPcYnG8kqOYCu
/5E1HX++gAcytJ36rxm5pC6BqspeBAz1rXff5XbdiPnFOlOiha8xHYHd9e47zE8tZ1bFHlrHOzMb
qSPXv/tUJvKSLed2WvamChB7meH9SrYt94M41vEhffVTkV6txVV0RfcEMkrTYpUWdxU3TkuRwLEc
0TcCgCgcvOBLB8XCUxEQA2tRYI/5KmSi6M3lxTB+kgaonCBYE8zmGgDwPU7kvARquMeRJso7RI0X
4sYsmbhhVyYu3lnL/+3u0EsyP3YItUIYz3VpNt6JdH1aLpEBFVCzWYlylc4IVj0TOnqzHUFHSmvz
+lSYHOlPZDI58MMgfPXdumwzsHhZUxQbLeYQ3AeHidlUROWRMURUqfk1gAXkrNwUBlmGu0W4wxCO
8ksenf5/2jNhWJE1jPcPDjL7Yckna8mECqeffO6D00rGIkEaCj986l2JOspy8L99YOaxB6pTGS+y
zvQwgVnckEzPsg1qll/Mm+Ms04JK+sN/lkp6lFgfV4Mb/aUhM7dMHybE4C8YJOD5Q92+0vh8h2zC
yoyI1rwnJOe0NE/tkgL0HzQrhPcrJd0QIvDGW+JeXkimdGHtNPOc8bzyHKe4MPCV2xwa1nKToDQK
8dROD/SUsbqWAfojMxbpNJ4uwRZ4r3qyrTwdMbYxqHtW7Z3v61SXcAd3OpuoFegcJAZ1F4uovHIW
VoXJIznMenbHST4YHQm1eLBveMs/iPfFQwGZsMgTsu+rCGGnVaKRkXWODH6R9B/UQfwL6Pfuwgo6
xofDJHOwP0BaHzKphn+Bb1ZrdjmCjB0hdnlV+Pql2hUHarUc5lDvGjSEzNmW2Rh0h3Xso604O3TQ
OMQlfbWbN1wEYBpIXM7nPKLhe/SdAUbiD7r6KYwp0KCapy9dvMGHYWPjRoJIymEITxZ4UErSEHzO
i8V1XSImUbOqnkW6aeCYNbgEazmrhY7j5SIOpU5OmsB4MMAfbGli4wMja1GnzZQqbhx68C+OeSYo
ffpUxe61g4BsCvAqdqpXpmAVVbs1wWh6YpCwiFZvbpSLxtrU8vGiUtTwyVWSrjIhxhHI73DvzZMa
4y2MnZ8U21bYwN7OHuWZlwgk3Iy3/ekCrdjB+TagYwpjZkIfRZbTUTUUeqKEZ5Y+r9tuHOwqntFe
jcnLfwFJvTW1Dq8lwv1St8Mgaej3n0Ldq2x3Qkd2ZSl/pDsrudg1ve06xu//RnDKKj2IrXpBjqqu
u5Z/dGmkcqL8oyohoFPCKKN4fnJCDSH3xUZzyAa5uyV9S0PSWrzp4qaUtJH+pwo87VWuWArTwS1+
YR5KIHX6MHEnmb/Yt+sp5tTT/R2Ge1E0BIJZ2bVinILcBhkuSYJDpwYLlJCJgWBNCzw9Id0MoY2r
V3DV3pO4rctYjZIw+Tx+H1kP4q/B+nT37Jm78KKckg9RZyNTlySNWnpAN0daUAw5yXplVpkG20kK
PazzMNW9VzRLhgDXkLluzpu/VFHtgJ/poo/YN5GwIPBt0LBYdAZz3r0E854A8OWu6urgss5BkqAO
CiN0ZPh9Jgnx6q7kcihybJA4kkS9uZpErgRfuetx6CJw7W6zi/d/GUUOsoxpVv+QvNM9kXwzKyW0
heI+P6yr4X8iw/DQxO4au2ZmINT/0Ux7jLjJLlFlscOExdhOuz/+VmX/m4MynoXEpNGapw9VCR5I
UICyfMnyfL0BBA2izcylcWSNTTNOcC+vy2tnHUE0RaJpjBXrFdzpaoKYJBvdsuO9H7vrskxkhKPv
oh5wuwFq+fnIHU4hIaDnXSdJeHJDQvmRwA1L1xcqnH0nZNqw1MKrwkM71GX10HO9T6P+7Epf4rMe
r4U40QvrRixnQiphygUVBK0ioKGfxvO28WOPmipTFr4yfthAXpO7LM2JvfA/H49BPIQi1DmCTk2G
e8DQLGUfRHj42oTJdzGhi2hzZN/6t1DdEUbSxm+w0SroV1wJv/6VwfzRX2f6dg4SpMZPKsfo87/R
KN3kzdmM2Y2vo6383dful3nntc5mUhUzVEGM5mk7B00/tRneDat4I4KSqSS1GFV2yctWhagsumaf
xMR/xdkTW2jGbHMLF2IzzOX38MvtDdhiwyVO3t4/Ch7FDn2BlbQH/tfsXmt82bJ1642loPgaNnvs
+4nrIMPd8nrYuVOdeaTQr28eWKkdpNK6dssI7rY2cz+pvP79aUqftUoTfS03r3MYDTOdYPi5Sj2G
0gvgbpedOnr+YyTzoJSETDIw706PZjlkqtHqzoxbXh0K7RlP/1YiHifScyzLjAMuXAAXPtfjrBuz
ulrS0iodOklCcCMtMII/uQrHkz16g98hXWGM4JGsOfU3osbA8ARq04zEtfaF0N2pUChnexMsRO5q
edYxkkHY1B6XK81X14TVDdwBOuGm6DQ2Bn5/pxfBRWdMOQjT1unDL9LwvP+o0lvpCyXuIll5+GmZ
lo13ujnCSf3+BR8K4P5L1Q5m/HREIb6aCD4P83InXZA9oX7k8DvtHafnqmaHlqwIY17/JQOY5fbr
RGBMZ6DRfDyXZ/XcCPw2wh8KTxyhAo69hV86vCRdSmothP5FahbWA2zCUFAo4R7R83VSmaYyFYla
Wmcqpefu1qwYiFgg72/rYhlqBb39Lq0OJbXjcG226UvWSfx59g1/+HagQpE7ltngwqWzVbKuDMZi
Wt+qqgDke9dVUtxOMKSOCplA7h3f4VwBXRcvPzigBxsqaNQows1K4EQAuuWxpSXWU6UJSUdIaHiD
0YQp2+OR0Noi6oCEiXu3/KqeAPrgcSCMymUO+0rxDQc+NmNCBQsHbtg5LVlWjMq5nfdwQNF/iIt6
q5i7ALACgfqkKBw+xetPrmNi+o+wysrWOEUyAyuesk+jB94IJmO1fYAGOVzE/lEbvsScwW/Tffj5
US3CLij9Qw+2qlgOSPMo4GH0JlDuHUQ49NlLN7qjAeMO2DnDLaBugrWKxu2ELl6TPHidK0uxuaEw
pArEJpM9h07pE7URAhDqd/hevPJQDr8+u2uMD8CfMndTFXiq4YuDg40qVA7RcUsx54ZUvX+Dqwbd
X+RI+Njq07KTDD0NFVq7DXiqhDVaa3aHSw7G+G5ggslK4l4s0CBdwuR6U1fM/Kll8zCO0F3Sat3j
ndIYMTEAfo8zXsV7/KdLoKPT8KK0YJS4DG5Xx5mlXUgjoAdNDzwm8poCGezeEn439parpbL6M+x2
IrsyqqbqOHA5/wIIgfM5pnk60xlpYWUL/tOGS0AOpOxQ4AB4va4q4Va/p3fGCY/pq0LPBHhEWNVS
w6hx38FCIEbocq+Pc92Mru0G9Zd6XFe15FVXPj37d52TztMvrblLfANKfYtB3Siupz4b06Yj1EG4
jMR4/Pg68CTaq+eTDWwky1aOkfYIB3wmXi5ESlpJovJHAHhbYJfGk8PrnBQMq7KfaxqoFLcda5rf
e6jgTtP9MQn3KfFhHrvwablEslUMgsWIGmRRfGeVjJmGkOrOeCUiKdaXNHhOcC/4dicUx4J+E8S0
V7CLpa6v28zPvEBOujKkASPS2O9LobAzl4QKtPreGQCHfBJA/qw7lBuZ+hsyfEmstozxlLWIYNQE
mcmJFzsXZuOehu3rrDhiyoMAmphgU3mf2tjrj2i25YF7U9mqVLmUwlcQFgXvHRAVRZUYkKKJ/rNN
GVxVSM1NaqYWI72xyQFRXhJcxPL08rdKO/6VYBbO8o6wZP0LdojTDbqM+gQW2UloZ1UtSgsxxFMp
9fyJryT43JoPENZSeclLeinfuLCP65TA2gqMEVjnq9vyqhv0+EcE9jeECrMuozyNGa1PKt5p6FJB
a+cD5m9s7XGtqDgN13SwkQyBS2UP9BfL0C8XqaRHKey8okHpzIBA/SqpUIhLgC3nhAqIu7hKKpUp
C/qzwAHAWP11BQQpFPZRYWH1LC/yLS6ydS7A17ELbL8bS5R1CZQHG0b9J8V62edghzhZij0AvrPC
+DiVRD0IthJDW2cZ3mHT0Bkf0Kz4c9XWhWy3xwKUx01xfaU7LjAWlCN6rtj3u0G+FZJcOM6EQPXj
7ACC4BWcAu4wWZ5Py3nWOynQQLie/1QzXRxn6QLlGWMo3hhjlq4M/bZ0Qen1IK8Hx+1QOl1syB+S
UZk99LQIZRbV7cMIOV5r5bTKzkAqj6gIGlBjcqs3TapMH9FhhGF90IaA2eWp8ntijSbyE9eHSsW4
wFqUC+9UpnoP/SlqbinMoKf5pE2tC9xJ6gS0LgMNVI1p4jB0AO67MgLzCNrJXQ5SazAptL7NrjXX
MlQlXtVggU+v2OHXEPSS5sRzTOPKj1LUaMbXfrM8xepxCaHcI3q9aUrvzRbfZOsJFoA3bDf1XTs1
sHNu1W4q/T++phlepO5c8zAxSXVtAM8hRZj0NorgiT+p21LRdoPBxuj0j1ClvAmbygbLVQT9nDqX
Veqy0VBXEwjHzQOfpPbdBvJO54vR1cac+xucdHfHNzT/eR6sDIlc5LPOVWG5L+eW4hysikSuF1Al
+5mnvMwuTjMclsKx17Zm5KLsu3cL4sg74+1yKjcrvm1xsPvP3+4gYdnbZ0T8zeWAV1xkgF4AJiIP
ihDFXtr+jxU+ZwJLZG2kvCMHWDBrW6UUUGwpWDd1SWKr+JYr38RSW0F+Z5bI0NA2MfraVI6pCACi
+HjEFoy7WPH95oO5gDHPA13U/z70cgq9gW5nZqtMv+wyhmnI5eNGggtzxNGdC5hHZjWAVt8hW3m0
/q8NBog7L9vgIgaNt+XkpQQH3krTiPEa/dR7A0q9U6UjJNd5hzVty7OUwjTLR61npXOrcKm8NGFL
c/a7+m8DGGChFB7AsAVcefW+QbHM0+n7Sw1wcRflupNJpiizGv7vC8s14wvD+NJyN/p7Z5Ed1QGo
ebueJFyDxEM7fxSRNsJ5s2iM7HQJ43PT352Yp5VwysiVpMs44fwWwHGCkJ9XAcnvF2UVdpC9KACw
lS79q82UHSCXWH/AdU3Ru7IgE9mSdyokZfPOjJdDy8WeakKRkam4g3O4RwR6fM+NVnMgeI8g1FPn
ruFlWAjzsWf3dn/f7bYaa34S0nTbMYSktaOObN1rqt3NhoNmKWOJzyuiGpLIlRS62+PQodcjclRG
GFahVvkO+dVEHOm75vOESqeVGtSMZuSK0gMteXhL5e+i4tn2Ym4JwXpwYl6wikJs9snfGGmEFgKl
z0ZUOzpYso/kioLXJ97P9X6OmUgj0Ylstka/ftsQKnokDXzibODPbA4WNerbZZC/LPgC5l6BI9jL
Tdf/VXDVPMmVCU4l0I/8R9wBQAyJ+r+QScGPxOabtMO0/uEUueotAAK2zmQGGGOA80jxHFusclgD
G5KZ6HfxbQEW8hrGeU8MT1hyCpkamcRoYsLt9suoE/dXb4Tu77tRKTNQutloyZhDaTNmmPWpWoZg
JvTRy7CJhPB7Ici9bTqBDGmWuzCDiW/l7zhlBpJbgiw9XVQCYSzHsVlahw67R0YEHV2+pX3QYII0
rOGEIbWp3c/uVdFZPcRDhw06ahKm+bbluLJz+AgC9x+7FEVqli2qifAwh9QJi1n0mxL1aKWXKLYG
T4a/Wp8fcl91/G4jHi0Jko4+/gdLFYJtmznJfAqQxuGWQJ07qSCc8Jl8I2toy2CHhvhtv7TXGNa1
g7Zgqj5bxkw/ZjKrOHxoPjTsWWBsZxfXgIy6T+H2efsVINzkzM41YsHIiny7xbkOyHyFbuDVWgkI
IHf8f7/xZ7YDGk79+36uF/boNU7PrBdhEr01rtCIBZnOfl89S+ATDI7NJj7nQ75krzAT22+2ZCXc
N31HRgzu17X2xE/CIl+TVn+AAFz4cCydI0nkx1OQD+a54sZXhyYh6sxUzZ+CfDmM6bvo7JT1QbT5
4mWVSnJOd3teUnO6BgvfEnRX+8i6Bf6KPwRMKfAn/LhgmfLhnWh/gB/ByiacglnUmLkW9/gmfpzd
nsUeb2vKnVtzsa8dJQ6+awoYHLv0moiac14b3jEIopbw2upNaQyIzWXCE13RFajn++fYZ2evl3MA
xl8yKIze7KViKlqWz0s+FgUasQ6AzQTg0oKkfhil+fOLKsRmQK3FFyuI9Cb18Xq81oHPR4C5YTZq
GMxWQjg4e1cuAoTvjV+UstcwKev4wFZZsg531RxH5GnR1D0W8jXVfU+NYsDDzL62Wc2p60lv2Hew
DWcFcHdx+fs7sb0cANufsnPgECIm3+H9uxKPMX9pzZwApWV1Ua2W6ArofczWvFEJr2Xn1OhadTav
GnILjimFphXtyT7rWZJSHL5mJHam/UHj/Zowh7XicHO90FnFW/3Ztxc1nJilRKsx2gIOluGwU/7M
r70JLySe9KWbJB+2InzgraLjm9oZNqS0NO5Gg9MMOi72S8dCuR+iZPRKmUHZyIdsTmqYoeu63LdV
dPxqOq9Y0ZBlo5RUvGGhndtHseH0NosNKwrPohygXV1UyveoUl3M2sZRLfmD9bAHvsEHGXKdIcTf
Oa8rSS5Gv65elauKLtd4uz+aSGYb2ZRs/2aN1iRLe6+nSlvcS4v6nAryCPxfuxbtLR9FPJIcvZkr
/ZzANQrvouWOVqnQFxEygm5R8lO8HeZA/XPvPGRWqPdF7pTvtqad+OAbAaIHizBRiECgnC34bgkx
XzIVpaPHHfIvMlZyNrqo8rM8LoctGF0thg0pEuYWNUmGLQuQp/2srmdQ9sYjdg9mGvckg5FFNPro
8LZ3eVSYmodT725j5sHs0KUL5wP3LL+D9QfT9iuu7MO7+xDXUfQo3GjqkIKZljKpu2GVmh+13vXr
tWfq6xn54QwTBOGGYyARNGdzJLrfcQNdBsYBDxhUibypmkMEPVYQ+OMVU4G9G6vmOj6FjiEvhhcw
M0pbhmAGNavUIOQT+NVD1+pUkOuQ6WAoL9e8HjKYF8LYFyX97m3LtFQ9UuYNZxO5n+yyGXoCugK3
231tZDd6GrQoITfM45w9cJYKvKXZeU7q+Ylb6Jacy9gV+Zy1/bMJFI/Msl2SMSJSas0eQjbvI7Dn
JlPIaNVZIV2AYmpJNAQLqvPMGtgsrxnnHWH9/wMakx8vREhs0aC3tUphtf1CH8AV4inR1aAP8qtr
bisXp4pFH3XVWi+HUJlSb3FxbhH0Mdq8DHZOk/geH5+Cop8C1RuC6GMiLFS2gb/wiZzUx/lYQ12U
uKBEZrDCRxpJHKHd5OF3A54cd8zkpJOzLse7BEHJdPOhf+KAX4sfLXUXI9yJLxfIKbQgH3qMvyFX
+rbESNCCBoh9e8jdOSefEWxh8F3bH2eUHHgtEKJh1N78nZcEeM9aKx4GtLtVwh2KcZh7nM8o/KdJ
CyxfFWlLmMmEZS60p3Hdzmwd0OmaUf9HW6+fb4XAGfeGfkwgkJfJGCWVVQAQEihp9PrfvGFUE7KZ
ny5SQsdKlOudodko7MKOa9sB4Q+ggEOQx2Z0YOpTfllLywZbLD55sPNM6eDHUKlfWg1pZNKSrLh2
qkJpPlCeBrQlk8Hr/6sEQKq3qw2hGpNJwFoWesjnhcikv+vjp9pCesgbn8HXKExmbEyMqpL1Pi/W
23XbvZmcTw8R4dzfnh42nfMD7HZiIsTY8Gt4C2Xf14ewxAKFlAnRiH5ACtZpciFankzQv9RLM0m1
IIZWegCb3KadcnRwoPvfk/VusHqP8r3j0LHQe01yW3JaK9Xxrwrp36STtUcPqRqb/Ov02Y21SkzJ
8M0moha1SkjwbzbrU88zu9SEfaNxmEI1vSeVQItsWamlInlRn+utdqQkjSXzO4GafIjShE6T7Zbr
+/rojU7O4JqkbqYa8PPIalZSIVjOfmxWhTtZFhozUG1C+RnCpnshB4EKCVGFP0M2LGUagn64RUJH
PduNwIT67gjnigULwW+ISxTX03oa2L4pVIXPBaQm2EKaEZRSnGmFmgqhW3SErfXUW8dVZ4S6QvFC
S6gvwocsvpLFswgAo1sb2bAcFFnvOvCVgHCPcUVzh7ZIQgYsibYZVLSUM1axjJUKjfzzfPbgVGLe
JRO16cmBOrtBWrTM0VTRkvtxDlTKj/zUKHkG6rKE1L8RQyUmAA+yFrfizHVBD8Qjf3cosdAsznOB
ZbBjayZeCIl1bb/Xn9qhqj5VepEcyS1AIIpF1u1wmBwvyxXV4XatnDh7wFnTv8eEAVxonVchJqJn
QefeTrgMiSV/CvpB3ZLIgoirV3SglXgdY6pyoCNxe21cYmlobWTFODUYnzUxVTOnvyJRMqi9n6pR
2MvxQ80IQJueCdGAkHCPFg1HbRcGhCpmRBMIeCFhHqZasZ9Q4b0LDijOzC73LtggpXblYz2sT8tD
97nNiUSZ6/a8Rn9d8W5ghatr/zkmm3Luf8q+D/JFuYT8lf2tEzElcBbR/O5ybYiiJC1QKXjv8Rwq
MoRYYHrpUB6SLPhoCoEtOOPuu4DJq5QHXTvpGNJULq5WT1PFCBR4b2SqcjUSnCXqdGJ4lEGY5Gl+
69t1AbtY+dT9809MTOaG/kYG/rGXM3pE1STZrrQVBcdoTM3dnjbr92eKoSeFNOv4FQfj6fAP4ukD
L3XPxv1jfba4mc2EsTUdE5Tljo6Qx/Ofy2k3aw9K/bdm7mPU5Y5oEVuaYi3EXgblLf1SbcNgw0qh
eqGqYu9I8z0jheSZZ9yoYAqKGsMXxDPf8URy6Ti7eDPorpHsRFShlXmJFoRUMpeO+2R22U98z6Qu
8p1CS7DWqvuqGw283KkfnIAML6q5nGBRHn48Lvu7dNjvSKt3r1Xv6FAn2aTOI7lrFAzoelrrh1J8
/g5cYvOgYUo6lbvmPYb0T0Rt4VEKeIqf34Y+GtQ2bzg2osqPbhsFCZekU6cqnfEll+evWno8m4Z3
JMyEOMNXiGajesTngTcc1/NizzKJecE51l5kA8GAxVNCmiLliJ8NPx2VSmAuZSsU694TR8SWn0/X
7yGuqjfL+QU7zdwPdxk/CLap3vgcXt+Fukhv0GvFTmB0NnMJ34cUv4cpSU3lMvywb3yu/vF09MXi
neBM1/7MjaUpYkj5N54zKZ+zg1fOu5Xft4iN6UrH+Mgn9H4c0sdOU5EpVuAX60h3ON00BIX+/87K
xqoVwBWRpNb4lch5dGbq8mJYSNuCuZ+2XB6PpWDfa0A5ENd7Y8+krN+nboNtSQgL4wOeS4XN+0GZ
wHNC10euDGSlSDqOGDkkvNTlfbAy28yzrSuVDfTdjqTbKYV82iwmMfVcgqBkNfrjNA4WS11Hs1nT
QEu6ll4qvB0DWjAmc8tKlQ0XMkxPNSKNFZHc0UkeQ38KRiUGQrT7Xq3PuN6s/EnVmWw5R6QrRM+L
rgm8Ql9iE1KtW2gfTc8IdjN5pRJUk200bfxB8XO0YK4uJy/NcXBEoVfAEercs4UNLl9ghkZmlLMY
6aWbdSs4G/PmtGCh3ltGch9wDP14n4eGzwwFsQhGDxDdzR0UTlFT4HUVJMM3ILA4KnaCBbEOOJTG
LcpUmPu4aYVGQ6iewqS4zW5NSXl1soIWCxBqgsLktlS+UOKHRXKX6878u7W6/MbMY637W2V/D+ZH
xv3GZNouRbAACnJIritH/FaICfb+21CAxj1DehBjdyD9jhZZLGVgPgS3VFThlcMJ69wOgcUdzo45
bSjp9w+bmH53KuxKPvCM1RUZJF0ARNSf6sHHus2KD1wTT2klsc+JjdeaUvAFyseLbX6ZrqVMfhxh
qnD0jMxZyHB8z8YzSEC3KSFiRjRLM8eh7tV/IlBSy13+YmaA1UODB9gl5w3G0HU+1eHc0nnKG/7I
9agT6Jr4BfqP2ZU0ux8oqkP4WIRP8yOvgkNlP9Lh8sk5vrCFrbVBD3n0rEcVQ7dQuZ8sdg/HRDdd
8QnM4bT6k0zK5NlZSiFLxi6MSEtIRI8ehtSXFFCPKVEm3gkPR2fmAepHHfGBq3gmECaqUKxpodJU
76keXrtEhbPqb+aW6s0P/yJW/R4tNoHn5NKyR1/OAbZSMaGbW5ouTjOjcaHFLrC41SUhVKg1a9y4
ABMvCIc9hFlakwcRrK8igvrwpZ3pU6l4r6ekqpJmLOnUJmYb1cXWHKW14oncIsjmqLn6W7lO/qk/
w1o1ofs3FYV82KRfJzvnXJ715cOW/f0kJuuCfu8u+ptOlfDpxS1NyULqWrHybUMYZkbxBMUEMBQB
9w8An2Wg3sMp6VKqT9nq4khisvi3yLDqk5rQkkhOZAJnN6m2r6WP9i1CbmilAPHoxRmLLZRxdFVa
VsW3RqVpJjBPUBVseAUDZ6pgAaxWTH2Ry7Lpw0CNHXWo/oKHxJ1yUQXs6dfUCrrtdr/YJUBvc8K4
I6SbNfkVf+feG4N7rW04fgx2Y86oAA1OXmEz4Z+sx5VSDdRzwLKX1iSuJAIPhVF9HyZENAc0fOh/
5B1vr8frYCxvh/WMs8upb7Rb8A0J/IhrJkfHKfHdtZY9MBXgPCtRKGNdF5RBRCdaxWhFDKRu3VtC
MYOBOT0e6pll/lgYZLQtvyZ7DleWUTSMXLXmrzJOnFBak8f21LDN45976le7d6Ec3tkcolM4pLPB
KybLkJoOmsFbYeOLFXjQaqP14RMEDk8S/Rzpn+LnM7OsJJ5hDCJqiWGvZAFj9QjVqoSMyYccBFOw
YknAdzscr78NMg/tJYOruaXWYgUgPDL/KHL/12cWyvBlpMTzTk4nY9DmxuzJA208FJHstYDn5XnV
qndJAo1Fh8bUgNj9RXUEA192UCezPoy5cB/FsJwhQKkrAwcqDAdYehoi/nzh5je6jj4OPFbNGCWJ
37ZE8u70oKDf5fR+IsSwLgjvUHnqWbggkYgfTIS4PjQQBXuphBvCvwaSeM/pEAwwEJ/cjQ+rHxqz
4L8KBg7mmvF0TjYHz0CZQbRb65uFAWJyi+1C8FjgbRw9o3bihsv6Ic/0OPLEOGV3x7zdLQxuDyrG
aW6/ZAGCK3iuyc+ad5cULV0R5E9KN+qXlQJ/oYpLY1PjncchTrrFSfUxiybt/mDkT0vrFVLWSxT0
ySvpPnYwPIM7PC4BD7NVboj2hDlywjDUrawKFLpojo/77UIll6UflureoZO5ii1ITIT5VqWmYPs8
L17l9dCr3lgoBeo/L5Qvx+jsAUU8R2eZEQ/SmShDnJh2BO+xXkbEOX3R3zMMANQV5zgujKUtY1kQ
UHnYnFcmzdKIkT0PquKyxmdkn2DOzr8tLTHJz3SNodRg0DVSp/hTv2HBpU0pXgV6WgNWrFRZvZid
TaGhxLLBuJowiX1b0my6RH3dC8DPcKyw+oZRmfDB5QowESJmEzqWK+f5ArKpd7o1OdGCHZw+cBAl
7Kv3EsA/P5q7GDZa9v8RcgkfSEbpkXpOHnqys0+LjtYTymb2q0mFVj++11AmjsHOBzcko8u4z24f
SLYscA5qsqKU5PyZnRx3NwTl19VBsXJ8UOs5lm1ZQB1gyh+HU9a4Fb7S92lz1l+FVg+l8S3lC1SJ
ho+53+Y5Hq0M4bcw4hn85W9YUtqkW5Q9mrtBV8jwlXO72I1gavS1gBA2LH4VEb+KZ3Ozuz5IKVMW
rPIxtZxSN06X0cU1hP1nH/obmOOIKiEEIpCoFhWXQpzSazUz2Z1w7jJF2LW81MthuR/yHEiDKl8U
a1+Y8TJR37W/RICXSBv5iwzndqjqEuW8ByhD4QVzfYZU19ug+GAvCrRERtlYukrA4rt2HvU62XVh
l0zAo6GLvfitiOf3qGnxAXcbBdkTvNAYA2bm5EX7nwNuMgY0nYnkPNHjKn0LJSnpjmtZkrObTFgT
/4+38hVX5kHoXwq3+a/IgSS3XV2hUvpC2uEylJAAZ588Psz1/TAKSMvS9RD6c/TjEDv82oyteG0i
p8+eNJYTEMEGnQeUAAckkE1VqmA0Ksyzeu11xJJXrZs7iFKaGkg4m1G10YdXCxzLeJebaI1NNJtw
6qYaUe80P/mJlLDO65OsmG4lkkrzktqsFtC7EvSZQxFgeTrqPZY1Lc7gmg0ZJXU6IZDKPZ+yjog1
RiNw1lgMmbJ0x2Q8UHgNWVsNxC70mL5A1XtwpY1ZUdahWaeNn+n8z+a+Wl8gHTON5IOq6YrmnFaz
pa2lncrGacBtI0NULkYTj3igLIWJRwTeaAp+97w9u4YAr6EUjuleqTN+wR2PSzDY+rlvEY3XyupL
e0QbKUHvtoPZlE033x1UfooMrhnr0OFClIAeCtgdrcQpJ1D2cYUxQ+VGrtZNWaRLmjel5RpM7gOH
/nmwZly5e49SBonaQYr1Bm61ykJIPPz2zXhNYmGoLtnxYB96/IfDyZPVrInt4DrPOPEXMSRbyigz
Y6ui3usqPcFQDQx7fBXAmRAmCI93sK7AfrBzmlWKVnUtbzIlccxn9fsOMVE5ke6qt6dVGXA8V/7r
m8I3koRszdd4X2gbvJjxzGCAFwvSYtnhutS2ZSjW+tcJVksQPM9AhgRsioBHzHCWoM9dnezTJU8E
qTfNAXfDeEcyQqXMvGAHJIDZ0WvRK3uRhuWZhju/Oe9fjK01DBbh/ZIDICAWN633PHQ6KAxhwY7A
3hSkGA1znuseDBenHVkZ+BpvPCkuZ4bPJLNj+ju2lGSz3ZRA/NTgmoEHJYpc/NztauUohQvvSZU2
cXinRLsqSZ0cbhD99EMkGVTvUYpsbay6Lyb7fab8kKIKpiTCfPB9EA+YIZO5NWilwlJVjn9+MiCE
rn4KSvufZvYkBaO8j51aA2/ZvgOCeCIOnBOF9GbT1f7qhWNBtbR+ZTFx5z+6n6tzZofQ443XYa4/
lwWly0pV1mhKIEnYYJdE1518r/4pLVh9xY+bwTlAOTDD8RGHF+91hoEPg/quKneYMLGv2riokAad
oJLwOg2odBdAN0SBCm2lX7FR+ilEyB2Kr5QtjyfLSTUKfSIHj5l6p+cnM36px2uGvRn0jHd33BOO
qyzsV8EKV7+9n8/fAGFRfdENPMFr2/2z6vJa1zpFxTm3LpPbCMbMOMxPngiHQl55/X0mQRGX1dz/
8aW/nBNg4ddH5+gYakf7iHgoAa2HoOmA9PKSYRYr06EBrR/0hb6/2reWWyYQzL1Ql6cK0ffM8v+I
jOpGRsJ8rNXpDOjBlD9YADDd1NGEDJUITIjiNeujYJJ21NRUIcF4lgumZ/qwvQNjOpFzgNbiZ9ai
KB0CM3ZVgPlYgrPcWmcZ7AXrrS6LfxO72zOlX/WRUmADSeycDZn1rbch5UDl4rtUc7giWn01lzLE
GRjkURGaaqGsWuW0U+lTI+98uWms/AU6CfPNIeDura4HxGz+IOa4DVs29YWtH+NkyRFlAIGomTUe
IIhvwq2oqRnS4JFrJaA4uEEnDPxd8CYNi0e2GJ55DEZ0oA7jKWiXYf/upCDCGEmqWUqM2bpI+ykU
5Akee1X8LC25oFb4kG81HafbKd7xgRoBdDXwjXJAt/JsjwqyLyfHXAg+zpgK8EIIJdbQaXDRWUCt
cdbuvmDMSjcmb049b0nA+/AUhU8piUqhXgQAyRcrQRBSeqcT6LhCkdDMiIl8y5HOP+foNjZhHo0b
gQdutd+fQrIn1PYMCZwWBpZCR/BtING+pZlgeCLprTwi1oXwS1+e36whKOdjxhbAlYAacW9fY2B5
wUtBM+qid4QhAJZYnmcSOA9hTF6ZZqWiPEkdjiXpZMVVF0NJOLtShDbrFKv1glUxJTJX44zLfMqg
8C9mGs5388lzG4YNR1HUsykXcQO6QZudziKOxnw1qBZWDLmJAp+UU8w5+rk5Bqbzuii8SqiqPG9F
rEPyYjSgZR+z681GRSaB+6havx2Jq8Lsc+T0498+0N2v0pMM/qLqvoTeH0JroigVM1USfJXcm3VL
I1iOoxuY8fpLZOEZC7aIp5vz5dexIYFS1Cg59h3KxQ2CN/7Tig8WztEkLkTrgt27izUbLA0RjBcM
4b7ezvD7p7pu6t0wYIwIc+p90wAsGQUKlNF2rQrDUsYroHv0RgE2TgCghcK9zXDCu7of+FKQ6WYX
NNlBKS1dRJo7zGXEgdjdWdzqT/YhtUuk3HNThDr1SqDNiq2lM5DVRkCXJU8pkWeg3ej0eLPepUi9
QCnOVOAd7wGMtYaKKRoC0ujn/yZJdBklkRbMV5YI3QKyqDyk379wg+0Kug8598b7frLnwQ98XMey
+XE4ErxAJDsRAipDeCDeYzmpNF59+lNfyz6jg/NjEh7dQ1nJLzErEU4wVobjo5wcSTGnCviHR2jc
rVPlzPwuG9s3p0SvNdrIXDjUDfXUKq4/j69RYMymtLAAp5izDFx34z1f9m6z9vd0AlEP1jZ06mcz
8ZNWHOZuY+F6g5tO5c4e0avUxUjlvAaIEE4TOw59EJ5/lPnBxA05Vrv/lu7NA6r/uEyQ6CL5sJbb
N+i0AlZHfzL4H1r4zuKecagx9i00vejiP2V4NvSgScJfgApTKfd9lcQlvtT5ibW9wUglyfSQ5uKG
mWeqw6Dw9wMb7evJP4BXXhbMIH9xbF331Vyr8PWwCWao3jVbXBfFgPKxWMuKW8QUUgXORjNjk9IB
QomFkJp3HtLwa3VLrBzAWWuDLbPzm1qjIk08GsGfxuVGNOIg47Atf9WGqfDRg6vNuKrENg+ObydN
hy0J12Yzn3289qGWg2hyNdUgcMQOMx3Apx7QgvxKJqcabxwkWo0aSk6cmWID6VHEBIuwVuAva/oW
boPd/eyK2hu1k4SQ25yOyK7tLmQjPDknG/bDAbZL8UxuQNwayZ3aLmbQDYCCsT8B+bdkWJy5FVil
SmKNMSEYL/dq/d81/AYL+p4pckjLbZW6V72tEiuM/EgLq7Mng8I6J0PkrFB9xZ5UxIMrjpwW+DQ/
CrXfShNF4oTu1wzldof8P/d+bM9gs2Z+FYsqoeqMgdhnArZDH8o8nbK2riyzDBDg9vCiQl3vU/dm
i8JxV4Lx2mzR8Pz4NYhkJ9FYAZgzirCrhzXkQJBXJHCWjFv6VyyvPa9r/U4AjOZP1hDq6tufWjSx
YNvc66Xd7MdgNIgxfKg4BHMCrs+kWAl5piaBM1BD3cceYTiDDR7W+pv+ewxO4nptpYeMCewDdkVv
2XsK+iNUX2vWFEPvR5QqwJ2KJufzahLmHHD3XpJ0bkY0MBt27NLgE6ZvVrhcrYI50hKhMOlqYMbv
9ygG9zHv102WcUL8939WsgVX5pQSL0ZfOopBlByaqgJakEF78paGUUIDGYwOoBm7EU4yc/9mzPM5
ioWhYgtCFgLvpWItPzQzZktA1cfgRDxy09lbtqQNV0VTMdanqGMQvax8Jt3paYcXddJjtPo4q9/T
P7P1QNYGQeZcDZN1Fy0voUGnPlxe2e05Y7jAigH7pxV8v8Gt8sHNgPRq4pMXlyo7I+3YyFcXMMcD
Kho114clzLaSzoctNBhMEpNL3ST9iRVyRr1LMxP7ggi0oWbkzwpNCiSPP3LWZntjcc+ITNorr+7M
UlhsDKgFezNArrk19Q1Pcgs6iwSHg9xpks9ae92I05Uulemmf3Vim2mtAazfNXaMBWgNz8v7uu97
n0tyULzc6aDpNeTPoKt/Qk/Gjm24L+tWz/8lYDn5AZedB4eKvno4HlDUDbhmA2T/yrXkWaNPwMpx
jcGK7ZWBJTjEdW1EMbKI5GBzkUqb1jhNP6GnoPWYq0JvVTmtQczkUMBxt8tzUWWVBRbTSmcmFMu4
NBNsjd3SjTg8YDrDcH+dUSrXe4nYsry0hENTUJcs6L6LSOOLw5dyOxQEinuN/2knJUPezGAbVxB3
tANKKfsucQvLz2ZMNVSl8MHAhwyCDPhWfUEAt/1Ktob/TVsjzl1yaPLcHyOX4fuyX0Yy6vACHH0n
iZsg6EWswAXqCVb7q9hP+TWDgtgUpwNbp5MI1rOtyYyAouE5wFqcfwOf6QIPKD1ayRjevqEAR/q6
zVU2AizkV+DZS/zgsVFi9EyDts4oiHW9C3E5zSn52jnnBov7DK1dE2JzA8CAaZ3CccmP3Xb8qnwq
5jBKDvaB43RF5i/BBlARl5u1lQNGQiMS68C1cn9LNbsgBPWyuQfrBfwQRJK6BAheU37TW0bYbXis
thuXahCz1lWqPbzlZdXCYJP72eRZ2sRFZjsbp1b8+FVCKsr13MrxwBed8ZTsyeJcy+eeK7+MyHvG
nWM8rXDjXMSyXNjV+xJYbuLrbTAQilMsj6/hEWzQFPAJO8IitKdQN4bMlpqE0ixqYPYaZLDuvIYp
O94NPp+G3JGpQL5BGADPtyMyECBh00W3iUagEL/UwPIxKZPUhoDDubQL3GLQJH6MN3Ss4gy8BqkJ
rOCrlQ+cZH0oedO/BGjWO723eBPIwSekDLiiKfaU6MfEPwXJmvUt9NL55i59lTz64KIrSCpJGxvk
ZFrVTEWltG60bUd1K1RUTtptkN95K9jhEfNcEu4gt9kdxsot6pZ8tvcHTMYo5hrd8sTeS90s+d3S
9yCJhjRva0AG3RVEoAwzHX6wg7MTGJtNT9jv2YwyrFFws8NT0t45QXYBmMNEkXlJ0a9h9/oldOLD
yHLdgK0I+etk4klttOn0/945YCKzF8UT61B0Cy6obJSOAJ8+Q+Lcl9OA8boDav7stcXGQ/OACq4v
lJjTk6i4Dykp9BB7LykYY3RPpQQV0ogB6aG+tZmnZmxJ8vKmNCEXFeJFt/XacesJdoDdjynYOTfE
IDU/AMitZHiiTywyTX7s9UkN1nTWy93WxLH0Si34hbE9enshIZVnuJoK6ca+o0bjHyWAVqPC5oaS
0jHLxCJXhvr4Y6zcdQburgaobk1KitncHw0Eu96Nm5a7KqXWr1Ad/2N6MAf2wiYrTttAKjIEexdJ
NtAfmJM+VG2MPBtUdEjbV6Q2NDrtQRuTQ6EOSrwe9WCOA6SrbBPKGICEWn3NWfz/7ePgWNgUYg4Y
o+tq5r1r8nOvzNznnS8XwxphigIR6bDNVCnyvqpVgL/D8w5LFuzanXbPOBN8BYTV2YmZSYQnQKzm
zXEF+5pfE6YoWaWPJC+Py56jCzso8kpkq/rswGJNySE96/uig6KCxsz0Kw5JARi+VX9N3vttAwGb
pVzfCrTyfI0nZsXVdA1drX7E26fUWaF33G/IAvF4y4h6vL4VbqtOUwJYE64sWqGDCx7zcxJMtkpp
dftJ1QWe73LVP7HbAJRC0xFhll4big5yYtRX218Ebpxq4lM//wFDZJ3OoE9Z+munAIbuX4pZyQe0
Iihur5rqMScA62N9ZcE7nmDStSO8VnoWWSdR+GliWc7K+TfBUKoroo+Ztx3eseN8gCTzATi5TWEZ
M3mcsBCZoYzrzYKqHb9Xgf+RtMU1Qu8Va/61TdYcv/g+mvQAfxADNyS8FAWV2LYDsrEcBoVs41qZ
l3qMzWu9pvbLBVQpv7Ubpv02Dx8Jm8ePAWaJ9wh0y0j8aVWTr41dKhW3UkBIauZS2hyMDEN3miMj
Dva3kvFGQHBKZQ8gDpMwhxJHFmk4atE03TIt9Mb8Op3rfMHBkKTlA8M0/U01zvnhRdLv1iJi8t56
Z3bADsXKdXA2bF7uAP1Q+6kFIbA3IxHeCrCs9y1uhD+HN4DYFXK8KWdS/LP6WW028qxGRpHPGOPp
a16cL9keckxPb6CPygdFOU1+8lUvZBYN9mwou+w6pvtqUHpijzK1/jySe3nrK6+1hzuS2R/ILZO2
+FQYkhPxxdlbNI3gl4rtf3oRMzlAq+YI/f/n94vENt2nVPdT8nQcAsQlKFdhKUkO9UXBQOO0aYls
peFQARo5shc4SOoBUuqUtG7D13tJ2HE6Egl8VBbcFtCC3QtJdOkyd1OXo84Oz8eeVl3Wn0a9gxrx
8twIMYYi7qOffPcN+BxJQCPi9h6Cgppvu9yBctvRTeuH2ihiIFZ5FUh0Y54m99Adq/cbG93ru6JE
d7cx0maYGc17YV+W0qc+XEaoHGyMAFSBGJWS/Ks43ShDtIvDO0Lz3eA52n21CsP8kPduzLuGekEF
oKM9mfF3Yn7R/nlEejTYb9X2kdW633LXz2RWIniH/dhJvBLkFwEwVq4pSbmpeHPQCiKgJeISQlLU
333gmHjVKv/r/llZ62vlLX9zi8ghMoxo2B3J/t091xXGJ55VhuMSAXWsdyTg52xWGRuESKK1T6kG
2n3IjdMwyb1YUbnwwiGDeEZhH29iWTmI2+cHkZxrN96NHSsG9b+UTe+SR86jrzsRMtdPQCH0NiIk
jkzDu+UrGY1YjfXWf/M87vtcBBf9m01rtfXMpsM2G5IgIOLr6QIqnNf7LyVvxUxJsmJJhS7UPqEX
5QdYOm6zhxZazFz5rbrbHUdGx4lHrFpicnb1hdRdtCXyMS6hrqDUIHGSwDJSiCNdGgWrE2inZ0O2
S0h7GNN/HvxSkPk2xQRcjRdrSp/66reuqxHJQEI7RYweAPTN+UwRntFnRhUxB1gZv2LnuNE9C1i0
hPPFdtM1lnbvozr4aI11RwsMI1YorM9OsabB82q6Al/fMMorBLx7tWIaikqzOXwTSQGFd0BuB/RI
7o7zmkShoSMZfqiQ61fUTnGw99JexUQp7DjDMC1iTZfmi+H2WJsmj/NfHNYuuPX5uDJSaT6ZXsUm
VgSoTUsypJQZ5ZZzDluRAO19hCLaCg5F9QpTNIJYiQXqyb/l6HKySgHWD4aHnSazgFK+TXHcTfNL
vZNVfYQ7DMV8UXBcfm7pfZBQ3MtGn2rAK0qWTDxDm0MnE1KMI4aWMpVgHvZNEr2Q9OBlIlPCjzQv
OG730lk4r3ilkCBGndHeihy2yvraYRsAwXZL24U1kUFXeTRsJzGzf/+EinYHBg7A/nZ3TNwHkIWF
c+0a+j6Xj7T53+XohtSl74Y/xz+6IHVbB/CEfG3CZ64jXles0HupX9EtqgYe1Cagw/FkXTHPh9DA
ldIQXeiWJUL8ND7BckB9MxhaPKaKqSzdX1XyUIcIALWeYJuMTrJz5ucY6RbFosbyV8vlR7k/0Yhh
JHnLOh6K6JaRH+glzyBiWGOzLs2ca7WDa/zrZkNZxoeoH/U1Dj+TUMemmTvMUsQFenQ5DzsCrVGc
DTdUyIF7glISMfd5RkkWMqWkUB8BQ1rreKLjqzTfGzcLzjaSQk2oMBQguKbNkwKlxKX4yuC6S4bP
zjWGTaHKN4cLtpwVyRqBYX0VI24AKRDStGJlfQFvNW3YfZiMCB72BQX9CiyfWsEkaZuW2E9ufvkZ
9iQJ1FhQceTahr12O2LiD+d2yYhrIv2cIsypU8mAVMzv73Ue0EGVa1p7qDV4r7ZOrt78ekb2AO4y
hyEqE90TKB6JdygURH2+u4MnIw5MmTKk1Rq3/Bgjp1L+QPKNBEzEobIgV18H2QAD8sXeMlii/rdx
XKS7hJ09LtlHKWGaORxegNM6AUJyslyh59NvXRCKLwVaI53SX5rieNniJsILksxy8WvqK6LroYSK
0jJPzH6zOfM7hTkjlW+2ZqVSQo6UpmJuYs/d5lyCuMQNzFC6ncWO4m2J/LY/DgOpvmVDX69/bYTe
7MEvPwcO8DyWthGXaZmGx4+DN/q0VhdTYGV881vyRrdFxPy0WX6KoCcXQKF+cwN27lX30IQ16bgM
1AZWZJQUEGEk1D65T/W+y1mJj3kK6250Y2SNNTfXXAllrw7SdxStasJh5eSqX23OjwNtaeNHcA/o
f/mq7zwOuEHocwNxqrMp91l+ep8eL0zaF/mpKfP0DSqUBOr8A8JrvBPA1vjCX8n8W8jxqdVsapeZ
jq4iSl0hjsxvGY5CF/7i6qczx4AhfttdPo3hIPJBOFLHkoQHStMZsJL4Y7MkZGibQJhVV04RK9mc
x5P8Y6W0fyjexz9DE5mZK0ev3/7epIxpwlInJxi8T+JK9cdfEJK76zBDqOzZJRG5/VK6Iip4q38P
7SCl60ehUR3E6OvtFO/3qbvYeO3pNRZ9XxnX90/OL0pDfYs02q4Dlk9RKLhe88YJnGChNj8NiEiL
1lHrXhCOcuz7c+EdreopRc/+cWvRKnq1WlESdm+iF3KH/nuCwkeBgZ6yPn4fxOc9HArmqK0jsfMT
1smiTE6H522WMMvvQHdrtkc6kB+MG8HJOP5c1bK8jOq98KMI0hhn1wnzHqG4qD76O35/L8guBEP/
ziwPwdRG1BfM3hh1vPD5JzKb3lmh7NxRfivguw1IUFJlyAcnnNkVY7MdfDJzAyd+Y8d6EH/78dGU
tvUhdXAlUwaYcdP7XmeQP2asQ25OJV4S7u4y754DIyheVQs7cjduOWrVR5QZlt+shfxSYdrs9pvK
LOLmLsNNFKPGA1CmB4W54DvEB0hRV+UQ6vG45bqiiCNfiyYWjEsJUJBLIJR5BCyzyJ/mOpJGKNgv
ubYlRQ24n/izKQ5gLE3UoRQW4ePHJOsqASdWEwug+fi1dXJgr4Di9aFKKju8mj5/ua5KjbEVA8zG
GkxiOcyxqFZJHN/abqT7hJoPjjUaTSDKs9A++WT5kg/TGi07xQBVJR+oqsPZ7a6Kyy5jQY6hgd2a
FAZX+Pji1QoWR4I0g94WS79TJ35v3Pgu9qXEgZKEEhWeUzY3kjML92et5U5R+C8JO+9mbz+vaT0f
P3nJlwGFm9SzjHMBqGCdDTDt11/KJEhz+eJvPRiYTruThYiL1CcPtQLsNGV8+Efga0Wp0LMnekMb
cbcgbLSmsHLDadXsAyD+zd5T2ZRpRNTzcMSAE8lNGylclsEwwpSl+oVgRP4GQ/iH7T8vnqKhmlfQ
t10dZm0BQIU2zcsq4QB3WplJ1wj3tFiunX82jliVrZ9u5Mmjg/wvpnKSFlRNyHbIu6sfKZ4BtAVa
i8PVuRjAYep1HqteNbHc194Ut2f86l29eRkEcBH9FGquNfaOad5FdOCV/v+OVDuZ5gimCjQk7M6G
ydvyxxaL+IM+FucMmhSGz7NHTuyS0MLcUMyEPj84PeoOMMlTTnV9hsbUnBm7rY9QikJiinRvca2a
XQKJwOq6qwr3qYJZNeflEjXYELOUhYQ5m3y/Vmb6IMR7jJe5Q0VbkkKmH+mqwjfxn9CEdM8JnkvD
Wy/tFevsSD6I4QYtoAPhxIUNXhFvK+RjM1+sEhU7bYIhKgth3NLsfVRqvuLSlcCw+MYVRjRWQdtT
iD/aSf4GfsM12UgaE/0ToOi9Z7435w2X3YFymBMP1iU5bh7pvmhfuA0nasUP4P593MU+4dZEp8nG
j7vIWv/T7/hcgqM3Y+D+MbbjMYLaKtITDXHc0Oa2KvY4euKQLYbk/WJPkSudyaZM4hGcF4jm2usn
FXErOA+aUbarMfDyCjv/nMdK59rCChAVx4OlBhZBcGbD5YwS6iOJZkCxq1DN2E8pX+8Jxvf27ugo
3EfqGjspkjtXd4q6AzO5UvA+Ii4Z3RHnkpJqyIMTHLQzDFqWLmD34ljVts2yXbjfKzqBboJyXryX
8NUnpa+XPc0ykhIkTmaMT6Z5iYI3sdtLCEdVIwDvWiDAOW9+3BlxqjHqGLWfnJvkMyIjaXOHZh9p
eya4LbLsZw3SFfLYe/o5SvuPXbHw6ugkky6qzsvAEBtvuj+4lkeUWbc+2KNUdGUeNxOtwHZwpEL8
c4PocvrF1l/4dYBoxtKoYcAyqbJIo+Ml/0knhW/vb2LIlISB6gho7sKJz0DOOkvSfOKSS7FUca6B
vh4878S5XvgS6vohibGeNilC3C8al+YhFUZtOw2Y5DWJVWI5djBIq1yEaD44i1gh9FFxYoDR9fP1
/B9GMEN3gZX4+cO/n1jdbNKfhV4h6FkVPWz0ag9hIKOKCSYjR7Sp2pTMF06BSe85Djhc/aFtHJ+z
4HIZh7iKjRJULuUswgFqoVDR+15CNpoNkYTJu/Em1jAOBc61oaL702ffUp5Irpt33AceOHmQN0K6
/bjEmh/LeoGRmZThgcH5jDQIgKCaO+JSf4EG+TMkzTD+EKuxe8ZxVWUQWdhaWfD2gPusM5C9BH2L
tDuckxxJHUPIpMuy6yHYqjX8TN1/5g8k9B74NG4m6OEkAKbQeC/t81uJXu3KH0cLK006jP1gqysR
VGwRl6yEFq0eYP1t3hz4UBusvN1yb7wG1T4Enw+B28mEDgUYMdt2NpyPwS5xnMbAMpINKJJiVuOs
m7mobk6SIKITJ2QuimOtfqKEZOP+Y/+pudaPUvs4ndTWb/W/pt4IBYsXj+2vWfyur48jzsTxn9TN
RXGdrJv6moKXwSqkuiZStub2uncMOoXatI7rhiGCxtKIF0OUSvMo3cg3wr0S7Ql78HkMat1KkscH
vTsRPLxqmdZBxRBH6IfF9/nssgqCbOLOJM6rNfDfjdM3mzDae1qp9YTIRF2zzqKEPeTMzMNehj36
n2MshpzzyFTtK7VmXk9nb9EE/z1egJKuqRCcxIsApG/azEq4ZWLNNdtd8E/Kh8SRL5M302uDY/KN
njuWeFd1k0d6QxWJnDvoDdGbY5I3NCTNd2XhAUfxvx3Ehd/5H3tHGtqtzH+vDBFKQHJ4WcdJZ74D
xSiW6jy49HXAAcVFqH5w3nUVSyluVGWYkRAZnec4ODRm2uBKGJdI1quyfxOldVo6zJWk9VDnWHZD
DTYdlTzOYswV8nnJWY9+NaK2CBuiGNbl8Vebm6DhTOODrVtf7W5MO5BhyNFY+C5dkafjkyHFhoOS
oBOLyDN1gT0/VndoyPc62lL4AyGziMQOfBlCcvzF4WSZRHFBvZAxepvdkqXqvYYxe4Ggy3lVC22B
5AKiOFnowKB//wZznkMfTrbeqgsakLpwLJyoeDCm445JOWfEywN/saN5g7R+GoItHRW/AEwCf+qc
YzYv6k99Ws3uJZdnDUPI9uQqpGO9xt0ycPfci4BTadoVxdq7o6Kked7itUtmJLp4g5p+WhpqQ8w/
zl6ag9ya5AW32ifLwsoK2q4OV++kyiR/DyYnQf4wv0PbNA3Ns0kifcJG5FZpwBSZn8mEfFqicvNg
Su3kawOIc3D/qOEOaFNP/h+pw+De8fBxp5/GpbexxR17sDvUvR/BQypI88jgUIbkIQyGDA6g9hFf
1ktpyxKbQKGYpk4/b07wiPG7liyjuofIVe+XmT4Vv+eAC0CPyZNuHGStiIRBVCrxGRmGHkRlrQqs
eZjvhLi745lgP/F1rDymNd11iAjDTMXZkICmfTiG05dhqEjL8wYoUwyAUi+HpRkoMFkRG4LXzpFx
HMqeDwf9HAEqwI554mF00Tc4mWSOyJc5HrrKmGCRjsHbrbVcIO4Pjp3dUu25C0gLK4dRqhF/cEC9
S2eRRl6i6FYXFUWd5Kbt4kdYl6tQRCkWJwKDOe0tsJBUrQriLiTVWd2fG23ZdF9xnbaMo1zGXSyq
awVyhicN9ZdPp6p/WjzWMJhm92eK/yh8HD6oFpe7ilxAdHMJifKXsyf/Yf3b0o2cGAd/0rP+mHfh
RPrnELFhsHpCIsqF2E1AMdTuEoQxzgBNf23W/wA4e9uXg4Ug1ty2rNdGw3G3229aH70qbNElzNom
01X4u5ZC8v+uT4QFxy8HesDoiOHNoXdsrnCZh4W2tZrBmVaC+HB6Kd0pBXmtBjOqc2SWpf1VTSwi
Ch4RwhpVok7RcrJYKbYvE/Xv1q9H9pHggaW5vGv/7wyqoUdqHXDHHdxavf4KNCYLMQsAUPpvmRFx
uoJMq7NGLSoI+oeNyD8e3L7/Cz48t3oy0Zaiwl7qVvTPK9L8UIVcI2hIfGu/M/tXQpbzI/DfZHvx
Smp97BZ1easfQVaRLzlt1qX2OZzc0ECNC+qqM3eHhcXt18LiEWRd/u1/Mup8VesgU0n84oUEHKdk
EOFBR3QwAERygtjn0I/5BS11Fs8oPH0nRaU2v5ZlmHWKLNYDGRW9/Z7VqNrRJWqhj6jlLk66phUr
IiptxZ//JT1s4yMzxxloL0CDU0YwNe3ajkIkfGrDiH7ITUwLw7fb7dbNjXidvzvUQcEVKaBNpNic
f6pqPcR5aJihIzcrjEIbOUyfhORBaBOPMCOQeiIqmHQMKAh0+Ixa2FzbqOGZi8fBl8GBRWr6MUNP
6v3iEtT44iwkWK8IvFeC8dSESaIuixl7Xg9Ve1bvUxIHH4pW7+lIQQ8TOCuCDuy3SheL22kq9ere
COf66g9g5/udw65p4uhaMkol47ipRIFwaLGOQShoAQZ52xURMogmX5wt1hC0ajL0CKYI/oMQuK7F
nfghR7cZWMrsZsew5TZM4QvhLg6wcLETKdIDM7uBkrr4WCXbNl/Ac1URnXNGhQuTDA+Azk4j8SuS
6J+fkkJQQgzGYOTKF812tT+VokuZPVkFonYdtAoUlfPiDBUURyvWa5ubeyw+ApO+ZZYo97RxeA3T
yf2HBklXw8kewWLsqeHVpjInpChKQEq2+BWFuquZ/n8kHxrTkiB0263+5I2pfzAkdbY7L+WOC8u1
mQg2YQ8f0pserVY/noe/NRjG7HD+JUoRzlE4hMwuxBozAK7DSY/aFAeubG0Givr9IYSJA10gqCAq
BBiCotlASIRYQZfgJuNa3Ji+YFtXqeBgqRP27kP2qjisVP3YrZ9TAqdXq2l3DlJ2iybJeCsR17tH
+IgUQn2kCiAlXBb+4SDl37MTc5MrrJUeGTC+chEzF4XlsFTV3M+3EMegRO25R7RVbelTfemLxF7+
tLG+QLf03/CcSNKVuh0BPJTAQOPofPgnzlkhEejjP3VE7wChOXnkYW+xElPbwCB9FDbvyRxAEPdv
LUBR8gJSEqfJDH3NbUnxr5v/N70kos2dbvsZtNSVl28V26O0HSR51lbJp7NgRS/26oFDZLvh7vxY
N5vRmgBAXSB/d1lbJ4wvGfS+MOkeAsCUrMxA/5ThM8ZRflHNK/+9GRnFGr9v3Fv2y34Vg2tUKNNf
/scOFhFRz/CmOpkCCqJ1iHkaeQnmE3PUx3gbEcvf/YKmsXYhW5v1hQM9XLTacXUYAQvocPi25FSD
ScDi2S7pxt7oMaZ6exfydNgEiGf8GPV7WM7E4L0Y5mDGVZqp/2bKSt1dKJoyduJrKeYaA1HfVRth
fO1M0rLyY3ryUYHER3D+JD0bG/R0O7XwUFSjH8Lw7vW3HFwT6ZJS+BRZ7Xnn0uFECXuJfA0aA/zl
IQgiTZXrpmYWNCr7Xa+R4FlQMiNS6idV3TH1784GXnB5ZnNQpbVPSU3cTvKcICOWRemCZRdkZjfi
Eh3GssruqEtkPHtPbGfJkTN3q/79hBGJYPAN86yvv7vrmuquC4zlFUZJrevh59KYVt31HeT+mlMi
PT9QuPA7JjhoTMtVSNghpdCzYt9eiAAPLf/d1pLKkv+zCXLxB7SU4txguw+tfldBKXbW0+OlH3pL
huxGsYDReFiUiQnwHTWhX3tLHa+4zrmdzzIGBtIKQxM6LnF/UiTCnKETXDvEjo3c6n4IAAfozUkv
tfUxYjU1FprX/qklgpxfNFx1dCh4LwCa7SADRDOESh3z149jqiYRcnFkOMbLqkL1Ks/IYBdE0vOI
6XPrR6rgny33YJBSpcyCXsU2+EJPbAW02H+aGTB/fm3v1A2R6r7OO8o6DsD98oqlQmWxEq39DaRT
sEJMzrFYBBBK/BGmmp8OxmymUpbE5a/9J8M0Gt0yKgRNgS5WUYzWulh3OXCMPD1yorqd5H6RbGRd
2E8Qvzx93eeQuLAuuWf+sMtr25vLjk+uFNRccgsvcU+AKYJEK+psgaQoc21vqc0VjwTTeRrB2Avg
GYPTkiKCE49pi+ASzWDXPOVZDA6+MIX/EiIx8Go0dbOOerQHSezNtMcSXFOcK5rtH+00HnTJmX5f
atWBNzBZFTJAVIO5D2JIuzBTBRNjq/UcOTDohQZcK+IjR503pBpKWkOWb38sJ2W7axROYU9g8j3Z
YdBF3jbtet4+FtkLieFMaKVzeSNNoLQL8Jsikiyorx+hD/Oi3/WSUbqRQanJdj4AVxww9gGOoIEn
cJQRcIXiRrpvaHvZphb5WxbK0ZzZjvdP7OB0MflHCzsjwVu8aFX+2Ke5hpXIm+V/iLSkxBxTExXT
/M37NYjJgHTctllff6hs9YXmtQi3ooAhao+WgcJOFI+0FrPslfanLxmM1JgQ242yVuZZDPlsHpw5
Zp5vYgsA9zQoldXJPr8bGQ/sL7HtOjasubNY8yOC/I5xkEiTykobubjBoHm9lShyNvTGOe+r+q8q
0Dvwz7JuCj7Ysm0uh0hQlQ6csbZhcFGSI7lRZARNzJoN3Lrdyx6NCOV/NrkWIyG0AFgZU0q8cjG5
OdZNQCkQhFzscjX/BlB0OnQpVxHDmDsIgfQ29GBp0o/0s9hNYBxFYyxz5DHZyyOHwCdAUHUaAJXw
5mp/xjcTWEniF7AbzvLEW7ciKMdQcJcTOvJVVrzS6WxRfBIPHqCr801XLalAIzxpLFHP5wqIPwyV
DNz8NvDPW46UY6S/y5M4ReT7iNzDC/ywLGDxhuJZep4KzvWq2bDP1tQwqCSeARpEOd3tY40ZPwCh
zLgsU39u7k+Yka4ppq990R0UtmWR3tuxlY6CbS0ff5p8sLfwQdCcte45Gpwu6+masBafJRrcgxj8
zUQ3APjUnuzKR6cT5aLeARYas20Ugi1QNRxq/BgbGkw6gwnCSHW/ZHqpLvjyBgweg6nEA2d/6PqW
FXXb/W/LwlmZ5nrCbTQuRPeA9C9CeVdIKCJSn6cup2GUOUUF1KGGi7RkThaNqE1ti+M92liwo+vh
178udDICd6vLdfyjwZyFNqPauuV/EqJC2AUKUHNDl5G7pqKoKMmtxx1bw53z5yolsyfxAcNYPu+5
4Z53hUr2q0Cz6+Xdltsts51nbkfCO3CZFTwBUXcBC5GYOrOz44s9+K+SZDWRFK8tblHt1pSyn2zq
nkZ308/MRGMmj6YhM6UaTQUnoIhdTfEtiqC932gPYV7c76nGrSqGn0ORmQlXj1JlpjUvA191Q/dV
kwvAtY8WQ+jX0JGsqrgzsx+HIz2endf5ipgqi4LhKajc/393L9eQYdHUFzPhlrcwmEJciHyH9+bi
eyQizqpKENqVTrfMBNOrIdJeNdAIKiB0kSnpWgAI0YPVrJgZUlabOnWsi9Hlt88dRGKGQ+0KVYty
C6zkHm6vdpmAShBl+CA26gsE1+7JO1fgYeGu3xH1151TwAiwbo5hczxUsm6Jv+YUXakiGbcHvCpv
uMkbUwkRNH3ojHI2Adc0RJ7dNHtcIVt9MpUE23G/hGMU32YacsgJv3vM5FibKYDgnX9v+aKBnC2D
B93NlpYPMsZv+5MIZB6I6CZt4pS4Z1Dh9/QCkvgkIfUs4dI/sVi76xQ6WFFFTBBmIfggahPX6sSC
jqOxvNfGDYBiP+67RBgD5NaiV09ULtrcUI2w6zl+e52YATHlXr7xKpA+rR+YQ3nMkj4BnQZP4eMJ
QqfWGXy+nZRw2DVn+WwmQ1175tL9xbsJk2zOYgVuLfcrCqrOOEPL2+0DP05yzuRbj1hNozcS9FgH
DDQ/hRtPEkqMiMm234YT9dvv/It0H4vROzFPCRjDN0k+RWljYvjU0INlzPrPdret8OXTgxrVfa8A
jxBcL7IXrzwz+RRBRg1GMXMMxIyLO0UInlI9O/H0WBaQ3WbvOdOjR+xdqNlQ6toidPrIzdO3TfN9
ub/MtD3ruvik33JNakq5bhicVyHitr8KZEcATvzfLOC3HILtIZxrdAgQsKa56Azm/Z+AQ//CoNu4
l2saNOM1NSKop9r86YK82ixx4sL0LlPtb4agV/AV4pKvh2idtIe0SMIT6EqothgELRgWCO/tvDGs
N1ZjdxqMhHX/xbA0VxMG1tC/dJsqoIaJ/WtR6BA+k2h46qTnlys68meR6O/UYJidkCqrO2XI8ACs
+lE9Chtrn4LMZJl+IEapcDs2XFQ16IE9NrVm1w+cmbMnCauF5l452FXasum0RqYDBdeZFA2F6AN2
B7mmifHSuDNKtMda1BTopkK+lpHUxwyW0BsMvZjcZ+259J/ZPKME7HeY1A6SiPEwXDrDv0lvngzC
gdb7M6/iTP4eIRpNRqpbmcmLG/Ctlt5nOiih/wImk3mRTxE5SVUdt6TlPNHhFwCNRFVmR2xob/zK
O48UX5zvFjc+1UWvETomB43UMvh/SxqPfajK5rwYIrPRZyH8bj2m/A5ICznmsmzK1/UlKqXS/7Pa
LL96d50FDH5Jk6FODeXJHeEumBbPjHpJdFkKN+xW/IwW3xxyH7jcRAik01JGLueGO6hHAxjDujPz
TK87AE3B0MtiwO2cTQtDrzYP5aJ/QIdWCrzN82omd1gBXdOwcEY0dU+TsxfNWtLROhti7iWkH67Z
EpNjIfRi6HmtlcB0Av4bYe8EIk0WTe/SDlP2OnFDCxCmAva6iTF67FLuSg5VCMSrLdkw5BQlFn21
dG8lZ3qor9vK4W/STpOCXVC/OPLHjfwEjhixwqfWOXNx1AZ17aNPCtOkBSqijWgEnqgthDgKmOPR
0KWofVfolC5pKfL7uCIvvAALsdCP0ikg0nXJ7VAe5hCICZ+5sostswhZjVpxmHJlwg/SSK1n5d37
sJrebQUJXEIs7iCbqDNwiplOooUraNb7qgjj0ZzVjjgJPzzWXBhuW6/WPMxpIBNf55sukC7t7wRf
K4g0Fzj4AMVOIyYjeQxJkZkuGKLX96JbrOa6mtMNVjVDNpm9sUjOq36tR6qCsp8Raf7Tz2kwYnl7
jZnq6kNk5OWrqD6/hNXzXM3fyLZ08B8NpjnByhgJZ81doOI0Fg5TpYXu9FYo5/EQ+4o0nzL8QbDA
KgN8rIz/pS+OUVzoekrT2V/Ehv81vVzAmmt5gLbhwsbGkyMnzHXJKy2JfYs3lU1a9VrzvA9NKBH1
xcJptVGmMhj6d+9Xl/1WMxL5FoA2hC9E0SkLBaPAM+99S1MsYDp3TeT6XLhDUSq43QM485wAcWtY
dMeN3a+T4dh4tiVFcQgqAoBCSuKUKBo62c0ykg6uu+WgJ4Bk1dYdNJZFZP45FVUbKKAl8OIGAbZf
1iYbnMk9tFTpQ29KS0iqKr7R+3Ht18ecankmzexzAzPQTNEPvVNMPAe4dI6GSbuJlaIZbmsSEjH4
5cLYWe8qCxO7iu2wy0aAL2e59l6IZpqdNOzbKBK27CYVmKgrdDEHTsP8n8fudn/VMR2r3u4+zST9
9M6Da4C9HP+Lq5BjSWsmViXSkDGapfXjfnohBa87YqWUIXFZ+TRLD3rGh0ZSy4Pk/TmUB+HQT7AD
trJOPleW8vfPbB//dPVLrdVT1AHPjKiDzu3GwXlucxCiX0rqEyJ2VjXXCkTijNLLKfjid36icxwK
qFwmp88r9P7r5PLhAcXZELteCKsTAwt/Eou85R32WzITmfhaPmn6QxqGy//0w+3anYMdP0Vu0UKU
Pb0RLJ+Rxq71oFJZO1TdE/rWedfDJYXUA1s3+SjEEoXcrt0k8US+sqeAOnnK1ZQi/qCuGj43yJPW
tCK+g2t/SakFehv4zajznOxnoz/AG0FRQGA5TvjDKLWX63OOuedu6mdkDQz/1E/lkqa7a/aIr5iA
N22bT3RhVhlJPjd6NQJovP9Buu7FIaju4rEX4LWmHm5AEC9Uu5nJxeTtma/pkodRegfwAhJVFQb1
9jKwafRVM+6pYhokPeknaCpM7m1QIi7xV03JeoCH/v7BCFzpxlX8Lt0T4YkuHuJDG0f7FJiIsiL4
dU0uLWouL0ZScB5i8B1KKcb8gM9a9AtOvTJsy0LIkySCK8pBOf4EIk1k07UNqX9iGXmUuMRyNh0r
yAYfMp5K7yNpXdGMEzrWKilgGcSVhMzJg9YymTJy905mY1HNFi38ArlcGNM71uXAJn0R4mKNzEmC
tDCLkQP0lbvon8psto2/NXC0X8+HASUyis1v64mqg3y1T8hklnCQz0hQCk2vV+GqMyUanoVuyfI+
6KN0sHQ0Q5AYRaJUtDe4Rq62+fgFRb8Bh2GcaW6IXpwf8nS1pNN3/m2znuM2K0Z/8lBXHmTP0Zzv
yG8v5K008HgKHF4jt/5QYWdiqVdyVtk05HqOl2jmQrKjnEQ1XMoOdqnBzwNHTSXg87uWK4IY9QxL
sGiIBbu0O1lS/opHpI2W4k+89jJawR5UiWWRIf5voTlvCaLkJ7aNHwAyOj6s6UXNl0VnM02a+zJy
CkKpfgNCTpupHRoDq2VeWftuM86UeAL5my0Q/8k0ExyPumfV4FNA5RkXduhD5/gKwJ/a0o/RIG8U
oNhT77ymfLeqwDL35UHfO5Wx3imywlxDOcgXaVXyCPE86kXDjT/oXsGrol2mnLLrp/6OtodrYwXw
wJJfZfO8IOCXd0QRNz6axXsKNeGdx5Z4KaPuDpHe3IA1eoeTlI4HSVTvxrYe5/1D3i7V25TlmJJv
CQY7OniiD3U5G437d9htRsWpDi8fpoDvIPO3luK8WXFFT5DBhgnaMYkHf3UXZJ9bIuDu0jaO14/Y
3d6BB5RBJ0HrQ1KOko1jRq/clYSz0woZrz8zDhN0VULTTHz1gpJLo2pMfJeP/pLSq+PG05sEvhNH
TLYwaYRmMrLyIDxyYZaJ1VBhWBcaBh2h7oC1l9HNgSMr2JhqNajrAe4lJxGcg1Mf3JQQPcXbfdFY
wReWDVb5pznid6gzQjo83Mld3X0h86a1VjbXLPktx7T/aHHRzBUd8ZgKrhPd67GkaZdYrlT+Aivy
aXO/DNVbOrDLoj0y29ZNSXVIfGb1GzuyicZGZX7uMLJ7zHSkPuSJxyrR+h+j5jSOEq2LKUKvhWzH
00Byp9em8d0Wy7rEaAnpNFR7kzHWnFP7Bf4pU6EiHrbI019FraDJo1j2b0sPYY75zjE4YroiWI9k
mr060KAMZnAmrG4nvOp5CVKTKkdsJEWn1nbV6lkd++mlGC0OM4D8BCEmpZDTn3F86KEXARHYdUWK
Jz9EiGVQ7epUSmZpR5LnslD3D0BLjfuhJ0S+2+QuUJYuIRVkNHQ9QfjcW2LNB7GhO9sSyPKjggtu
ggjfdVN4hJ/nwNuWaaS8x2NEHIM3oR70mjq7yI2pKWv2zf8GJc7CXWkHHr4pp+E0KKzGDymoi7ab
uQAI8Rre3c76Wk03cR/wD69YPUszLTIqn7IfYeVW+G9SP0H7U/ZCfIv3tAxs864C26xZmho/h7hQ
NpUGhW+eazSJzhIWwoqB0h6VPY6xxkYeIePGK2FBcRCG1ZFIrlRyEm2dBUYWmi7QvoUASJO/q3xe
K71JDehZnOTg+/zy8Ht/9Giyv8crP7jmWQoHekxOGLyxov0ABR2u2FcXcSinw/f7EpPgsLGkFgg/
caz5eLCye9TKulEwFgiC1A3TifNC0AUgFEDA9NNl8Vno8Ddz+amjqNlxO+TicNVwynl7mRSIPxY7
T+o9+TeGvcUyeQSH/tC3DohIfoz7YXJv3VYcvBY7vxHQXlrvMrEEl2XPyuXNgVlnlW3zcllUUXCg
mtu4kkSz7gWYNmVaPILdtDJYVbTpIPhfga5Hjk4TS3IkZnjg/MyjNsz/FE5Gx0HUckrekt+fesj9
ho9SjwlkBwifNQVGfOHSDUrOyZvjWAAkRTG6VxwZaV0uWlj9QYOwJkse1Lw1z0o4h1jZJ1kONqxG
lrzTbJtwiQVIXI+HpusKOgVxvoO6f7y/4UVYaMNx5fujzoF1gSBBpINiFChIBRzfs+cv1bNhkL5S
ev6DicJ5n3Ezeca0MvDEmEgNFw6eUtjD+m9uEgpoA3gjmH12c8GNiofQvrcbGbq1oHRZyIgStdFa
ew4I2QousTlb7TLW6jT7aJMC/4EtCcZFunKaGRHM10ZvArhNgnYHrEQvCWYcvvNrCVLEzgDkdPWg
GkB7p+coFY0jb84Uut9EMjSQsxvSzTtR6+3VWA9mfYnPd1vbv+9chPfDUF6dE5l6r+09TEor3fsI
mAF7y3R6dpDXIann7WgAPC+LlK4+AoNabHZ0ME0DDWzijjinYZ6AOTQ2W8qIlpyqWlLFyp+0L33G
hg+odI2Ao98hIfbXgH7beo6uQtcfb2Oi0x7XxDTiwad/ipO+zkyocd93+bqemdNFEcsPS7jj6lqd
oFABkNPPwtW2GUSDRdqt5tS1E54mIAhgsJXbW8QN0xZgQF9XwPFySCQ0HX0jFxnxQ+4Bunbg+ptJ
PKrs4zz5+uWkyiswJVVx1c12eDjZFPvXxSPJ78KT3sdj67bdXYDayITCFRfak6hgDzdp8Ns8pV32
7VuXMRleS33zyTeojXwBPFYodSMbchZJEFGG2oc5ZwcSepZQRLD6l14uuuat3LPzOdgyOpOhCbcE
04/Xh05qBw7mivXmgp+tnCx6UFHxVsoA6XWgcjX+f8z2txFeiJJAOHUDm9oUb72G5E5FXVuJvWzu
7b1r0pumBpiByTOQ8boGeuWMTNdKfzY8XuqUOKt7yzAljMMqvscDct4OHxt3DIvRpqHB/riuSuZl
8SpG2Q0CERTUlgUNyXfZHkkvgGYktLVOFyYmeRgv4pjffBPufTsaEW0m4FFm8dUjm3unPhAhDkzg
GlGJMp3kIG48RPreVM5mt2WXDimOrbbjJb0swbvY4+Gdp48j/hzyRJvxtqyLiTFMBAzDdqDI8GQg
yDPgtwa1TfqNyjkuJp4MFcZpEkzGkS0UHoRjlJOt+XxF8tB9WKK66glhDR8vkITgrFI+WAG5PJ+E
LB7dpZZgbCGtvgO5PMLk4fEjxBYQSZLY3dJx7ah1UHizIbQFdQ11jYceuY+V0vLmOobXM0GBqiw/
+wSFIMSx9Q731Jrul2wlilx7DWB4gW7jd1Rw/PN51CVEO1sUK41wAXJXLK5gClj0f7jw9pO8kwyK
8iTxxiHMpY16My59d8kUjHv9pvWKz+gXT5ygjtRjKQ7+AX4w2U7M/ZWTtZl/SVaK2nUDAsUuoXCi
oTILH4piyC09UZyo4DCpZSkaHEjxwWlSk93/+rH9LFoFAuk2mRFkpasPDXltJnFJCVfqKMALhvOX
ywT5CUrcUm7haM4rLjM1xADrR0CjbD+TX+Emr+sJNxF017w3WZpRRcnV4pTD4FGgY85w7S3ZUtVt
Fy6vwiORAPdhrCUO6dlybMs6T+A8UzsNjtC6RhDqwikrgPK4tErTM6fI0dDZZcA9IJ7SlPpl8BS2
vVHDnnXamz620ZlyV61ukgh69BUm+PWYbZVW1HSfai4K6tiQ1Z6edtEuvK1gQLMDbTX9phLKSyA6
5zPWuO+E8YmWgcBFktoCIc9GHuMje7TpjRzVCHQ7tj0yXw7YhhVCFa1M/LlRhu+1wDnK+KvqMHxq
SEAn7kF5RRxUrvMy6TF2pGLwvNTnYyjoK75YSxRhVedbwo/H3yrtGfsEkypbLJmd9zjesnWDoJET
0d6rFHdJKeaBinN1+05Bt7X3l/tbGbrjmU7pKzG/F1bPT6t+4mVhBEi+IrDvlvoWuPNtdtu41QHh
5DzUDtjLqgcqT/DsiIBO43/5zRKL8aDvajCgDrBlaH9yEefj8nYB6s/qWbuTdkF+p3PS7W045fBC
f6H8A6ooSMoQDoDTvL8PLpxsmtdHMXZjP+/80t0/Em/V6Z6u2XEWt8ogjbW1wUnA/z6enrRB1ROS
sX7vEmSwzKlMftL79gDW3MesYqUqQEq1A2m+JHDF9HZmm+ZcU0Jo9wSVMHJINjFhAam4gk93qVIG
Q1Y9L/HED6GYi9fDTMsHQznvG8JL0gDj/FCmGcpKN1VoYcUwbJrejUMDuvswYJ/tem5zXYk8PnI0
nMJtoCepXwaXeOVjKmcskYEjJtf5YPPltGArm4VDYqgy3xE2Mj3luiquHEbDkECo3oFLUR1SdzB7
+uAQBonBQ5pvZPmgalz0s4O1+nEVeG2BikJ9fsS7yTj6gxlzxdRp+iglMWvaS2hyW3pIccekDJKi
uIUP1zV7jjK7ASouzhE/rwH4RdUR1gRnPj/6RpStyDS78UQUABh8HF4X8ESVAYaBYrYhbsmQqahx
1iwC/q0PCDOT8hvbZDV+qXTdM0F8zHstN9Xo6FVUnfoy81DChut5VrgODrWd6mcrGMC40rSea7Yd
BGaIFMNomsVCpWdA05HbL9UQDn0Ms2E9tnXS+o9s/RK+OpLEw2enFpEktzaJeJZttgABsjhoeG6B
xER4oAQ7LdNzDk5IPhQY9COat/0iulj4PMDyVdzPDTFZDI93Xlr2SlkRx2IlJWg98KjbbO+KastB
pnVu3C1YK/c4T2sMUZUAwrp+ST8/X6gVhYzN36kI3ReJ1YIL+CakBLen4DjKKdPqzz9ZlB6uTpeb
FM1vfVuyn7EdQs+lcbm76iVAhD/+zAohdW9x+6Q6/Iv8dX2YHQuGMceWNs8yqiESBI/0lRKkiKkr
JIl8CXfkIGvKnKMQK/iDNpxBmgjAAhQNdcMxxzCazyCZZmjmjRVHwXwQS7c8stZ45pyKzp8/ziMF
CVyaOD/u1UhmTelTnjnAgzAOkbiN87uVeCwC9F1PEPISG97XpOU/yQbX3cT/zxkkenQKRZlJ0nwm
Lv06nD6mboHGaeg/KXWfxuUw5SGl2BRHV3WHrQKArXJvm3OqgVteqCqzsirl/nwJZZGtvjmpVNjX
Go2YFuFnbZ7rkuMnOroPCsJ9ztlCth0nBejYkgRYpmFy6QZAAqMMu1qLJAsJLIuvzcr2+vb7F6/g
EWeuqKgKM1O7jUC5eV9qGWhFk8nn263rLJ2bIb39Ldp1H7ojKAf4QXiGM+aEjtbIARvOh89v3411
A1R7Fr2HrGBV264NL4lrmGvLrvX8N8cef5vg0v82ywRRVW/7A6FJhvrs6c51j//69RayYZKS4utg
KwSsquAlmJqJLJK9xx5cPUPpBXUyL58n5SuJGyK8WDeG++np/Lck4+BV1V02sbSzjh1pznPXhkrB
dHydtP1q37x9dxZ1kwoSEQApU/xnta9xFi7pT/e7KBcQQWtUl6io7dNf3dlouuOmM4okyScrU9b8
kBh2WOvJx+OVW8oDqGjcii6n5g2++JSXY5jTv4WKVuF7KS2vIK44r1mP5LgIPCODUs/sDEqlERzY
MzktKeftb6wIvpZv8mw+zVnAPFl1wHPV1nuham9xjQh9oEAFx7TYK/P8z3VyUS47OAqakb8mnIGj
Jmu1Rm0XRuuR62bPWHSEhdlRdER/0E+ICalMmgUG2fOJxfn/mW/duWeyLxcHCyC8lhu1Sz6HIddw
NPC9xAF09RaIwHMk3HamVRC8Vh6c6T54e61uidZcoUxXzDI8Up68PMqJigwslsKWvMmHgU+Mnkmt
urEQieXCS8ZzSMjPsQjTmDxhmFMbuwPR3BhJEL8wyxk/4pWsW9h0foXpnVIPEeA6fK68tNbwoKkf
DenhJJBsFVyfiv9OP7KDtL02qmXbyY0O3kO0/dxg2gKHqE23i/r9Q15FA1/CTvfApaWWWsPORx81
lZPe1OjyXEJsTSWq7nhq4d/8n39sbR6UAiav4VLX0IBaRBTDr2/JqAiQQAdOqjdBXOT5QXJca0LB
GjVgJGpo7nrZt/+stMy6FuChsV8gx9P+IECCyI6Lnk7MM7zSGa0shEXQdkB5n2DKjI1+DuZrFgEx
mJZr8obW2lml58JGohZFEU6fOSJxxAnm3sgD8VxXPuL5ATjo6ATAM3rt+NKmmtFNNN3j3XpkL5aR
bdMDTw9p5DQLr1bWokRfOSPnERumoAbFWh8/jwi19d1w0/oJUwPPrr3+hXNb8R4mz0kgDzR1Mwr5
MAg7XSNum8/n+IDXW5vYUFsPXXzHrjIwhyG7ri58xGxBai+iE1n1pD6DMYXytfX1z6Y3Wx/BAjZc
kANDCCZ7fV5kqUZyf9fnp8lbrSngIjU15Dg9D8ie0eSp0LYjNj32ouPu6mN75WGZfnNqyPfrjs0b
aTO554LI564TI8hRc5tSzoKmwVGryX69GfYldRNeRYxt0OkEHCFrJcA/EH817mITzmWf22h8y+SZ
FNtxkoqE4sPQUJpWPh3TW4+bTgCYj6UZnCTgY1c/saENTs5j1Z9XPEmkSuKA+5RRib347Q+cWD0U
Dn7aiDXdS8jn7A3mBV3KaxAL3DbzTMf6YAIzpOaLSTFivlWQQp8Oe1wK1tvBTykEwso9aZBXV6su
8QhRpYt6ql+PmCHvakNPLRI4KhkPA5e5irY9gYl8LcPCP7AORnc2Ov/ZSB582PIN+XWRc0BFO+Lb
uFloSDVKXkhNtUD9Z0WUPNlqu0XUnaDUIJ/7CUSudAsDP9sucf2z4nia7tIwzLXX5GLMEX2mHbsT
nvYyYIak2nZiK8fWrPapvHMPeu19TRemIFfKe4a35MlO3JjoOGO0vKaZax2p6ySuhEdEl85HvNef
8ulTu/+WMCxCjuAq2xXAOqmLb6KeAJYJHsv3cLy5eAqEwk5CnmZVeRoDMp7fEEE+rAh6FGhv58x0
kM68uoKJYmO/FlDvHP8CR9hOeX4ww9CYDd5+5wwFIUkPQT+pf+6AA8sQeTjAiSkT4Ee4tz1Ngdcv
kA7DPFYgocK+i5EM2yDGNTOphusTR2Jnq4Qt1aD5hKdo3Oj210XTbqMyteKk6oU3Vyqym+ETxgrD
psSONOidXQLWn6aUUChvO9WpABsmctauApX8F0JIDPGewusgyw0R+luJGk/kfDl8jq2bz8PA8149
Hgm/4JE1PBCMQJSJmZs9TO0/DVBrLjaPY050ZDX/GB9mpfD+2UtQyhrAjt/XQ87sXdUSwLnv0qyx
uGZZddpn0dyOA+uHGTS4slUa5ox/WzeEAgTLsNnc/PNBIbDqJaXQ3zQ89SoLoKQQ9YjPsnBCSJae
lvBtJHR1bRSfDyMksCXxd4EeImhT9Ze9S/ZXbDroxulfpCEitnV+f2P64/Q6m/t5zEuMynMWFn3j
aTVNaqQlBbeA/N6+o+AKacQIdeW78kPoRn//AhhTYnzoh6c6MxJXJCidZBCfwTym4oiWm3v1eKkJ
z4l0oLcV13O6GfJnGuImKIvPsHcGOu+vA86LQSI7Cy40ABaT9tu2RcYm7dCeX8dG4/cBqTLRU54g
CSAK8jptpYyHdb/WqyRGNSQ05wd2m5SZ+4OeX+uNZfiuz1Q8nzzWN5r4tauvzqJOpRwpRujH13dJ
/gcNfgfP+FsAp6WwPJb/LQmT/pNR0NawM0Lqtv69SwE7qls+H0DRQS74eTdJZv1KhTzXKlT9Keha
uTOJwxaEWUv9IHPU1Wl1c3GGLg3vmK9SY5Eyop6WbKIK4iPztx4ZjzvkIcy9oGv78E0fu3atjZ/R
hM3Wud2omgDwtKVB7wOh/EhufRiOsyBUUGjPwzroPUcJsEjLXEhJm+TD0UlyIa3W7hjKOC1sCvBG
pUr45Wtd9lvqWViYgygVxgczzboC+ljpjgui9wNzb35gOnwo0MA1669PGkwygcaAz6UPdfPLdo9B
LEX6rYWNtDtkHGAEHk6POnkD2AqXS9MvSq6bKZ4HHdMRRE3s438UvJoIqN7e6+9pWFU2dSgNdnlH
aEVqPmYaO/1+2NwLpHQGzBQeXhE7SA0ZJGaHUCGD7A+DjY/CPrLJWxFoTQnyoEQaRjwQdt2W/RF4
eJ8eaRH7Gcde/modZHFtxSzfMgS4rycHmxDyco/PwFemfZbcu3SoXF8vZnTaGRsPL4nqVAqCUkd9
Am12OEGk40f64qxJQHI534h7yEF45mslAE/j8LGcnllXqaGrn4bjI4q4VPmSm6djzh3YXSE/CItO
KmkOFThtshq+wmqSsNhvr+8HQgPzu5wSzycSu7kJVosjWHN3vrA5OIG9euus58k71R6z4x4IYV63
p04WW/CGn92GCqUbS38xGv+L8B2zKOpiR5HXGMh7hJGf/fVAZ/+C35Usy1y/EFdd7xi7uB9xNnz4
g7VnMjNMAyvP0pvw5IVtyLlxOK4yBH1PZ76TxPLXJ99uAZC99d9PJMQbhA6h2aNHVBpS5NhbWOSC
bBQQ/kFAuSylRYbNAyUJe9d5FyGnJ25PAcS+dLuMsQBvSrs+cYO1RtlrAQdGf99CAjQIuA1+cBJI
mj60nHBYNhyQ2YcGoHL6XFgFgh9PvDzmgUMMIp67Pa8aGUi8c86EQib7HEfmVjIXfQWVANYLJjHq
L/LVYiNXOJra1jPwebPof+1wbsFqwMGxIT85cQC3XYPmBt+x+cKdHTZnRU74yyF0X/2OWd3XMquF
eTJ36z7hl9Bc5u9o7yjbye/Cs6bKg8Iy1H0B6c2KCS5fC7BV4coI3856lNFeu1czcpnTeoC7aovG
a89KrzzVuSUHkCYNLcWr64+iCwzd91xkzSiXU2iBcuoUm2cG+jst62xDT/+/kv9fYlyhgD9L7f1/
YSXDQr3QBx8h7TWcdSF0cQOfRfB5h3LTkSt/pjkcMrD+t2LWoVkBg7G97Ek+xqQ6d6VjHm2s5XyZ
VXf5iIFviVv/xG7h7tKOx5AMncnjzYrQDFgWz/JEyvGfNa5HTwN19QoermJ9GjGiHotqT6Mn3E3r
vInTVR4nvySoIG5Y3SsLBJWgnCzChjRIFf9JFJuvGJB9mj1tMygifYUDynF9Zts1a/QTfcgAbkDV
MvrcgQQhIOyT/yEgDPEL9tn7OaDuYyokvoEjWpwvfH+O7y2JY+7GQ/PmBV5405RDH+cycwX+bUn0
dTbpDO9sIFiHQnEsREwHl/qrzuHlwhuLddQxT3imEyKmoKspR+VHrt/2Y7T9Mlpqo3Kma6SnZUbP
1L7QM9p8WhAi9ww09QG00ulJsq5cmFj7EV8QAjUqrcNA9wKOteKHZWX7QwV7Td2VXqyTcQ5op7co
JVDdo0J2D8uyjYPb18OdQOxuft74fpBkoBsVEiz2Iyi7Kgug3rUIMdQV7HCb4jeV1gvqR3vjFSm4
m/40baa0QWc/c4vqHl6iOYQiA8xOgTJ0IUoEOsbeh03dP2sAcCa6mPoGWD2OV6l2AYxw85eKzLPH
AYkWQ/e4F1k3QcGFn8QJLZkL8tcnA/fTP77PzBot9YMmaTY6GXngZOVsFScBGc/4CXtBD2GgqGEd
Rnb8HCGaDItXdEee3vEb+KXU89eZWuBQ7ZI1+hKS2owXrSoe/CS7tMckqTAsfbbfU9OUmDriXog8
HIyWzhelppPFiIwyK8wEYUB1ggRHl09GB2KLqYWaatPAnAYRC4PS+EE9U/gB61RbFS4BbCMwv1X5
darhZmOoAdGG2p7Vb+S++52UXVjy1N7AuBy+Q07FIYA8nOLRRQmKlpoSqVtWjZWT3gd2nPbeRl5F
jlGXXd5g/HTnOHNvH4WUzJb8suWBA9RE2OdWNwnp5lFkBfgk5a0zVy8LM7WjhDzeuOc6YsQPmEJJ
SsomsFy+q6r/mAHsGUDuqefNTN490QRniDDo/jsLM+Ro1Vn/En7en0m3eVVZFtE3sM/tuxfBbpCE
TUZg+fVxw8hwHH3YryHFMtAU2BlIwdTOMs+oera11bGfc2LdpE4y98XZrhGeW/ACE+BuGfasPXvq
wK6+Egv8NoLJt552BthlaA9REYboRMQRpVywhDQKke1QJLTWPmmomHWU8S4VbCM9u5eXiq1zyKSg
CPp/YE3OHB/hS7xbkSf9Qd0msDFbMRRkVfISTwwY1k6wtgfWEFzQ4PzHbhOZbNmmUMH0xjB9qW3S
cfc/iqy8Ssh5OOynRC/YOadZ+gRxPFxAl8PlLId7wfI7KilAtRG46n7kVkv7l0wIqPYkrQxJrnCY
U0Z9B6MpA2QQJ7y7haJaPstSfzn4uTApf2khpjiTaL4uoywXiMvrLMGmpoWIvbCWnxtF/DWcRFI6
23oufpnmTC6++C3V77ClfObdgEuwyOTk7OUJBtoeTkAuKicy/De54vdTLHCPCcpzC72vCYY6zhnf
/Ky4VXamhA+20Vs7mJerX1Ke1HAPDGtge/82IOCYmPR7OmVvkZIDDcnwXdCkg3zp2xNveMc4JHHB
lqZVeV7m8hvU1Bgm37HcyY0ZH7GzhG0CfBCGfg7kpeYnJkqKALDKQuYGAx2Y+GXrMQhBkYbAPsH0
fSy+sf0HkJbSNhrbmPtVabEtYwqn04HWqXW5xHcrez+dWeSr9aU6EruLW/jsXwcVXZ6iIUZQDsbR
nhZ9lSpYUj3phJSGde4mP6DbzndIqCggVWjyEHRfIu8C2bGw6N5AE3I3eHDamIeh1LiWeOZ56Lhr
A/P+uACXg3bkeuY5O/B24oiP+CVW7MH3gi+HyLMFj1XmIU0ryMwReh7YiXVM2+uAGMj6wQt1A+3c
5gNM0EJz2fKZkoBx1BLlCmbHhHhaJuyhu+1EUQwzC18vcDslI3laNTOPkeeiyRUMxnmD4ST6rG+x
biF8OWh+VnPmqK5no99gUIAKbhnKT+1V6NbhYsaPGMLoeF+ui7I9H6Mr91jpZmWb0i0EuP0D1NC6
lEIfrz7EchCvnEafh2gDQu01FIrUt85GxadqtRz89fejpMF0HolN+LDYlVl/UM3ilH/kLhLBdm1K
RD0Y3NjBfGlg1HzOBTQp9K7gCyihvkMQlqhUKbLGf5WwgxKSVIgjVAK/qNryGKwHS2AODZii65eC
DKgJouNjZpDGhK6nRhSySbWZ9x2xjMlbtrv1G2cenNS6EIPep9E3bqySJwevD+XrPo2cFajPoIqr
sHuRPaLFtz6XOt9bUdAkwXXkGLxUoX+WPuCusvuG9TWd5uy0QoRzt/RmICyoL2EcM3ccZWmPXtuS
cDnQFzSt7pNCVEYVaxMn4PjwhNoq/CgssRaK51yhu3gX1qtZ+HodrgL3fiZ6I5WX4CxeGqJfHUJA
LhLqgRhwITwjNaaYnFEjdWb3B57Qj/GdeBHLkbhg06/GSDChkbr2PK8iL1n1plP6YbuM8t7epdij
kokmoQFGiJx87L2FbR79BHZK5uduvLj6JfPdlXfSCkBNCaFzlvRSl4UXV1rRN12Y5DT8IQwFqvjX
tgF7Rihq4YdLPHnb4vB2nrwVwr/253GtIzAy9PyODouvu22c+YgHlRAs6Hsgry5whpjKa2NXAjaH
7FXSUbEV6KnnkGXi/mA0MsKD/doQybtkB3VQdSY8F8YFH/nt3h1tj9eXKXqUTeyZrnqsDXheuPIn
tUV3h/7jWtyhXALj6+qZiQkKiRdTa0FlosUC7aAbQcbbV7i3Bg/I2Bs4LfKq1jQJAyZK3oGqU4/m
g3HK4y3FT7k+zeFoeGDYCY2cDeakeeuDxPNdMa2uC1D9FaJu4qFXGEecPqVbSkneKr8WpjMXGeLr
l3H+ZwoUfUpKso+UqkCJJk0pDs7ikfjbW9PwwthDxkWSJC7gg/pH8ZwzUbTsV1n8vJsRywCg2/ck
/0scvbAfpA3f9bPotS/kHvUMFFjw9stQIatYM1N4Qv+r+XS66GyQF3ofEDGbwHbhWTE29gXWIXDC
iR7j45cYjzMaFo5NinBq7pCSFKjq+MjwKqaXybY3X6gA/XcpSN0pjdK+meO3c9agRUO3v4s3COQ0
tjgNjbOnPefCnWvuX5p58mbRipsryJW+WVR3sbOQpEZVzd4swa9jssjNv8bs5phS0htlKdDAt6vi
wgD/m7YXR6b2PZ75Sx4pAzZ3HuCuD+FX5mjN5jd1O5nhNT/s9E20XnL1Gr2HmnBwpEZ3WyotgnMK
/nM6exs2tqNJc96DrWTh4R1MSYUO2N+mX/vx0hSptmDqWHns/z3GF0bSmiT8pxBVyhFAMhVF20tS
4FabF8FpsZ6ivIs4RVleiqdUm/gO5dbxVNnVSmJ9rRfcL8HOHlMKltxOhSBBkyr250mmBoq0MXCv
uR2dbYzPVnr8EsC0FFeHchF2I07IGaShBsq29ehEVGHuGa0u0uOG5Fmc4/aUr1PYW1J34VLtYZro
gM81gNUlJIubHmwmzHxmWMZslzdHAPvZNpF3kY8u2/5xsFMTP5gkvb3UMVXtSgDiaMI/XTCB9Kz4
yPh7WBYc2saxfke6rL90bJKFvxaFY+IQFUurRO1oYHPhIOqbrvM562j0/jszKww+pWxUs9FGBE/i
0gGIcwJ4yZ3hWcwJoRx8kXQMMLCz6hiOnYYb+iC5Q33KqRNKDr/ZG/Ww2imQCSxNklN40miLFDVK
229kNofu0s1q+sQe2plwivUJUScQl+GjYUDbM2Xlh+KWEi5bEtz/KEopfuxgGL66jNJUTsPbQwU7
wvSF2i4sXTSggMmyACNZs85La4IO44qPjnuYg6i9jhln1ejvnAkOVRaqRpN3PLrBAoiEHiZrZggK
WN9N2/U4vnhKnOTpvroisG/Nk2isVs9Q7bdHvFF3zH1ZzcU7w7RSsGNyU7gzUqzFD9ko/aiJ0nbQ
BI+yNT+UG0SpbZGGNs15tSzJa15rXteKeuL8uuNvpXp34jXT4x3qNVYTHHOnOgtdNKlhfpyC5on0
2/5mMA/bUFcvmWX8rcxt9IUTDY07DCS0szHqZLiM7fFUQPLR57weDJjDPLJ7kFEi9chXRO0Md2T0
FezZ8e1lvmuj3Vmd+9q6ZlxJpCaLMZGTGODIIGKGF7nvQQT52uALry9X8izdGuON4WSU9UKfnYdH
A5uCycp8m0lFgObwKe/z0MD15LaFgQXRQEHbiVV5swOsdOHBa/Fm4d4wdA1gGtuoghFKOLp2z7BF
nmLQ04AJndSHXcRUt2tehaUAXgQI/yrPuWl29nJ+NpRWEXiFvh54a92wLKK6X3rjroq3OewaVHle
y2ePtXtiqSwBCvIRhsWNgKPBQ4KvYqKRf417Wh1+vUJa9XTWdiPp4VfqL+BnIwaUp93okcRuUakA
5cEIWSUgmkC1KQmT7OFa/7x1X6tQmMrxU/ovSowW0wPy2O7ZFXTAC5L9sEFlavNU5NKoC3wLnelO
anhXZ7ehkDCR/2tjbiWbnJki6EeNHCRwnxHQKe4c/eyhAHBda1LnAT7XSNFUyKD8OEPEDl4PaS1/
LfOSzr0MBEE1gQC5W6GWs1ttE7Ctm7hlGlmhjVI5l+zZIg6OdJFsjGfZIXbzr49VxBxTQ5gozpCH
dVX/CqBA7Nsd4QdH+H91o7yRRpp9CCxJ1wL0Q5OaQmuN3xgBVANZEIZ9rwvwThvNVa4JspetI6SF
acYem4yqv6VIIlfwcsClRVNGgc6/unjwgAxLh+shHx9oakgIpHesjD9006G2dLm4XMkAJLqE5GQJ
mpJAyv5M9INDZEEJnW8v9KdfmMY0WmQyr+uC3EumryVf19LZDrCHovqbkuv9CRtdXDYxK9o46jCA
im3LI+AAZ9yKqTKh0/SNTchcsq5jRenOZEpXacMGLoJZpzn3xnqwms2ITjjx5HREcZs/KV9WUZ4B
KEIOzVfJUDgaqfu9QrUmHOLLD3iQaJEzQj9NuiJZjTz9kjk9DJlgc2HcLRvA416Gr0FWef+wKxmx
zt3rSNE1FxLfPjItZcLwa6ZwSGGbj8KX6nH0ULJzSaPR9hJYFZoRQ1aWXV1bWusJV4Hl1Rgd0dyY
issCtzxo7+UlncwPYrDCdEv7+QlUBwd8XDTkkHNeCR/mYX/0nxd3GNaHM6vzfMQHLzzDlxJrH3sS
Y5QzUjM3BP5P0ngJGqaxUE2SehQwlT3B+dE5cnMzUVmF/u75spwszrDDDrRIalPOh1yRPb7s9Wwt
Djky93pQjwhP0YV4qFHm4LfoSm3r+Wz4TIX+b3olt0h61ubN451NFbJInyHGdB82SMTCquH/zctF
ptUGzdxtDH4yfQUPpEc612fD9DZcvMPuljttpP526yAm4e00gAbDUG7cdYUmCtdkE6x1cXbKKlC/
Z/DbFYbfGvgNbk69dI+GG0JLFNCqAJsP3nO3W6GRVBN+N6HXPsfgh1cNozLKaiKtNnGK1n7NSw+6
+MBHEbX0UnRP9bKkfJ0OcNvwOj7phWz2dpr5Qz53q6zRgep0SJ7zrfIBDZEX0xEyjDtvaQYqh06b
m7c29ZsUyailXQeKrwrPBaYSsUstCiaTqxCJNoo53Yxrh3NynO47WEAD33d6LN5Xwlk4ccdgZT2g
tAPtGYH3hZhrg7+xMO/+KOgLCjAJzz2iFN3fmcweBCXEnkIlBDWnBwFu6Lid7rH+RQuUGIYJM3xR
wPchXxv/Rac3Lxo2lugPiGtUOzXKBp3y0JAOq85fnk6SDe6/p0Q/sMhVO6zibBSoXIR8nBN3Kzgm
bCJtDPsxDbpdvvWhQSTFmHcBruPM3PUk4G2Sg3a7qF2eQwZ0AeYSzuntIOQfk69wQ+gECFVckoeS
RpNZz4tJ2ZyFQTgghth5v8C8QaeHVXA+RlOKCz744v5i7ufM3SGRjGQ8JiJposxiBs+Oe1f/YhXb
i5mBm2lqdtvMOzr+X8/99fAM+Ov+EkGzUiyStOdc4zwsHd/m/Gj+scKN4JZTumG8BOd+mWyxelqg
oCBZDGiXKtWRb3Cn9XjijqQs8RbrI86GZ+tK+lI6T651gW53r+hNP1YzpC1E6Uzy/li3lXxRHALz
x6MK8YiIxjvvkuYNoeU0WLCBjv+JVUQFt+zp9i/FKFRIhV7qKUOX8mlt5CX695bBeIJ0DL7AXwWr
CbhUQtw/lGy+wL2f9fFdsMcmzldrJKzEYMkp3HqsgtknHL7kZ9nV2m/daWrLJXRbauk0i7eHni8K
f3chhYBjLOKK7cX9y3NAvEkVRw/eoZkBxhuIwEcmg/psQT9hmrQliVoF85Y0yijExxX+SEICQ7Ml
BQ+Rkf5PFDkgcAkQ0mXIy0+9ToVm8MKmya8g9MOc8DMvMszurToMy3wztROACsmcGx2PU8v2Hd/g
TQCp3JqCd9BNacygr+/y7n3AlNmkUuG0LqnhuFHPvmKCNyKKxViBbv8X9a6KaQToXkorePQKei0W
Ju6d8GYpuWpJqqHS0VHFuM47WzGnAKOKqLzhjVuNkePzadRgJwfit2Pf4oAxPmYURXKkogS+756y
vqamyDR6Jx8RYBzWIGoi+CvrIVcKnDDHynfOpueBJvcH7B1/mgFxXi92SR/fdnq/cU+cvitRgOz9
s+ewNhhludDDP+70fW9nnRZLgxbfurM9ehmMB+ywBcVuR4BBU7G1g3xQSzBhWYWUh6pHuTQ+Pb+Z
yP6f83hiqMcEyJbFWEgDUt/pcCAk4VTXeYVBLmNeDDJkWmOX/SnUG/vomAQw8gG+VQf1HqCSzvtd
SzRgSRcY+9Z6Kiojv3NTopqqbH8VgpGCqjclgdpG/HEFHfajPlPDQm8lEcXnssmINSyrHbGAyheU
CQG/vEvNFVtvBHbZLVhCsZjP0rp6vGd5lAD51pecs72K+pD62lxkyrXTt+iNaw1+79UD2U9KNCn0
bqDAR9fMNo0Nqk1gie3FJnx9NwmXEDUxaP3MVfhAmwB+0T1Rf18c/atqIPMsBH6xB/4oDCdhQvC+
VG09rVgBFH0vCZgSWFRClPOywmMUVvL7Su1P3zIIyanFP6axpFyZKlaLC331Bdy/v4psVO2VEddM
p2XT+DZdYYxOBSVbFyNepLH8aM0GcFimQQUrcJdb3RYsiskEPHxwKS6mu8VQWh1+D6oh2jMXo2vM
px84vjz8D3YwVne/uKBXzSFksgq6gGKuHaMuKVgSx7EORaS8cu/KkorPPNZckslvAlALvxvP/jg6
ffHGhHelTkq4gsGTlfroA5HQnlnmGkHA6fxCZSmZhrQmnYuivLKUghtuNmxpUK+UBwDedrGqvIJb
LbKqIsh7ZdmosROCDI+gBHK/vjGJ+KBjY1rS8oOWs7W7nJuRaLjnjrGaxc99qZNXZg4DE4PkP7GN
JsRPOUkVeHCR3y5mANCUVzH12a361pLqYa8MxU0oPcclBeuWd1T9B2D8mQ1kdBWYHz1J3rATGlC4
Pzes9HEFVWDEf7nvDzOGW7KOzCNcSv9dGyfk6wTW3CiIQp82zm+wEYrUYYX5StGM1ImGY95b7lbQ
n7qjpg/A6FAd1U4MVZAixfz4XQKm9gL66vpoNP7U0Z+Vni8CDl+gOtSJd82QO1g+5acezFZWUknb
B7PYAeWM6rVIEqffRDRq8nqvVLcyrN2WCfvpkt04QJGnSkaZgWH5CGHNb+wNJpPpcWqKeeWaD+S3
gVy1j7egr4LD0B1Shdb/qpe3IkJ/Zfy+ZckZlZx41wmmpdIh5KXfoVtY5fUkRlo5e8uggPukG8UT
UCgp8l1F5MbUVpDbKiOCDb7/plcHREQqS3OrUVEwiJ/5duHtwU6AdExyS2rrIVXTPyz+HEa8VHfa
QaPWHxxjlOFe9erV4X9TyENKUBHgDk5cRf6LpO+Mlg0e3xXgXtdimcQOs5+ky+vSfCAbtCxvWSzy
8mza0OMh8Pz6TuFlbLYRkkJsAOwvxcfAH67Uj1YvnEteOVzrWqaYtFunPH0Mn68TVtgIzNKgkxWM
4oyo0unigX6lzEFOI+a1laAQLr6Jw4w0zJ0D29PJEiOBJxyk+CuxC6TwCJoOLqXe34vXylYV7cWf
4VB1iuGmriXstF/fegVVXwG8TOlvb9tWuz46u71RzMHj4jP71afuoirMFPKtmHMFHhxCfjJePkio
AAiZwXLOlwrf+b+Dr9NtAmASLYI8HIDmfC+Bdy9qzS7iGduaOTmx8seD9uoK3VfkjVFk3aWRnS3H
qE/HXGF1UNzhFXVSRwgOO3u/v/2NbizSYKYqzV4GXiaCVwMlCR9Nd0luDajmJjyTNiAi0lsSyNht
AzGmPRffDaoWzBFoKh0CjUNBhISLrBTTKPRCBPQpCweSFPZOAI/UV4U//IE+cqs3AqjnUfZ4gO08
/NRSTw18Wmu1Yc0mg2NWWSqGX99lZuR5vtzwVkjprHT4senk5fKmX+hPkD13pD5i6VphCzVhnSIC
A5YXw4VyjL2rghR3mwR/Te6pyrK7WbfXBHRbgFRkITDsf+b2s3ykDryU+tN5E7tDxOrsYwc68d+W
9CjmYBIPpJszLXtCyganMJnAKA8jaCtsK24nj3QnwgmsXnEEdhCKAjyudq9tB4lExqoWTlVfHiOJ
PUXdeKnwwKE/p4a4FkznC2lGF+T+73i8OB6LiWMaciEJNdGWn8SVNvHkuM8gF0DFVbLIpPcNb/aG
IoPkxtcTwWxUxVCaaNvXsgLtObNJRSYP0FY0a2TcSXBUGk1+7IfA+bqrDEbStfz45C+PZb2OKERT
KH9OEKsIY7P8ZXVu1znBiZiS5JjFFqQwFU38Gssor6S11iVAbabCOeTSUaOXCbplm5oWcHs+XQBF
xAfp4aAXECy2/IAwpiUGQ0hW5DrQWwBCd6pLfHbWpk5cvmH/6BPsJS0/vwYqf97u4ceVglA/7f0N
mPu5yF148KO+tyRL/RZgvjHvrqex7gLqEfJT+hqGbtezyKU8APqmts2MeGUcffPJuUyi1M8KGQfQ
+jJKuwIWWuOZArxM5YwKGsfUj7BjW5P2Pe/9NeUdoo/PVpplA0+t7O6ebtwNDSHOn0HKDwWZd+OM
qc+U/k1NvyVoAo1CWvqB7WXzDBYqgwqeTWszwl+uUiIXfG9x0LrSmDeB3ynY9dl15Dqfaj/r8j4t
qMAPuo8MYLmW1m/dE2WN3f210YWymZQ+46Tn57ujbRyMywKJ0IV/tcGCwh4M4LXLUrUmt4jv+LE+
bQNDSNuHDzEd6Aau6NxmPlzCAtbS98O1Xb+vSpejyfxDWrxSJ4Z7nTvtPJI4OmdtI4TvlDmb6qsE
06lBtXs1THQ4j3ElEHF39lAWsiXz2OpyPtZoiNIqZ6m9k+5r+Ra3Pnntpw35bdCAynKQd10CstwI
HKQb/J/J1a85iBA+dsyknwIFfUS60AddiZ64pfg6f1Zuzh5gFWiYStgIdmlQvyaZ5doF+Eg0nVTJ
G3jFybyk3GjhTA7xfsoThkavU4tWxtUkfM/A9pinidS/2GNlc6qw7zx1Gv2X68qyT0jS6q4/WiKd
IDZNkfL6+PL21w7VRtLQ6Sh6hSukyJEfGlLPnJ3dt6fCljoXYf1XzYryXRxhZr4QGgJm2NdPmtAq
zVG1qoVn/LtQvkZCXLWrUA095eYVRKKVe5NUGQApbNgGHec+dGqcDN82EmPIPnUaccLuMah1lLIT
pflJE3fLCjSiud9MVwO5JNb0oR0lhtbpuuBrUcmMunPBmRSS3vvnS77vwOR7K6h0O+PX2j6xsu/S
f5qqu5DTKoFDe5pfgWcBcRr4sCcZsCrRhLYzQdEcYJT+W1XqTJZwatQEnBuL/LwrALBfCzMb84eS
NG+vQR+9xVa2TjTVHC3f1rAOK8o4O1LRpWYiQct/7+GD9wdip9m9Oym7fN1vKXN6YQZNfzwUpCFJ
Wp24uOYXbkuPfELS794RyN0SfiiQ3bRFjx1pxQnJRvreV8smkaQ0C3b2xipXKnofYglM+0G02EYA
Bz6/lOuFJUCKjmcfQhhm0DzvCbULeQudxEz5uTtcIn6lxlPjhq32Dol+N/rK8zmWDzOZtyQOK5Dp
8GHijalgOs2PquNP3XJ563Pct0SdwSLsO1kUpnyydWmBJ6qy+g+mEfcmVGGTpz+LTpFzf98DnL3W
S3rbmcq1nC0NQTCDr+l5aTpEet9/uSxVX39i2GdHmdYrme3e8fgtGMGv0BCubF4KNaDLzjIqIJBj
/s1ufKeDYug6PSxpHCXpV5gda8UZGLyBN3NtkXzdzBSNwsCIBRgH5xhaCJeqb+b2OePmV2l0Xoo1
L7l/bKGZWvQAEBxNRmQHfVkAWQX3v/jjivaa5jf4DfIEeGIoZTcxVDqtFwRzlo2pqTiMItqzxBCx
nOP1kvQmguUSSWNzkITFI1Sny0gT1S53Lq7eKWlIrXq55PnDXOwca98+1icj33u0oJrfEDlyMCSM
oQkFtGWLMzv+AdrH8xlPBDQbynYHhVIi75RPmMgi/BrvX0bHSzFf6ehHGZIt2OaJCQA5fAwUGEYV
6p7iKYfV9vp5jKrTh1pq7HfH4G520AlZdQ9G2sR+AXyoN0W0ig1s+AjPDfg6F6BwBICi3r30mLPP
b8TdmCTB/k++wm4GX6XhBC71ArUGPB7VUCxYZg3px1kKVkqRtmsnR5PnRpaYa1r1YDFJ3oGi6D5c
wvct0jAT+rYtqAulShpM+u2i0rLYPbloYLdkEVVRTCHCwL9MoaK1aza1WVAbajp240k/nY1U/nm/
lgLC7bcnH5wYwYxBQxjzk5qXNCoa4yISlx35V1iSl6oOPxD9752NpeEEanlnwlvaIcuuN9JpMc/D
tuldpbR5XTqqf3J3lQp4KPfvCTqIRnCnpexFutiG49BmZV1JEWzHOaLGksqWPkHPoE78mb9voqdq
MOBMtD/a3ZD+C84SJTbsZR+bg2TU78F/y5uu9NWkffHteTLMuYJ+NISiQM4M49pV81ocHxZlhXhu
LNS5qk11bkbOWTGc8bcojDU0PZia+ptXHV7qr2vTR+cP5M/G6+7rk3TYbRlZ5lx7CA3ZQZAumEKV
r5EjtvrnmhYamEF93KyJECbZT4Sgd39PrN1IgxzkdW9fvx652fnGDaIdaUH5F62+PBFb7wLps4WQ
gG94T/hP2GHzbMQFKCtuTEp7ALh1V+VAI+oZqslsogxHQv5eBnieY4JTq12yJiIEYyVAHvcEehwH
Z5F++PqwOOCWbpMiW5L2V+RKOT8jqX3nmhb8k1pqEuV/+gCuA3BeK8+QVY0umEFmPWok8PfanCJP
ZJm1goxF1fReP13jXwqy1SRwiBmnQ6JVaeibqXr5EYQJQ5HGOFuWlFLHy7DsrLMziiOHeQDBJvxC
33xIt6fIOetU3VB6WirUI7+WQlfxRolzeh5kjM8VfQ8pGVhszJCRe81NjPkC37z37vmK25utPFAa
viHK2LymYOARAbo3kKioFGEVO7LvPLXK1EpWEHVXJQH3F21FqlJXhgcbRg/DlZxmujqh5VXebEfq
Q+0fzB6H0tXTZaWKWPSvwuCfPO2PrD96Iu90XLKNaF8aTl143y3HH80RmFTJyc/ejzotoRDb23ld
8mXVTikYYbfmYKpKXYigcLeMdysnb44VjlgZH/Lx4r+YOnh42ztvtozfysk4ShdHOPhjJoJUXLAM
Cr4RgwhOr5JA2BybbJnHoT5XEX5JGaFwSLFTv4MR3q/uY7CFwuQ79eKNjUtpCyeI1e4+mXhKbRhJ
2IU3gTtVvOf9ubXC5g28XE+vb48bQtUins0pDAH31zZwEHTpk2Ay2WclUj+cYMs+n9z6omJxmbGr
4B916ol8IkJrq8ZpdlWQOnkLzL2enKaCM6zwTI9fPRA9ansPiiqx2Cb4FHi8nTPfXOumxmP+Ogqh
bsXJjZ2DEuqIJO02I47gIpwwYGDihlKl3CK2YZHSOf7nlBHZd+glhdZ6kG5HU7kKEkN1JoVOH7h8
EuI9nDrpv2oXsbjWwVLncY/XAASUZPFLX1jQz/S11XfOF+49AxGWnW8YiXPceUgAY5E5pjwa1UW+
d++rjoWigxy4KeP1H4XsM2JTmw71KOh/4YEpnLVct5IMPmDbVOVRZtA31zJCRpHSKgU4I7gFPWg9
dm8KNU1DZ/z8cn010rzjpMpP4BJibw6s/44PRMhCV8Lem68VgLGycgWyET9OI6q4M17zeExKwyrr
+dJcnbUR0YkzAgUmuOld3jqfbN6MHslc2kh9T+wN8Eia8JlYy/ELykLP/k1ebnf0WEz2EX9o6JZI
0QhwDArzPnkWYlTBQH/oxjI0EBvdkoVecbLx/u1srBnkLgvpY97Zv9x/FjpxvfXHuBxOyY1vumX9
KT8OqSd+5lGj+fcOkQD5qq4tuTwodt+ePp9UmQE0bCB9E3Vl1YupHOjbFt1sTWMzahl+jzqH3tHM
BtkoNCZGUs852L2fQucj7yjF5Hvwax87MDhptrtkjQdpYpp5nhc2Ke23e3hlPqeQNJCq0Mc61Hef
vNIKVujDYHnCZqCm3kq6i5aGK+4Vf9WHxmvEaXroRdQ7qPt9KOvHc9HJJnbcz+tz+92ICPNf8rde
kiFO6NYpdAbrwV6ueuPKE2hfYMRNu9GyycDEVgGTQGc3JPyRKy2OyTZl7c0XmuioQrEi2qCu/WJe
GNOgD1Om0oQVhSobQ+PUKUm5xDZvvydw29K3lJafKdktwRfUmTB5LumwhBIixlBiAU6IqwBjIy9x
+LxERCZdJ/CVQev8LKq+fW9jZ7ilnsS5uq6XWGOZo6IQmf0H2XaqBZw+LrY9Oq5s9pT21Z/xScc/
4p81EsSYlJi9GBy25PzYCvp2Rc/hldybq5XdAnzFsN8uZDpSiHTimJYqNby76BfP2JX9pc0xVt0s
4rwecBApK6MiMu1IFktjEyu8AOOi8g5X3gEot+TMAZZaYL4PkVRkLqA3tHlLvYBJPG5uTLZWzJWb
udqwXKoP+ulpZNnb2NOV+z2+FElZ2LP6bPf/MSVjn3hodKYzu8K1oDJkUWBn21wWcIc53U/s/r3H
YNFWCb/hkHTUHm35hXNsLx7JeHLFh/7XIfwTZReWsM6LPtBbmpvvhAvGWEj+Bv4NoIDsc2Le8f3U
oJqpWeMiziXWT9jmUUee8KzezoOMQj02F/jWMWusRbcNy619mQnvNSv9GjYy9odYubJwz1UQx1RD
mfwuuvP7sqMaRixnCLm+477D9K8PHSY6Ndlg11me2HDzHFoEFUlFQKmbYrvvWEPcO/OPSJGWrmGl
2TmXwyCegFaEz75kXlsF+YOqG7+1rzRDaHjQqpXRJKW9HVA80vqSoB6Q4NtXBwUyshqPl2vQTXJ4
Mv2hd6VgL48fm7W+UKvTHZVqtf9nb8AP5AvBIdeevInAJ0fMQWd18XyiU6Us5cIiOTE486P7jYPq
R/sw5qclAmZ8om1M+0AYbcRkI2im30BRYS0xWCFhC+ZFePdU/H9sYLQns8RH+MGm2AbtZN5zPAqu
JWDsuAdPnbxd0eyby6WmPTdsL6O9ORVGntFuwhyRQttnlat3S/1kZtIv1RBvmW0RUAsZ6pJG2eVP
dAl8oVbWSrjuCSSKAUBPlShEv442v8I/NKsIr2e/3KCsjbWv1N3fxcea8CPYiwg/CDZhrHAuiRAm
Isp0jugxOxINnLFzaLoAKZA6fA3g/Zitn0g/MJ3KnD/kP4hTP3WA4gCOIO92YTJgBcF2bze4sSJ4
4VgMTzobTAAtS0KyFdU05jMXN3W9KgsRztxEUCtk/V9sKsmwPhMXKeMx3hEgD/ZTw8oc93iRX2hh
zd1C6bVxGG5y8PoBpvXvx+T+222ka47y+uJLMpl7UtZ4lvGWINpBB2sRwy3RAKolRPv76v0zWBvA
svKMEQVdlSv/EgCbfdk9e3ybTs5hUyzdAju2XZjMKlUz8FjM0Bnnyv1ovfPLBpvZTNuEKyPxPgLs
AsRktJoAHcj7lnMKRvRx1wqTjqb3vC72jSDaQHkARa+V2Qfp90JewA/0OjbEyGD9nYRal9OG7Llt
csLDV9Be7vzw+XH1pbiA6rxVfWS/oL/OsfcVUcF9JZSgLY+8+MNGH5qDYAN4vudcHjuLNlzVLqUf
t5GbZOLRnOTEdNrkFdIzEYhdSRL/H0XJ8KHdCctHVk9ajo2+ZzDq0RnXnHXllt3r/HYypP756sRn
WMuGcRtpjZW2Z3XMNdynDwkWAxGCYqPj5y2KNUSo8ANB/vfNyAHKly62/ZHQ+f/rKR9S3MDZE12n
VqeEUafMJc6pdkmFbsr4aZRaWmT2rccYidtETV4hdX64shda3Jpjsld2+DvLMk7mh/o9ljOCzssd
PXHBI5nkEbW/k8VN/7vAWOtJILqO7UfefNcnJ2/dcRii7Tmx/SR2j8uc3aBOltPyQknSCY2DsTYu
Mhgqpj9SckN1zU+3HNPuNvEUBR53WWxkORm1AUsHRZvRdsuVYLOCZRa+B0JJOIEVAzUGqNuPqpYn
XWqJtFGUAatQteTqcPZBTtQL/ppoaT6PQ9YAkbbTPQu/PMLQLcJpovxkUy634DwDhYvQfhPHAPmK
d4oFAK4H3e1NGnTnhCq8vz3rYR3tuUC1HUErQchS6pFi8RJsQfCC7BbcXeBDJ/w3KcyEl0+6ehl4
NzBbAO6Pn89thfUmA4tdS11yUo5kD24zf5cFZTGEC2h/YxjumvXsU5whqJS9BL7Xdln0VCpY+sg6
R+xt5+bzidsXSp0uMCTB+CMexrCMWd+pkuWRYk5IVMlUf3qjv8WtxxlRfLld4pleyuH2CDEfCMCS
VpsITRZPuzKKV5dc3v1J+4Pm1oxM7+hqel6Zuwhg4R2VGiyylHaiywNbLctLyCq5rfZb+DIkBV0q
ZmEpZMCL5EzG97A56ytVHQulgusZ2F8qzPJx6a5+fz77Yaq33kJcqNnJDQsm5t40RXp/8VHZYACc
cCdSduXc3rgae5OaxSKzwsUmwpAWJLB+A09spZgFbadSlOGRyw7xKUvxa7TvwDAZ0ed/MbsDHA4J
DVZEEqJ60D8Q0A+NRzZvbUKSIc5LeTLWCLiUyiJIQNek6nAmy8gF8mtppKzI+IxNYEi7A1pCBCxk
f8uEP3FB3MLYgvu1dVZmwsSC67zxMTJ52qnpUAWIwToDT5DhKY9DgComdWo9Hdi9i+oyMi7IB5VU
lZtZFAcNAE+zGSuKs7GGJHZVGzNZmfNRSdJCfiWVzvzfSofxu8lZ2QP4vXrH+T96fTSycdRzoAUs
lf26VXfVUrhMxbqVGSQV2hmnjtcZPOad2LDke6wzO9fxovVr/rpKK4llACaPfnsr6mtPL/1y1mjy
doO6EjyzHFjIyILvGbNjuFXd2DhbDgQd4cddsTcnWpruiOsjpYDEZ4CwVAQwM5UBHNb+Ro+TDEtt
VesDa1/6vvHrajQZfmH51+WJvm8T1aZLJgnqQ6s4jwUA9bQPpoJHThKFRYihSnBJinBAi/DEQInh
Xvav4DYaaH2AQN4ubkU0NVT0PNbormr4o5tV7lKJXFLs6DNmiXurHYmU6Q47PEKV537beLIgj850
PneyzyzUeUsIQai19YLoEG2n5hUU4sus1bLRVRNN833xNvb7PRaxTvrQLNaaFw10yS3tsL+1lBDM
fQwP2Gbzv75YhQyRt719g1mOH4TGtqhQL/+tYC2bKyj/SbW6L3v3vv4EjSEE5hu4Igaia6+T9Bzr
G36e5SAlKuy3L3AJ0vy4cQh5c0huZlZLopC6P2k1zWFfdFVAEnX/ygzLCn4fScR5nXVDtL4lUM8A
Qg3gzu2gK5Yj3toggTJGHYcInnSD44NkhuMWsDZE1YEfKlPxdbGhBnOGl4q0nyuhyJcym5cV7biL
b9X2fqvjt5x9pxJkHpETY01hzoNs6mpFgyN45H7W1ixzTR/9NI/axPy82X1s1cBCrbaM+0PwQTc2
LGKDr6JJClDp/bESjfRUqDvgRHCsCT5La1KWwFy6yEYLyE1G55+vtLVKyHP4P8jkibr5xkRgqqwy
ONEz4YPwb7/jY2+rh7PjeEjKiAcfOr8mbjyClhH2hPA0HFP2IKuOyGxeCcjdsWwO+hxxgJPAAbRk
k++V3gY+eKboKpK8z1PpTjHnwSfL3eKYUjsNoOPzfsN0qhHlQwDV72t2dni8MYDTHjl4HpEy5JtF
QTRCdBWZqz4BcTV1V+ogR8QY6xUcXC4jdA9mtcAS2eryaX2tinKShK0eRSjTkWHKHhl3LISd7hgu
rot784X3xazHlpS4IOCyizMHWP29p5K0qqmV5uwI9v1PY1uEqvAu0+RqwxrqXUY+wOL+5d70kCvB
JfubX+b/CqzZazcrpc7yaRsQLzH2JDTXCbzqZ2FxMzlxrRRlnaHxVss4PCzaRdM4QDej2XoZ/fAE
jKS9RqHaciBKFT5Ez1VJvyqTHF0kkDeOCmCjEbc82dGqRQiyTHAMn3/GaP8iOasd8hU1kU0BoPKF
cDsx6ax/QtJIV4k/0/NViy+WL9JzeNoc7Y7ARH9YlITbyNWTVkzxacRsd/MmEDzKQTJozmRvHDTM
y45qbk1REx8k94LqO54qcTkPFKN2+DdvFGAyXD07vR8J0E0bYG3/9uRCaCzGXLHFSmGvz0lJbznW
m/d+8TfwqxAPw6llwvRmLo9I52RlIulNVgAvH06TzzRjYLXXBfRa+iTuWcC/qi4MKVbqKe+HU27e
zRWZ4jY8IqGgDVqz3zKAudf/uj+Jdz7Nc4IiySL+imP5Zv7yzKAP2edfd+nDmHDFWAuonlewsdAf
3sVywid1MGvQjyTsZHHT+nxjTSUbZnHSy1rHJ/tkBhDYx3Mn3aHHhf7FUd23Fc0jff1/V+dtBlzo
lBLTEzUAbZBrnP206sp7N40iOxO453kGbQmj/i+PnZzrVYtnYA9QdUbFYuARZaZYymtbuR0DYUqD
iBOfx5bNexi5wBH9Qu6QifjneEI7RgseX/bmdp/l4SXzGqJiKFYwNrK5OwAKk9Qh5pU/n59ALgCH
4avAqMcfx9DjgUux7w+ka89BlljA0DTDK15ZOTjKXI75AG/23bYhdrHDqdPuo3OC0wR/mPlWL4zd
RA7r5kXZAIw/mhceg3RslIuc4W1Y04tw1BmRYsFpxqHGDsBoealw6JAjetD2oBAbRyeGAzs+qjnu
heqBr9dBvlseAdmibuiHS2DlEZehp4LEwnTzTDQF/SBT10yg6dJ2AXi6gUVklmoDLTWc1mgwbK6x
ktpB+LuQAPeC0FZ0b5ntrfkl2c5JuSqboZ4c9iUkDIxjsKhHYSXSEUYQTaHbXEKPUkszpUP7ivGJ
r4iOXR1DHD4zuD+RUbwKROlS9VHTS3lFkX9JB2jRZusK8ZHFFnteN+mmTbRHdhdpBARsVewu+f6N
FyiBFHL8ebv9zep8WXfZRSRKSI1nCBFnuZESDlzzDzkzla9ahXi1mu+0RTVyXkXUq6kXWREg4vWm
J+qtoSwom6O9snm+6jnV4Ai3JQ/sBgmloZPYgWH2NDheokcJIetRTXrxuibiJolnFD5JOKfXQm76
6qQ0Lz2oZOx+yon2KKKTlRmQ13nW3Z3bXrgoONvu8/yMgECt0fdgIL92qYDez/2rm2pkpIMXiMaV
waSUtJ3HT0E/lfC8yqHTX9u/VMMwkHNnvKcaKP+nkG2Ryj8LR0FuMzz532qSuaiZou+4GwWQpFOb
f6KFlwEiOsrEmqB3OQir2FGecyoivhLleKD7awpTEm5PrpqMw+eHrirWnUMBXds5Ee828vz4Gx2n
khNGBQGMlqjphcrZoHk7u/80mN21g7XiNQUy8R8PVdBrh3UYgbRwhusyf2KLaka9L+huGMTWR5WQ
CCycjLRBPRU4cNXoKAd3SkFNQsKM0uE4yJ7kpOArIitC4gTz8l3fSFXII6+36dWg3zHRw9XXXz4N
6P2aavv7ZPpL+JxP5Rm+72iAuCAolwzapC4w5zjCugjMEV49vs+ThyuqCiUDOimW8tFvtOUW11Zh
SILL4cHxefRloGs7EFDS1r3wOygJK8bpFa5noRxjJAENgtyU71Lhc0wgZD9WcvMXNp5jvQIlIWmV
0Grx2w8xcJNbbHMUso6iUekI+WmGwrCSTNnCnrKqH0VqwNI5aq+YRjTAlVlgtaNJqARlN3pCZKS2
L0hkCHNzNEoa3sr7Ehyja429p+kLcB1zKgvirs49ojbnUkF+S63CGHazU7L4nu05Spz86JyljXH0
BsXMRHQyi4CIrQJIfkRPM3phHfwxtxNPeWCOhM8lELAf7ClpYR6N96P+6pA/Gwt6iV4QikFiTmu+
7DFcEVR7jz4fqVzl9wJXqqOLxJXjxJRUpkWBfw8aH+byTo5gfR9lvMqtSASZTYv3Dkom61yuPOFT
m6lzW5I9ESv6hAwGM+BmQGsHwZwJDHPEvAaU3erorgSdH9uYqP6smNh1iHTSElGeMV8HQypWU3K3
S/H0GEZEs9wYs7Wj3wioSsxJ0dPDX1fMTBspzYnL036xpGcV5Y24IhWcAhhuuZc+wOUXqchmQONt
wWP5zJaoolVbn5Huc9/k/wPuiTGrDBOfyc4fl2VdY5bl5t7peYcrdBW0q2DCWSpCdclZTbCZCmdv
/hKv0vs2UTBW6YHRhBPSErumwExahUuVXKcTyM9rqcFmTphwF2D8QTWkQlG+do71otawhd9sGDpQ
QF9yg6gTct87WYDk1g5DD4cHNjCtlzCcZVONes1L4ZJuYn3caN8K/sKlg3kddWfOX3IbBaVmI+7d
7eldlSezXycmUhfBK/5eeGeP76/sXr+JmgDlmO2McHBPTfF/LkDYGf9NzAmlmnvWWX2O5H+ljcth
5p1h4IQ4jD9aK10MyZlZk42Cn/hvToh6Cl6P+NWxBXNgE+76ezptNxDJShq8Btl1a7LBTFdPi3ZO
UId9z69h7jYrFTw+7CMSkglV6xJCSjlCZ/VMHKjVoHN2SIpkiD7Op1v+weC9gISS5x32v7gF4DND
s1Rgf9W07h2WQ04uL1tW9vCA9yKhomoDleurivBF0ATCd5ZeArhJ5ZYegvcdapzafj3/iZzEvGfi
qN6u8s6r4SMtkCL+lF1iElmNN8iD2/EXrl/e7CxrK1sj/mR0ym22BjvgxTZKIXkYePzFjav22YC/
JlhUPQPse4Icu8wlD9jSU9y8/cvpN26s6BGcyXCoEx1DZtPH9lgjto7vdSxQrKFywY7H8L0Ob5o0
di5ymYGxuRPBbYiV+/Sr5vMVq1pvtX4jEnfZca4Y73FdJavt4QWBaX6/Hnz+0ZMLh2EjKq0E7hTW
dYG46Oq0Wr04Kh76sVHhBI3FbJJtCYhIuVEKNg+eOO9Bma1QmisjGmThCP2UkV6ze7d+h5eLz2Mq
iFIw2xfdNd1kzWM2rGag3lAGMDTpwJXLI5gl9+3T4ZKLs9973hAcenjEucsbIhO7NRlB8x7wqoXp
zQSkzPKp+N3bfeVh7ONvbwJNlQpD3P4KhFEBaF9netNrmgPozUb1MWetgV1NGfytgD267tJpnN+K
KRIN+XGg7xCcBD0IBo/vI/rRGIoULm/4DmlfTB2anEi9UX4cqo644QMGCPV+NKo+2FTXYSkhRIbx
XheF/2UbFa4KeuqKWDryyghQ0qr14XdUHu4Vf/+CcF+OPrPyxVg/35C1o2ufBy6YoZUneEkwOtX0
bHIAtjnE+1/NUt1EtpR9Z+IZ7tKjIcHoQiNmNlyrsjZw8Yay7CFYaNCgNoi/sr8eUbYsi+TUXXgi
3YfwGvR+vfYvdLimDGqma7RilUvDVkJ46lRMKUcaxSzCvGmmZMRNYVzKInkoKz2DyiqJKOEJni0S
We09CUWXZ/kmHPWP9LzbMTw1VQRjcjDq8hc0Pk3ci1dVSVq0NFTcPRe5j4qROspvmWcEuOjqyY3z
6lwqV0yW2KHCwrmJpdB2EiBarywcEbp/Pg8XkFg9bnRbXjETwiaMZP+BWWcemBs6S9UbORMeYKcI
JuwkEVdrpQ7vp50HjeiiyYLMDPP7rfKBT1sroZhhT7WzUDyEKc2VgqQ+sg07a61jQLICuXYSVMIT
rgeHgP1qMT1oD8vRYvtVZl3LXuqHN5DD4Ro71djoA6njCTegM5cSXm2HkHSPw50s1CjsnhAAJ+r4
uN/PCJ6FHeVgEq85846Ubo9n48qHZGaAK6aUQU6S5li8bbPbwZpPaCC0zsVVp96YNouhIbjwfkzU
UpwH/dnrhm7CryPX+ZWfAXoQeXB397aLj2v5Q9C/8gxHdHT0H+VcUwAMi096WqVD3iZc9EchSAae
KUUGxpo6pTiUWtK4PgH1m7KYwL7Lo8k/VvQZqN/8EihU97kFM2cYw3TnomXH4YRWTRM2Fvg6xIH5
GTvcYAJxhGNsL3tkpmG+THNpQr7TKl6On6oAn4RUVos8O0utITe+pTQ6cljqrQKJjoexN/4EMBFn
huhLmIlXywAL23BsSaIRzz56ESg2CPxorO689Jtqxv03sjPxj6crnCVD9XeTdEiFmTeMQ5fbjzst
q06MLUMolcEchJodR1ULl48mG98Im2Q4YVKUmwn7TxtZNuDGoQGuBTKsZbWKlzZYYLLzf3mmbPx3
EdYJkYlicEhhs2mLTNmdjtgwfRs0RlM+G+swlLOmHmt1zJVETj2AcQchtlye7eptSVEJpGfXWvS6
cY9e9n4VzsqaGZaifO6PUT8MP1D7i/0dQRD4Ot90yAqgXeQS7p9dApINnrtO0Ooo+/TTdT0ZuhwS
W5XFcPhNsHzwe4euV6x1JQoe7P/IksutqXRbklSj5m8UfDxIpUNJ2SHgZL6Q7PFiu6Qdyi/o2Kvh
uTeJ9pR5vj8zMbYzTt+AhS8bFIh8EELIqQ5yj/LChcbNBaFgqWRToj1z2wnRV4WhgqmAy3pNpb/g
bPvLKTxiWd6iRQhYcsuVR94/5CnexaIv9yCVTszq/drFqG8BM02+C75IYZSl0o7br8+rhDHUC37c
qrZXGe7sNc1jTG64bWgCAwAltDF92kNXcztd9sGbb/YNbMVKZjSPwGXRvxJ4pDauqSBGnNFoiwjr
FULgcGpj0SLpX8tivyXYiqiBGpMaKl3wrV8EqugUcQmloDVKMeOtPHLpBDfZJH4BoipOjIimjYYL
iFIGg4W0M6wP9UZMQIu+yNjqpgfZsfHOsFAwTbGLwGxlI5VrdGLCy34Vgj8SDQSKAIsCFD5fKTb4
MjvAzZ1xI5f8rqM50T0izkx5tYSbLCxiCQ34mxbHMp4kAkpzOLpkSPb1er3Co/9z9e26MLYjiNDc
neOdYUdZa7JmZ0NOY97y0W3Ulvve4eNFZCG4aCGgFfzmpyasbmF7x0BeM6VgQt6MvBpzFB3XSJ2h
WTNMXATXMgJHuifIjCbaSEGdsI8h5P2CpRgPv9MPJOhkx6eYqdRBP8NaSE4oqpUTtMZ//1EqLJC8
DBoJ0gctrFBKMvmfrUWUA3k8wlTtsNczPXnmLNLHRFX8QYDNotx8m7SEZqBnNgXD/1W0YI+BbUOM
oKoWzGZAslFb913ik4FMxXDCbEfvTfunuiOsyFYKDoVTvTluO6A7RX28+/49karmtKc+ZJyrauOM
gZ5H9cOLr1rCEouTcZa3P7DeivnBWd3JbZRWCO7sVihle7S/W/u/itm8/XGk0MRYSenwct335ceA
Q6qKROQ1h09wwLvOT/P3u6hsjaXwpdcHP/8IB9irTP7tbNiPXGPCKSxo2tNaL4mNZobYdEubOt2d
Ju70ku0KPkEOCeyftoGw0MIBN0/dCbmJ6scwzWDpVfNqheR/92lkZHQ9Z3TmIoBqC61S2xrqnWiF
GziqJsaIMuVKf48orOlLQsWt9e9/k1kJkDMnvC9NiXDj2tF3xq/ThdbaYlPZpRdMoZEr5sFy5VF3
CfpYpRovdOtBWJKNu58SHLDT/E88XGk1aYzpbvaVaxaniO2Dnd+O4y+cXyapZZScFRzzg/pr8qvf
M93FJHiLi1WIkotTZ00m9JS3+DDtQSpIvPDS81cGUrMW79vYsZaCPO9qd4a0fK4sKua/uNr1K4LY
YmPRyhRRZWd6WJ50IvtbXRaRzGlTY56V7oeG0ZVDuAcqOYNAe0iNJF7yLtU421DljFyREQ+pU868
ty3PQKL76PvioM3uaUnYMpnvPMBnP71FQINuaNTmHkKd5hroFZZJbb4VQxSLU2Le2k4JcWQCNFJJ
4LjWl28j1rOSCzWkGIzPdM8AOihQKHvPYI+0A2RpH6hUKdkJtfHEhnEyg2UTuVfI8YTcHKVRIWLp
H56RI/UgSCQMU2y+ikQdFItnlFvQgLi5lttMTXEtl0E2/PieT5JhLUD1SAJyiN3/pDXYsMDsYX6a
6UTgSdBNjMrsHtz3ptaP+1uvwMoMhumJMWgOtVkHSh4aeYCkg97Yte/3T3HxMqxqtUDY1N9qbmE+
YIPDDa6hqPM5Dg9TcD5bAhD+RzXLKaYROs+4RzdRci24kAqIYh5eLolD7eZwTSCQLnvgAaFTk3Ku
L3RQYGw1tTD70+bCkNTKN3ZDUExoqj8XIrEVYDWlDNjdYinY7Iz/gPTius4hJ4kqlgWejM6+0Iqb
gvS6PJkly385qjEereKezMT6imMxf24JcxV2x24LjLpR2vn8bhjdOCAyXIfCGb4SgXEALp/k0vEV
uXwHVyFCfAUFt9jm2l/aac8kpMU8nvh8Uip1csyOprssqrk9HrkXfvb4dSdG2CciCjtyw86Or+Io
ALhUWkO9gasQZ3M0BpP0CNB4DOF7f8jJDO0T9eQ89mrVO6aDrIOzqyMjg5XJWGs3uWCgcNcD8I+p
BhhVVbfUE5Ik2UsXbTi1ldvVWT1MYOO0CpRu7K/9dYjyxMch2FUHZ2JooXmqWJPJ2RZLtJNFpaW7
lQvIsPh3m4ijXEn+2cmm0MdP8DvZ4b/hN7STM0BrxZUnPp9IN+S55GEhftYzv6mOFGOSrjKboHsm
zcwWVOT4dFrXs5Xekd+iwrnfMxF2pHKviCoAQSYje/9ym5xJdbrc+IdY+oKYkqAoTQfzjSaS5isa
AQJR6qpARdxyex6dYkpD+tkLDoOEbFAQotEMvr8AGCq8NaPO0Y9cQJdlLFfD2QRvwhiObIy5Mmxt
uVFDSHZRdwQOCfpn5GzlcU415VAButlWdIBuWyXMJvhmJiSZmAs+NoqoPrs+hfdn05FGM2yMv0ew
gd77BTLmGc9YcBAFk5npD0+Vp/k/TiD8qIHfr1ErPvXWBN/d7eV/geNHKmSXOdNQedmO2wMhIN2K
UlayBjcQQ+1wfgg0IDWIgZRq7bmXxCiC17l6yjj5W/+PVXoW5IySC2Ehg6dpIWy4SlhrZoWgckcf
6irUJSE68WSIVXinmzuSpZy/0NpJ26NgG57/fQ73ny5+tpLjtIv1IqTmBIlzFdjtSLg2liQ3/ikH
u1VmGzn8jE7ugdQP/ePVK/6fwMl3e8uYOxYOa4YRISr/K8nlAk+KfanDp2SDjiwt3oqNhVldcHfP
CwbbRvTdOnXZCWaXhRVR9+yEHXINvExEZ6G0qgutCnBvZgAfROdKsG5hLkKtSA7iEG+40pqjZ6Lv
NT1HsV/RyojSkIJV7QQTHSTJWR8+KqdvdC8HLEQxLYnV5p27GE/6uboVhzBktkaKqoB23haAoCRg
x22bTP9/QGc+Ffbsv8HfQiIS9biXwM6/uibEgsFqzmlm/vPakrubjBOw7GqJwlhKyUvLfshUS9x4
E/bwh3q11vF2bi49YfgmG6HHbk1TvXRGrfNSNBz39UyEwOgAOoISY7mBaaPmhxCRG5D9IttePal9
r+N92ERG3hp5On+9YURSle+/oCcuNhRGicTpedAEoVOTdETbGFweUcGg2Scvd3mOUTYdX4bPHnFU
HoAoXikKrP+1jKiU/fvZ2sL3oTvGPxDlSbk+FzcaUNBoVUlX3FtOCfBhL/4zXkTBR+orWPYFjNJn
NHoX6Iz6A9rEsFPiC7465miBf9Re2HtNsf6sVyrFebr6HlWe1GkbZBF8r7XK2ZaXAUFfEd20y0zX
tIf7/epMqgyOopqFg+eIuxib8QYtGPrrKluZ8/AWVqIL7Hl73A4rMVge4TtMMsqlB6KYTNTJmbpc
VguuUrqk599l+PvSHh40xH1ahzmSdZME2+TFrMLPGRJawt+TQKWGH2Ff/ysu9bq7Pzb5kDh/BegK
XUmmeZyS/vjg2AueLAYmtIfeBX+eMNcURMJjaD3c3krCeYwH3xbl+VVEnYcwfrZPWp8QTB3o1N4M
THSZOENr3NPQvH87Yb/KxDch8HTeZ3JTUuWMLRExCHaEi18sy9ic3FoO3j7WwVg5Sv9+RRUk8CJH
b6mlvQMgZyQ9rvOVfyMbJIxBAsvM/xd5ooP7GxR65sJIFpAQtoX+ZdQxBiSSumgmKsUWLdvrZrDV
PVB+YcfPuta2yYo3luFXYVnIG38J0OVFYEuRXjfnS492cmZtZocFMZWvsCWthgGw7PyW0L4mKpVE
CW4IBSLLbfanuh9oi2bTID1J7OCmpBOty3b+xPVE6ZQn2jbBBXsq54RgYgwOpbICYHH/lUZEvtxV
jt07lL66RtoiysrPHTo+zrt1y213LShuXogiwaGxzfIj+T3DrXlkbfIkrIGhDAvESvkchWDJR68Q
Ri9oYWOukEB2kLIDpOzERh08iJeEqB+jcG1yE/YhOeExb2zJvxE0IkA2r1s2ybj1dekF9v+pnR2J
SDZnLX61Pu+8Brlrq1Zg3ErTqYjYvMq2o8SEwFdeBo7MSqfKdxSN5RY3lpVLHGJdk8HgNtwTpJYM
kbSuiYHR5HIZ3XAXAIy20Pl82jRCW/VESze556Xxab9KTkJ8ys35Ra805/+FmhRFDOmM58uiudj5
48CvGRMYCtfj/0Mi3N5I3/SKjbW7jRUmVT82u9+eqVOKK0TEeR7eYJ07B9ed7CiULCdda5Qyuxvw
FhZ9SsAFM66JzZDR0Tc8ai5RyMEWZI722ZfFsFQ7FlA0O2euZPqduv67s910H6Uzv0K38N84enPH
VtraXe9TLa/SbHQank/QZOaoHcEYEwpKcEuD0WKeamyKy9FIw6Tksvd5XIuoea8Fhl+Q251llMOh
jQwVMD+z8kqtiSgw26KEOynpBlEyxMnVuiv1yK/bzZaeYrA2L8dCwnN3YGWrcfmefwxChIVoAIwp
CwRDrEwnMQq5Mp9pXwOajR9nXdbOQW4qy9vWHHOqwlVUbk5RLhgkGdDvRGg/K1wPcarPl/Z3x4Qw
0R7UxKtX1egCJhwn6Wt+Pz8ncCTdS9+sKb1JkocSNC/TY+gnI1/Dv4BjOqIJgYQHBcjAllcJc1Ft
J//gp9nDqPRCQNe9ad0Y9BVW2frGZTUn1dTBnNJQ9gAqzI6Wp5q3FTTv+gBccK+gi44N+98W+E+c
pExZt1xEJjPtJgQYhpBs3QEW0j+sb91FOF4w5+ZMn5sdlfyFqvGvBI/91FCWc3V6g8eDJUxov7RF
sq7QlIjJpBZ+CTwnnk8zc9jRlFNahUabzlF32GZnyKU4G+03kj3CE4+cMFOf6+JclGRp4fRnb2Oh
WcT6MSvOOFmiFBf8h6HVXKDiAwFReWIk7InnG+uZ2L31mgs8gUinENd4PynowoqTYvS0PjAIyB8j
jUyvab+J1nDrmWyL/gmm2HNxiY/R2kAp9yl07PqSxFcBJFtA4GVQAFcDMJrPPNfGEwJeiWx37sfa
4tfsqk/ducSq8cOpgI0zjasqCXy2Roecji1PO4DFikDJCEbHUzagZD23TYaPDx/fvaU/voxHOtA6
IJIKEX5ODchGgGustJjuXuXR17CIBzYXkZNjJEraWnWpcZNfHLzxQ92OpNLivkxBzWK82g52tvc4
X1Tjeclr+0foW8uksebPTpJ/DKLkyvTLb+I46o4yAkdzsgr+pE11pIZV/c6kkkL/NpfahEidB6dB
GngsJnHRHjf54xaES2yiR1mMh1PpVEBDScAgMzuDrpKLAZJpzJw2e8B1qqume1hTJczGQuFRo8G4
V5pGCaZVBppGFH6wS8jWlNfL4emQ+aBa17mHdM21rWEEZuZakzleD4iVjXVRWjR2fpQemirjASsH
HeKypejrY9zWcD9p4f9RCFNVnTOru9GmYveBh4MpT4pcFtq1MQwOpAsiAIapMV2rF7rN9wqccHBv
8kI6Fex8D3W+YEvQ3gXwFmDu+F0WkYhPm7zyg+wSSaW7M37eRi1ElZNyXyzM9w6iYbX3V3bOrO2p
w//bYwlCozh5FFZShFjknVEGSrflXwEiQ4BVOdK+P7pzvTd0XtDS063bDToK7qMmhtV6Xhw7szcY
8+dIttXurXb1+681NPoXFS31pInKt8xtoO/73UxvZnBmYEy5m63f4IH/wrmbGW6xAkZGKFZpGkm8
Ng63iOdauCCEvStJ6ItYjt9qnbA6sCcOqHfGNVmijdfTy45jDTCe7Jt3vBKXeURtHPAa/4UaIG9+
bDBNS6+Uz5LtQhkZLfa+JmaPZkl3D3PIB41M3YKVZ5p6vhsaj7X8373uMsIQjzDVdeSlE4N0x/13
904/d1eXrveyx6RsOm1CIZjKGRc+vmRK5mUG3bNiv5QOV3UuGWpCXJE5jsS78Xm8yEhYoxKmu6Qn
dh5D5DomP8BEMrBRd3YibqfWMe4WSZYcXUEH7FNRwUA8lUCMBGfhfvkkhXOslnVln9+KQMMa+XuW
dVF3mcAbas091LScI0a4n9Kn6cClw7BZThoMvQC7bDEBfS8PPMaEunXITRjYVXzI/oI5NfHAelK4
vx1N30F6SldnEpL+h7B0DrsNhITB9PfI8/n0LghNrFDjEG/03YCkf8MYHJafQU4QdPqX9QfsOg+0
pe7C97w54s60pIp2+6WRnK9GGGGtDDelNUlKHqI5YMCcqYnuM8O3pIGR3BDVImhRVUPVbmTDn5D/
fBRHY2JrTymL3/RfXDFKp9SGqrx5OCgsgszvJNlYy8kJPZzsDquh65Tb8PST4zDCFG8CPEDuhkPQ
om5zR+kJtxa57s3vuAGo21Ky2vrMiND880LyUc6T2n2j/Eq7J9+qj6yvXKoDS3zeRhTCjT9FTeMp
EoQ4e0m285rWUaAoS/SBHJl7A6p1DTl1MrixeoqANCPEuvwwaI5cLPSBm3ahXnjbj2HQLxVjWujT
XaogrDlsWH7fxcUr0ye1qHcfePhJKymInx0UAodpw5fvME29OLgO3qeAsY7xLmvVbe8dSb/NLPRZ
Ut07fwW5tKql/VCi/GX8uDdJsXEn1grGfD7hB/4jN5w+f7hX+szBnf4wnTHTGk/+eyc3+g2ZzaCk
szmfA3Tyfb8doQh9BI3PRiooAtTLkuvBelhD9TDXoLBXy8463Ov+I8PW928Wt1HOc9Z4Q/gx0yf5
gBhbyHMpic7VvvG0MOrsUZWdX7uSyU/mr0NVP8VRdDrA7uN4ThLVu/Fg9ezE/P9pRJvwfMHlQGtW
YyUdjsLjIqi4zSniCiE0Y9RWx4WnLnOwW1rK1mhcaShaD7zoIxuaVYaUDua52qinPBr3E5S6yLDs
zPDZ7R6oeQm8T0jK2FK6+vvIc7zNv9jZSb8fZBuXoqKU7KrFRz4LiFaY8VVcFgmG+dsK+Q0aka9A
Gpt0WCM9eSfHn5IhMw6bVKSwe3wDbDMElxiqZcx5s2en4AARjoBhft4UJt/Z/MtKtVQK7NgeUJa7
2AgqHh12LPkncYjS4oFl5RWDYPH5G7VBnvC1kqML5c//Dar10GBFi4MJhVSvjbnYWeng2gW5Y8Tj
y5oS+/N4x3uFFtPxWaO/1h7q4F8eNsXKdnKDyrGmdOQ8pzFKv3T88ETYdKR5H6tUHqodcGgaWJ5m
p7ORXPWq1kYWD6BOqhGCnWO1F/WOijIhpnaQojmoIOecxmR65xJyHwqNNLLjxdCRrmLrOrmShroQ
g6c0VdWNsi8XRk0a98xV62Tm0cgwIohDOEU3gEBO5UqYKqqkMxqKZMrq/hBHKm1SlwbFGyL3ECf9
dyV1jN4SeH/0PxUL241MYcHXQRZmwMfcsXz5yY3blGeJF1Air2q+V3G7kksUTMkm8F1CdrNFBqYB
suDsjPxKznb5zI+M/ai0qIlyD8c+XoD5BsCq4+Q9uc8WMl5wAjU3c37nDgLIpc+Ai4QGnejgEvRw
EALupStl9FW7u3Z3amIjOJAsJ6Ys6GjTxtrRJ430chN70EY76/BrpHIweCre2paHd3SY8EXvnNyE
cqqhNh3B+5fQG18SgROzUCw+wTYIouvQzWq8USAuAudY5XUQKJVo2CIWypnbMgET4wNMnRRcex34
VRqALp8Iy/lWCz+K+R5M6Pcarf9Efx/xAQxRCMhfE87mNG5jEgSjPljpqRg77F5dRk7Eri/YfdEK
sw0rSjlFGe99f7qrbh3AWvFvFWLiSL0PAZefYdWOFP42eZvxYxpwTZVMfH5uZvyZgRrza1r0lhC4
5k2IDrjlbxq2RlhtQcOBfD0tYNoyzyLfxQVCUenXmcqAPMR8zuN+nWwMJdO1902aUoOkEVrAO7ub
rwDewOF9CFyxpquphHOXPfLhkSmVLZBxJOFH/FW0I+GJf/iEkJsILmRl+Lw0NQCeNDrMbp+0L/0O
Qo5o+nnkTiCbene3eW7OrR9MecGLCjCjaxkUrbrb1uugDANgwU6qvZk48cdXtF6H9BRySoJ1GJ7e
LXsjRaPgdWaZ7uyYXz0p+6Zl9vRhmFCQti15LEfi23mSrTjFoEXqEZqKvFPBTF9Wm+RrXKsRYWVA
C1jebtDLuvGoPDayTMnamF5Ll0uMzgK23XEuWERNzZOkH8Eu1X8SQ5m2ZMrquww6iUG9AbTV6pqo
69ccmOTOXT0D0X0/hMT7Yl7jHVm2/tEYOBN4Zekk21L4075ooWeP1ZWz9J7rcKd3d5uPPR/f0iaU
XY54hQ8EnwD+xqmQROYDqi7882lwlsISsB3QONcFYk5UEIpVusKlNqLF3Yz6PXsoq1OjU0t1jjPI
qvEr1MlKXyB+hhP8+3Uu822ftuN2sdTycxuFyci7rd7mzBdfB/HF5ZOxaubOhl/TsL6biVQZgXeX
tcThZo+Gx+SCPPl7alnSV2fmFiiS8FF6lEC+Y/u4pjCjuiaR++lUDP/U84cNZ7Kvi2TWi6dCD4Db
pzYRxY2fF/JSzMRVR2NoGXilZCTn/47iXAkzeTyp7446T9f8rge+U5QrKpxAc7aSUq4iZGJDDCeG
Dk2krT3kofmKTorYjPhMz/+MJ69iKlU1HzkmKptTiUBnXBT9rvZ/X7FIX9Tp1WNB3pkd/78bGi0R
iNxi9tjIBKxg5N+DA3s+qsOZSG2hTkLhLrQ0B818Au0NFVADS68KNO7NDrr1h4Wx8wwW+tEFQs4L
CtvUF+/GaCB8lZtl7M5LE775YGEA9i5uw0QM13+oC6nAPrGQXAzcmLGMyir4fU519s5Awz8uCzvZ
cgxLHnSpNpr7FI9FhtSq5K5/udBlce1k8alR51uhBnCBzBNJNrP9U5zlSYA8QI+8v0+91vhVFXpS
XTbUS14eynDelR23oJ0PquaE2YjKBSadZYcIUHiV9Fm5JB3NoIWkgYoQ3ehQFaUvfAOJvWW9ehj1
UHC3l7HyqDppKjs+PGUDx3vyA0kIIjViSXwOWtvJtPTIeTRycLp3JsnKfbrPq2fqgoImVqFpZrJE
8hC73sO+G0EBye6PUr8vJerOvsJyTp09+6/gJpp/CNc+q3eqnxy+U/MbNEKTxCIUDujRu4CKhdy+
SNU9Eczk1S++KlYTYWFmVN7iZVPJipOvYrWmqxk7Zk2+Trh9NPVYkiQZnF7UtqvcFup4RZVWh3oC
nX+YKC76SwRTHh9an1nfhzgzPm3hD3DQXg19ETEt5AVe61LB7vDLFbpfrdAo7dYn+XVrIqEmnq3/
FtDY5i+lNrwkwPnpsrNFU7KlnloFtSvETO04S0iKxiwW/I5ljvRMQeVEyY16cJoV3wJCSeSgu+BR
oErzU65XUt2awEEA0aZURCmJV7s+EhlGvMVKqtGPjV317gtzCwfRPlVb5j+1EGNuFbZnFuM2K2O2
VVsab3E1sxqssZ3ED2alb7UT3eoiBkJMyLwVe1Uz9YpZHztrrMBGCmJrVPYGhIqOYG5GUYyFmzVN
MicsVOqiiNaq4bCH1L4Ws0XsM29zy5e0ICDrBCZsKlnpmVTlkuB+z4y3j+ozDOB14n/gjR/9zL1D
tJAU7TcqJ+PU9ZpQtltIxo1t3p80WvMCiFL2GHzvgutn9+Ddsz/FtJtAUOfgUwJc5p3VSGUyhTVX
yz146QVYr2gHaN1B2D3lr4GduqQsUBqs0ZwYkjWbzS+qbe+Jk/+l185bpCCRLm4acq51hcuEfjxc
aE3Shbk1uerb2qN4NOXc1qzbW6hHv9Z/6TPb8p09BVEjfUGn0wZCjh+aklofEDZOrqA12yJ84h2y
Wn8nF8MbI3WBi+9zUof1Po7iw7Zhk5uryUQdkKaLXuuaStSVkemxc0kaX+3eGh85u/nURNjcE5/a
/ukrxfjMbvniJj8W2H82pkAvIfp8CK62OnDorqqgIzJcyC/020OKgT8Fl28BYsr/nPuUFJh8ZmwC
89YMolCbkTWJ/r4Q/ejzu8gXkMHSHCLuttoFpmDpnERsn9UkxK6GpRHmns3iCVm2BIeoXMylhorn
Wd4r/qbetQL6eiM/nAqwCoYobr1lHbacBUQYd6TF6EBwGmd5QLk7IpZiBSZF1Ob81Nf4R2+vTs2u
W1e20GeoGMNGvGexrnjnXXD6bbQTjnsMlxTovrT+EYK0RymXeqpBhu05pafgZTuffWYXf2/X7vP6
INSVpHHN+MeRgvYYmrxE2yzSf9aWAFwEvCmAs79wdXTqEKZZeyfTsSKBjauPBDu3WfbQ9qxYaJr+
KnyrBviUAYVtOrrxJIZ03NnqydmqmGn4plQV1kREO8IoTt9ndofjTsHzLqQNOVIQBsjeZa5G0TOq
XyQZ9/TIfyEj449i9nQzhw3H24Hkq/cNm+9GWP3YfmemB+D1mg1CdeYnkmM81BYss2zx+WC7dva3
OyxvxXI7922Id4uq+beROX2Ai/+x9K7omOD7WO47Tpo8GJxmliyJe9myopSf1s1zxFiupii0RUqn
ZpYovS1oaV525sCRfH1DeP678LXH+LurKszcmv/OnzK7Q57aSaNZr5vzkJhonSu/J905wtf18ImS
0XJKcI3uswtSn4cBWSfhiJVgiwduiJPXz0h5TSqTsmvi7Rb67p97NLHCyrgKDvcBLarq6bvXQmyH
h8wPt+caHT/a/8hpsYeI4m1PJ64PiUOAGRKKatlh4GAKwf5wnarw0/AkGMLfnoLSJMjwZuA5tTtP
Shtk2SaFBFWzQ8t/k2no7Uum3gzWcfWDaKaCxZR32DIU/owh6L97EqHA8NBuV+Ywrc2vPfa6rbUz
Vrc7PuwPnfYu6DWKJ5LtRFmNXJUTvw/PapRj2HQDOWa9WIF3dJgLUgqnuzmFIF8znQ3xbTyI/rJH
wRqcGU2bz6TG4MQC2t8d7L4fvWspZkZMcZG3h27W9C5oRlq80NCGQh++gmCmL5kWobEHLkw0wGzP
ISQ0u4H+wZ2qlkHffd2btOfOKQ9tGlBFK3SJ9oOUU0DK7bGzTbzAYtUMQkP6i3/QoymhBCYkyjOe
bRwg5Lhwli6YCWoxCnx8t3eD/PZeR8+c9rHuS7eo2qgKk6EqyVkdV/Wm6Kg82JF7w/9uzDxPsqje
LdtMI8ImoTmHFN6LV+XvXAN0dvuwDOankBXyqfYAUYGE5jM7WAnP8PxbwuaQchM4OccEL3+RkBMC
H2m9C4y1ONhbLPwt5MKwkuuz7v2mJrx6W8gWj+2QdsW2/WVSj7I12L68c5Q44jUiec++ypQNVsjs
wFSAzx/CXq5cizUCrz7pK93UmZb2Uxlhse08dH4IStF3A8CAdCKQXMcUL7EvC92ZV/pT/orDVoJ+
oRQUUxj8/QpbamZ2GA4ddbzYXkblxctkUq1JGMeVoi1CEtKSJQ7Z5fbC45/bp/xmp4iITJv+LDWo
rLUMRFcnDNm6CbemtZw1lUftf0OGxJaREkRGVhZ63+4GLJU0EE0wk8wgV9xMuMuLpllzxSQy7uBP
oW71INmCmbyldT2msXPSGDP4BhKQU7F8xuEs8qLHJX3xJnfGCWPSN2KvxLcSBKvjRvjrT+fWhmPy
DWNLO2QhEw20H+d/2kfJQBLT1wC+aMpfnruST87PPJERQfa6TV69bNjTzVUxW2lM4IHvvG0fGsqy
g/8Sa6G2RWCCj3MwbaJkgGhzFmR+XaF/dfFlzN8XKcBNbYvDy4MB+UT96dmdDPbrQ0K4QdVBjWs8
4x2wNVe+mdfInbveZVxMWrCN+KfA5Qucwzux5tfEgxyaDttz1M09Umo65lNDvzsDwj8ljKmMeHxz
gEMHv2AAEg1tUNY6ZHCMeBtieBXGxfMDi6DumXV8Xh3xrRixV/AE2G13wkIvKATQAiJ3E2V7tNCk
OCVAUaXt1AA8pT6ycW5ms5xCKXcET5s7IWsOyGW07jQQaB5r/xLn4DFhRswarhv3piE0Uj+frra3
ABAxoF4lsi0gDkKX+hWddWBRKwgmD9/tYiDryc0K3ybfx1sR6J5cc9MLTl7DaSUDg+SNm+r9z38a
KxDSK1LB6VYjaAqqP4QDzcu3NaTZWfkqNLdbqhztOppjvJZZe4MAgs/Cc7m7sBXrLJrG+ZHiGBZz
aFeSPopVlpcTSvvbgs6BT6358LnRFPnaYlfhGjb7x5lJKkzMuhuRi5N/JD0rtIs0TgsOgRYnN+JE
UVPwzAHqBNFhbd3qvs7ZwmntDz8CkAJVMfA31l/IqmhluN3hA19ZE9eA9NjyWv50GSyyszkc8M60
Mf7c4UK2TYU9X6yyqazlkCYFM7hbpjBI+pfH58qRUiFICTtqqHRaA7IEo1yTOTwAJjbNePE3tm5B
Y3pZT6jld2HAlpK4tR/Zi2Ehw7gO8EGYY8wR4if/sc0Ovhv+Hqwv7Sn4KbPlPk/ZXfk3iGZZXPvz
yN9HqVxPWSUAypguOSJ1GP3jl7pz/6lpH6fpYFxj6ZGUoXbq1U7gNejzPbkPe/lYYmfXzCOWvyjH
mXf+cz2tlgJbVtoi3JUxD1TLXjqZsWbGjwUjN/G3ruieqMQvna1QWE5C+lHbJl/XYsjrEMO+lOfa
6gJ1ltiojtFtfUHbC1JNZ5J5ua7s3/BqTdousXC117VzOx8S77LNXgjeFnAOKiQOFC9AJk6SNajx
SU7LfdmkBoUFenx1NFcYLv0yVYOFcN4VdkcaNqkToZ0uNPYnb0J6dDWsBxOOCKalh4kezvTK6LsM
8gr0PdfXhoWCb6JhEEmZCaDgWCAyd66FnvydDMomb2XAYgXRYLPWsF/NKPqQv+Qs7VZrCSE7ZdsA
W32G8/w0vUSqFnqkHWHaNpPShQk639pXUn4559w1UUbu399vpUuuOj00ohTs4Sdyu0/WQEgaQs5F
BQJIpmB8pI4ssx95B4ObdhEcgn50qTUCJzgV4RiOOu1hdrF+DpHz/BXibyxVdBQbmPcJmtnXZibw
dHYmb9Exm6M2+GVct2kHyK7vf6Kk6JCX20iei3hCMqp7y49mNdpdE8+OXsmb0c5lKzksjM//gfSP
vYHvk2zI48QZZoR2TTypCQVAwRvlYsviYYmsOOvSVy3P1Ck79ELnnX85Vuq+Q6IbYIlmSYRlwqwu
WjU3mi2JJdJ//GrrSp5kqgwVFSjJh9gGAJDA1vNiHLWLYe2tZbwlGgcXIbBoprT0FJrhOOFbZGgB
K7lNagJgkAnt0I1VHxQ4xVqM4Lifu1tBppSVxIBOw9SCYsXCDL2cKY25gOsee019bR/V94ec1aQ3
r5e8amjmfPo06qCgiCnhTGVnQoYgY8Mi3/KiCeo8E4Uniu2i9v5WxZeGGEXBhyN2K1y5NLIR658D
wm5BPxt8iYFfjCoqFxZkpqvdvaJT5WpgDkRHV0haOcgsq0RZ6x7XT680r/NTIYMz6oLaYhvp96+B
HO/fLPwfnIPiCwf5Cmdah/X813rVMhgOk1J6oGly5QvRsAjb6UJMaKfNjGg1+lExPNoSLVWIebvs
Vun+72mIX73G1zUWlUD6hZI5GDr3B3TcB/V56dCk/EYBnqwtJk55Lcg71BL8CQzB2pMw9VGT8Pc7
vcUVdfTgeNUrxUPf9cLRLGuQ1mSesIH0Vy18Ry6FS9QOHtjsy3tzlnJ0A9pAVvulI1F1k4apb+JS
kfAim5TSXpJt36Xvoqi8Td83ytVcosaaLQ/4SPzWa9p1aAoBDNsRDdcqgexiujEIwC+Bk8Yd5NCT
Oryp7E65mBT4H5rg7Sv2gzd05G2lVxGoPo5s/KrNfI3R6nftQOGo3jDR8XGatmO9WPfNOb8mjM7W
LDwOc5CJh7ySH/VrYMcWs3zVHH2Agdml90qRWNQO2E0XWH71eVjMrtg6iAcs+DlMbWyaTDhORFC/
sLpzxBI4kLMsjwvwqpODObHo8Uxh0N88UaznbVl1VDjEOChrCactrlrd+TYclOPdV6svnOUPwVUb
mXS4S8EZBEkVjkQ4gg2XF9dYjte/koaJ0mPet4OmvVhrAZTj03eNbjAZVzTrDCw8YSgjZT0Ub30Q
cv5nnYIPqcjsBtWJkgH6SFyPNWLGNEedRqm/Ygor0ErbgcArb/6fijRYMmvJq19keVMys6T0jJBL
BfRsihi664MWzjH2HUxTjlF0pF7U0DZ1MBGm4mQQmagq4A2FTO0Fg5mlf9XpfU1DsrKhyTrg1yZv
t2+7DH1hAhnWJxQTpYdX15m5v1XMENJ5Ucw+AEBmGzFx3gDvoieAmniLX6YYRXOOrVotSfJh0W3T
dS1dYFPaVkAdkXqNr0LwtCPLcdVqcLNb3ZWbpluS26H7V4eITioV45hPnCqau8w/l93ZQhGD89Mo
QP+SuT0Qmtxpx27RKa/xGg8W8gY+76VzUNh4lrZCqua3DCeOnWkZ3BgUPgRHt7sfBHIYzTLr9egt
AXhF6pFqIBTgZMxE7Szq//HZZGhdtlIFRuQr8ll3wePXGV/6FRRazrdYFKLUbdugarKWQXYCC4sJ
ZMnZR7aYwyPXjeXnQOz4BiI4DXSrndOeOinlSrDGk7R3LLVAkRu/Nek5YrKUbcwt6LLKso+mScBP
sZAT+TV0gZzqdmOBh8n1p7/1wFwQJQXkSjxCpG+pMyYvCMKb1knkj2Pvp+vu+wgG1wM+7UzkuwWX
6Ty/tnZr98fIoqS5yg/u1OpBom1mKolYIu0FNC6TRSXyL/7PrVxodrtGsqlX0CQWoq+kyCIL1BHs
S2SjOdWpfFxcLHnoIMQP5mP/1SUUegvJfjppX+v68pO0XLvcuYBTgPwq24cPADGMngCQbxLcw2tv
zeC0m5wH73p42h9WcqD4J45tpkxD/pt0lanGtUrn2wN1wch6uOiaoTZ+OdpNRqLAktDQUaVUaGf4
z5e8RtPNSd7vq22kZh0f9aV5TFaS29v6lMG03YRzYmCeNurNTVJLA8smBJ9Vn6NV77i3IKpLwSwT
IPgC4+oncVVMmYGt9RLGa5rGJlvL0h3h5jhnDEnWeACDJBIPaG1EvdJkm1xnidN1mb+1FvvUv6UN
d0CHnpdVAQo2Dj7ZqNIHYWrtkG8WtGvyivUK2la72OIYMmJbj51sq0i9JhnwLElxDqiP9K5T+DXF
dBF683snZ80ZPnrYdOZiIbAxGzhUCgLn6c+QuEdsJSi5/7YA6Mb6Ru9lJAGaE2JVeykxIU1UKt6A
6NABnpailFFmnXnuJygsENKEFg1CE0SA+0Kogs3UhCbnFpA+pJ/uT0lCCKSUh8NO5lsFaLie/VBu
40X8Dq64J8/TPFgUd8kHmIfzsDAt67txxMYUt8ypew07arOoxXrooI/ZN2MTiX2kzTjgrPJnq1n5
JIxTymiTvYx9MYxTQRPOC0uwQz/msFbIfRdOIFc6KNQJnJXt+KE28OJENHU8dZLzPOJ/Sh9H8asL
dTGzMzg/j3cO/+PiHMPvMnmRlB08QmOY95f0r+xLyHib8kldRnbWVZB9Y1BWzyId+IMVgZXXJZL9
fdGLBc0g8foq3f7Vid0hPQWi0vYcBG7tyaDoQjIg4N4tvh5d011D1mfmso928j1ZGsZZq4BtLE2A
LQRh6J6OP87YHQobkRfBA/qhrRepSWAohIyv+vkxWqIPOsXSU3WGsiGzVsKTt8hXnZwnuopea9Ku
FXZOgYELn5GsCLyvqiM1Mszn/pWqclFibyiOkTKSJ6RIg2svjFuVyVC6OSvTMz4k6qQfmd3EUkvj
mb7zgavzWNo+Czx+I5W983meRTlxArA8isD7xSM7xmyDryv7Hhdipfqp7qclPEmUx5k5Jld5N2Tn
1QeG7Nb6M7igo+/NgubN3MkUhXKKGQfoNJRJQFxxA+3bAbos+Kh3QfOUAeL/v/XzKz/KcHWx/qwe
tFtE5HtTfcaIJenQhXmfW/EDjZRMM0yVqouRKGkgVi5KVNENalLR7aEy7nPGrd9+dxrn+OHkQHum
NVqXb5kBf4/KbFxwVU0cEyqrP3jjA3arTSMJ+bEBTHQA9j5ia/VEWPLQLuUeT7kU1/5LDP7gmbxX
E68ATJu8p2ig77BFoDFDHVt6S75SdGlV3HAwRg/QsU0/m5gLM+lvDhuUHJiOzO1A5Uf7tuM7zGmo
BjTjIjWVZZhzuEjpoXczMQ0+yl1xD2TL+RGmoye2a2Bc2+RUk4csW+vAvmJTjtWQxBwTTyI4KcB6
M1vLezYlJZ/DU+E28nZAtZEy9jDHQLllxf6pcTMZFXriLVs4GGy/nlZszIoNGSYa/mqgTZ7M2ggA
dLsCXLwzTqw5dPCtYL59oXc2PMDX5oOe4rNROd3lYsiZEkA0uHfAyk4Uunq1A9AO8RbjvVVVouky
D89lbr4ruQ0B02Jbm0dbGBkezt1/Mp55YymxmpeQE2wb3Iass6tsuTPLP1C+5xZj/WhyZ6rqAUVn
QSkLjQaCtKg1SxK076Pnmyn9mikQBF4/NqOwXM9s/FR2qI7WpLua3naNNc0WzLHso8f6HNvtDZXo
UZe32rCkQNYMhapcSfg4Au7IbXwMc2kRYt6Nhk0KmqsmMYmW8ANdilYD9J/7xCX2zVZEwq/lHt6p
jP+KJv8ttIom14WO4iUIS1pBg2QG3NddGDBHEEKloZsS2eP60dEpTYtNIA03Dz75TJqNn/wqdhI0
djOCiucTgeGAnHIQn96rFdiOik3OsGXCYDg6233OHzyklJ4XztxP5eKSM5E7f1+OT14H7n8uG0kl
c4XNQoGT6HDOHtdwcbV5w2O2RSQUZyWB5nrKH7DZ+tCnPvXaZswTVc6I4K9hE3PRLG/zYkpRTdy6
H8yPYGGbk93KoYsywvwDnko0NAcSTide+qsw/6iJ2TXm+cMZjSWTTUi/ISwkTQfujfxRNfVsDA8w
4HqcxuFfDjrKQ9jI/hDDufm2j5VDQ6osEw3CFeTdoLNPfXgvBywbPnFRZF5g2o7LyvKLy/jM5usR
Fk6QVvhDT2HY5cbnwOlPZl85UC5B7gTx9WP4gv7YfwV9UPRoHxtGjIhzkueILh3xALMG61pt0t7w
w0dxSqFN2xBRZ0VoFpWIQmtTfOOut+zYoeo6mrVTi1uuwZDTiS0+YPuxP/znw0mFrNT56rbpiNGR
8/ToNdqiQVnjatRxkn07HzUuyYdQ8MTgLIIr1etJqO5BfMCkHuBZYTCqllotBD0rWRrXknvGko1K
xYzFK8vVmpViz24V0uR6aohYSPGQbPRK49kd3iCqhmazf2tjzx46F5EtoDDWC0vOIR9jQqj5nE+S
r98TYPPV5FB3v2fxpmJbNwmbIPXVJZhNN/u2kM1GiWIilRLQ3SHAAI2cnivQrpF8SA5eD+J2TvqO
rnj0iUNbtcUQzmSyQEK8tu/b1sl3yVWTgy2W/IZy7eoDL7wI5plzmG2TWUehpfRb5UjzrnKP+JRp
vIE5C79aap8936NNjtIQ4Abl12a6bUcESdW/SdwC+1qIn2PaFxHyruEwJGuKKivhP+CzJ86G9Fcq
/yYIKzWeEDvWowvbTQ/t5tuXpoA13gSJ5eaeNHLgyvn+H7uuviXgk1MnAe2qbOSJZ1OOciYWgdf1
DngUxy8xaK7xtuvf0oEj5REITTrV0NoCA2l8i3JkfRM3v5apEBALanvvjGYKSKKeZ3s3tuBiOU5h
kemvnkKAifc7R6rE+hzqrs5CMVrjKoECeWA1uaOIkt6wa3+FEIzD3NK1Bw7zhGVOCvoVUPldJCur
DynfsJE/xiv8FkBhoiTmb0Q6hSf+/d/fnxl9jxXFXxjGOd6C2dsc1TD9qcrq4YVwLhjBgY6Too43
9Y8YXI0M0rGxBmRWG2ZRI4Lw0om6U3tcZgq1th4LZJx4ioXt94LI4/hU69dI045536JsQ42tdJyN
GUK0ulWfkjRthhzvViwbRHF+L3kXXSoS4z3SWBpm9At6TrXKHqLk6/i0RyUwCl4UX6qc0ECrRM5K
aOQBE00+YwtNGt5tGZsnRzft+wwGb1L3Ce9vhTkU7/5dMjZpAVVZ6trRtCfsllT0nEt96ol9nsTo
gsnjVhOmmDhWEpUaJHLHFir4JkK5cqrFP9mCP2PvajDoG5Y50ZQNdHyPqmlGp+WWpSjQ0KEJ8IvT
MknAUNJI4uzpR+Y+JEK0FhVfqzyK9LRk308fAX8jkNkYidmM+GtTg1Mayg+q+aQJKiB6xQb8Ztky
X/uaB0ccQFobe0PmqwpIXg4HpBFoYvaOFuy64MDvy/hOFz/90wKPxvo8E01eF3QTd2F0mVp3mPvF
wrXUpaUDUAa82q2afscQV3oxNqbuh8JrFadWfkQ9SC2JqZJfCyv4gJmz+ovulsx5BIbMxaTSgzSe
gZyayydAvRCm3XZ4e+tAefHDufL/Vi3ReBrHoF67rxIR3aQC7TOwMV5VHueOkblCkRPzSsTCBw6v
H6w3WesgjD4M79K5p+1J9hfhvull19maSD74NkW9bXl2F1xeKsaHHR/GB9a1Ay2cVRmAWQ4VPX2M
1N+SnZKCyxcj6qlAAAkFoHfZbd73goZFq5rnN31OqIOPJ2vw8litaxEVAGNjl9kc0ULBs/BipHlO
yIZLRvnLFKfy3lOJTSjo/OnLvKgeCqk6yFDehM1hJf/pYf2q/o9fwd963dFUXdSKnb4WLpTTxFDE
2UsWkrQAiecWlZ3NsrXTMkMowiHt+Pwv4CvwHhly6rrjfr5ktDvG/ifM5Ht7fuBg75MgtAKxgyzO
ltSk5ALwAkLbSvc8gw8A27U6AiqEjIIPJPjP3FjIJtNslZnSk0UzgurU6sMNu47yDqmWCIv78vtK
hLwRgUnvH3Qzd9uLvfkCdHjeiCF5ypOWp79Isc54fI7S85/Qr4Reg0SiF3yN97vnnVtJeJoWYppF
F339PIX8QXTDndntu8X8who+P1Q74aT8lR+zBjWem7FkKLRPfmHLphngopicvBkKG4agaGIs901a
MtI1+FcOSwmcHUAA2ihNzl5HogO5r5TTOVstMw2y3s+81lPU1OmqXzGIHdtQz2+GSdl1066Ln/6D
iupHnx9LJ8QGiDKhtQM7D0CZRlhggy6Rz//0UdF50IQe8A37U61Wax27Cx36QR46kHKF3Y0TlXSD
cJ5m8Ds57u+3pX7dYJpn5AOk03n/5bpSwPbYCOojk0ETzftIUASA8fFLQvqMrAqbk7STvDUnRp0U
oshYTB28p/SqhOi08UZF/PyGUBayadTS6qBE41lFDs5xTy2bu2DG5CfQEzfTr8Q6/PSL7KF+HxzK
F4OFng44iq2FwOO9bP6BNp+4oUWhm5vqtJd/yxZe7AKDfuRbtFGCthc+m027G4awEEX6QcbN7zaB
Cis3e4bW0QqFbtn00ysm5Aji6KTMzKvzx2m+UodDaJ9AkwDG7Ye4h0fMFfI8JYAe3feuNVpfVyNg
77pS2HeF2bYeB8Jijb5aa5FPXSyD46VEX25LAae5jFjefrVj1Z2ovm9Q742dRZ2r5NgzMEEhIuYO
wNcWbjGFXZBrrQbMixAgJWPGJ79Hoi7s0k2V+qZTEh7p8xsZA7of+0XzGqqOM3+9Pfuy9gZYcbhp
4+3j40PWW9YpxTq9xzAFP1tAoBTz1PX2LMaep2V7tqFDYoXnOazLMArOLAwt7NsBEYW6a0hxUK3v
yb48W2MpyxYQIlfpUkOxaN3hrvs5hGxWcAxjyBTkQ5adTugk0133v9jPcPv98wpe+GNhnu+lmSjz
lyTHX41zJTRYTF2itlkMG4uPW5XaPfYA/UdoZjEHiDeZR5EWC12I+CTtrLODEMloT/jAJ7CFJl+f
2i+GeJlclmjg30LEkA7yIeNGRP8HIctWgqD1FCkWVV9BJsarSYiCo1x0VFze7qxmF/7BsK1EhWKG
J/wXsd9LnmvLFLCyaZTlCMHtUEfQlgAhAH4WxzTXP3NsSMgDSEW/czQ2d+drpfLedMkou9XrEvwK
d++fEeaEB6iRL4Q1WDEm6GQhXFoUa40ZWBJTLTgClfbRx1H573BSjGcxbwpyDhiJyeCwadAb8UZy
fjOdNOL3Z9NjC2ORKcvdosAHIA9K8oQaeoLjD/H1dj8d183YKH1WtmHLtJhp32rssXpi7m1EM32V
99S08GFHkLpHr3hP09BTkFK5Dz1SCc8wTnAfCZt7JxDyMjKPoSh9tzWSnD0uuvj6rInsKvG4LGnD
LvnuvJoB4fPVTwKsk/hzbMbntCpC3rSUC3Fmax3oNUWvDbxdMPjTcmOg/h3yPOn1iiJ7Q/OOvkaJ
CBkzG57G+kCXvyVBqidrg66tkGfQ/t6Ot2kwR/szsi7R3bNpSnmcfFrIOND7EqXR/ndV0KMBZaFv
HGOtyLx1jRXnhrB8UaGNRW3Y1MuWhZZidBxoJVskb6TZMyxMfxqbMofAztTvEygsew+PINNk8Dtd
fKvwGGXWUCb98RvObRjTk4BWp3mDttgw0rhqFuGnLhaMM9mbpfIlZpYjalD4yBApMQRzix4PBBl+
5D9BUK05SNWKDYrHqrWqyLYsRsEJHEuFBWkUELOMUXTcoFX7pndmnVxk7FEiJTTfqP7bgHUaAc90
HOza5LKsgjbqgfQ0i4gunmqXG/rNLXET7qSaV9nj5NuQ84XRQE0JDVe4iYtXmuzHUjowAgG7/Q1e
M3FexvEzI+I4l0+4ecAlNHqMOkaSgBpIK6kL4uCYYzupp+QGe1FAGT3LUqGnfDl9IrxNAlT73jJl
oczYqQqDl2hAw84coup0Sn7obYQv34dHQfMXe0XorodN//9vjGNnRT2ym5JuG+5TiIJ7g8Pej4Vs
R3TTJgkX1R4cojMvuYhhIIc7/PK7676OZmt39oEboVTQaqzJ7Uzged4w6jeukUmvujEpN4JUb0/F
NOTZsZ4rzHxOkqBO6Ju8+bAPbtyE5IjsvzKnONZXMAACLHV+hom8sGErAFg1pAWijQuWeAuZpK4Z
W9kb+30XUpjp+yviuAp/o2wwxA+dXi0MQ00so1AId1qbbMho8EhQPW/8TxWpNV3G74m0TP8Dy6Km
AEHTuqKHGwb2fF6DESxN8tXbmdtV6LWzghueccEl+Bv+TVVBeIrvsLI9FvpgbvzfTeyGbK1mV0qn
87he0lCdh5IUpj/ODxqCk7hwLPNg9KjIK9z924PoyGIEpV6CQymjHE+dquI23aoAnNuvMuAqVGjL
umn2x9QEIRsVBiepyIrM2VL2BeQh1p2wn9thgSGI6ExRxvISGtNPts9WnPUNpnQ17JtlRejXQDma
iAuhIEBRVaWIG5aM8oHfoWa6MvkRTfMWOHudJvg5gc7pQkvJbvGQAKv3Bhu7VGdWwXF6YaA3xlzL
+eSZv6o3pR09UhOlvIYHKknwpCUlYt9nfzSN6vMJXIFdYXxs6PffzNdAgdLIfR3eZZ/MvX8pVVyh
dJ9NnaUFxFET5Ai4ZsmwyE942p1UrpmcBgVuaPBoOERIcM7zS96trZRlToxxt7WIfQcg+8Hya4z6
PCatdCHadiXlugHSggFfn63RuC56Q7RBoX96R/E/5E2tNh+x1gXKhwC9mjSj4P1yvA40SUaV7ER4
lVSGquvOXjZkFJ4HScubBl90C/IQPsVa4YyeXgYLyt0adJ9oh4RlNT8MFJpE7m9iNSJLaEVn3vGb
QF9H4/aqc8wofuf47zT2Z7OhXjmexe+gJn7sqptS7D+HSxQtG2l7BNJK0rRwxqJl7ibTozhA9fTp
jHk5VGWiNrNwJH+WUVPnG0hLaTnSXMlJ54HZDMwxIaKOc/A5kyaHOQgBvhV4hHDEBhyN5UX9L0eE
ZPN9r/Hfe9enIAfmWw29qH4Jbj4y/MOUDdYskWjRzufsaNCpq7b5J6HH6LlqKmSTDXVnV9iHjinj
N2duv2emEY3drYN7HRhbPeKsQrErZHx1ockpuZnS2rms8o15tFEauVUWNqxD6QuRTA5xMO/tBg5D
rV3gS16grjC7SHuA/P6wAcWh/n4zY9SeNn+4BMmOglxPBUnjLHsIycxBqfIL9JanViD/KZqcY3Ug
s+0ZXm0BKkynRDyPn0H0eK9wP9Hav/Sw4bYngAtIL30e9PorNC5Xx92rZnBc87PzjEPC3Per47Bx
PLV5D7T6SV3obSM2Tq590Myq0w9MGzADTF5yOQKx+pOuKN52kk/CPA/obFetpz3Mt6/dj2WYXplF
2SBbCY7e5Gle6BbLVltCFESxo9HsMWc0j7ScJ/hW7T+NhWmucgT0Kd1P5r7WBoU4hnEvJ+NdOoE9
9XpRJcYyKfHRNb+AOmFojBgjP0kAAcqQspAG/jbJ0kr+7egxeEUccds6zL9XmvEQ78jJxQeiNFtL
aOnkudtj4r9chN5qjJboQsaeMgFSjAvhAmqbVaY4tm0505dgoKeKeWzmleD6Vh/hQN50TQOtRt4W
i57OsMS5jDfcg1KOKt89qqIkNBy/UBY4RQkNbMbQofyZwHgyhyQdfupRcRPbKu8e3PUTJwCUUsXD
D+u5hFjYSVIu/g9L7UanuVQXyq342hK1mrZNIUVpm/VkPO6CUhvMOgcl6+bOptBJa0ihK1c49544
AJZNhwa+7YVrA+zK5FQZPrZFzzRRdKf4m8uI1ZRVnksEBDe1UsROEEw86TG0syfTpKSLPKoRWvdW
CMLbpwrz1ojIZsoBr37HicBegjgHSrvp25RloIFwp/A4kmYE+RGhHQc9zjughtK5AqTlsPs0d9SV
8BjJPbtNdJ7QR8Ignn+m0Lpxw9aFz2KH/y+WkKI48wd/mRsnLCxyIQjdwbFVMLpUvuCC6ImdOM6H
jrFk0zWEZ6/SINtlWKpyO14gR3TNrsLccpvSnLjPd9TamE1shxdLXlga3uTTBlxFTuEAKZHBOE45
tL++gfLZEFNjCJvpq5NH69ftmeuzRzPq/bI2gydcS6gqrjpY/doft3j6fsY9kseVVWYnsfILsmwD
ub4FaQ+6z1xNsMRh8UpOMNi1h2FFMwXzGPWWSAKkSQbOqKpZzstIhIevIzJXdbug6ndbIaWQAu4R
FSycR9MzMX32d5hBjiabcq652pYXGspInPuBjgUMHU91BF++rPt9pqgifPDidLHweO67UWgpU8Et
gnPbsyg4RMkiRd14jY7Eu9BM90ta/OtqWd1prkSL9cgOkbbYto2FKKTqoc0+m1yq03SufP2GGTSw
fgcPzL9O2hie15sj26VgRwrmTjp0xvO+sir6t38KchXlzps3LaKX1DMIFbXcEED9z/J6DW8xblsW
zc8ufeG4i369rK4FDGGi/sWK6IWrr+3r1VbrsRnJEOxfEIYAhruUuG1FGVZ/lnz3zfnk964j7dpa
g0Gy79VKMhhLwhriiAVntUmKuB+R3jLZxQ4VKjK8pX7JJuJiIzQKetBLl21i97rZh6j6fGwxJyvT
U3c6qGivybXi8EYgmlF/oD/2gKrPN2Ne3pS91mZ998/vsbc6H1CFq2xFNYRaDNqHOLryW0HoWkr/
VirQZ9qoLF+29Vnep/0vU2/S7TacquaY837KeF2avZJLY4o/3HhL6Sg/lkmVzMKswS1dW7l0ExRp
bmismHBEOhqhSTlbDuXjHP1XO22d8GnIUm1k933N7m2vVdaTcgHEaT2cSvjnDHv+qx8Szu6gsPA2
RVEU8mSfameSrwR9Jt8cpn12ao6BCShVRkh1yHiD2TFD6pAYnjEedqDXRctinWYVvv8ztWjlVRIP
oGXiixHFW5691VYgxBLO2yhxEUwDYdGxDxlmd+lGDNHtWcCzjOni3Oc+UIBYkJbR5a2zokjpXs2d
6Bn71Ou7mmlpmCpczkUcStNpPU5IqT9ISWmkoiux4Ap4tilQTfa9/CIm+HG30oY3X1fJbz60n/oc
OFZPRZewqMsgxcKubyAP2m69exoIe58aiRWPH08HNu4s/k/sB1SWRhQvu3g7pRWZmdZS/NcZzHEO
wCvmDQEHLo5OeaP/jnLp0mhCHo2GxJKg4t1p8Amj9AOyEDgIOwxXPkc2lzoJkkyAEZCLMCJ2mRb4
KSh8DPbbJYNrAB5ShMMMuJNUzWd8434eBBWqdloxSF9W4uJKy9DbEhZ0eEL1e+fcfv3G3JrtkCHe
h1A1i6pBjWhZU+7XXyl9Tk7SIeAeQ/wrgDJOMh3nqB2DAhgSpXMwFPoeps2jr7K0B2qJ4TSMkMWT
FHyMtQNxNR9pIe0GhUYPc3LXP41UNw0QChRUB0NnWqe9mVF7FzBXkzZ1jo4qVYj/Z9tELZReCYP9
BAR7Nh4r2Ihzul8JzYYzV/cQB28/Oj7p+ORRsPe+P1bs8vOfpLAvTtfpXkuebnAvmeRu8sODkJrC
hB5nzhih8/f6p4R/V7A3NFCfnNEF48VAA97k3Eg54pVxVoOucKT3DFLw+PcmK9PKvWdPkXDH4uV/
z+fKGd4vqFnoqLDzs1Wy+ieenWiCsqRAK3Vn82xMQSwCaGXpa7V/Gop0LPEvzwp9XvExdB/UUkr/
EofaQJrh1iUjRLC45Hz17p0p97ASyujhIT4DR5oap6U1iYkD/V79jPDJHhwW0Gza9iHJoCy88TIA
PZK7CzMuXsBTZqnrQuGfHdrH/sXb5RHQGAcj4J4UT9bhrB4hMeSNZGM6SckP5TJBsMtqRm5HuVtf
2sRD2rJCeGcKHrSGnkmDm4ZzoftTRzmBZ7+jhBOc95cgZ+xDhTlwdnsZ7q5JmReM0h8iJXA8y7wQ
vo3fxl6xO17Ku4jtQwp81e5BkxZplUOrt/6HdLcHGjpSQ57uetEu6ttABWAw1X/CdXUuNZ/sJCUw
eReezF+7SkZfKZiFp4YbMGKCSGFYInXwZvyvO33U7r2ytYQ+hJGQHQh62/wNWGjywVZgl5HYXl+x
+90SGFXPgKrtk+Vod0juLBaaDmg7Abn+bsemZqHwwv+mcShhBJ1r9tnIeey7ZaauMba2iSJm2PWe
Ycb4pHnZOdv6FQqtKI62ltdgw2fE9uL+ccrURptkrlQQhVzry3P3xlRaP6haOWxYC7YBII7T8rC9
+7dan8jBZl5SLCesRwuhS/JhTMlNvOj1Bwbra6OdyRG4hU6og10NcBx+VyawDoITNdXywy41+Fxi
mCwYsdzXuuVT/d6HxhjasO4n73Cnxhse+b+saPw0emR4EyqR4Ar/dURisKGkbUIxtQlGS+Ko/8+G
VPvEeFatN9gLmg5Mf0J2tL/LdEmJcrIZRicRWNuQcUkU/m1IXYRWyQ4Oya+nlugeDxdArCq30s3s
6lgb0+3vbJ+cxUhs/te6WpZsD1LO/VkEnatiGkhMschHuYMKUCTlYvtUacnMLSPbXCE5gcbux5vU
gal5lEwfjn3OAJL18OF/1ogb/i1xmxBfVpp9i55wc5ho1E3Z/ZENpHwBMjaDXstD59mMfa+XEkSO
b3H2K6ynGfQ1o523fHHQoZ/xsOJD1NSlxpVQMmO4OQ8Uw3jJ0dex8pEC1bHfiiC/n4Xd9cj5WLBI
KqYDNPZDg9ZVvfYhIBpyd1zW6pR1ueAKxJ4iaQFS3uyYuia/g7Nx00AsZG2JEIsOvaXqp5Cxlv2n
SMNCRkM73zOX7im204kMB5VIMeyc2FkaPjHA36gybIOB0ofcl0XxDtmf7l7BOvFGdMYfDsS9/Ied
4CrB2wPkAmP8RGmLKUsnjFQIXw+5vnVR2vWOy5+IVV9mxpmGOmvpSytlaKFJ3TdiALZtxVMA/5T1
COGfog7RCt0caB0SasSaEAmgesLBZzNm3mJGhB4iMaah96YFPFkEo7X4vHQOa7o4E5Ih4ELvUtuX
2MH+VmFcoVqVJ0WNNla+0GMCeHWEcVgkMNxDHF7qFfKfyr+i4asYCoIYIysPoN+GrYxbCvasuh1f
lDbRG65NCXmaWqEqLej51VrFTb4XMG3PikDuxrPGq+RKHRRZgSHo/jiEU6H+iYH81YtfjFDQeVIx
X4jr5Brve3Fsrp9dX766RgTaFrWmBNPefHS2/1sx166F1izFp1pBv/5BNGxRXX9bdENUEL/6wsOl
QmeHPEFOd1MN9cx4nDfXTqjKEETFLI3izP9oYLqooZsyhsasdqtBelVa11rC/TjzJ+pXByZyfh99
BzF6jIMrXr9pnMwF3QRrMYe03cg18KOLIrxpXK3bc/dkoVlWa7t4kMa6wKy1PzBkbW4RaVUXLTgy
JC31yxi5LcKUF/SvMtrsN0aIObsF6xkZZ1M5SsaBL3mroGdeWkHAN/Vq6wAvhBwBbs5Isd8vKrQk
aGu46ma1ZI0qgnO2Js2rnMocDX4TVELpUQ4cPQqoF5g8IaTyoBZSyUwmLFh2MlCYqx/J+LAcXTtP
OWhLjuArqbzxXHHkd5NK9t9YAtmwahIEBnsg+jGhQe2qIVcpzLPwTPnetc4ifki9zsrVaVutBygq
bXPECF4rsp8deKbYAFmKU5cDREKPMwAKmYJRcPoaG/pkkF+t7VlMPR2+pYtGWem56KYs0oPl9/0e
71hPxMqPYIwgXHZUtj2PdDcOX/hugLnzkdG0p92y8ABf4NNB4wuWP+vDRNzGYXd2pIB+BeWyO8li
8AQl5lxdooeDiRyJtLCrBPvmxdujMJ1M8ZEGfbnO9J/4FF2HSCUTn281v6iuXjG4+aguUbF1rFns
NwPuuKNkocXvvvicIh2jrDcEGo9D5LjjBGDTxrRRCpikG9Zkw8mPfhe/NS+kXTIiGlkut0ZdMv9J
OeL8qDre468wA4GKj1LJTgCOziGEFQY/JVHRHn9n8eX9ayht6xJ87VmsZhArqEKdaiQUljbNMg3I
UcXBUq0aMsedka0zGsrkaW8xVxkY20PNjvhpcqc+EtJ0yTJZVmBT2HxVTTaSflpTT+aqRjsKby75
O2SWS/yvo+F9JWltJ6txg0gUthWtG7a4/RixkejDPljNcCOVIPQ3dtLUgAd81vHntBRR9zYju6+6
Q/kutTUkH9OguU1xuPQ0CM4iS5QN7uxtRmwDoWBbKfxYNyR+DIPpPgR3pDr5r3sZ4n9vUqq6/gi4
dJr9CyoW/hnk5UOS08dmE4uiVq2lUrAMXDpisANHzYGzuJqruFXEGZNGdvKa4Rd00RyWf07Hjjwl
C7PLGXkSeZ6xyIAkj7wE26TX6Rocave9V4iAfCwaUQx7zWo4tmVXEIpg6wxaHYEv4pkB5tU21DhH
DOJmFJ3jGID4lUUYbqHSI6feKurnmAJs5ZoEejEwmPPcYKj0sYK29PRzZ66MelFqWFNkWiuO/77P
zw/r9wB+hejZBb7ZQwO6uAOy0udy8R7/wYwlHKy1+is4H3zsJIMZ6Mx9X5QRRAOO4HMki79zdAlU
3asmL8kStHZBlG64/Kf2YM4kkGcExYrV2psfJGS6cIEaJtubBlnalMYHauEK//zyI31DLWDAwSdQ
MqS29BvfCYMiyISdBnLagLf5DG4bea7lxIPN6Y+tA9gcsZb4kwN+De+bpmzg30yopCBBQilQaBxY
V38Q3aA43vSXyjApB1+XpVHHna9yE68TVTS1iMNMXNKee9f6GoEI2efsyqPSJAV7drDp2TYwczXW
nf3FXz7D12tKu6TAozxIsjrzABq+ddN7u2vWINjeP9+6eN9aT2mAJqMXxI+8eri1DRhnPU3+z3zm
EAdieWhlxgPpB4KWltL+HPl8/ChxaqObQUGoKXjhz1b3a3NsOF8jjHqEJRFYBz6ZgRPDdDzT/wdn
0Jov3HsaYoQhm8UwK8Q17wYYYkiDA8OneoZEmVAQNIHZ7dSX5Fgzgy0Gtr+ImdXybzjv0l1ilXcL
IJihRDWdYMQm9AIRo14viKdCvb9U5K56EYGLKpDS9P7HG884FYewac7pfP0B05E00R/0fdQ31EgG
Hkekw0GXWXLBiWE65a5cQ/T9eO9E5RxqzfP2wcAYGYxfhA13DF3Lrilsyy5MYSzhdgcoeRqDz9Ft
kMtFtJ76+J6fv1LSBpubb0v5PcPCiMiAuY8VRlf6WrD7X6YXF2qK+u2lK2IFLFsEMOMohxvrUYV3
Kdn7EmpbOYcL+GbyYXklpTT5bpk/oZ2O11tyauoGEfsf7GH0WsHNAviSy41KkANtytCkpd7wFwse
YdxnTu+SK5cwImtgXgwlF/LOWqpMecXNwzeTFDoJ6fRzWEIqGK7vVcHBY8dAgruCiU1YUZYMCy7k
ob0LqDRUNEzvcze8sJw828l2xrU/zuH8MgY4ZQ2VuH32cjGfy7faRHs0TJmVm1VIuj3R9Kpaj9Gi
faKw/2LL/zTFv2KkTtHhLbKiaZSN5BL0T2BgaxxN50fS3tsWrnMjZsr/fmYr2GSfOTWpKw9sWn5a
KC+tsgyD4vc1EI+Jr0vj6d3JfXg+UtR5o9pQ6cRlgaUEybKmMtosscQpIOamNoEOQliAO9UUnx3B
jestPN7/IrgZkVbFBkHh0d7hPcZq3kNRpH+xDg1ooHt9P4VRbsDBX4F+I6Iyub2OtqHEf6W/vrnw
io9kuc/zdiFlNWDjO7pVyJNsHgOY0PVlzbq05hPt2Zhe11vvAWF3ZPAysqh9uSFmBn+JywiCrBax
/rzSyA/6RKc+aZIR9AozBYeDqNtIkZxWU05mdsg38FcGi97EZ/GrXSyueaJsPZMgRoJuIaeZ9VAX
3+tlZFJiDPVQK5m0+KM8f26wed9AJueDhazYa9d8Af4yynihZmv44GoYl38EBWNLkqw71H/hbVjb
FbHKuLwPpLAuojGgxu1sjDWqV3Ai5YR6s/4/9Axd8Gv2J0hAkS2RkLYs1eekMyYLwg6QbPRcPFQv
I6M5ORz+6tFoGcWARqaTLk/R9Y9w402M+HNyXHdbnuG3orQBaB2Fw52CnzbTixDh3B9ON7bDSe4w
cz61r2jVtCkK0agYirfxY4ru39WSMijWQ2kZYSzTCBGhWkzOxGzXekrCQ9FLKPhsdCfCMtgIz24j
W61O5q0Ts25VDPu7no84tIG/RQjrmnQ1vN0c4RvKVeefutqUM+9zpg5bzLrjdBAqRP4YjzoUDpNl
3Lu6e7Ktce1u7rwnvJXVZUtTT4sXMDhdXdA3Qvb7Ty1Dig0Z3ciTdmllyRLVTI/e2tj5hXUcnDfT
Dd28nZDHoQLaxcwEmGL/BNmM7UJjP9Ad5+EVOsuQDQ/zYJLY1Ozihbz9MBIon6CDxl9NaiFaIY3E
ns6qRN87D4w8do7TluJx+Rs3XUnx467ufRtQ/jjORnq1vZRmx5imz7FzNb0k2Y4W3IcpiKGVdI/c
p48VmcL8G1oqcYQERIj11ULL3srUTTyKwwHHhsYR2nALiuLZp3sPqEarvrzP9CG7EzbaRhoBpD2z
81PTfd//q8/I8M2/zQvvp54mrW76QmSjeA4ZAqRD9BAOzCy50lW4HuUzSWqPkLV92Z3T2pnDhCWR
XKlmB0AtJxNyJs7i4ZQeGu2yqYrB0sACENBDG7sJ0Y+Q+klnS+SSInPiRpFZHm0JyCn1sW+kR1lt
FwU9RYoaNGJ8RYa8yDCgT3a80UcK2Gb90HQ2JPbkqMGY8xL3awP943Qo6c649EIOWYgFaOdr+eWG
34TJXcETRpT+ISiPEMDg+UN/Pp/eP6TRidhzKVtTRJTwFmSExroVMusNiNvkpkN4GEnWMoxooNIh
fZKGvgwQsnfEFDrm3vTdPrkrXOxrTChNezSgWjBYKfB7p0HaUnUe3lmjBaKFr2/w/+EPWw2ye6Ph
jlxMZHVGWBhZTy8jESvG6HOJQbOUzlwVCidY8HXs2qWn0fqh3kYhnp+qfTJHe8gCmIb9Xg70EdKs
GDjoJFvuHspHiyZqgjbn3A0onxGcOBC8BdutE/aBSUte2jicBGl+ow+f6RIejDy4nrELdzoYvrXD
hcRPYUx5LC5GywBD3mCq5OhOG0wh2TnFN1MLHHM7p6ihnvSJ2jW1yG5gdkKVeXpTL9xqGu23aR2F
GpNe5Du3i/DPV98HAKm/A0ohahDhsXaL29aSANpET8CnxgzmdqZix2JM461YsjS+/Xp5C3mwpvpq
dM2MSkboo2eR+77pOcYt6codCoSQj12mnEaJlIORiB+Q7asDr8CbdJnhE69vDvOKQ2NqHueYdsyS
Rhm3xY6IEKXTVsB0tXGqwI7c2xEexpGab0XKSWRKn/DFM/5o3p+6vZv0wVreiLgaTFQc1z/AwcoJ
tSe0zlUrVtduFmmvTXWxaEKGMczPK7FfMWPEIA5SUHOtvcV6BCm5cC0rwvd1pJcliZ89zMfkIN0d
L3o6QHrCXSki98mzOh1cBbkTpZI6ybqPKhe8MTz7OTSleNWOAz8tClZl0mXGi4CC72u6oyVRJYPC
maz/K1oazM+RJoeHygHcUcZJp9VnhXeM/i3kRXVl6PeJwUp454ZgimNKTMYG9YadaPtk8pi6Ybvm
avKnYPYs0c0DVXb3MuZUuKktt6dOkplzVTUDLNefjvJuqCam7zXmZlvQkF0ICke7X9sc7o4F8wLq
DrVehuqN59HgyEtoGx87esa0xxqgEhc4gAJBFXwqMizdPI8QAcr1qgOLGw+UAS0hd5L+Dpo0ie87
bsY8nk10JOfNKln6Gv7mF9qdMdKaF2/yOwQRCitQg18VN9TIEjVnuVFWhKtNaMWhY5Y3cdUFCY+w
gEvkW1xgdEHlNTphpW3phpkffYEcakCwr97xn7VN+ugexmvs1+2ubUCuedhRiT/Ai1XYR7mkcoi1
FGRxPQOb+h5Q6qCjp8NTQlPA37VoC1pOZYEpfoHZxq6pHB1JVO0kXMqzgfskjhiiCIaTcteXbNPY
hwby/JwcThYiqzsbLvVSzDlSyH7HTThuwLZIyZ3tx8GbJO1Feo8Hv7loH86/mSGf4RztpIYctTbJ
XAlDgF5eysLBZoXHhDY6jgO31w7Pb8B9/JCWxpg2lkTasPg0i2hNotmS9lplk1YkDp44t7A/FZFW
shyYaCr+5vewhP9qTj9lF5lTKHL64n6wzSuYqCQ0VP3kEffQDnBQwCA4tTE3fPG/f6GdaRuGLqVg
INtR4/34ByjuY9hL0yIJQ8NlRi6z4PQZJ+zVwacZUG79bXVT6Zp5gfj4DYsM6Rf7j3vgsSm5ItqP
bFUt3k2rFsZ1RYuszTOohq7pc3bDaDbIdpwcRrwTFPhERj79uy/DvwB1s7qQ3gsMUig2/iX5pEYX
al7Xefhtqk/rjdnDONfBDX34cMLX0v3NSeyRxAq1DfZ30ZjMgVspxM0NBRhHV2rYMQMUt6abOO+1
qXJw7ge15eWWDGIlI7q/aEJ5fyCxMqrKiVihtplq3k0bRp1FxSCiq8vkdEiVgE5vOUCJjLos3txt
zN1BXAzoJ64mua2MqURx75er+MMEYb9rqZnkQhtbMzRWhsyem4jOlwVut/hO8VKsccNbLMcr9pUI
ekxWEdXW4NWuxV9dNi05NDgbz6zwxG4w+kDKTNY87YpzQmxO0ZtQLt3t5+IxIeVJ4mtn3TTEC2CP
c72AZ4w2KXhfZjNgYJmfAVGkyF74O0OyuHCfyVL3t9zt2Uyf/QyciQjyeUmVHpELVUHqo/ebbYKP
9jYc+LqU7Wx8UfLYa2UzggAV+qY2iDXFtK/QoG/JgMzkvDwZ2PwZsDl7lZ9EFxLLtyCWYwHOt+5B
F2ddUxcc8unQokxeCjiXQwclctm9qTEuDUE3pD15k5UzNpV2z/2tS2PoWvsBSeLp+Bf2Lrw16as5
xJ+zq/ETO/uTXoiLflGpi543aaAmVrAKxfSdfZ0QoRh0IxcKgU3001IfEmEe1R275CP0vJll8hZv
VJTiMN5OpWjS7r7lBMN7uYwPCydqwuu9XI5DDafdYAexWfBXh+mXvJMAcUoe2vRdApQ+k0+6rvZz
QAnWiV1TJNm4p6koBfF0GVvpcnH29OnHmHdLH/GxLfJg1izdAUWEd/Zk9kAr2o/uW8iEGI5xHRwr
stG03/UcHR1Wm9ukPmyvIXX3hC59QqVwQMKiGp1uby+LW22+GKm73KE91ExawnLWRMK37A0RR/NZ
WNt1KOo9Umb5jBYEqAnAA3z7N3hTxw12bQjqXaAXWB6OE/GXP8nX/im+iYCzRFkiXbb/YKvtNtvc
obIj5k1/ErLbgEmCuY+C7KsARPjTutPlQXQGw6VnAuGmAFfALIbmGYG1DgDRoOGNoMTnFW8Q8Wj3
WQyX3vRlDUH7meTqh/hH8IUQF8FI1ocr+p1bBUPT1L2zkO6qf8ubKvBl4tC8lKcMwxAPjQcAfc78
9u4hSMuaVyadf5lddjHlgqlGw10HdPwuPXSa+3BpHysPTCwer4fFKfYD1a8jyxuyGuC9yoUN71ia
dlYiLLEiTCEnI1d7bXI3f/3+Cbr+RnwKOyLHRE+HyTiC/nSL4F1c+sL5QC2ayLNvzZpAUa/kjYaA
6mxRu9I99U/Gbj5gc8bz8u1jVOF3ZBTbw1v4VsLq71nQhX3wTbjXnxxH+cp4JhUQLLpVzV7wbr1B
WlHj/oCVDkgMgaGwhRRjqS9WiF2KhfMG7Zp18gsulPj0pM60NcSmk70ByEkvF0NPgcMZ5B2czmaS
cEE6MM0X9UzSsQ56NDqHyF+4gIPP8KBHK1SQ7YwBAf0YZDM8OVr02h6aCn0z8VD2+F3Sf7GyRy6i
vVLVMMW++GZmSqS0GVgoCVvKDy06LFRd6zJtqeyHthtmMxKoYbQgzf3nHMbVgSPN7y9XVAFcSxJ1
y9cT2CXYh+QDJCPhAvI3trSfYi2mA5uNJ1JKXf9AY9MLXemjEuA71qtx6cjIxVRKt0x1mqn666Ki
artmafZaS2ZytBxnBwj7b+1BWawvX6nJ7EWI7J0doaSQBqV51J7/L+Gy5fCD2CiIOUNZ2M5jJX2F
X9zpFTOkDL3OfgGuaqf4CULOCc9zjlInCDmr9hQcMqyUxuY8nKrJYIVBAyGQMp7B0Hq0zjzVApj8
CRepPbf5dtW8fpijop40kdU25TbbCtOm5vgVh14szuQq53CA6739YfkDc6zzctUv0XumLj93ATFJ
vNKmiQAsbgJ2ixCI/pMhIjs+aZljG9t/hT/yI6v1XFLEZNwDIl2qQXgbIN22EYnYsaKfOUAk2PSI
RNOEiGcvg+rIdJeIHGtbXSMhJQhbt7/d+g9Z8HMcMfF1/4xCJxGS8t0ALG0DJVTZO9XLRXpqcVDt
Hu3+6Px/oo8erBDB41M+MoohCM3oSTSHHuZ8ZN7OpNTamHwjsKaX1v1N+JbmfJBxU0BJsdoo+1Nq
y7OjNaS9H6KEG18LGik0VRzuT0tDpcKTSBRWFV58LUozp8UbCcpYWMHVKHVhmpqpy5yKs1HwfQBN
oz4x+D/F/cBpehEblPPf1VgxhcejHIsfsQLbsbw5A9MNuq/OM5eeopuXc333s++WYN+5EZzjx0Bc
WRshVjtqlw1ywWqjyEX8yc3ouitrGH9bMp1igOfuqu4Mpw1ZzhqWolyOmEXqJZaAXqTI0TIgB3PY
nyd4WnwaF5WdiOWsIewQ+qigqm9surP1jYkLAirFUrKVf4HrRZQMYGz4mfQrj+WyD3nnZY+v4fIB
XDd6OHIRyI1Gw2DtX5zRO95fRCSOSX4a6wXqFM1jQgdUGv2oTQCiK5vR7pZbh9W8S2fuV9hdm50h
y0LgSbnnHyb7BR1cmb95oGttSri24Os98uZFWtL2geQpPiBWF814VBanrYYWiXFY1pJ0rZY5AJEg
0g1CwdOcEDBGFt7e+W8yPnE2UbKbuNix09JWIeoiC51m2Xdx9WgdMV2aE5BErxsozvXR8M9PDe7O
GNROoban1duzx4bV1PLb9DWTF6MHMsY+YnAaacTp05FtGWbGQ3naWGssb80/O5ldi04rByBvEptK
0zHzB7WA35xmKzuao8C0jYKMPhAnXU0v4ICLDzjL/uhPyGnpPyxwm8lKe4dtFxl1bFhP0AhSVkZJ
JkudW02B0n0e7mT4H27TT+cNGKRHuNUzEKp5LmZc4MfDdIdGnh1e1HC8uoZcvIQce5q9oJTYBWIQ
kHPCbOgavK5uktGfOLjWTFdgiRAMaojsrPiIrIJ8ZPWtU8FMW1fQ9pF2f9tTefYVA59IK+wU/8Ea
D/BSbXG2W/Q68uCY/1WeXRUr5NAzUNhkk8ROZz1BxVyTU4B432A5T6GCYmSQruVqeswlFIjq64ri
tKah5vlStdUx8eDzkV/TwYcJxJOkxOUYUFc+nOzAPVB4j2/QtTBFKor4nxH12SD4Vv37lly32gTX
kuQqxouMgMsZGelkg4CY7KtOpP318MOA4XGdqkXzdfoDfVCHNHWLpfrOvDXRx1OybplF2QvFTHSm
4MzZXJ8f17iRigxSKz6smM2aElEvaXII6XVPQDdtlUDqkuXoy6vlpI/2YZ2CzUu4EAaY3C8h5gmz
0WbQ63jlOCWMti7a6u8ebmpmx73UbsKNgZLtpAseVUUfpS+Z+OT706QMlCcvk1vZmf0yoNvk1ixI
YcjdKIseryxhKtkDcfX249uhHnQWLhVrQqNKLDjGwW+mD7mpXNAoP4pDFrsVsn9cNyWOkNjvjl8n
eCvZ2v59S+xMgN34fe6lGGSumLvFeH2NkI20fMl6a5LhnPVEjapzWOYxFSCFt7pg5VK71LCg8gl4
/eXrp3P54ga3q+r5QiXibfEXarudw7HjilnNv3jW4WGu7pX7nW7bYPuVX6KWym9HbxrP6hUG1w2P
JcW5/EvOa7NZ8zFI8YcYE8d537G0cSrRNSNGe2EX3tAVTVOn/58zqlW12izwVegL3ECdrYUKudvc
zAqUNanDzmg/8ddEyCOv0nE3kwIE3K9dPuO0n2HDETm/HjgQDUmbHSX6ZahONlfS1aPynKnh/ZbJ
WUkIKY/DHiQKM+1rw8WZbfvauAAyx29prKYOnQIf13jUuTw1UlJlYLt47AbVbowOoreDp72WitQy
dwc4GCqlxdDUheFi0cNe7LUeqCADeSaSSEHXO8kiLMatjPHR4sOlIKlm978uL/1mvMZUNsd8Je2H
L4WnfotZx1lEN4vQt3riPXFA8OijInqAkRGtPXUxGWR+so0EtWz+tmdCUG+++3NTjiF2ckXAc5oz
fvBG5EHHg36ZRli9hAUZ1lCOUuZvDiEZLGtdnfMMODUxoPjgguJN+5xscD7Rb7ZZtvCD+xx+4ff2
OZleqYRslx9wYh6e5PMcaE7z/+dyFiDmprfD0CVz/AOHJ+PZaca1cKA+iquvspFhEgKZIta6mx/z
yjVFpEXQ1AfFnFwIi+A7C8fI0MQDRVj3ND8TtRzRGjoDOBmi8nVxhtNKhvg0y1m0wUhqP9Ge+9+L
5rNSvjd5ZlfoZPhZBmEWOG1L3GbA/hIzxnPNaPWtHVx3j67qN1eQ7OxE3qEX2zpeR+O+Dx1QVEhZ
XZwyEWgH6d1v7dSJrNr08MdESUgVS5VxArHXnVVezgtjz+mmsMNleBwnmJ7S0bThdUkHG1A6iPrS
p3aGlxlGpJyJ7e9BQjr+adk766JsUyMLfuqkl5qsF79sQScN2SDl0NC7DUhCKxneV36DPKfB+dzE
QQOkVoCihUT9eeFC0ykw7r2BdTW05mTP/DG+FfXisAYglxW16P4v3/Dbm85asq6tSXcq4JR6iYP+
i9O689I1cXXHyBrwqlqNi59zSIr7GT9urco9w0I3/TrPyzwK+268C5OtXr7FqlblF42/t6k4Z1NU
Szk1nlmUHy1ZdnxFOJm0ZkDR7z4sDbl1Vd82zG3pM8AvOro/oFLSa6vPzbkwe7lO/1CgOzyEpe39
jTGO49IcIR6x/+9JZIqewS9CLCymcB4D5LtLImExwFpKhupQ2v0++wKvgDASgAoq9dZXV+DHy2MV
L5vGgBUWauhAkFdUK+rmo/UNpmM2PvDeShKRnBj26cWCksAJI+EJK06kcJ2Dj4fHdxu9l3SkGIYR
6BfBtVOvZCgifVPmoOHN5LdmavG72sFQRaK8LUYNgOytIT8v3q5qgV4rGOB8aDoepji8W+h/HpeT
vr2lbkfwMqzvmQlscKImC14i4kns6nYhAs4/LS5Xq/KVNeQA19E3GT59eCysec7SUvIdXfG5BLMd
ntKXwvr3a4TyCvzG0aFy4MVGSKOaPNwErLuMIB9gbRkPHuTQ5YFj7rjgpRoUuxdtafVFYiZPgiki
UoBZrz2qm2XvmDO4tdCgndzYzGU0EftD3DyHdBrAKLLXnBeAR2NEl+lketR3b9+94wh8WTtegjZM
uQ50SrCZ5qPNC6Xgh51qwH9XoiIQayBAB2AfhyFkFykgYSXWV7wiVukcHcs86OpW8Sg4ppV97rw0
lrARtdURWeQHy22kEeoKD8w1l3PMBimxhSReoNJk7vyl54LVB8/cGPt8bJuG7L+ptumBIWxgrP12
PvwQ8aX/rhnxneM/BY50TjVdo4CElZvSd1cbIDhEwa2A3iooJbZ1+9HRhg8+bOECsPknudkOBYRC
6qe4yNLjACfZbVtMhYAUW4f8mIfjQfj4oz0siRihgxdPR7IIJXuK0H3nbodB9FyKeBrKOoa2hpGb
DNr2tlPAoiGGHJACCLL0jm5Hf/F/3tPKKBShvH96Oh3tx4BTjTxIVJghI4+wat73GM7f6aGLPHGX
XQq1p8dIAQZeTvzUBaXy8ncqr3TLWBkquxJs02vWJvwEXd9lbRazqiNhINNy2etiCql+774gsWH5
2AWV/7dL7STD+u+IWa3Uj4MLVP/7Q6GXrApaS1Unr/a9B7KxJ/W49trLTxsp/xROeDQlKCfFrqcq
jihEF/4q9HXFy6oFAxZjlpjN0ATeT1g7FV3RM4wxFrRv8YD4MXYihzSafm+CzI71EfeeWg+c+bkd
ZANGvhXpDwKvzmrh71hhxo5KvNIKl+Se9r/iM+2g6dzzsbvrNAAdeBQ3Myb8mGhmzeGjqSiIE2od
WnqmKDbstCky7qXqbUf28Qg0QCNgkC3zQWSeNUwqEW6A4ueyaRsR6bPydcHLnTvUPx1eNykCLfLU
s+cb9nUvV0mxMylpKJTStyZAWnycxkL2Ubk4nCKz/fBZWBSHn2uD9X/6ILfh6Ep1XAF1i/F2rlEx
IoVmhzROEdTqSZ8M/EIFCXvQxAlwWhdln4ikpWdr4p4BYUWfeCW2aldDukSPwrHNMWc4Vt1doJk8
pNJBpvSdY3SHpNmeD1DJ8ptx7PrXJGAPipU4Bj+/1M4I3BKQVq1dzckSmmuWHDSBUrQidHbFyImR
P6+HINJXO0nMKNQ4ymqGyMcGN2bASf5D+K47RmCgxxugRs3gwU6mkHlRlQh8p+UorLn5NBiOgAIG
s2Y2iHllKt015svuLPsmb2wgIbqiF034+vzKMu+vW8rbncR27UG4HZpL80FE1Ly+g6l61F6M0YOf
wId/D8VOznCjvVWYjl4WXr5A1t/Rvi8OGnOni8piseAj8brO45xvz8vKmLD4EN2BTgVC2BtZzVWd
Bn09w8mKmxSFVv1Th6lALsmDtq3La1igLFwwiUiY/vn3MREVdCW3xSOtYyNrBaRhR7EFtAXYFXgf
Mtxxoff5t+Tbnjk6l8HFxohOlPMPGg4FDu7t/qEByn28y25DpQMcubj4nlV0MFLBTwVy3YUKGTsE
YoZLBlg0ctxUAT0r2jgbgNK+Bo5o3VBPvv5GDY6nhcz+c4lhg6Dr3cwPQQxo1QCLeoWfsGcFV0M5
G5O4IqUjvQg8xNFaul7INKYxLL4sK2uIZvbvchT2TWyQNiKM/jHmW3M6mz93sDfOalYSagrj+rOV
bjzB0rXlZaCgThmA2GkHOTZGFTxa2qjzMRvx28tF/fbKWQB34CL/atX/0f3RtjlZkWFseTJKda5j
QtPU/idN9zHCgNb3t8kYq/srK+Om9KlBLoIstgEVpfqh4hqoMGPP7SgmCC80c2BJidDO2aHrloO7
01VodqD+nwrLNBv7PBN4nLJDZQEFreOTIQN2wrUZydGQix7WuUGWJDkvfPocr19LDc90L9kS4c4Q
CG6QDyRk40eLJI9cea9NuDCMT7I6GIXr9y7olqXXTwt5gWv13Jb1PmcM4gc+YA2fSrm4IKGLkd3W
cHWpwRM3tJyeo+G7IgbH0YvIh1YuD2/0FIsf5FniWLFGxPPxoGVnRQNVnbU00RHHxDvRSIE9rjMf
LjZrIx35ujvd6niN5MpmotzIlQkB+oUavNKZpb+B3/Rm2h6yyk4vRC8SQJNJU5+lf0dxenq1556d
BXRjjuhxiqcbrKUDJSCMrUvfsn4ZMzWL5m257LpWNjnIt4f/68hz3MGzMIlJRCML1ker4kR4nYhH
hS21m3bYpY9rCIiljYGWR7EW9peSNZDD0O3EFwTHpgvxP0pUmVjmCnCIUpmD56O2MsNgh55eisUV
mi4Ddrp+onADxZc5P57kfR24tyybIJ1XEJhaahMbbp8yRHLNalmnY3ksPsYcsszwy6wrdi6y0Ouo
hWQ6mFYrSnVARK87xP+sdkPeP9HizZuYBrIC7BpWstPo+O8o18ipsXg+3Anegoj3lr3UfZ3JBzt+
+4kCb0sHkGGQML8/qM/HK6rTvjXKqLjMfvQ5OvldnQjISPOi0xksi7k2KplUoIRqMUgYfoowghyZ
4nWe/hlarie7oEPZ8psPq4jSmKaZASkd5qpBbLgKNFnPP2pBhKa9LKe1LnCrdD2RKM1g3krcY9pJ
gGvT60plwVEJp1ezmf2PnOxIS5o1GPnrA4GwBNZ91nU134TFot3e7SxyYfWE00o5u5wzU6AiM6Yi
DKhs19oUKcIwQhEleUtOaX8IsEKDPwpcajhs7ZpsYdymmr1l2dl/mXJdLAgWKF5+V7sDT4LXPUy4
OZvSK/UGG1PjtCZmuEmXya15zOQ5AVXtlGyMR4CMmevMuOe8eH5OMB/z/FIVOJdBUGVc+ldfjxGL
buoC2tcvgn8sLj4VPEE+Fj49u/XsIE5zpebf+WH3koP61ZEesinc20egq+wVYP9iAAZfoZyEvD14
SvfhdYHFR5/jL+wOZDBItp0egGlAbcDes8eHVLCmM3Oup+KcBsBdSAZVGgTWvK1QyM7aUkH5hsT4
LaOmxzQLC0Jd38XPE3uL0E2Uxw2Ps3O9bdV5ZZ483iG91FZRZTuAOJkx9r4yM3ZF2earRMHIGo+c
m4Z6TJseEH0GfrrFJSYuKqjHO6WnBPvbPJHMc+dzeXaCoELxMoTogRwNvekZvz73eByGCfwCdi4U
cbdsR7IeI1+El3yFImJyuGJ2JUUzpTE/mUMqV0kvFJU5jLpqUdPDVA7k5Jf+vGCFnI4VTwpx868w
yZZu9gfhXVjx3YQi0h2nGdoZN+m3XUAEtWKf02ROHZz/AevlW1wnRAmIhX4nG4KK3rMBY1eGEWOA
o3nPm+x434voTkVqXJSWz7ICYQnSDs5Rw81Bq5l7eBEBk4I0SiD4SQRTkh06VJh32Rcu4jCeo0mz
ZaP2c7gnyif682dd4sAbGw/ql/kkWXvkxrpzE0viJRNsiQBN4/kDsNcXXt+DnjDv4DKkvMrXrgl4
YdRsTglrJi8HHZl5Eu1z+Hs2oe9faTzGLno4l3ckz1Yw9haXNlJlePnmwPplQNnxaG5hZU5MDCBm
Su+9O+BRza6QTpyAXubC4QiRv+9PXXzgi6l9rB6TuUpeL1haE6xhtSuLfhFCFuH/65EPTciPAHja
f+xo2TTFHnrCoQtqfBhy687G9oGznJEQO9BAPHdNzHBzPU578c/Bim6x5nV+CsNA3hjeHlBFHeEr
2h6Yr/EmT2XO4HRjRHALvGS52UQvJaXAmEyiWLHVQEtm92NfT50E3Oh9T7czCCL9ryMmjuAiq+rM
jZ/9KKhvPK3lbbeIvzte/Tnv+KC6xmf8xHfzcFtPmL8u3PRnNHUFJjm1LE/hzIAmlO7q6bTJv8y8
pMxcai+W1/i0hO9gSbQ8EyX3ebxjF0FFBr4IVnuqYtIrVlAYLFVz+8jJ6Hix2D2LSLWmjx2pBu1P
clB0NE228ZUtwIDIO/GsGR5YXmCGNj4iEX8guYdacPHq9VsZzSvOobDdrEVz8jxY9b+i6kfr35mJ
6qChA0ZN+ngr9nldHpWmndxiHRY0MiTP95V5nOvqaeKx/8isJr+iBiX9fVEvRXu82TzyD9X8YtYG
euHZjHoheDW7F89QL9hGfoW+oBz6v6xsCo1jUWvGNVwWDKppiLJDaYSBQCJmIHoi0vSB/hMeETnj
ef00irHgO3RI1PTkcI3c8vaLzEhp7jSju2siH5cxQAcSXZ4FwRHyqT9lLFBkAq8K+n0mVuN/Vr7d
58DZmC4MEQAUMnb7/JLKfVyads6NiObqAOnhNe7u+FU+WI7s3aLxXs/KN1QWJ/GnP+uhgr2dsdjf
fbNLB98g3kqdSZp353FZy9YRTCvJYUMqX3MwHP2xW/s6Es8wQUh095bPKDwyYjYWiPw15qjSpR5u
ssACwFCHQYOiEDn9f99r5aoMdoIb53dbbPRN5KLjfgevZbyzWBaKg69Yy2hPKoXsb/H3Gdk8fuvi
dzwPBHFsOvhZJaUwIwomO+sT+E4NY7YC/Y84M2J2dd5gh6wIQhOe2l+51q02Jnf8m6eeYRMTuIsx
KtNM/ursKQWlDsIoIoCbSfzEMVoM6+C/LdMsj+OCqu5B76ynbvY6SWvx1MA645zYvDq8lEvdHplc
D3+Nhp+iXveNc/YRczI5TWkczq8ukfNM3TA48Z7009bB5J3N1j2+G7RRKRWp7Vooew0bxKEtz03u
l7uDFBJpHvf+mjm0lnwSNrCU2YEDhuZywzRJto6wjEi3cqbC8GGFllIPT+CmR8d88X98h2zZDiZ7
D6Z/gH3t2KaGcUCMD3EPGdQsp50hLH8ul5wU2HMpj6HLb8T4aU/UB6YATzyLHDytdaRUWc7UifzW
GAv7WDw49zAxKP7XNjJG4VeG7mNu86GxacUQi6VU5RZsqPHuuxcjtom1/+H/XACAsfQtp/KIv2xD
m7NLFflB7Q1F7uGfKaYpw2VNl0fkW/O5BJDCLKo6jlrNpl4bQNecwZ/n0yWrTEuOrwZ3hygAI6nc
ts3heYPXeNCi1tozgGCQC4oX9EohylnwJkmyWVb8T2oOCn1tSDJdayCCcLXCY0s9miHMPQoaNgp+
nL+JIdNZmIgnz/i5BhI8PnouscQZYcRlhykpLVEBs12z4gu0fXenLuEP8+PjIaWuw2z+m0hNd92p
4teC7VbXAqvDkW3Gi4PUiFBaDSD8n8Dh+WngxjwpdWgATFA1E6Xx0Pe3S/FSYhomeLavJz1PB0M+
ywj7S+ddJf9WFj55pNbV/76s3E9GJE+0YqogltJ46BqRDHV+r/Dp8BdUKdriFmSzz7+XUmgIRGZ/
dmAz2yPyHLriI9YwNqQ0ENZTP39+WMM+q48RQRHdeWWsDX0DZVcDcOCASoSdmYMqICmshhkUkcIA
zu28Mf8m5Cpv6xQzzk2NFJUEaxOvT45cXvgn3GiBbJN2Rls6wXg+C4Q83wHM7RZ3k9l8yx8WysBW
fsXk8Z5UXCHggxt7vEIQ18HtCn/x/hXZWPKNB+fN6RsmFrgNkFd53JciGCmGE+eg7usQwxbrUzYO
tcWru1+QCF9FVitEgy4KjGP5boj+BMrYKCGdcn6dbnw8nY0POXpr0mZYWeVMUsepy7hyvKGszIJa
/SHuCYUqoPhC4tSrZR6U9V/lB6m8teeNFJ0hX8QFycPuKpcp1IMl+nzEODlReyJgWwxoaMT3C8n2
fNrxfuYu1VJpQnXLZMEVJBEL84Jta9rYPD/aYp2EX6NbGeiUwLP0FMWgYV6e1w+7XadjSn73AY+R
BMqvvNll+18w3KGXXQ9ASpNekP5HC2nxUm+xfGPE1FGRl43jZyT+yY8xSNeJzx3spBuak7bvP8zw
nd+00on+Xb/mbquOts30Obik/hA0AAiFUxsx/i0WHTrJww+vdyDCFpnbjCLP+jQzVYY7lnXxHjZc
pEw692j4mxtdy5RTR17bNQELsK8MX1zihHUqyFUNH/8aAbvQevZn8/s8Va7TaoqI1GK5qsMAXvWy
CH702osF3QY5MkbInHJmmlFijKNhk7QaFC7NucrXwrBEoncT42YEVt9Zl5nq02Qoz/Jtiywa6IVP
vJS5ENk/PGrH0JF9MbwlHfLAkVk6UgMbBrSXiPTvpK/aASfWpTwr61/vZaPqnT/1X4X6y4iGQpAC
I70JH661Fcmh3ZQ2vWW/zjB/xmvSeBVWNk9qKfE5i44Ph8hTeyYFYvvEF1j7cC7gv0PiSM5msMER
XVeMpo/t7KSjQevls/TSqLaaBRwsKYOwTW/oMd88VzC1kjX6Ya6TdMf9ab5zqND/gBYZr6Ls0Ni6
9F1URISUTF9iLVGscFA2VaJaY3kKdxclwLdYru8MVPt5zv/mZtkpZPhkbiS30xkNrs+F7WrpxLkl
DYJJUWA7d1jampW4N09znPrWh8DtcaOZHVn80DyeY8oT4nbDwa2Z8iL1AdYMWUx6Wg/lXqmIrm6J
vlOyAA02MTJts4TneS5F8VltSHkLYzZbB9jyFd9rPqjqYfimJ3VVke/v9cSCkCfhaMxlPr4EQYPh
PRjVRgbxaI4qNqw1i+dIIOU6T0k6j8ZfU1j4q/Kt7l/Qa9jMGjF9HRwDWj69gfi2tYycK3vnW8Z0
KSBm3C/uW6JuE2eo1b0E+n6NEPhxAA5AcJskj7IKnbz826aN+XuvSrmAy/Jq9nzH2lDaAxNOfPZo
F4Q8UagC2kupunOuS6gZ2DsNKxgHvAvbJ9lOBfyy208v97aq/1qFReSDyt41/3qELdS98FW6f2R3
U2+PVfBPw6QDjjiceNmoQeXrBGPP228YW8WLm2UChRAq4jrmA164BEucUtpzkF7T0aeqoTWfDg2q
Dt5WSD4nXsD7XQ7Vw79JnaRKiHLjFWrw1j+GekS0H5UUkQR/ST2KfLKnhjdf+epHZu5sQjH2TSTf
y3+fw72ZOTNJMKOy2p58fan97kawR379OOkudjvhesitAeD6l5b3JVOv3/06zOQ3S7EiGv4C7Ro5
LRlFofsxbbcJEYURsZQPrkQOajlVOhmgv6obIEIyI94WEQt/LKSlZrFaEWe0QEYSsiiglu7SoFIX
jwiu8YclI40eqNK+OeQy+Ag8gbA1QOnkRcXB1wGulIeoWJMKUPZ1BP3f85Bz1jzuzn8nQ4rvY6V8
1BaI/6DpzFWvIpBDhzKkcx5yf+D6ho1a+Nn49hVrnkqk2E3JNUWUAmW9j1fBg49B3Zg9mDPYb35v
pbkR1658/2ZtFcw9nnrBy0ehIxGep8YJxfqaV2gpMcV1XXkD6c1HQOnPm5WzxCZjRWP3UhYm9yt9
kpTCot18XP70LeuSqOeOnMa9U2qrSwvGY7kDOFOjxbCWO2eThRPwYj+hjSWePN24wWUnTxORLaHI
ftvP2M5Jgd1Drez6BKXxrH1UXXvQHFeHtkkY9HB9bBCOiFuyMCuJxIsIDVUDiv4Vd124JUDliNWF
Q79/CSrxIpax/qx01Ys9qixNuDmd38zL9x4JMY0y6/Ml00MIhYQJmV3+8yh1mnAsdzyHrWLIvU77
0O9yTEc0oGK8bZGEEY2mQQEudgzb93BFsM/aU6sk7cLTgONjxDf3vH5GMiNs6pSTPkAsBtpm8KFf
zpndcxBaOB8PtbO1ssv9UQLelfWiV6aEEzbfOu0Z3eS8e/ulNrm8AA5NM2tJIjFD5aPpUIbtSyx9
jJRSXwEsiPC6JS0e7whTecvpddGx+5oxqk8Fo511PpqHbhOmANQb1ctKY+7SlgWn3D2ShSLU8Jti
rLd/S6ffK6s8U3Jm3lTBC0mUSOwpXlcnKbPSGOSHL8PsMS1EG0P4Js/X0EQBRUxMHXsQdcG716Uw
g/4hqrrKSSNEpAVD+EQ1DG8wmOP7TA1OzkdCj0fAESPVO4uTaEX7Q4b6uRBYXdY21CnPzDNUEXc5
oGhxibli1PfLpjQJzXjvba5EnNem+p1TVN4/nQhWCZI4g2InSfRJn+fHshEry6pvuf42TQuD4PRI
dvidZ9XyGqnQqDbOekM1g5GXINjw9Qd5d8Nxa68XhFh4GBDcpA5OgFzm3yOy2IfrIOZQ7V0ELsaX
BG9pufhxOAXQy+BKF0tGlf42vPMKm54+Jb7rN4BayiwfzXHXxURj177xzKlvLPzcckjbk5cnCTTa
k1EWeaJt58DtRpS0KFMomrU5zZh32F4DEanrsvQRCUY1dJ61LpS/PFtIiegbTVAlu9sW/l4uB0VB
Heq+wXkbgWuI6UjTHlBVOBvUepNJH8x6owkprMry8XxUg7eu/5IyKHcRVyabEIIXyd8/MHifSAYU
I9D/xQtlAcz5kYp00/ZmVhtbYv+1F+4gWEmzi1Z4oP/uWO8g0TUF56uPiVQtD1oLUqk+nr7nhdNR
/4EnkkMbnr39mlxPfCo5PMb1AuEdUVaYovVWSykaT85lGnsv5tz6zdl0QcZaJljPaCLeHuACHbat
YvzodiaEnMCIqRe1DvjHkVZzgxFhtXykNp39CHGcMTSmt9KuprVEtL906PGGzqRiEdZI/j954p40
bZSZQzSCUzSMtn11eIoHcZRidLe5n+Z3I7BcKfh6Z8nmmNBAhmh1JOq8sySYk3mQL3bQjOj7mlZO
EGGjweuL56nJmlNMWpUsaWp0cBH0H7k729p0pvU8BNU7saFaDULCL5jwIUIP5SkIn1uXdYhb9Zys
676JuFhh+PqI3NGYCrVx22ro05jl05sBRflJXrMcPrhhLMemNNs/3hEsOfgtEth1mgQ3GJdI6Ev8
sHs/k0VB/LywvXh31QZdLxofNcA9F4RWMh5Z5zR6hEmHtp3J5XqWCktiuOVWFpbazcPg95oHIFZx
/35i7vviP/6szMGQIjqQ4QnluaCUGi1U0U94tVLNPpd1Rnxov5SH+eJRc+IdDC0JyMt6Y32kW4Zi
5n7PbHSHpEIvbx9qLTXTVvYfLwKuPBYiT7P4QoUX8GiptrCo+8oAweKNASLlRk6MMVHkoK6ZK102
h51YDAaAzqSuqStG8TdnE0zra3N/lFw2O2ZCQGa8tve6bdIRcFiDTCSSZieLUo9c07zYMH4Ukcst
ii6p6Cn+mU+PHMtishwgRlBvMqrm5EcRnHoT9JWuRNTtZ5Ls0ixk1Rss0R96/VwPsDT69yAg7oEP
nOGes0VIQUp/pYab0jfJtAzztsx26jdBm0wZV1G+xbcZf2/2AYymie5ewzcFYwdiQlRr3ZUffYeL
JTejsse5XVnV3YCe2KvLQtsFiKOE1jxQ+j6gKM3CXZN7UwtFYmS6oB4Ss9Dnam47FQMjXMPJXYYn
PM6e8s1xQ1afyiJygyfPuEzfoaeYgGElXy268svspaKPZG/2hMzzQS38pcbpiFzqYp89pvwi1zbU
HIeB2deL8YxVjiDWuTmtk1iCFOs7+w4xM/+qKQVp8CeVfHTSi0rIZKLoaSS7SNCc5ainWNuVYc9L
Y3YtD4hLiJfp8lfwmOlO56BxBXAx4OG8zlZRGXBff4/ljdsHlevsdgGjslpU8m0HQW2Bz15dmMOa
JEw/jF5invQaeRccUxTlAD7BMfcUMRgi+mxWLqOXxPD1MDncwfju5/rNFPamxBnHGTXadt1EQjRB
U27fy58uffVFjADfdWQ/mt29WzCOKjQ6R9VzHNLIg1crmFTB2lSiaejn0xE8HeRN+jHlGJL8S2Af
A0ybAJy9NQxA/0kkTCjlw3tCkAgR1tmELkMgXh0bXr6hfSNdsIIRqy8wHtRFRKi/2X1VJsNqmVyb
Suu7wRqO8RwI21UJNW43vo/mtFbb7I00PmvbghJCx3E0P1AHsCadlifnDC/clGHQ2lb8gvilMmb5
OLwijZUWoFkBt3mofFO7YkU9nH/GHJCdt7Ed1Z7I0GQ95GJx/5HYo2bf1Gjt/feim/Vsepr2RKLs
us+5ssq6oZjebfExo7OdIhtUUW2AOL9p8Ptqw9kEOtnzwH+9gGZ8QKkgUY8vJ65gRC7Keb9DQ1NR
HCE5soAi/V3Mg4Vu6I9fDYiBMutBvHIR8NlBOd+L19aoPtrLp9Bdjz43FzUZKVAkhTRE5Uzc7CWg
z81wvEHED36qbWbpniNiCQTlHqJWF7ejIHYOlkZahYUZE+w8LxpUGra1+vVD4bPFnBxYOqs8jcKb
nmK1wS7mj+pfBuLSV+SY65XTMhkExBU9oCtDRG7RdpPbivriYbhlA2S1lYp5JcztJ1Ri2esJTv9x
fGIswEbER4PtaJR9o2RbmliQbdfguXUfQ7hEKCHQbI/lWEIDm0xpDOTXkgDNrk2FxPcyWGcS4A7R
k0g27f0UfFsdv/BVcgFS52grTTJePpVlcbzLsIXyyCJmQM8AIeyhvvCLaeSpJJ7/M0DHalrVY35O
pvrPS20EjrYwQRdldtV1g+CFG5sYKYKE4dOsr9PJVXa9QrOGcZaw7xboSB22ZYyvnw+POvRSXAA1
yO2doVxzgHZxlUAQC4boBLn2HyfbuuoIe+fzZ2p9lH2JiCDMrhN2gGwWIx48kbw/9FkdKx18swQJ
kFMM1AJ+fnbLLp/YKzKEYMd12ZmpMKrLDK5Jxx91zcEI+F43Eghd11Dq2VBikC5KZApGwfNmtUdq
t+kFZryT/oTaPKyzrKO8cdVus4FHtokoR31mxU26dzzmhsv3AyY3nsiB9YJuwWYYZLGHJEvjr71D
4L/uSPHRTaF8G/tSK5jgfhErRgSvXzUufOVqiXin1o6By9ryEHSIFKDRmnsBvsf07aUx0sKtzBYy
K1HI8uzoJbfyJGX4rqyfVPJD/7YxPBOIctxw7hcjO6N6j19S/TJ11pVC4TnphJ3sYidqTms3P+CA
uq8VmzSdkTiMXISHBMfA5ME/NXCe1OChSpQfT4QI2zC8Kw8ctlAIgQVWEtH2hAMjv+o58yFWtdHJ
KincvBo+fydegzQB7dkt6q28nTKZhY5svnjeVUl9H6L/LqxwKvcbQFml/Tll0pTVsX9NUABQ48D3
RPyOdcO8kYlNx2cy50F2CSw8N6jnovHyf37jMcfcHXCOkTmig5zM+AIX979289vhn/H5/0lgJZql
pswMWjpghxDKX1jBcFDPvTU5VwuraGxq12LkbplRdfSWvgmFT3ffQ23QuJdo6VmBq7qGwnvVDKYm
7qMNh5q2/IMz0M+PXYFsiyl8ZBqU4RwNfa4771sWTI2XtU83tezxaiQMqBIq4mISYrXu/W9HkFy0
r9XbdrqkusjPiu8Hz5EsqKyeQb5FnAD7ZuK7gFvwYUo04uLRmWUQ5R7sauEXy/8/JJXHBaN9FKFI
YjvCZPkcQjO2z8ygMLjsQefd5MzV3KbuK1zaloXe25sx951yCCcDoEICKCs7PrlhqACyiv8fbYnc
zfQvD2SmITiC1t6d6CBrcX2DMRJ22HLl8ZOXNeBXhQPnalTbT6iUprE5KVNPfW0EXOdbinnCVXlI
/gSdVvSP4yid4zaSzYQq5TT4okmW7uRTNuyG/dbttjswPlUDmibcGK7gmUXv/iPe2GjXoQ1UuVsu
jnc9SyOFz91g5Xk14X8q1eNU9AZBuAx+KwpywVuftkjetM0GdbgVxtp+VtTXLsXMXkkPve1n8XkC
wUoHPl7hf+lMPercFxawX6Wp90kE9tzA2bdkzJYIczrYTYMcJOv3oeImQFZeTJOi0GjW/NQTFd5z
23BAidAhtrmOEtmAPiPA8PnN85Wwylu+zGtULW5VXcCbtHDFQm3jrAhBeuxdAGOkHLpPrKxwh6HD
8iCy5xFclLMW0Ke8r7cI/iTumbQvgCRnDGrSVU0MGnRajRbERdc2RSuYXwxgPWP8F4mpJjrdPNol
2Rkzp7WSvTKJ3UvU4ZPa7ND/IoQo2l6m+zfT3J0JM+uUFECR5T/Xt2phwNd2dY+NKMdaWNggZgEb
n0A0cPWPI+e59KC1m/33FI/rfsI7sB8h/rHeyAokPC6vIs0lk39OlU9p0PT2EwwabU6OU4WXgl0j
INxHs1blZDRp/BusmumXiOtg+aIno7UlrIThwAfjz5NLnz0nj5ebsvNf/sxD/VB1viurHgMmQImX
cLINP4avAmn2AigoYolaqqwozkPsDvhuSaDDMHkgnQl555icgzUPNbFRP0COjcqRBwqi2eanqsbu
sEInwToaVyBnIScc8YFuwmPfouycacQcvgb3m67XOd64ubdcP/TGrD0O340l0AkAai7ucPAZL/h+
9aAfMkNb3x3zRjDpCMnVgpezbwBj4bp9WQFB0unX7S00HBeRQL+I7lrE0OXXkifYk+CaNtiegJAc
0jVdSEgRDhb9RwAFJoAKSqIo4yOkZslc/H3j77tE/lnzsaItyXpCiWyaDY4LpUu4GZlKkIIHbDGH
PErNpNaoTmYFDLd86OBz3iaP9gXHK/m38uBygZoqPBLP+Y3ft9LUZsLkCd69XT1HYWd9KDHdBDNB
v2L3sWDnv9hRKtIKVrap2H6C+N5Zqv3DgwuzA4WIEFGTiqycQnlqRx1vG+g6Am3Jl0+Nn6VdOm7V
BAe+ndbTPO4FEtdmdoWNWXWoaPnWyBv/2jIP6Z5j8NNpui8hnY29XDtxm4vvxm6+sDLj5ZuH1ofS
HNs8JGX7in1aOLOqQ1miDJsO4thEsQ80Fm1p2DH0GSFu0TrOZnmiLrs+05ftl0Rhs0DgpExx9cp/
7kMXaccYAs9I2nwrgzUqgePQjGsLjA79xH0GKKdokWbetJ86nXzgIxKcutD1bMiPqBB/gP/Yu7I5
c36aiyPkMCF5N+nG1dAMM+yjxCpQXJJNPjfCD47ojx4nStwG8uG8stQ3uY3olJHfdqxO1DTW38gC
qWSmzYLEGUKi6xA6tNNeTLVUxMIs04ir9GBBowXf8zWMHiGOlUXAlri6Q4YU8QxJX0nSuNK9iyHr
/A4bg5ef1yzN42JW5c8UCYVwSVu39Ovco2+QQoonTOYkjv3PCvDoW1idD7sRoh01ozBtMsLsm2q4
PAku7J6bH3kMQPCJpxxl3OC4jZYr/0rGYxkpo3fxCdhllgMb+ITH9Iq+q/dSN1UEjC7D/IOLRXRV
JdjdMKb9EWMQ+GjJXyCoHSHRwh+vdCyIWdentbD8SmswinbhSqmORj6+taZeaByAcVqxtcDU4Ijl
Q7pEX8kf3cvnPrVmthxYWhOgm96AymWkJz99qwcryadOPWZEjNa7cnnQl7z3lkMZiNGXh8MI8sXn
iw9udXE+f75hrQ1Jte6ohZLG29U/5xutgSQ82bmiIwAyZrhFhZPFZxog6v6VJ83rEMHZi15YqCvj
yxUeMtpGn0hZJo0kh0Ec55SXWIyzJVKjzqxqCFiJeJsLNqwU9IV5wWIoRdee4PRq0NVK5Z7AJtL+
NTKJVF6qHW/dhoOTgcnwOEWnRO6deCWaXmtl1+IXMSMWO/YVx8F4qPoUP4eY58suW1GE2fzmQ+/B
1yYojpS4DGkBKkPNW0qwusBwsFk0ciXBHm1LeV3dZNTHcXy+ZJSYozA2A5aMfWcSdHOkfbmHrWY5
odTNg/9XcaX5vjZ0oTGfC1nyO94EsHGcry0xnfgGEEEsIlImkEM4DBYTvgFI1hdRZgVrfN/6NZVx
AoXKsfKI1zrbyCEga+T/Q0YdBJrumsFTx3Z3OIGzT9qAmnpJKfxF+/7BA1XBXlN080oqebGn0DEL
WiPMgCLNOaK8qKBnnRsJUdy0u2RNfYKfLvjFD88CYv0NtKeBqtM9lesUsGOj1r6TzK392nI7QfaX
AYTYbIuiX+KGlMISCaRU5leIrPFaDKNaiw7lUGEFDYCSrmjvM5Z0M8kmvyhzFoCZ2ZaWMzRL/tgv
tQyEHvK953SJFWKSpiTmPmsDPzytY3cfRPT17Gneg4IbCGcLXQfFn1dZkW1jq5K0W8RV35HD3Ts8
Fkbx7TtBe/sGGm3vSoWFUCHXJVOXpU3dppg5JHnm58oK2Gh0v5B/udpSxQ8yPZtSSCKNww7RjXaS
aoi86D+jpdmuKSgVoK7pI1QoX1Df2NpCSD6T8EuDu1BdpdIsrGMgRnvS+TdAH6n83E0deCAHbxXO
vVgV8lUttlqmtKhQMDFx4hbDJlHUS31newVF3xyMzGHVAxg04frGK3EwT3th7OPyGbWePNhyPEEz
GIGcBup2PGBesPqLgiZ9z/Byde35fpQ06/wKsCE8zFBbchqkWsfCjhT9ZEjD7357xSs0Ig+eFcp+
kznjo/u4//jBvl4HAqH8Pte1RXLIqSjJ45U8AGU/OLKv1dK7irVZWKEognUV3JABOPfV2Gdw8zmu
CvWxufwexHUFPmzjqkfU+Dq9ShivIWcNFk5l7ihkkGyBb4RtXCounZnEPPDUrHqrH4nMmw8qtmSI
iSMJbgrIuE1F2m53Z1EP6etW3g8cI2iU6R0x0kXg8Dxme5oqoizKwfF4mu3wJG4dJHnJH7tdlNQL
M6heCCIYzoaZLKKELR3foIGzjH63znCEWyE4sLovfh3sLDQwIpKh2BuMqjauIU5+K0daWzu9q+wu
gQPrVMQBSSLebu03TCOQkSCuxaBjPUFt5lSOJ6U6cGH63pT3XAEU54DoFDTYQ2Uo87oSS27Jpf6Q
GSV1sDlC7slPpQvJWm+FS/rEtQSUVvhiLoUWKBjWUwjw0KMhX8/iVzY+pP0lXE9E3dzPj35JHY5Q
5BtBNjZhbO3boOHMKHFb8BwVRZ5iDAeYSe0/MksZv9jY2qVJ3Rxom1y1TfzJH5Asty7xDmPqCVMU
xkM9gux/qwXSzDVLqwAukr8wkNS7YTWm11SWUeemg9eqIa00h2RrbEHmEGZOLQl7sAcCSzL/+5Gd
xFCjXqRSz/7gLnM+sdtLLfnCk+j4A/X8Du7eVNwBqQsLw3drJq0MQURH7AIKNhF+72Nb0EJH+UXK
TCqEzr3Fc56ZswIYpXPPf1yTcbXhOOgJg8C92pHOpijmSn4nV+tWsLZWqRVev7Ie5Pq4KYO28ZwC
+xybMml9wsbNfX9xDJsHYq8F1XLunrkwqMjnncHJUyEI6xgA/PUlCVNHYfB5+3OC7d1zNJqHWaSz
91siqOIsnA3MSfEXRpSPwpWRtYPqO42/zMUPXzaBgw7fYW8ocX3aMzxppVZbRyATEySekcIWcKb3
Z5DEAuUEPgeztxYCm0doqGfKcdkM0xxHrLAB5EO4IIcX/2MS3RIcTrOoWwsNe8fbxDg+Ch9393d+
w2S3uyvszR6zqD7+y2NfjxGssDbY5CJph9sAjHy1e9L2StrXi36yZIFAD4fqOP0RkM6t+78/GHR9
ybX+laQNsXuF8qQZo0LgaSpqYYCexd8GZZJFqBq6gOg/0rlyRcXAklP1nREXpNR/Qv89HLzYwVYi
4Uuo5TtMXA3Vyytfsh2GY0LLnRmz5A1of9dhy+SnezZluzW2u6gnVTFCGuA9m3+y8F6xDkEMbmP+
hkJ0UTeZhISqYk1sArgn6IgtPw2BZTnrrC3IgrES0rGZLmVhW4bLKIjFsy86TN2Z9cfZdo1L/NPk
bZbPPbc8ynvRxvgG5mppZeMEWmlvzpTGI/SYqua7et2Sadljo7smaBwSHAd2SWZyP2balMnxOWJl
0ypAhxS9qM/MnmIw+ARQLP5uOIP8xbNXrQch2P07Lwli+bm17MeRFoYZse5lmZ2n24rB/DUKq0nu
DYeSXi7Ts9lBwqEQ6a9TaubbxttwSJk3dmzMr+YgvTctDPv3tMEnnk9f1piPfFDBqigtDbtkSUA5
15iK1OnS0JygHzVmcJkQMWpuMrB/tbDP23s8D871CIXGWLEF2IvAgEIc2xWpJXUB+Jfo4bu6aEaY
IAYLjZK/H0cgTNSEY0eicPE9SrY7UF+8B/V4HajptyjWCQNpMSr3leSVqgpyNrQG9q7ZUZjYsnUV
eLdVoQdeqjyr0y4lN7l0WUkqoOwzc8YTy0vCAuW0clhogcKm2wnm8rM9VpxtDEolSpQRT8IMKE5a
KcO9vr0E808nb4kM883BWE41D6gSiowxNRLtpU9bmCw4ZFed3BLXUjukXYbeTB5rIg+K5rKMKZKJ
4RL6vbU263cX2VJ4KLj4cQ2JmqWd/v4dltIHuOPDq93XGARoDsio5UUPW76LpUPT3t+aRqW8sHl1
lTkIWvulMpSUyoKHQpmViNmojcovsS+3wcEQUJRiZ6Gw8bWa7/8ptRHVXnWrKQO9rwfQHwUc9pL6
ptr5jCiuxD0x0cgAxi6FCXcAoDUKdw7O1gD64nX1+C5TGjHjELVvqRt2LpJiBmJEf8A4mMRKn7Qv
itXxGwYRxIRg0vmFuTltrdwC4aUTE+c39vaLJn4ijQ2takVehAevItdE8GgsiXEC0ntpE+gpRY4S
Ym/5UVr06EtZOcaMC0i9iRSSVjMdwQAidODMLo9+25amE6z4SVgYOH4PNpDedj7Sy4uNDmoan2Xb
8ZXSlaygl94lugpmLx9JPAI61+H9RP5wMoOSXMsZpdxlx4bQ8WXTkqa6qZTjQ2JgidFJbNXkC5xE
WoSP3wk/q9C9QetTh18MP263udOIDS4lPv92MUdYXH+/D3mIOdmxYlgGpO+pXurVABa9NSEK0NDl
40wwzrNAybd0vV7IMoS5QfiqRSQN85mn6BV/cqPcG81OVcq8SeKPLiNvqhCv2l4azb9NFaMMLlrS
H+2nZxo8wN2JmmNwy7i9Y+XTWMpvTvpJkVMX7MUDRUFCsRgS/nTio5ysE9puFpoJ2vjEcAiB25oM
U1eTEos2/4Ia/CtU1aj8E6FSCyzFnS9iq38NB0OJK8kEDElwyuiA3/+SP7EiCpfadGp9aaZqCOG9
w7nkw/9+sGIpXrplf79SOBNYjmflRf7rUcQDeoOaVVpXzJ72sk6fbkb1uZgawiQcfnUY7PT2OchU
pN3BDg+9lE/ABtJHSGboREhyv+ZsKOi+M6I2WErpuSSDJ+jppVohKhZ/zGtxvJJ0rNb6kBrv3ZZq
USEY50CS9Ovrq1ZlyG7fslcXACkJ1CoRWVjykVi70y5Mfxe0qP98C4QFdo6TW+UtlGeTPA7mnTzM
D/Tfr1nclmaVkam7zzLtM/E3dhecg+/dPh+5bZOqoUdNAfp+pgZphvAgGg5pSV5ll8dfkIKsA+oy
HUkTkcmet3EQIBjxDKEms+xtZwJ4X1e/FbfLhL0M7DhD9KiJrkuM+NJve9vBDn5pHQeu896vPkjK
kUoNiy+iLgiqItklCTFkzAcG5Cg8plFtuidqG6T27c9CQ/Ou/Bxj+sK8tijHQGZFEVhVOyNn2QVp
enFD7qO+LimK8NORn0AIpYFqLiGlUKEdTdfNH1KcVl3PGzzEZCVFNKlxiUPtV7Igp+WOTzjkNvDI
V59CqCIv45z39tPADGcJ2cjoGhmEBD2uDRu2uyYgV7wKvY+NoIL/CEvtVXuntYXdC1Qk6llryAhr
HS0kgk8IzWn6eec73h3C0UESaVlCafoXnNORF2ygeVyx0jEvjxEkqk5hK/U6K8BO565k/BCzkaeF
JbQMCttyUjGgLemZl9jH+DoMbBY0Sqt69mqXuc4WpnxF/1u8wAAiGdLBftv1yYWG77Eq0xmtb+Xh
Oyx7vshiygbG88SJ5uEhls4gujKZV1xoTOvRL5UW5oG7Knl/ILdcYVVcF1zq3uPCU5r+frOOpyGd
aQS/9bt+euJeLS4OrM5b7eGl5lSEMxxpY9zc/CDBd8iT4jQ4GIe67aoLpbaXWHtBsgVI2spgidrv
Mk5seVnrHXSPzgR2hddMBgxij/kkBy5Q/k/g3dsE2neuQNw7EfevGSIUem6gZTaln2z95D7t4+0v
ejx0yIqKam8/1ugNEBUskyKIfW5qlp3g4HtoLvJMOwzOYCmdFlXBCMWh0JV87efbCI/YIRUcuX/v
E7bT4sus4aRwHyAaWvRJnjNtOnWZbPbkxOfI844CpyTmCnxWfTBln/pYOPska1+s5ONH/2Jx3j1z
8E48OmJ7nTEZxMwH3t6WdTnLVZKVHMDs0nTVVVS49hkRoAEyIZZyV1drU89PBhQ9UHVcyT8q9tWA
67n2QrH5H6SpCYHfbDA6+CGy+6N3lCpdiO/N0eCOwQSLGh70WaAA1xB8fpDHqtbWGWsH2T8JyYLg
xPaYQ6sQm5oq+aFV9P9H7zoIMlTA3W0gvA4r//s5NkvZ67kF9eUZmG40FfyRBqDt1NbkJPysUdae
msCc5RknoNyHZlTdyiomLDSlTvFfZcv0+l/W4eOx9+UTwTeMh+AHvvznQE2N8d9kGcaSmJIYKU81
6XwsizhFJuhZaXty+i0oWAlg7Muv4x+8nKzOfRSOgjFdd42tWQW8jChJkFg0bGEeF1NMUkrel9Gg
8uiAuadSnUClVkUlyA5AvDoTOn6XfFK7LA793MSF938kDc42BcFxGoUh9lRb0OmJEFBntPAOfOPV
xoGUyVBRjP7Dj5+U60Z3D4caqYWaS5an5boI5kVEuzIfKDVzSpPfGxSEw+LFHhbXxekHXoe+QZwW
+6zcxXAr0M5do3WmIV1QNhJ2fkcKXUsqPNYhfvi5197ATxy0qlImkLmd68/k5pkFXI35VcaqyFw3
5usJicbaPym0KCt9jWY+YcKHoCIcESRGwdx1SI0OHNzo/0duHWwY8LE+pWWqQGw8+yvrUUvayApW
lUg5sACcGafQLe64RjZjvWwapVg1ip8llrTMoHcEFggSiWPY2Q2tRR/TyZ0zp6IkazPuwQpcs9h9
NNbeGgcnL+Qo9QHMEKlnBcBajKrS+sEyEooRuNjOxOc/yeQ3TNXVLeqPQGhYE8W5Dk527ijZr1lq
d7g/yGto4ZPnYMvhRp5mr6hRkZJ7CYcX3nAzwxHjbRLg6bQ30XRLefduNUFCmljpvQZAJKWDy6+2
Y1eE3S+gT20h2XfAUqsPqkAv8rICWdfo6tkJoJ8gRm6XVkuaReiPX06GLuuENDxqRx2ZumKxtw4/
m9Q+tBMSPRrw/LRdEC6xCKsvBhARTNmG/Z+4oXtb6zJegKdSAOElJ16+DcjyMoXyEhPkNzbBzjJW
inAZR1K1dP1OFGuyV5+2wmW8dLDF6su2fnF/MYKW8yAjwP5gfailL8jQH0YgdCLP1UivDI4IBEDD
2SAhEoJP6yDKXiFwSQORt0meiKMO7lenb5DqO6jNJve5gyiY43OCT9uyZMc7Ft1Zff4yGQN1dgnJ
x6VrUYStbB6lC8lVdsSNQ4c6iD9QcK/wrOa7cS+1QIV22oBT+P+2TR7JfvjyatE6X0ZIgRhMe+I5
uqlAisvE3iVsc8KueU1bdGoP5pnCvXTm/vxqQ2775aJ2DUk9p0V3swFS+45NfZIDmkNFUE5QnTaL
pTkyb3+WLT/LEPNVGjD6CJthoHFJTDR9Wx/pblBfJZ9TUXk/55euZg0/F+ITSJaGKPkDa2ijPLX4
xwGukAAsF6KIuzFp4NpCeu/ZVRCAb5W/P4Npi6Hx+Lwqs5wWhHbcGUW3MACpw2U/2nGtxpmhXCwy
f8pkfWYqfzL9elY0oQRIAoJx8cz3ojIXBMyTwjlKnzaBJ2Hcj0a746mfBoN3T7fnwQMJhaFAEY9V
Heu3x92/YtGdQMZX1LiIinz7M0Y3AKLUXqU344EAoxmoqlNbFq2Fh9OjRgo3otLiALi3VUCn1jIi
lpTsRVUdN48j1oxLOlg6GDE5ZU5/JNv971QblkEcXz9PKsb2qNaFiJ8guiIhm7qrmV1bJvknwt78
MqwX1hUe0AHavtKRqANlrzAsUtq7sYOwUYkcb5nF/lkiPWrcjdsFSOBo0nGBNaELwbHnL5tdVw/g
nslNN5PNXo5FA3FNujuScFonoUec7pqLKkkjgDuLihOqOfpovmEj5stHTppu0XX5Ri7dgqiE8PXE
39ALheTAROZ4AvfZWhgws0E097lqnapplbmW5xAAffBt3zEcWH3IhLX7iuVl5l4IuHeip3vGmrR2
ziQenwLQnbU5GKyruWROqAIlCWefkCDfTE/9ktW0hkmxrtUujkfLv7D6koHUUk4tOXSIoLleMNtj
pCXcVJmHlDNyvzNrekfwR+yqWJQyq6aunDxI/p46W1XjKjvOpEm4OqWCLkaJsSexj2s2fqzmhS0j
S9y4JAyIPASyc63WMvVXSi/jwFtDmktVG4LuHR6INTelE9Z7i6XIYLvYPFpnlpEtDJwe/NNNClW6
ELeBZYXkJtlytCIRwZ0oYFM2m3+vdab45bzEH5N4B1OJWIE9POfIwa1InZhEzpcRBCMDeZ/JU6p7
+3JD2iUYM2/buZdqDrhG1Gk8IS36GzUwbhueipV4BOb09xlgezb/pTkc8QHLEq8n4EXLzb0yCmuX
TrHxfbrruQ82OtqDvHnFsB5gXjqYs+X2lblWyJKE2b469Sj6dYUg62coxDXVLoRiY9qD2sit4yJD
ANyw3dUu2qAV/I3hx+B3EnnH82bTQlcV/FrN3tLmh9x6JuqqJAEDJZLoQUyURp1/CbfOvhGR47iB
8zUmNlv2ZnaJyHsXrxUWruBie+UJW2JFDybnKQrU28ILd86nCJ/1/1gUNNNVV5BsLhlSOPH7XI3D
zPaCxFndxt6gaE+iPjTYj5nyupSgmfI5krmKkoRvzDS/x6dWb5T4pSq5rrYmKbWyGblWAqhZWAlz
+X2y1p4LERY8N+x+Vna7MjNY2YxJe16qfB+PVbmsShMzzt84Q1OhjxbwBlf/JgK2OintgDxRCEP/
hffw2BzhEFBIT17uGEksVWQCSw7/czCJ5W7/WgXEsFvgbyTJCMhHm2p0+Z2czt7V4t4Co2kJZN6K
+72tt+94rsSYMQIoMYruZAU0g7R4NXPGBDn63Zo8RjYiIjTnWu6AoPGsHq+bIwW/xN3ff7/Pj0ou
1rVvcE2KEZVBSS9SScw2SfNqIICwu79RlbzTBFq+M2INLUXD6SgZ4K3PKb9udZHzW7e3nZJ2NHcj
pASPG1/Gp7KvG5o3NqY6yeVFWOCzLXxDV9YwwnKAgpXVmeT2uOZjQ5GOyUk2fX9sGtto8+6V715t
C3dxSA9+vXPxz3lnKpHpVyIAOAluW8ORzHsi76Rba+wP8bYbCnna12i2WkVBNAhwTJynYSZJF7nR
I1aYAwDKbBFsd3H8ssTPZyva/+/yLCCPo6Jnq0wB1cbk673MEqFn7R85k69in1mXnKsEWb0XxJ1C
z0YebfuK2Ow20nNKholroLvTJQrE1UnZU5x/y9snm9f43GGhUNwODnAMJjCnm4AksVg8r0Chxb08
zawf36WW2cKWDudkgWXd53+aWo6bQMHJAqLjT0N1Z47QX1hJNArlXQNYNN9wgpbMwL1naLJgd/vY
eM9offR4gFa3mC0YgB+u+QSqqxCsVUHeUYayrgmDiGI5rLoGckbYw3QbZggC39k+lkEv3qZxmOkX
sgoOl/q04+dYXxFsG147Zl++yrXX/ZPdlH+n08Jx+5omC9R7+FI8Fzj7OyGagAJ6CbuXlHQ0wLsL
HqCR6pCOUi/p/6xpXNOaGDZaJQG2Z6YWU5IWh06BVBkG5ODsRp4gDeubiqhG1RrRqokbMKzeOgJ/
iVRKn8T/JAFT85hfD7SbAPb+8aqUGE11sxXEJziCTJZ5Qlpsbf/lWfxFbH0Lno8Sa6jS3jGOBj04
14vOPETeqJLYA149Atksis8tETPLn31Y0HvDRNRiDSeIIhDxsxTBdBTX3sFij5WwnfOVh+rhlwEd
TCb/asYFd+8e1xDSw5kS2tg1sjc5XoyRFqcCCIEYZ3nYquh7nVTimGORO6l5efm73Cuzs5bYaa5C
2CX8D0d+RsEoz7DGlBNdCweXbH7OQxUFcLgBPTXfNBU5+sJIPS9oKr2nn2pU/pBV2onuKslugJsI
YCENtcMVBvb5kUUIdqds1oV6mCMzYkdt6rYiLo8fiFIpwcKY86bIcqNWTjyNGPdVrRKSAktbrHAL
ang3fNXw49eKr3FRkc0JyOIVeAvEKak306WD1Krm7h0WHDLrk6fjO8OqV/HBzoVc2oLyoFgdH5Fs
uuHv1bEnMjHDajiNFM8wdWxME3bAxHknpSKaPvYIQDdHrsSw1tvasfj03QL1uqCp2OPbt9+MytJM
EzcnWA3qr2aZ5mqsYD8q1datg3p+q0KCjVVHg8/SNISX3W+POhUumgm+Gh6pispQKObuTv/CWtOo
Nyy2KDAbJpOuzYDoipcDXgpqEut1Pg0vRJiRKyQjvraMV0iysPNZrabmz3H1OXLAwxnhSzuUTzUV
8xPU6iCkQhvGrHN5fXMnnUTW0YuI7Izm+JsYinu75fFS4mxb7M3IVtyRBSQyrjnm3YfhloDdBN1r
CTTRy5s10Y3ikHKuKDupdxi7gv1yadPY6TUIC9KR2eVHnuI05e0Pr0uI907JForMlY5Vaxgbjwk5
YVoOUbnLMae8RBmTSm67/LvpcSKy5XvAgLuxVI+OyR2g2CwsgNFZen792hazzvHdXWd/x3sPWg8N
tNJ1bvalEF1XLwDhUnEEVYJ2oN/Hv4J+88hEip7kZhFr4cD2PG1qvbLwdG+I6C6pfYdU7awRMLsY
gH8eRTtKDxtGOAeQs1c8aYZoYVh589Q9EhbUcFV0EJ92dk0FkWP9eYXJxcz/j8Yrl2pFdSsktoCh
PQMxwYTUbheZbFl/Bl4vnDFsfpXW9r+zSjdtvsneDAbq0IKro771S6RFGZL5HJegeEURv0UdRNsf
UZccfHgWEN+nOw0irSJ+V9iOO22ZmBl38GDyjY2xVen+M6DtKJi2Jmi2R94fK73cip1w5+l/Q8eC
RR6zbEGdVHPGc/PEBVG24zMZmody85NPBmYJMNYXRam7a3Aavry+Nwyilw7ArLtzbf9Tm2LQGcJv
Jzt+xmncGrn87yBWRyVO0f3G+BUiNAEIgqYC4PoyFWE6kNL7ZlVamam2cw79nkFZ+X51oqcZGv7z
1HCk+mi8rcOdNFAuTr/rK17SBA7YMZNqX3pTTX2CaDhEi1lSeoaoKYUrP6K2nzAhJFxUriw9C1oe
b7/iayfm7Wuna1hIXj1i3wjazM1i3jsa9G7rK+khase+Olacmc0CmrqNvbwsyKX9Ucw/sttWT/ay
NRW6MptJWhHJ4r8pYOsowPOf+XmdPIzUvINdT4XvzwR267g73IQD1Tkge24zSvOZje9iG/MehmGL
0LQa3kIVJVjUHpYwxij4ao2C5sSRc0sG02z6AwZVNezNqZwjsdhs442fQl7ZFAGGRXgKeia7D1DT
F5Cv27ry/FY6p5hBrOGFQEaTm5jX7cNfx66tP6qoQ04ts4mWB25RSI9STKIT442ogDbWsVrJCbL9
OKeKxFmw/HXb/9gd/CsFa53UpHHF7XGRxqZuolieTIq35HQwlXrc0N2mj0e3oTbXrEm1nu5SmbGf
bLRvd0Qy7FkGwtcMxI+XGdA8SzKL3M4FeTbkH6K2qsd/yp5L9hAdkSDdv1TlVQZeYVnIyYMRqR7W
IIbDSfWrBvAEBPnn8ZcZ6k2oOYl3myzTdntUXa4gSFL3R0c6XEttGZ8Zh0e8wI2kMhX30IYBrfp/
cwDby/+88Q+UEOf3EzRpLBWLRiq6mtiHFN/DULzVPRmUY/udsgdalXIqZIql6eNjh+APsDCmuQ9m
J7qkaFPe/7vNlhYPQIMW4szb3Pu+ORQSAHV/5PmbyWSJ49RkLPXvDru9GrRaqFz9fUxuVqjFDwkQ
2mQST9s+VCp5VVHU+AMelavLAF+XO/PZTsrzr6ik1l0oJYXmn/I2PDOkDGlqc5AnaX+A5CQCqcUa
3aEK/vbimyNsMhPGn+6lMNzIQ3q8o7nxSvkukWMq5FQzlUQpCdSzmF2AFQvYnYglml02on32Hb/u
o0/w5IUUPYn/CMFbo+OMz3N50BSSHTFozmD/RvOxTIq18j2l7aCA/JKGMHdW02UQNEvCgtiJeXHd
SPi69zZjBqBqYn5uMXC6DGO8U7/Mt1WpllVfZ4KEUGug2BfdSDFuYJLVf6o0/4y5omOLY774lrp3
hDj8zgNouooHzEwDnU5J/PHM9J2QNdr/yEb1toma06Pk70yS4e5KrYdocm79IDqMCncEZYE4MJ+6
0vUc6ieAgQOfZDS4Per+Q3WNNhfRKx3Kt1Sr1JzoeFekOL5DOJpiUsZbjTSMz7wB+cPAEfs4EG33
lmzkpP0E5AlM2uMqk5CkYXmOgLGMbB9dLgofWrLoK6ryya1sQJlaYP5sHN8SL+7yfPhhTpyBA+xH
7M1RY94Q9z6/sbcTVATbRi12Eu2D0LQa/FtWWec5ruxTcZHEXIGGDnm6wKZuM5EToisnQCFqUHtt
n+E8zN39KoYASWVP2W/cCxT8WFgrOaLfxHn99zi4ZYgerWfBUa1uR8oTCAbTXwcoF/WBsNdGYGof
VwmhADYN2B5w6G/jbG0Kt/2kjAN5V2sEXraONScu1DBWLKN9H6GlmeULCVKy+9JBex4oOw3/d7a1
iSpcs52BRb6Q6vAUIasxFiAIoq1bhySq5ljNiV2hNIHrR9g0nze83AJIGxG05rZIgwbzWb6o2QvM
TQe++eYtGarn0dC1jgRGqNY2oTNlIXMr81HotDvA0UBjMYpilt/P2mRe8aposBIvYoAl0JpONWcq
bdEEBuG2+HXLps9y6AU8p0C4f4e+LsUcpFeLpjznHks+dN71NWE2RFt1a24OXj1+QOiqcwI98+8i
qW59Bh04oE8b+JgAtGjeu1QUL2+03qv/ztxN1p02Wxd0i0RzQlxXa02gjU7DXsXsHM3nyVpJnjRq
MENjoZSOGR7BTVb1Iny0i3pyZ+2nnUTYBWC6VkM4s5xDg5g8WV4An40O0qDYZmhRVTKKnI3atAcT
UwwSDKim6oTf0sJt6peiIAd7gWvO099npaBHree3LZt3gZogQ1miTryJUeouPdUxwT2GWlxYkUWA
8IY+sg5GSYD2Yl3iPAqUMF019wC+jZlV4M5/E8DEn96d5R8UW09mAIQTiqBS/HtXA+7jHRjVCVyF
N0zxs2Kqeh3nQpHD8NlEaf732yUrnKXeYbzaukCPL1hYztpkL+2GjSwpQwLnxuT3OX8vGSUSX3bE
TsVGG7VHxzMy708yPwtmWsqKCGqLS+o5zpvypanu1yzuGDv65is2EfBf2Mt1IC9pee+DkMENCfAs
s/wvKI6/8dY3bcotb/DaHCKAwlASn7ZGsTCNwgi5UhwZE7xl6JSsRibWCaYGrYh80HDqvbhiuUxR
RN52BjWi4e610jCSi71Gl2AHwK/GyQzAoj67lV6xeoVZtLmerZSKD4MezjTW2PzjxK4nGg1FCJpe
ImaRnBm+dqIq7g1Lx0v8hIEfvzuY7oo8gRgNI71VE6oL/0EPxWvfbfjlh0rjceLj0IvogETRcvj1
j4nf7TLLp1Nt/v1TIjZU85JS0DYO7gLAbLRcr3KQix69sjbVb/NH27OcI8tv33HNzhxvGoKFKzat
lGh65w2MlKEodf8qD24KSe5xInAMNx/DjHditeb4+Mbj+pb3xDEULo7uCkuSDVCt41Jdq/dDcUOd
sF0G+b9FFRVDnlfj37GAwQdzJIEr6LYt5g8two3b+Qgt2EhiDmoOLfo37lhVMfdI4llFXxDjTdFS
5sUoAW8t5CGnFdHgX/bl2UbUaAyO1aoL7yADPdGtghQpNmeGWF1md/SVoMPrfGs//lV96AB5nc7x
u8s7SjvgoAMVmulYzovpedZIBowkWzN0rLEsqDI/4h7Xq+b1T+glj+oBIvtvinf+pHE7tvlCbjLl
JNPcv/wIitxYb5vlT4O56Xj2rQhXbh0bUxB/TIS13lgL0nwj5FRaTxA0dA9Y9EO/LLcx04m3EOpZ
AsEZOYuoZ5APZp/seARPPteoTuqLV+wvMJ/cg93N+CmVfu7SEYfE0O6z1XFiWqR3gwjnsphFkjIl
jIi2F+f5IC63l39B/R1DDB1q5/jlpCRSwgJYKarRrSWJNA8TH8HJSX+HRm8T81amb3ZsDUjnN5Cb
BNhKoDBOSJiMWME7GW3p9oI0qsqecFUT3zK4K6eJRa/gICwR1qhRxNAD8E7a38RHYxdFnx6y5jr1
mJJkHDn+HdbPW9rDu0gtrI67g/ZRuYS8/cBmJ026l2NLD8bNC/F4DKKIp5/nXONQZngLBq+7GR5O
yLsyrNm6DZogoj2axsTsOhraAcXHfsL+lKlCt4KdgNKUWXv9clW73pSYtA0V56ffA0qJw8T9aMn7
j0DBUBBAZI+kRNmAXensdWssKYLnmRR6CEyhDL0PPcmxcievnn9KBaoV9SJyo8hYnPIonxA/cAlh
Xw5LRORCS/FPjKbQ1k8TTI7E9sPmkEU2h0DEu3PlkiWFGPDzzVMpC4rfZm03P3oAB6VHsXgTFICO
o68vfv50dzyxj+F1T2AhFImkU6sixvADT2uaN4EwYJ7iIqBRIHyQvISrnIG6YFTD4jCFcJcI/NI/
TKVt2zFUbgmKWiM88K65pVAhhWnD6nfZw7zBlP1/RFeUY/9X20r1Dr+xE4qosfktH8qJO43Fys1v
pEbKyt8o8NEIGaqqxg3TXsenwKMnQc/qOGJrkY30Os6C/Vz7ozD9HRPyFgXvlWrYa+8wa1MlGmV2
bT7ghi4ZShCAqIJjTYEpXUMU8h+VPTTcbbSwxUI/uAv+RgsGHZQEuhqbi8J7IxSZsLM97GL2oecz
YrKskPACcDH7r/nrAQ4CF7swU0LAx0UQgV/tOd165eyqTi7yaDd63xhwZ9cccBU5ClXwweMsuFtX
bQfFCmNFfYmDKJ78V4qhKIkwvDut03Q9nbibuDTSvnJEMBtpvys529fO2nnJN1yAvXe/qLy55hY8
6RQWnZIPP4Lamj4HjrunXPgRg0NbDAN1pq/Qoe/EBiJWO18KJLBbnyTutJ+fcV+Yc1g2lh2tkwBN
WUSUET1YU+1L6SHcCmYiE9cBcWVYHMsB8NHW1DR5L56zptxO3ZyxIzgVrVUxPf3VamdScbH1N8eM
jD0Z60BohP3EPcvhR6nNyu/Ehdwky5dohHDATFPsPQ0k5MiieWoEmK32oyuoMWEMgwhuv5KTHgnS
Zm+27EsDBX0msZyafQObBs4r7/M7eV0p0fgXiZxv8wx1KjZptquSLmhSl6LEX9iBaRsmcmlmEFm3
SyiNUr+Atd23iy6zvZjrjSkAkvb7SpaIpNaPIsV16LNXGYkccQ74nmgySmHTYY9JiSPHKxcKswwh
gbQmMFH9cGdvIOF7zeS9qjhdk0W5XKK+pk9fi3pHr4Mj3Za+F0vmrV/Gx2YOOabWwSxi3+IOvPTN
xGHw7GzmLRoR8ADaQJjwsjI0OXg9VTbRV8smczFWLDxpNq2PVnlY/FTq02sW+4te4n3QCOcb89YS
WbssyWH2FFAWUdDxCn7SGv68/ZOpblgUJjQWesYld2oMuUtrcB4Js93G7c+xN4j02PPtL4fswPtu
nahJ9L7vQpH5DLf0braoPCTmv1Iet1XTyJtUv6xaVb6JSj2ypWt5a2k0etbswgkBaxa1WhlbY3uV
qkjXMDCVnLi2qLOGG+9yBuKYKZEQHZlEkYg9JBBlzdp8l6o03cePyk2vxSvtS8SrEkXZw//W68dF
Rl1NKE2b4ZAnu7hb2hBjqtzfFkVeLKugyyTiv4GxA25YXVWQvYoV3S4AZ/4A4cd7XbJlPo0Iaee5
UIrKoOSGRVLmFmw5ReWlSKm+r/cOT2qC4ghiloXdbBc2Z1ATnjEObsXFdxL/pvIFRpGJ0ulaIZoF
/H1bJWbbfeUkGeCWWLmavdL10Jw8H2dFwo2VlqZpHRuD1geE3HO84mJICF+oiko7aWsB0mMaTGsl
oSTC5QMRyYv0db0i1Ddhot/4LfnQK2fyf9fsRMIKnpnxBVF8ophlsIz2g3gLKKLTtXrqNztRHpch
lPt1YPcQtillStQRQY4FmYbWxSUJBnOEBgkbsXjmUw/Q9yJfiX7GoTumMy30O9QQfkU3Vc9SzcsM
41+GB+ea2WGksL+i+JF2FsHUu828EL7GMYTC8PveEeuAnMJXo9tcOu9OnW99d0JsYf4XtO942pz8
xKw2ZF7hC6M179lXYz0sCyscsf5L+2ZTCaGOO+jXBK/G+seFFyXrVPbPIsamHmkNiS89e8qtgGzy
Ud7nCN90+GtJdahfPHMTz0Sto8+HpkkkTIf9S1Mfe2Dn5JVSsovTwfqF/slImBFOsULhzFq4V8NA
h1rGwukSW6RoOkXiSjrkFPlah7Ie6l01/0A1Ml6Xkw0m5G8pwnO2CH+4V9FhEAICijWIIhYSUPbe
bV/xqzj9NeSD2z+6QKqiTL4vgBFeQR3wyJkUyZy1nlnliGhheVCDwQXDsfUu0cbhllb89P46w7me
2eMrL2qJeWFdl3JwhkV8oVyMas0spoqoOGIatCl3dI93swEYsgo69Zz4udvxTNNdvzjbUI1Te26v
2FvXLZzCD0cpUNSAEzaIW3zx8JPw7J2ZoWoE29iupEu3vSrm7aSWwWIjUDN3CBeh/vwSUIH8bIU0
esIN5Q2SO+YwtQWY9+LXlJnOxXMQpjVI1quVC1kdHOYJZszw+31F/HUbx8fgGfWbIg75Pp7NiNKp
654PQNTNTtFhpadM9fwfWaICxaf1MM4diq8Gsr6nfdf9bcrPC3yYJXViL0NniXRqlLa85zakc+Em
9j/eNuB7Hrfwx+ZbD7c+8TajyzPDp4EyHFNSyxVE/vy4zB8rWmbWnZfITOdv7eELCwKMnLbijSNs
L6m65VNWmCqDNLl51AiA4SYYhQcAXhhgGwGoHReMx+jEoYacKIzQcz/erEkxtg2PQCGsDZN9jnUD
MWAVu4rRueVP2Cmg6bJNRRho0GwuK0j5NcapIrCXTSUQcmJzvSPL00B3PYZOd4SLsXkRBR0kUHIP
6k6vJ+ffJIyIBVd+Prr3o5RWvg0qFTM7HptcnmIwudY09Jnrz+MwXF49vMzpBCDXJf5hqT370IKn
1Vb+su7HHb6ctNuS7UTOvJPjn6Jn2VVnSG0BERHwP1/ZP5IVrWsslegcqht2whyMpftor3TEDo8p
z/OCJFbVW5RZ0DVzZLZa+Z09RRMaUqgErtgAqQcL8ICyMYd/+x2CHtP6GWIcB/iKE/LDm0LTeQIs
hPcXeSNHitcJGMTUc1R9INciIUYxsP7Btj3rE41yNhM8230gvMf7YjcokuRssF1dsCx/t51Vs3sB
/O03hKOipOvZ4FUNfiP1heoFWDrgACAihMs+i+mG2HW4GFLHc7nKxmTHuz6Meki/Kng5bEe9DaJl
JIDkXO6EDX7APJpyvWqF9Ati3DzERZuO0aEfGdN9/buddDG70YhoBSl0NT1YXQAHfFN8JjqNK0+2
LCI3pZMLDS3rD7cIle9bchfrfeRv+gdh5wuQ+GOnlIm4tCXzIGbidDvNAzPw2ri8cZ+S5LqdxPct
RONVcnkTmvXspP6TFr4RqDv3mbgzcYbibwTuOXNCP/EbKvkn48qBJaQ41NzkWCLlY0XLFfYI5dDZ
1OwrJ3BcjQyBcQDmexWwJbbFT5M07yVDjtf1NOllDf1YcCa7t23voaN1VHHlH7T/iPucJmiRJs84
lxr9agKCMKPOx/vgeDGEl6uYWUsMLN21Y+1P268YBTS0zUExOgyEEuo/19Q+KGjXt/38lKAfmpwN
DBeTnSv/3gh1OpKz+8oNbVUQzFahYou9UfX+9+bTiZUTX/DPTcIEKx8yRgTVPDvt3eIf0V58oocU
43Lt7EgoNZKSktlOr0lcdnKjuhCJyOtbnNiGWy79SioEgnzEfpO1u4bfGptoAT7LhFKgxG1gtoN/
jgOU60nYcbujr5jqwvXGZ3keZcMhrkp5Ny6RXVKJKJn4Yva3lLwKYyBxYAgm5Z/FsV4VnQnWf8LY
yok+bwT6HfH6pz5Civtq2Iv8CcoOvCfQsE5mC91zq2rJaE+HuvFiJAjEVRj/hBCqXbB0dzr6CI31
O818cgjcSdpvwp6eGjj+vFIzA97mW9iXTCWM8sTdCDrB0rRy02V3YVcGO3PB1NGovCNmlyRco9L/
Yle+c2vXqvuPc3y/Y9GFCmrA3hfjaDwDO/46soCpVy4pejAR3+rHB/mPyxbczdYKa6hYgmK6rBZ4
YlzM/rJMpREAvXuDA20iIIu3W/4hpk3XDsehxDKfeLoZLl1djZtxT85KsWH6UFpUQo5FH5m7sysU
mjhFTFu5R8+CpMw44Z22CF0qJ+eWCwBSUzwwNVXD6h/9edTgJmnt5JqMERUpQB04qQGeKicgspt1
vTKdL0Xt1iuFRXdB+xhwBmrXAGBXE8SXnSP2iUWCREyG5FdJWurg9raemp6WkjqFD2UPY8/3XDWw
GrI0OmeQqYLXS9y0NEMs3O+TGuaU2emvu7a6Og8h/VF0pxOuIRC9qYoO2mL68y9MgmKURTQIifv1
yoblKzlJTqXOIOfVpN6tXJU3pGBsSVjVnzA4jiVoSz+kzMTYI5BfvD048DQGeKuWZ3pR7HFMTIAn
001XaMaZatK3gQZ3MkfQHHmObIrmT19HOPUXDQw465NOgO0ie2IT/dc171jneZV3+rQvhQttfwIS
ovkY9v+RWoEcGgRSzTOOhrW6zkGyx98/rBjpDwVxDYizQ+ZxuETZveKfputZaaxYLUVjMXbXGTRU
JS9Vu/PkLLsoDClI2olARNWtiRb4ajy4/zCkFVs8MH2qcquO9N/ecrZNGUNob5KlM3lv0JTcEMfB
l2AEcuU1dy+UYqviJqnfYiBK2XTmTW36IILVQo/4+ArBsUwjFOi3y9MiP7PqjWsf9j89+YdkGaws
B9895x9QN+pQZb9UjpzFHYmWM5hUzQhDqIWM8OuM5sgUJ0IIRLxd+h83cLJ97iXaKEN6Ne9uObjE
OGxScNXtXt2Xb29Djv1jC7KGXVatCtS4uudeht0F53xWnKkoWNRWlIlBHbdbplD9qzT25NwEqxuU
47bI4Te51LnH/BzdNJ0LvXoFozQMpRZ9dMXD0WIKP2EdMc8H89/7cR/wAolqEAs1V8Ax3I0YVNjt
D8r4Z2CibUV8dTc0fJi+R95DsNEegUOWllBPRRoNnYR7XTgW5ZfYeeLj1wN2fQOjOcwABb6LCInk
gxPsQUGzjNUrsdYPpBPhRLK0kjCcQ8/Zv21B4AdH8gchAPx3cQ92hw58XEZJtKSfPy/yFDej48GW
swbXTwMPRrCL/ttvd+N5JF1KhPhJucVdf6iP1FS8PLCLN6+nl8ow5aFgjjtWG04ACqj/Ma3fld0a
UiAmaxURKomj7GCr4jMlut9+h/r7tRxJ5oJvHPAOxL8rRfbT+HqC5FzyrmDnDtNQSbfIwpKC6Ijv
nDmOixOVnquQinLHquuYc40mHqNiTZLoHSsoE88LhR3f9WNF0nY7rPGRBkV4Mpto65zqQjy8NPbK
IZS6r5qEJBUKq7tozzBciXFEX7UskT45K9jhWhhQWcq8YTtNmY9tKbAf9XVzi53lR01U0RBe0RmD
G3c5sR8Aub27RTnMEuQ2w2moriMhzLKVxcPUG4e5kEp4M13gkgWHAn2MnonWBfGVwNTCcxXalgKH
TWkt22APBxomXMFEqBK36VUZ80zQcS6nQp+IN2apwUXreTOu600wOHvfswDBlv0HzJrN4OPj1rPr
fiaDd7SmGEId+pxEiiXf8ZBZx0/Gr1RCz6YH70o1KJzp0yq6G90vblDQHUN3irK0OiwCLgruwwCH
XUrnlpvBwPUYlaAESiRNH3unb2Wqd0TVKe9cu7KgfvvY6Xf8I0HLMTcNBMODwabogCFvIoaxkrQh
YVLYAkmGuDwTMDfDRVE8qsCEzsJNMvcjZFmjpj6vGDtbgwlftTyppQbGn+BaxnF20xW8uucl47/P
JkUPPMEvT3nBMUWtiijVw6nPO2nF5sdCqWPSKhZjbyq5kXCHgJQlwF+gVl7ZHfj9rCp5vomgEizS
E8z/gkUNW5pYEiL+7Iem2CX/EmxH8RXg323HupARvfelCyj3Bru3f+uXBRGyZ05IZUEpfQY1YFIj
TNHjFew4hf1b+bgSx6kQvOZ0LgSsAPYLcFuzZOe9Zk5/fQTw2Bhwn662AtHwuJBhqAQUhy0aUsx1
b3uBN/4+ntBT+VwlQrpaMvhoeqdXdKOCclvlBkxLTQOaOUZFc6aLJABLxBs0g7EcqDkD4Xbdmgzh
Tw20MKki3LEz5buhlC86Jfwdzf+ebx5zevdgvAE03YkhmNitEkcqFKExsHskPK/to/lvXYmTCVfV
8hr8sT151EifUsRgMHz0HIWS3IrGtMKn++oPup4RyQneM3/Qe3Fc0eb0qtg6GpxrB/LDBsOnieZY
69/8crnvvQ1Yzgqgp08tTTnVtGkDsQlILHLmXwEn9BHaGzV8jfLJiiw6utnWj6WButiPu+IUTHEu
0hW1/Nx/igjlS1EwfqiDQkFZLJ10Fhi8R2As8prClFWtPBUmjNToaMwqlJIWODaJd+ZI+ITdSguX
AxITlMUHx1IZHF7UcV5YlXzzbIKlYDT+U9DavrAtcTR7y4DVw/YrE0fpHma1gHXJg+FyRk/asUGq
ayxRxVYkdDrBKGceCvjLUJI4rSRtoAMY7S6QzmIc6QgHWq53zQZIenlf6vFe+g149MwmhguSRkl5
s0rBfOzBdNCFKdOf0af6jSdNJ/gd/YgoM0nEvDq5czEuJbTsVjGHWth0T+T6Nf8vKALBUMpMYOba
ddVXUsKKrTPQken9ocSBOn1r8jWX6ExDMrx640NrCLqTAfOxId2jewaQLyKWnZ3+/ztSaBJuqd4Z
iA5E4LBW3VNDVcjwCG7RP1vY8794bWnK8O7MwXrYRMhOhQk6sBXo14ozgej+c9PWWcihZtDShyiK
PBZQSXaLwxfCTLnm5SgpemXpNnchTH+AMvdsbioJ4cyT4lLnGkRvXQrXrQDgjrFuEnqEiIA9FdfX
T7JwQciOPXHkazWwSdeaOA0kO/RZNZaqEor74Lyr97MqTMlUNTUlNFVz5URIQFC6WCZOsneyepaU
F0VU9bp5CH2jQGHFYnxv2cE4u8XiMjfbVvNBrx87vPplfV4Xwa38am92FF+VbSO07gqykXRLAQ6N
kUQJRnSQHgg3677UnEE617O9JqaXSA/QRWMNHtS2LEcYSznG27ySE+glQB0MIMlpOmoDxNFuLGTp
zeKvFuwJ3JZX+1vlVO4QTp1xcrM2hH5p0eeg8xqhsRdfEbbs3FNIStiwCMT2KoXoPoGUOBjoAwe7
SqL1eOOVnrrLvW/8/abshcvW0sz8cjWpgmRYFcQzOkIex7u59xVg2Cd0e9uoav1P5N1ma8eqS38S
6W8x0jljMRW0rwli/YIl039ctP7LGf7GitJwMKbs9gyPKLtF4X2Ww1ArCBNK2ySmvc1ZtCQHB9pD
rKVaXSE40EYF8OezzYF3WfiH6VaIxZ/FtULHNL4ATAbWYSwpHRj7aXOGqSgPM1QaE+XEb9sHQKdK
NM6s+6KYYLR7fVj9ozVVk/OYThXihQwzBmdhNQvqkEj8vO2ZwsAaTrmYSVwzOr5R918EJOEtECwN
F5h9LJ7wTiixCoflfxFXStPiJMxxhAHC7JGMaW9agaPCs3ITd7zesnMNrpAyqcmkfqgBe5PZv+vo
XdSMV/62VNt+yrdF7j2CImYKsbjewIC5g0Z0QA5Tvez9IVg71lm41SMWJ05nPr6RbLd25cN7l8j7
TLFqC0E59FyQzoYnT/MSBAPChTftW1zBhXpDEtsTYx2IkAN5VtzGraUpzLc42Jnk3I+2uN5NAAkl
sUOlzVFi7MdFKauHwLwzrtH9tFuqyZoivENADoqjA++XJK3PSiGvBZzhwU6pdGslbc5mPp/F9FPI
qr5uDg9NJgYviKBKzByN0G1TS+WWHr9KN5T96J8AGIsZHmA++34UGOSdeh9tclivtWkqgIpWH+NP
H5rQPaspIxP9YFUSuAN57KSJkM/Ie5j2swO0rN8Oel7LRuvfc8n4bwrE+wEouMFWYXCmLgQAQ4UC
1397Ylc8qCNpFbEEF2HnI/2/TOLiOuclW3gBoYfwHFO4s1reEYabls5dtXsN9pE426kVvI46XKZv
IbjO7HWOla3DrU2ojJJQe716twgD64RaYZE+q1xLkK0hUbiDsEqNf4cePEo6TBhsqOOurrbQ+ghY
Mzf0Oezxh2TNPIpSvIvd2w+uQlV5VvXE6AmSq6iJO8RXxU81ds6qUxdtBXRiitCNuZU7OcAecB0w
hLUC6xWzBSd0Jv3aslDfk8TIDPXV9vxc3ugmOqIh6aQ7RRyOQVK3DpzWY7S5//77SpmSnYr6xJfH
rStr5BgasYMjOGSY0bIjMix6OEh1zjn2MBt9CV7vLgXckMmA5jXjYornCa3sRdyTTP1Fwl+oLRsm
ODwWQKfCnyXUm7uZHf8QbOzSJtI5rs+jq3q1Ysu7Nlgl8RejJM5fFXumeLOIfiJ6UKL618sXX7vF
tdEvSN/5KBcyM9YmAvqGXkjjl2tNn+pSa9zglK+WPRRSnEqA8D3laaANiDZUUjISNRpeeAuYq2/K
Zx3yJyiTVj+apNQDqLP0oGzP0qJIqd1fkmuLlL03zw1QwWkwUwdw9AHXiH1Vyo1FsqrMLzqBlPaC
DcomSqOrkbAeLJO7RH1q70ShtysYQR1Cge4BXyJnQQLU2TdVd69pmEWBh99TOQpDlJqKwHKUIAY3
H6bUPFQORRYwC380BfQn6CGWQR2oz1pB8OVl3asDGNDwWhCaDzLipMkrlfb58tZBhlDaduUcSfDV
u2aaEsAvLq31qcG5L7Qky+tpUnPKOPPP99200J1HOICTfuDs92RsGpFB81oWGG/xIGxwCJ8woCvX
6OE0x7aWdq5jn82BgCMT+A9LYChh36IrSsEzRs+C9Wwfu/zFpzF2uGVVP4PjdCi/voCX4aXjOX5s
cDFZ57Aoq9aaYEArg/4NshL26dP16xVyOoBdtrOxN5BFe2c9f9JXyPbxV8/an/cX+g97ltl0FYBV
Pc25a6aOcmn+xuhg7nDBtJ3+I6FjX76scBXp7e7udrBb4TQ1KAnVeU42Rs75k4EzZuBhLUcUZBmq
Bc09h3Jyp8nA+oYcsQoI7FtBikRHwP8BCaevyg2zWRQuul+t8gSYZdRfuhTv0TOD54cdjJEQrq2+
wfHzv/WZyPZbxNIdKyC7Fh12le2HPl/QiEbzLETd3lCqszF45bMkYutAtlrd6zKrfC600ysQ5Yei
0ZZWsmxtwOXU1eZJ+PsZwaWoUI2OtR2+lTQZWS1+Ee/BP/+rcmwePM3HRGARGV9s3rQNs3VbaFyd
Ca0N5m1MfwqKRHWtOGGFqyhtUNPzBUTS9ef0IAh0wIBTBLYWxg5TDYq3XGs7EnIa1IkV1gh87gf7
3GrqYI6H4/HVLIoOdwoy/O7FoIxK68/hMgH87FZr2x0DA+HVmACd2VqKMk5UhhIhixrQMEVIQfSc
PaJA2ykjMZY/82BmdyzXnu4d9PK1Z020ZznoICH+MGNzA3Uym6Vz62iVHUIeRMtonZ+gmwd//FI9
iPBGnEefOiHEz+h0E63mz8pVyXu9da66Agk9A7I2Yv6FoQGLMLBDzJrIR5Q6VSWxaOjTMFSNc2rz
pHLVtWMAusj3TMlvAKsqkmpHiJ2kGSf+j9sPPLBadknug2S35bfZXi3WNWhC1dgKJ3Fs1YUoaC0T
w00P+b7eWaR6wuOsSnoBx9NS5qt8sXSIVGsmLwB4VSVwu9KFTPHxwwD4qAhfKrSHkorPedGYeuZj
wzKJ2d1QpzxBiXKyRvCzOHBsAUm4FkkqZG3mL1RYfow7FbTdrKjadK605Q6lLsEXup+OuEpH47+T
I7tmeukm7iStmoOv2t42bD+aubzJRLW+3o7Q6lTbRdQc7l6Z2ZPRR0G7KSftoI4vRenVirKKx7gY
+lmP2rreqDxkLDYwzrJ+qQmFM+mAWBX4C4bu5/tF/IvnDxUTs6UfxrTA4NOdaMP4L0sjakKHN2z3
E4NgPfmVll/jV7N5sVdtgnc17yX0sFHufe6DGuOFU6XS+1EL6/E2l+2OyyXFj3HPCLBjmFcfxoJ3
7yBfOng+vbAMnS8SOhOEAa/Ke4UBRas4pOF2AnRgNBogxBMezCf2cgziQ/VJUdOg7t9aBG6XPOak
oh75CdgrfIl/wBnElwe8HqjmTS0Pu9Kv/dHn5UkIKXfuSF6wP6jREviNPbpK9FOpXL73gAJOtskM
eV2AlpfJCT7OJDP8PNr/Nax9NoYPXEZrBkTxf0FygbcGzKOgMCX8pjMqyzNBD1LwKODO9ABLrk8D
DEk4x/D6Nh1JhQ/suV7N77Sxv6g0cxOA6FVREI3tLfiY6U7twR/plk7jCnHtFELNIh1/KQ/Iimqg
PK6FHMQ3oPh40okiO/uFPE70xlHy9dGTssD9S7sVwRuf5cMz+KiPpCnJ9u+JzixeeXbiaFZxN04Z
NRJTVZBEdngmzB/g+N2LtdBymGZA7LwnaYqhNWMeqWLIhCAW/dZxI6n6ATM2/yZmZnEtCu/Acza+
iNbKiRr4zcDbT/f4ocbPDoNvIe2vUYLw26LaSJiEc8ibIamMFfsk5FayGQq81ua+DTP/Vzq6LmUB
MNkNAjeo/AxW+qUGk6mCirndlITuVfHb10EvaUI3MwQIfUsBeerG8uiPHl2E6lqtzV6QiKMXiLOn
nVSYjQHsNnRmenfb5ciUfAR2k4i0Wcuzbx8jwNYAQ57ucgywUUuYlyDyzVKFQc0Yun1VnfqMTW4Z
/2mmPKkDKWX6tYNwgnCl0r2+eZa5zckHr+KW+Lb4uOjp4zyhJ8T4V1LbmOV9h0P0brhkdW4Pn+fz
C9BjhvTmconKLy1A04MTT16lvNCg4RbQxXvgQm5QUR2MIobs15n/g/JNpAoAfDzzyR4kudxsJjFQ
7a0Bmtzwj9g5IDki3CcNsEdFo2e/LW9Ga/zWopz5ZKfwxslVZZtmm2+vYQk6LazNWMgsokLLYJX1
UKnjspY3lMXTaEp+Bk4TwR45AUlZPH8MyD3JIgk+X4u9wtD7UGgiCIdkTRnQJh2McJZmcj+5s2yD
7jI25p327qdFiYaBOzIKX/rW9YzXla/jYqifrA9x1LWHPoJxSQhu6zjOgUVAGWi4c7peiYH7PtAX
6xyki2RBM3t5gW8vOYjTesIn0yw9/CWYc4/g0AqzXpTV5/sHFgt+qYS3kVyEp4eOGae8VCl+i3CF
31BNDn/MNX6GNH+NSiJ16lC/n37HeAZKozn9ucCnzCDV5Mi1jjz+YrmY2aYMY80jpqE/Y0/dVLQ0
2AEG6Cfx34P2z68WpwLepJGFMI+eHInQJJm5QJo69r0widw/MRzLA60flzBSnD5B5Yv6CE10Bcr+
hQbJNACio5H0Vtw0IJhI13Eo5Fc7H3n4pw4F7hAagt0iGSdUFAyAkHuhr25SGqQgwzzgeWWxHkmD
cC6JwmyEuws1KVIcJ2fGZ6ECyI3xHOAufsEBMDz0zU1yGN1a+5t9E6A9GauuqQ9dO45ErXcBoqGR
nl7xUlR81KjgISfPe08POIw1u2kF92+F7NO9X/ZmTOHcc3NdYatJkGDhn/6OFWxFYxeAAXp9ZqX7
v4tT0YmddujQMB/sWy9YbQ+qnUaFX6C+hdMXDzmHum/p6QRAN5Q+CSwt0acmibL0ozSnGYlWs4Cl
CapUiDtWI8UFdGxMU8mSx+fOl4YfryDX3i2Er9Ey3/TBrH6arjdYKq+2Ib2zWu8LCBgrDTV8QkrT
MB8iJBH9ltATOJ20k5eOdcgxJJVjXdWHuoTbRQPiUGYl0kVCyeObHBsnQXtHq401UHhmWODOGFIG
Z1BJY0J05mHVFLsutKW/caXwRHBHyqOWZ+yOH6H4NPzm40iPQSGGhQ1w6ciK3XfJCLm5LB9VnEXJ
ws4v4O0a+Yf/Tcx75KigSGiWlX0tH5mnCbWYEU1BQvCqBC4iDxkNJewy0MnAzoEerj1S2jRcOfkT
gFVLyKOGgZvYSuw8t4eEkPScBzC4OCCmFhDxOSMc4TPi0QggPSICnhEXKywEl8ICAeWrD7PKkm9L
mxjWz8Fe4FW0pj9PwvkwhKotPK5QNIrwhUCUQZwTNIt2zY+NUSiq63XlJY2fndeOSmNbbGa431Ju
0D+KgNJLT80+6nb2669ygvs6PNQjn2aIGcNRRUoIfLOErybcy9PRQoUlCRJBAok3CK2EW9X1Wd/4
8EhEzgzC+/XCOUGOTNxuLnOAWPx1KK9Hx1fa2/mIgW8Uxz8elvJ5XnQ+fsiXfyKf9VHetOJ+M7YC
YUOeTPoIFvXQ4zQaqlvGUuwnKnfhf1sM5GvBdRtiB0Mw6At5u14hC9t4KjA4DdWHfDRiSlrH/58r
sN28XgvR2FwA6t338YW6ggQooi8RAxqtWU/KJwzKr/mwNOMjm7RmmbbXFwcuEe8cIqpFVlhjCckf
0kW1dtzG0XYus/NTvqj9ZfDwrYZvB6A5h4A/B39aGOWOHx5FTdy6qr9mJs66dC9hMu3OBkB4CX6D
x867pyc9QNjRlyHZvyQOym86tuPYDYLBTZx3M30ghOSvaekcJubHWoiragHzj6w6IDL+vgqNrPN0
S29BxalHFPkXL2rCXGg4i89AZgvzoFphLzC6KTU53x1o6wZo2c5QYfT3uno6WBopgiQm9D/oNJzW
z3AGXnlVP0x/GLLIttuPx5ET9RD8DtiZUIhgXEfUws/VGE1tF0knOdaeQoMHls1RXvvVgSiGUCBU
4p0CApiHwGZlGiBPtC2S9ws/lKo5NMxorFXmyJbl3Y8ntxIu7B4hydHg7giAHYuD6lCHuB52U01s
PFb+OD59tpryxB/N4ELno4HqO96hZYJXneyBwnzfGgnhoW0qnAoHX1uhy+5P+Hp+14QEB+RhigIw
ClbNwhROpNdA9pr+cLGN5vw4e27E4Jk9f6QLeykuYaAjRXrg7PVn9bCRjqJ556JfeZ54CNW2Fswl
vPZdTgKWZS4MTE+qYaB9jwVOYqwdwzYPvC81WArUvxg9jgVyAvrA//TQ3BTb2GCvX0e0g6kzelDs
cjli2hRciJa9Mztv1nuecZ8Qg3nSbhvdjkBRrYMptW/wy6WhRSdayYcS484ut4VFPY6QjTcALtT7
UBFodwlnH2kFGNeDsaPoxoXqEuZ1CVEeY/YU4TfAzn7GJEpjn7/1gL6fymjAhrKoYZwMFFiuC3sy
3j02o1yRUTKzQLHLqYSy309cqWSJf8fFjIqiH6XmIlYZiZ/P5ui58tyoas/TBuHX+Yr+wZzTQ/+h
3wTwrrGTJ8xvWpt6J+kgCWWoGMrUpS3VkGqnMNUMYheCFj7Fe87T2178BUZPbu/DkUKBvfC3AwXx
5Gr+yhFvZwdW9BNnF5BR7E25JkDCkApoA5Flo6+wwj43HLu01mqAFtN1Lez580Mh8u9kES/lFCJ6
ZZ1IRmCO0lVh5mT+OnwNDsk2CZusDBSOq33rmwGQZlP1G3Zb4VXczRRSfXsfTTB8/AK0UPuaPciy
oD3kWL2XJtrUekn78PcvIk0agAm4iMSI8bGsRKI/lzgiCzf+o5+OG+2UgxY3CVlNvqWy/we5Ek2z
2kXXu30V/E+MU+WgDVM9IenqUKr+A6Qz3CpxmrukG0+GVriZJNOSO1Dj6hLqt5kvVkVocIv8XFP1
tYnE5lrVs7DjwDqksWDulujCoTRz+6PcIieP2/7zajfNCKgE1rlQb9++MuBrD3Q8Rz/cbIemu8ph
pXkbsWGkl7y//oFHORkZbi8rNXOHw1hPgjFsooetKly9EBFwa1nBtJUTNdRqtr39NKbYlU6MZbzl
DXv572/nhOL8/L8AYyalNiapwZpVzIVUwafHUfHlBVwrMp/ujh0t6q0N2NwgvtvFDN+CHstFdSPZ
k9kEYwEdRKoG5CYVj+iDf5i38COjgw4/4JFRbuu+ADstGyJMqnqoh5vRjCJVK2x5R9wf49pkBXmm
jOaeHmOpLI8KTNXnT3tDDg9CNHY44zpuMFDKXqVZiUGv7b3So+RK02w5RbZli6QGP/y9GsYvA2KP
U3QG4MqJ6Ee/pL6ATrfrL6WMySqbb4oihUjp8zEgxnLAjBpQ542L8s1raYWXqDsygT3j5m6mUlLB
cWSbOIjHha3ll0dSqFet6NKQxQrZr4kk0yzewS03jpHBncjxkDajYBFwTGyxdXWd5CDtLkAXlIRH
iRTmM8/W9aisG103nRN6ItCczlH//75c2mY8dblVGamB+6auXJ/Op5x7E0XrqksGb/DHy/MYpDGN
7FA1nLgbZ1anP3WgYMNhfuPAcOrz7P90HwBsGuTQDdEvPLBmHMircQNtjjgjeVTXx3YNWvG4mqQi
FUhoOPQk38VALwcvBIaMlPr3wXW61nc34cWYxAdio/cQDKxCKvSPks4jowNsM5kzN5mIGV4sk+vK
C4p+e6HGFa+QAjUEhdP49gr3PmUSk+Mu4llwQO7quDjHzUG0adkZQPT1y249AUFCMA95Q9W8bYpc
o0h9qOnoU3M2dKKXDFtWIT7547gKRwY+K8IiY2iHvNxIIr++4CvjLtS8xr3eZn5cLBOlvHbY23Vn
nAY4VxihBJZPWGMHpE/LswmcYxiaeQgFG6hfPP/r2mD5TcbAdjNDKI6szrQdYp1gfIdm0byypqnl
xfVMIQ0CBbeomrz3YV7SP3xHeFZTAq7lBel4WJmctp9UJmYfwAYASm9HNQoSHT7tkgoR1l9HxQx3
b8N1m6P/DW/ohEOBT/KxqqCKCNfpx4QTvbLtex9iJe+P8azLTsF9mg0Xr6J0I8ukT8R3G0RMMwZE
vVYsxmkuozs+hlvhpQQi2YPW8Z+9nk2rzzA3lAGU+vnd0+u3/CqvYoumqgDMXjPc/xjRDHkRZ88Z
dt0/ud4OsRFJsMWrZ+v0J6B+V2mqpEhCW1ZM3c0en3F4i2LeIzyFb7z/wS7zFvKYk9kOy7Z2EbnA
AYn3J85WLq8qlzcRS6BE5pNW8ulnNM092i8+6QN21UsIvuw8Yaz+migq2FjyYZhBnD2zrY9SxLMs
feUsakQTrW2muL2cskJOIO6vjtHNA9obVUPzWhirK/Yxv78ZaqsWTN6XEgZZdc3dkq5X+Sl2ebLL
Fspx7hAng+UzU8KSnFSKrpDgQyM/MMJF3TBN5zFa55r9CMlI85Xl/lxZ4O/bbpksiuWBgVndrmLN
CtY/Gi8NZc0UIWvQdI3mYVLdoYWrsqZKwMI5Tb2r+rNRH1xdd5KL5nkDvzX0QErOVady1+PLa3Ah
5qoaCWGZRll3IZBiUStAvcUejs0sVMcpM2WBmW+wgd08mr1A+XvkWyEIe9XyFENXg1TlwY0t22t5
Vmj4HS+2PCiiBg3ZAcDxjLfnTXX/S8aljc1W0B/XdWl/+cdG9k888yVkHGt1lq4IygNCqNJD88Vv
ow52ZRwrNSzHnZljJl/8bpBzScNPfc4IHM//gpShumWn1uPaX1AGjyUUHwk791FvnsXGKCIZRim0
8altuUyN63kvMPEZWLstRvOsw4AP5ff41+NfifxrWSIg+0GpO5sfHV+7bv0UsiQGWGpJGp7zb19k
XVVU6e6AkxRoS/5rBYMHQyDAthLUcvlcDJ+4cIhMBGogpkjCu0PbpfTp8vHZQyNIQ2pGIEghFc/9
ZyJBzX7V9LYmem7caTQVf85k+73eZSwG33qnNiGhsdz939KXeaBYf7KUMVqQbasRYMiWJ4Artm8j
Ie3cOfW8mGvlY572GgtClF5Qk9jYQII9fpFt6FyM3O0b7oc+Y1tJnDnTs7MIjmJgXm7Gi0SHJ8lT
UnYo+FQwr+nMI2cL2XjpGD5hqKQmodDvG94jD8buxS1mRHTRFM/+NzXWCacsjA+9iEpUBcvmLQ7c
DLg32bS+OlZ/dzkXFI5FkN8btoCz2Qsdkd62nVDvwWd95C34sOQhu8U19g52B5ZmXnYkja4rHwsq
brULtLFScvCqdQQqjz1DXuYPhFo3YNrkMvfmX7oUoCzClVCH9QAx+fAI0INfVI2n0wEJpQZewd/9
xHelD4ICnbvDsIRXCmpM3Mm4Fnmf882oQ4CIsAEf/USdINHDd4gI0zN1vVJMRSW/tThUC23kvUH8
tZUXWichpU4cLxD65mFjKjCXvierBc6B0hP7amdjSUauMUF3ty8H80dMImRo8W+dmVAp+6/4ZUmI
dvXsV36XnavBfsGV4ad8URamuLR+MpF8PdYwS6ueBvRJsX159i1zECSWXUdHiqladqzqkcGYCLBf
TxzCxn+YandfDNBWvGwKM0dpuLrfGSpy7nFaqa3t0aUzoH7dBDCSIQTiMisYK8hJBSm96F8r20eV
EYsFFMurO7tb/UTMSFpkCrmaVgwMwxFPd+p/JwhARAg5APZQVFqPCk6Ec8ASRjHJ7vWEM3AOQss3
LJD4L4xnG0Aaf+ZUlufeoqpUy94nmc6vfR6XP3ZHR27cpT01UcrdI6AihlA20rj5+OgoFwBkFmnm
eEM4Ft8X6Nvc5i2Dxs+/WlBGp3E++DGxI3GXmj9BCEE7lv/1Ajc6O9syZa04aMi7pu/umCnwpxJO
WC7cZf3DajXSWEbRi8ghSS8DiwbWrvF9qZYWdvw0Y8NrQ9e9tEJnE29jrxwwfA6KYudLDtl/mPC9
nJmCBcg2Ifouv5IuXvXdVcTjuCvJaffrsfHigSUQ3Fg8qZOQqVkMuz+hOK5AqT/a5kxVJjG4Y5X4
lORD6x97VBl/J7Mm2i4sTV1XLpX2FTjrqXehax7LzZyIOCWF+lXe3rrS1uZS3l27EUyurtq1je5t
ocJS0flUI0uW0nwIQIFiCoEQbGmZ2yT328wGRQrJ/LQoDbkP58bRz+NIixlEgNwbDLONk3f7g65F
pwIFfpq/VGwjivvMFB5IxPossVyOeKDf1hTlWLjOdnckqTgwCrXj78fw+uPqBjBjalhfvO9inagT
rWuGHscmtbBUeM70bnaYdyqPj2qIbSsk46UwQcrQEBIg/onJMMq8w4EuSGZ3pN+pnrH3DEuXM3hQ
nV09SpbM1QeICEenV+2zb3yqSTWpwI6PlPI9i+Syd1d5M8Fn8MLaiyeWdJ2pc/ErnmHkeKDrsXPH
IIcPbfipFQvjZA+21Kl3uird01jD3lLdLVl+q2UvZPh1E8F/nvMYuoZZstAxa6vHsf9sCf/4aEiE
zFCwhzi7lbkE1GI4MpMrAY5uPrQlJqOME03W0otN0JEBzktGyIMW7ZPZoQvTRYasWg3XNtTuZC4o
SmGhyvn+eGxChKqT8Wo9KCb29f0Eio5NfM3HBU/uIGqboQav7+DwlKA3iMUOB0chFY16+/eBgbHm
YrJrZ3iWjqV484xWLlgN6ltMfo+eiwg/wP06swPhwdIJqOD6xiblb6t0PjlUJiG6G4gQwyFjNS2c
Mlw72GgCAHglPZVsoHF2d7tKWl344EYFClJwvAHhafSjPnjOZ2Ms1ORpSVgi9LZe4XYa6vCwgG0I
9rus1JjPHl+exsPaR81yHxY2YL1hdpkphfvlbVI3AtcVfbhMX1lEDL09s/cWw3rBNJGTz798Pmey
UK/o6/hcnWR1S1QPlegdyQ5TqFj3QLv5MhAsS2iWVn8qjeGdQloZUvp5E3g3w8WkN9CfxaQ++9dN
4rdqUPdw1kcSQHesaosfRyOsDsCe7FZozJZL3178r0ROnk2FXeJhb03z7BrOlz1rcSJ+GlGaNI0Y
+rQT9u6/XWxOtTpywyPpwe6BDLZxfA0ssioD+ratS0OrMtvN0KRhTT0ejielgiZYLxqEstorugoB
54QhWOGhZdPfmbArE2f4u2K2p6pkYlBWOkZV3rSkeXSr90xCCvgqB7oGoaqaBPBQa8banA5Fv+uc
OntEZlcOyaHeMtn92ihvanw4Qd5QOBiXJCNT+S/d5v4inkdXp4MhMHEZ5i4wuw47H2/qhjaN/DMf
vQ4my7PtJJSVK5o7MChUO6D/uzlcSMU07MocHdYkva3ifhh9ByjSYg7YV5aPX+4kCC3MM6EclTbj
lyeXmpXLbXxD52zfPQ2nPsTOX1lLiin2CyL4rmNWBXKctB+Oj4I+y/rBMc0P46XnWNyUVl9qf4Gk
eaEnkFStBpWBmoqBuWKzammdZMxCOIHQYNGsc01rjee8FUADAn+TyGYGz/+3v4y1IBVZACWobQNs
OXqF8vQV79I4uz0IsrT+XzuM2OdtUfVabEARapwWCgKWhgVpGtl2PevTOwlyOR2cts8nM12UAvon
7wkY4XaZCPDo6lzQw5ZoJSpX6mTPETVZLV5qWP0fvvHY1MQCFjrqv8cwg7C9yIeXScF4kHmVbaEJ
aebQPnW8fYtUjLPdrDhY0BwgEpVjUOe/pvum69AGl/ekLmTD1j2Us1E4Mvot9ucN+0mFKtB3xPhV
CirSCTmDoEZ05rRSw4OF+jFkbdHn0ymR5AoOW8M5QrK2r0ZuSg7aUxuigtLFRQsiOrc/uSBiNjPz
ioFP8lK3CQsGJD7wgmTs1mLvOP8O6rYEKF+Q67OqB4TpyosY2/5qGVqdADq2fy2kGrYhrl+11mAE
ARIZIg2v144EtbcXaXzMdiPZ0ejCokHLr5RY48CRGNztgMFyBXWue2e3nfyWSWUgLKOIkWvWXnba
nGJcPQje30p/Vh45cMBv08tw1DXlGQgrtV0ZUZkpQsNRiKiX4cZ4bSPMmH+9R5vuVPbifzWByBa2
aB6G0tDtDi3/4K3mz/IDHLcaazNkG9MdCBtCatattvJG865k4rX2tBDzos9B/NKY9COeXvYVTpxQ
LLpNcsPNVGulsOV0cSY5yq1yLVuGLK/+1oLTuNPgF9trT/45qP1mfDLNf7nGr6Husx7YbY4V4ljE
8FjAYMpzmYGrSgd0bxG9RCJqeuAciF67Gf1KYETsT2VLkzeu9np5uElV4MFpNs8O++1Xh8UudVjJ
bvhD1hrtFMQs8O6la0HcrdDQLpP1EH+oPz7JKKyvL/WDqmhPyJXODV/85zc4AM9EjeVNT5tA98XT
5p5BxTgBpFQRIyB8Ps+Uy5esIMW2jF4VMfXMfPxr8gmW45L4zCDY18TIU9h1APiwJ6wTZo+CLIET
54wE31uHP25JQnHnl/QG/YvrmHVoi2fXVXP195W1vgN7HcGDkW8m3fYIdfKTa/gyeBf+9MDxsM8M
Pn76yTVW4RCZcCmJMKby/ExLqW+Zq++WYvkFrb5rgBV6CjpW5gk2EDyZV7dhOkxlzwAkOlgFsA7x
dpaTZiPKQ+OZentuIPx3HvAiMw6BwFp4sGvejG9W6K7SXxVqkgxVMarNhRmAULtOZCmnYgfbbTrf
eX6ZJRe/0FgxevAMASTp8L1Ekf1RX3Q5nvcI0C1IdsBtZhnAffzZOszLb4YyKFUwgglxRdX9qBrj
TjwLpQEeqc3Z/s0FD0OS2hp+7CCxpbaNyosGZYnDWMab/3LLVvTiPFp1oOUuxT/6WwtSY6YDmYVB
fK4CU0llgADN8OU8ELKUwjsIQ7wAlrcUdYtMB5YX50ZxDkFxYXMV3VMXpzsA/K65YMYxQRu9bCmr
G2Ot4DfwHfDkoXLWWPYmgwDKX54JOZOCbJhUfRO3SSvUk4cjreKE/RzglPeykskTCucJ0EegeCgg
qQLoQb9cRvoPG5d94kT7U6DJHNzflw0g07ZofgbBJB+F3/S0R8hGuWZ4jRxTER/ENirtZSs8avDQ
aA64HMoK/XYs8d9HqBK6xaBbZddnRFA1WM5vcBcQl0IShwPeaQiTQJFbzYOuKHVk8D+N6KACntnE
MwsuaBcDPECOMoPXDWEHQbtzgROFKmRE62baS4YZtjIpcCCx55Uaro27Tj+CpMu+bcCcD28MvkDm
X9OvFsxgN1O1+sagzcXH/RdyYf5UV0gPqxCC4Fj+nhCS4gq4t7B814+UaCVv/JYxw8Xqstho1GGL
7qu6f70OcI5vN5V16POz+zWT1XLbKHqtj1FLb225sbvha9zLzu68brn2yx37119C/UEyDIVVPNEJ
tx2KeqExmlyaKx5AYOY865e1LZB4+ZEt/m733mpq9gRnc3mg+D9qX6J6tkMzMKuzB2OWbCtrebJ1
ZfEvASE23XtTfIErVusAv/CgWBIY4ku0DlAF5DRGSCQwY8NTVp8TGPGkiA/Ku5ubZAWGIUvFlCg8
qe3XNNhiBpYdmgMqELjcformyoqg174ptZe+Ye4o84x8SKdGvQLXABw4543Tkhin5Y2g3ArMwi1C
lt/TbcKAqYPyZNCFegdpUCdNOkNj4JRSIZcQ0fHpmgd7bxlukpdtbdP4nKuROH1YJHsgRAyzgvEN
O7NHVnexfOwSh0f6bEKhMJMonMBijmcmUBiUfHq7B0GMGsMoOW9j1Td4CGAwW9vmaVmCSP80tzUO
Y6sL39ipMzAsEkeGb+s0AAZwdaOYWNpUYMuOEJqj2GgPvCf6BATVc9+eqvBSSjby6zUfb78El8G6
DYsNVBkXEU9j7y3nPDuptSlR0YqHb0lsVIeoPTwEzL0Ci2NbrKyeCLRirVP0s0F5yJAOFvypDp75
DMdLp/sfIiBi3VPvxGSSNTYlI81jKWa46zIiB4bVs+IvNa34cmGsaaSEWL7aUamCo5Qsde7ugSgi
f5440vg/B5yppuo7sdp7rxu/dPJVgL3q1bRvo5u68MBl9f7/w93nF69VmVQq1L1x880zu3KLOGfF
dXgXJkPy3rawoPcxp6xnYR7XYPJ4C8/g5bg+dVyAt38GpLgBSlS1HQmmaGNpccTTo213MX0B2tUY
38LHON6jX4J4KSJ/1+qj0ugAjmC0n0S82ThGH6lSl6VcxWfiJusuqp6iRMEb9aaaI2tY+Ulu1kg0
kRDYfNck7HDPt7Btfax7RoGArC0GIdGwKxu07KH+VdWjQUc7PmCPggUXS1rZVUU4KVP1AukdLbro
EKlr955jwVyhD98H84+KY/pLx1rLkpIrWXCQDXNaJD6jF2K9q4BXbyx4aldyGhMEuUg5cJibKupy
Zwmn3RomIz0zx3AWVrkmvhEVk72NCizKRIZKbjCn2ATrZXx0W9ahf2qTt/h+fqFVGRvwcTc8Fl+W
sqQhsrcsvkyNkUUtSJ8UDNj5Lenf3+e6gdcbuFQUZXq1Rp6PH1KI61BqOqOx4hkWs+3zBbyGTmyP
vadP0vtSBgBFXxM4sdyBnCj0muuAu5VcLYyxTC32FPbzX8o9xsxpkes2MBlVcfzEsLpX8tcaixc5
aQyLyh6FzKjPt+gbubHjfogIpwsK91mI/N889ZzMn0h9hyfiC2j8h/FL0IK81sACkG6wjgAkg2eG
qVPZ4U01Cq1U4xQC2N2mbBruXErgDHfG/L2so4KIinQJ0QxLdf6iIAz4LBdsBKzTJxUmknKd+Dyc
CnYLjYHZ1l/umBzMGw6CfsT0B56iL1vLgkqlBQRg+NBmq7n1NW6st9a6ngNJYuaQTEwiqsjRcvos
JVwMwaeZEP2qF0D4Ay+52EHVr+SXCQsm5AHq4y2IESOs9TEgCBfw3l14K/oM4pWQkfC3oLs4hJD7
ChjAfgcMnhHfvKICLBflCrF267nn3N5WxlLi253WSq2YVJuZauHpXVbNfuyU4Oi6RnOLAlWS6QxO
Tf+O99GPGVeKH71QewGjGMCIOrxBCqHvd50JPxGMZEtJC1sBoWF9C29S4voOkE81S8Yt+o/DTuZW
RZtDtu4BgoRmOk+5RysJK0Ch1gti3SS+6Oh8fB3nKDY5HJ6plqH6XbXMDYMpp/w6qFVQYs/rLsdB
s8ctTO+PWJuhTMcbeoaGj0uS0usHz1L/4ZRCqR7yS7oikIKOoKdI1TIxMKBVFBnk41zPxAjAI0z7
DVOnB45S57mMocY3Ib8zzei/Tgm9vHfb9DMjMWG/pFJb/KX+2hoTrzmd/jpE/soHO+G2hVpQXUvA
0k3dm6q9AE7JD9GPdOVTVdubES7tK7xaIsuV63WIt2VNYL9ssvnXioT18z/sJWyuQowktgIlabIu
qwNmOEmbaw76M8Fp9LF+gjoegUmXZoqx0ka/M4inMmq6bNNhVtIxAuEob7QG91rDyqQGk+H69dv/
1vDUWTIAaraRYlPmmEZ6GfvnqsFLEMfYx0RfY+yufNjZ7hWGZ0UM6YDQmTRmEtG4ZJA/DWiKme5B
BkK8I7Sm6y//QrmxTOr1et/7Ag6c3eSNgEcfyhJT0pPrzh2mIrZmACLdRWjRsE5hl0qY/wIir5dZ
xvolKk8pWUUW9o7Qg8Mm6WU2GNUgPEInZvu6w2iqpCnpsOFv7DS2EdhlbSXTERnj4RwKh42lO5II
bNtB2e3D7QharvkpK6XatvrnYKBOSQjkvfIVXQoxQAU1gvP0CqrG4x+QUhmuT1fQJPCcJB9dsv7/
1Brsnzp6ewJ77jmtMtjpMO6MkAyHyV2d0BVoFWASzCsV31gHITiq6gwR5nvk3UOKpAtABsMo/r3Q
G2vc6lN9tXnowPsVeGL5buOa7bclGaq88GFpnGRidR1QMlCXQmMujFzvE/ptRLALYjzge4pEr4ox
9rqTndr79voa++MdsDFbjWWY+0RvBKjqKxdsQK511uvJ0xSVlGs4HpB3jPFz4VUv8U+vt17qFnBO
AHdfGCtn63ldmKciNGraqXLgWtc26iTJoOLBifGZ05V4ZfMxBiYEFCS1vB8cVrKY/eN0+7GbWEAS
jgTmSPlQ9TVG2JqMKeaARHXodvx4oodz73hiQSiCk/J/NsWQ9J2z5XYG+kiOZrzbF9d4WdtMWOt6
iwiw7EUuSprxQ4tZVxdIuMWIpowjV6rF2mcWbx3PFqn+bLSftewRc9LawR+rxzEb3tZv2P+6aCuM
c9w35NSaWZw1SQ3JV6bBz5Q4hEda8TzHCWbkyAWWvpZrwkx0E7dYegsjReNNMKTQY19QbpcjkVVI
2KqP08GMYeltuK/PmfeMoz4SF0nazY4hDO3E875zi2Fw898uzkevVB2+zyh16p7Bw0lrSt6CSB6F
fztD/V5Rhr+zUDvgtuGMYb5kte162tAKUQynnGTXFAZ4TQQLIDgPHLYhDyZ/cA+ig7FoH7vv85j0
J5yK1kr9I5SLtO+g4McpK2wGdj1TM9I8knIourQqOIMDw5PG6TBK3g501rsFnE2qDAj1wkDvOwsO
cbkFchUl0Thp6KUx2QnRGOiWpU7lLAqZvHEoViAd2SDrOaYEKTMr9KB06K9iMGcfiO5J7Vg4S7Co
bocIQRltkMwPyyqshtfqwocmamywwB0KptkTc7oOaOuVIa09Uffky1UnQgagaTN59jd7b+R7ynnZ
6CgivdliH5hq6qHwcvrLnRNJ/ZDrf5Xn/s5LzT+stzXSZrkukuEvjc+p1oGDqeR17ZaPJMoV3ffB
eOgKKolgHaQ5V0Yn0uAZFDeSjCxu/VwbW7kivmsrXYEuO9j4JigDSWsCFvfAYTsmUCsMNWqvZQso
ugiwhKu5LSjLJL8YkBzKhC/UncqN5IvMIQTSCNz5uNoUfvt0O1HO3GEQYx2YgQ8c/j0NLTQiYrll
9MQySvaaQ4JaCMJbAdxQ69WH2D/qp/neEL6AVLXEvyUTu/5ZyZZ/Y9G2kQResdWvsJeEho1eOFyE
79htuP5UzhJKbFKOjf+B4GaqK7miTDQagwiHsf2KTRBMi0I933aLHIuvqd/RRhdR3uQJo8nOldhg
UyIi9Eg+uVbR5mNgHmQIR2vePKQ/1vB/AsrFCNvCkONuhHWyYhdMxrQPj7UIE1lexrn8VGC8rmao
bNX8zGcLNT4nlBT5DqCJxY4QPUU39dM7quc6TRhMkij8aGqYNi9KF3UlUmL0W2Ex2A7TsLY2yqBt
yKyHgbpMVf48cJi4LnSFQcbLYVMbgORoyB3rXzSyzQWMk2O8IW64i5bRhDsQOOGns9tvWPIRD+uI
8lPF62bAmkD0kSUZWJzwk190NWKokW95qw4rGwQzxFckNboLQHJXoc0BsOCexUGBvI69JjNeezXI
SML2d+Kx5X+LKawIhgFwNtswKVpc1d+C720zKeBDSo43SSGovkh1TIai7gdSQNksATzE3tKqSgPC
/d76CkSroEfgJif1nVcy8X3Wo4zGiv5/2kduISczCpgUKuXvo81WMo5s6/Pm28OnukkzZzdcSX2x
YoD39WgktMNRQtRX/8QLHTvDnGPLxmDTpY+N/A6fEyPTurjkMawF0D6KNv06UCDNLI04k4oSec88
aT3z2Eg0RECZquPeLF0AJzLWY0Ue4klJGmgKvWP7R1QtPDppeE17KpPWV6tGRRDmAECQdcHbl6Nn
IL+lorKXceoN4IgR8WYKTL+D81gPsNJXX7b3h1vCobDvhIs8AxIscyjuLBAozYVMZ/p+ogiCzPfo
yf2QMl7iAQnA92M/c8tRuWZQrfDIg/04AD5GnenlT1ASquvI8eZe0bKyMnProUwwXcRdMMO/5s4n
DHGQfmJhuVfx+JZ7hQqR3ERuHzBqgEUq6jc2rqQMl3c78h7gsYmQUEm9a3Fhpu6BOkRLWlrcra36
VWmbloShGiAYvLaXlZR8cELEApZzn8RFu+EYlqN/qjHyOgTefoodfQ8R+U6muHqmoxvhSETcSKRh
0BZwT1G98geHowVZJ8VxZGpBrdBRxwj0+UfLxMxh2ww1drC61TMlj6l57edtUgvIEHsWvO/GELbs
UZj/orTHJruEScqDDcwdcGdVdr8C29hXbEv71wVK/EsZAi9zhCxBlHUasL5fGkaEu7KsJWv34kUP
Ay0xCl8Ov8Rim3koDsg7IjDF708SLgPm1hl5sSlbSSztwvFgq5DN+s+SWmp3jpjNutgRIpK932Qd
Sh0VhSyr6iiZxpKdToHnyFQjN406+nxFz8QW/qwSOf5O/+Pp1UUqRI8JhatZQaedthHfHTPyb94F
NPmGSfy/a+vPAQkxpkGbW4kTnGxO6ENU0oyDkRH2OApBwf1qTnFVovkCemPjBzNd93s2hFfNW4OO
SfbGFGScapHtazruH93/gEimFos2G3LoRX7NDD0MPTVvfjYwPc/bZZBTVeT70AU3+aW+Gz4ZpqOA
H+FIKPAvQvC0mv6yWoi03RJx6O3srqOtrbM2I64JFGD8TGdGybaK0zb1Qg01L6tGerI2duX22p7b
H0DlEhTt+Xg2I9r9cr4mPQ5XF9ctqTkdy06q+8KiHPoP6IM+Rfto7PAi0DqpulEQiU6HXwBMnoTA
m1Cm3ffezuLkq26pUyV+IqyxlGLd6tTDaPAyYpOYYH/wrZaLu1CUbs6vLmXgVDxlp9DaTHJjaUte
+ji63k8Ey1/o+V2rSkNs3YKKaSD441MVJd65mAaWEkc0xPaAQ0reKU9V34vUlwqjsTMXeJxiHCkQ
iK1w5KQxXw6Gguaby/6FXddv815NJ4W5Wnz41oMIxSsd5UzSXCenZH9k/KjPzQCIsBvxgmcawPMx
gsr+1OGzV4noX2lJWwnPKYEoG3xN2Z3DuB4e5tKMxOQdxBOJ4NGwQpVOVOjJJXLPOGSvJ0zSCpl6
Wwm9lOHvJ0tZmpazt+kMBz8QCKBkRAx+zlqVGXN62OQlfRStc8DOIvcaGSPXVSeDzaf4Nw5gD+yE
qzrR637fwyKxiQEgdDxsTrrPcNdO/spPdiNvUnT4utHpMGdigvhuInT0Jes7NBrmAkyd4puQiVyG
MevNzeSyqbbY94EeoGRQ7uKIMI1AuIVf7Wt68f4j+GaEarIHXpdo/4MtA/pLYzYn4/HF+ipzwgOz
rHtztJ5dAgcWBWdTRbwFjY3R98Eyozfr7NTbAPfeluJHffJPushGX5OhTlwi0yHdA2spOWVDVZt1
LSXQMo6IQEebJRLaZPAh2ca9w1O8VWRj1q8fLDK88Yb+KjVUiVcwdqgf98ZL6ga69LosXHDKX6c8
Ii1y8jWvIa9ek/DuBroJYvRcC+Lj0ehLWceAex3ZAYszhJXltyW5k/TZ2HRZI7oB1RNOyU3etdrh
miW1qpWuuDuzJqSTxu0j54FJicwSLD5PQMaT3CCrCfpkBemR1sNMJYaq54X3DlhOjY+oetlw+2ZC
oZGstCiaQc0q3SqpLS+zjD7qYewuQNofymE7wBfdk8sU/ddIflpKC4syZgrG3GxPGgPfJnjLZ8j6
0Rp9+CejyQpRIQoHENSpHFSy9hwx4A9nHs35PEXwqcj7qJUrHylVF/QII/2FbEykaHPKj53pvuNV
y3y7ukSzM4Oob0gnvU6FPgstzGI9VS7DY/q3+/0j1MN3/zJ2D0CPyjipKqtZasXiQLCeOLoQPT2w
0EIJ+W/REnj5m1Dnbj9d8gZEJG6WFqoTfTOi4gttkezCdha7pwnUXKZJGxkcndQd0G3pinLgXtJ+
CVcpAL78Q4nS9BdiVlxd4shgXUrz0ECGn42g+EZtIM46nED34x8MBpmomfIVOP6KrpqDnFfR7B8T
2vtZKXEFSggdIxpsgEAwZASA67gtain/UIuPzdOT7EpRBPRR/1Fg/R8hUs8/ZessEpGuj8SzJ3J3
Gv7PUbZZGxrDLoIru6azFpRP7XvkSOhp/aRapWZHcVdUDxynCc+L9DT445vtmlCHNvdHakRMu5Mq
D8gSNptxKE9UUCOpPd5xfcY4qpaUQ76Hfx8Kds86mh+2Y7zPjJwNvTP2mqIt25lefNox7fA8lyZW
4XO/ckw7AefoWTmZBoWQRiZ+srBXgbG+S6OXLKiEhXkoZY11m0sCQ7Qb/+jm4mmplnf76qTSaIX/
8Vda9GJ3Pp7tAFIrDuYskj42rV8Pu6nKuwgIQ/kXhn3t+ur0cKWELUwcz0fqNWopjqEbMuli7nzf
3P6dNcuZQQnfBqNWfeBht1/dwwAjusXxHdhvg9JpelfSrE2CyHg5s5ik6U/iX601b0/YRztgFs1Y
bKcKLCbDePeQrp5/4JLYEX4ltb4KzNTBt99fJatg1JYw/3kviuni5OSo91F44nT9WTBSYdg6NDRR
NaX69iWfyi2TWvh7bytJC2m0qSKE25DWkxQqQESMLR8EtyclHCtRvAxIVGqrhMWbiyr12BG0tYkh
vg7ayqKy4wxwfC5/Y6Ij08bCK0e5JAyPNR+Np6es5aXr/7m9vuUcHg4R9kV6fQgrOSEti6/0dSHZ
HR/6qHMRV0KCZW8qpeNqzdkzc8dUL6oFvYv+7POMKgCkiAmbI6Tm1bZhkH1Rqwg925Jes3BGgNoD
IKssz08tDRc6Gdo+rtX7gtSWuS7rfDkDffJ8GgWdbUhYSZRvfFYZieLtDHJP7nsEWa2p8ZW4a7VV
ZWSKJpGdkYMgxoITBalW5I97awo/lqa0I7SMSUj15UAH/QKCW0fQsJIb+DWl8Y5Mu1Q4HtrbdmvA
DmJK23m6HrEj6Sq2mP2BkivYlX/RRCZ0ci4GtXOH+46vjqaHgi+lcDLpX7YyWxoIC7T0FkuyYbtZ
n1df2yrTr/NEH1vku0gkqC2yv1Rjegk4ed+yLrzlrGhRm1RCM/QK6RlKkHWG3P3AJyBg9IP82MIC
MGPsf8PTuKvzZ7ZH2GaM1euWhaGQkmRgdBvI40QH4dA11k8Y2gk/a6fp0sGpmKqmgrJ8ZDqp89or
TosuCjOJ9ojnvK1iOgjLsM/3gYm7MfL4dKpzrF7Rq0vsn2OS+mWQJLDDK8gtsD1vCamTVjZpKcLK
l7ObPmDsy49iAONR4FJyoeDWsxBZKNeetSrBNDLI4bKslDq1+npQ7pXgFUaa8R2tZLL5dfnkDlQ0
BWH1RMzZdMIOEYIa+SsERKfbMuqFbzONuBm9/EbKwLzico2YXt6IrPxFFqHq2I6d2YoEd9fq9KYH
vR5c5vbAmDHmgTmVEO+r8/ckiIUXJN3d+DmS14DSwMnydH0Y3cCSm7ZDMR9do+hLCCSefvz6Im0I
vFMQw+ZBuXxNMKfOIlqssOBdeoUSVLzayeEdwCTtAKl+wZRHloAXbAvXY04f+qghIoTWYf1O7Jjo
D0GWMs32tn4/CQH7TuhM52U3zskKkAoqOeKSFQLL3YbW5h/i04ZITPyjZtXP+LfX9NLv046NTzhZ
Wdf4RS+aIDkpvS2FOU3urTD6utgIT9z21aHc9kkvhlOzDV7AeDR9/FaXyVre4cpvwbOhvjJJRQdv
nCw7JXvatF5+X6qmRsc2vbFYlWAOfmNUZzJmiR8t7S1803REnHoVSxwd6x8LSgcqcB3zHApLEHE9
HDdLesdBnMUQqJR3LCoibChDgbGMsCvLSI1er6Ph3BK6cJLeYDyCpQcmY28w41sdtncWpuZXDkdw
t4jGAXHOFycHpMYmfRmEBAYiyoO/A7AbtFQSMzI5xKi1hU3W6wCQJN5vc3xs9/kzGqX2OtgCuSYa
FX6Wjf2/N5Z0vhLuRBxTTu743PP3lV37L02jNbu9DDSM08UfolZxntwmG/8PtIwRPwi+RmKOs634
rPFR5WBR+Cp9twdbjO/qxMyhhnuGd4Xed8Ui/xNaunci47MMG+T8t9L3WKitK+umJ8R1hewF/Cq+
VjanQqIGpGgVwKV6jB2K8BBHKrQeIqn4YkU8TUnq/Fw21jdKzszUM5DdvfN1bgNvUVMAyVzP6EfZ
NXYC5HV/8/jC11+yTAKQCjc+RDJege/nVIzm6VsPU4Fh8Jy1K0jiJYf779wl+xnv5faRj4dRObDA
ocDoE4a90L3vftKCIJnvcQGgO5Q1rwEJAxjxDW2/Px9/LS11281i2jprRwBFB6OYR8oV2iNo9X+s
WF6JRHRIXNG8W5k6PtrOpDkphhHYjDI2j7javdv5CBIokbpnbfVfQZplGLLFp9avvWhXxuH7Nqk5
L1Gk0FwvkqFidMgUk3xeF6whFrlzYWoGACqABu6emuqaHkgCb2GdGUIBnLd5UsliJDqMxs4iLFZ/
fD39/WnyIgdaMO2z315l3fOCNOcB7oqAOBJSj2GVnq6BWo7JKt2dixGpP1bx1MKkY71+gQktM8EE
wT7gUx5WUN+BBbhGH0cAAjcB0uEijZSc3Nnq7S6f5dFi5et2TJjwhDRZkL94hSsvxMyXZHm+1y0v
fFmdCOZpALFYUF1mv2nBH3PDGBrt4rIYwviARpQiLFbeLXY6+O90rTOUOpTr0nCaO5pKlUbP7ebu
DkPCwh3EARXD3pJVV18+f5o9rP3srLl50w6d22j1G8tf+/R1TCJjLuGy2Jdf1EF0Sa063SLmZ3xo
AHOq/f79mmhqXBvx+f7iQIAwhIFWCzX3XAp+tw7e4NwSeDWX/jyAP3MJE95XizERhY/oXw0x9rwZ
g0kzRiRPPOHmsqgR1BXp8AbDTGgDyaaAMWvXwHouytnn0cRpmtII0hdgexWnqhyywOSjcYU1KyiP
Po6itdJWsJOx5V+RxxXdZR15bNWOiRvgupOX8Gerg/MfNkEk3HLzqKrD8PrIMMpxnuW1TI42snCc
BaVHPzuJsfp87LrqvZzkFjpqEEmZHxJFVRfZlur0wYoOPmdHSL5AOLzwJ8PFt2O6wkF5KWlJ+exW
P6Lib0XZiSFfFahTLqaXoCT3jANTFIWBRa33loSTVE7zn2fu6CodUQ44Z0wrjDDNHY1zcTsZnq/P
cZ4Ptn2OfwiTNllZlFaO2PP1FWqG0iBOBBsqpjCYEy0FYhZ8uoDsp/5UYVoRIYzCOyiNJgKY7RN4
OGeUnxZ9t1T3e6ty+wRpCFZbnX6dTCgGuYJ6eBTAbPm2ZCujyvtII/S71MfxBTL+Ch1gl/p6+W1v
dWoe05L+/QEDBC5uBiG+I2OVN6P/c8ds7TA4c/LgcSod8UHyjWbOt+cr3QGTyS1/iVRwLckrFPeU
cMk/4ltnfraWvwW1AcXzzKehpSXoafUbYByQt53T0v/nEe6LEDlNcbu4g9Ke4PgJKnanGS9O7uaz
d9i+XyFVHKQl8gw2Eth2RN3ZdrhUVUrscBViNJW3yfMWqVYJAW5dFyfHemkk4p/iV6Jz0xFS09/1
CJ+hdrdLv3K1WeQJoYGGTrY+W//TFPaNf1uMZq4LhH/NskfNAzwe4hqi60k0C7ALE3TAG4dgYgx/
tuucdzWcau5ODnJGYERwZdXACn6Cod48S1QV4fKqADLW4k3CA6p18c72YlH8pysMa3ydGH04S1PM
cw+LV8EzSJD2maONz9YL2cGMxFYnhNpD7XAJGRic8k2BgZq0XPFLMrdfHDkbj5/m/WndyLldZ4hM
qVLjJgW0RG+QPtxiHZMiNKttTVvlQ4yNujNvYdoWSISdbxlIVN6k83gYP8J+Oc57Wgq2oKqM2CAf
hye9k5nvfO3ZXq+t+aKjGjyymil+ZYmxJauY0YoJfBWYg9nMCfi8bJZwIUzRE2+vE6PwqI1wyGy/
x2yWdY/F/7L/mL96KT4mCgj3JPSUVJdxqYApQE54JDFujYhiQHS0cS+r2eQActvlq5A9GDyuBF1v
CKTeATHuC2UMNnzB+SaJp8csRVkRlFxxh+bcbuYgZP3n8wUMBzJ2suDk/MXhvTpvhZbx6JiE5DgQ
ahVaSezEIbN0cOiHPJ/TduZlixiee0mO8UCfx410vUyjwqkSfEoeca95KiMFs6AjwbHlXBEJN5wM
ut9vlYfO/OhyN94/w0xMbk5pIB74fhsLFrlHfucpj72ItVgJ5ctXLIb+9qegH91zDzWUicZdmV2g
B9GFegoVb2v3OUQ7DSDTXNK+Ix+e2awXPZ4/6iu6alVmAxTwXnEj/12WsLTcThlqhIVteMjeYNRR
3J+tfQGu+tGmdb55fdFWN2GXryTQPt4NbSWzCFcpuCvd/Ok9EyiPxTNsdyhEf6Yiaaf9qb4qr5MV
V+Q5HyAABUzjaQ/jDQO5LUu9adrid45k5UZKmk21VhN83D8JEu33uk+RJwTQZBErE4ehOc4OL5AB
/PxKhGUE9h31rk2S7pngoWliFrVGmAcCvqD3BxzfcLlx48mtgu6PIjugfhgiPEuLDJTTeldAMmYE
yqsg8mbUMfe56yC/8TD/9N/OeTMb59WcGuRkkqy01ac8iXrXX3JpmVCfz8BqNZ0AF3Dv6mB7q/lu
hynrOa8ubbyhgtha2cvTdtxwS0AEYi9S1hhE0fDad9274qCm+/oRR1UAlqZywq+iNz98cRmE8Py6
hE7PFjYB4X5zjdE1ErfKlfpK7GBXLdRoiTv8vp0g17TruRFoOSkrcOFO2OLE6D0y2+IlYusQ09fH
yPsgB9c7oCuleNUm/xwKjpxY8kVtx5x7vYW6rTz0XTsKvn3NeM16gzph2R8vo0gmY1zXK4gEejZB
S+TC0y98i8ePY+pEEvKGtg2MW210BJFXv2q+yYla6VFdjr43rxJdPMem5gwcUzhER0d552euXtdU
d9EzJZNma6SFHJeL1lxec5qdWqw1l87Nqk9xKrn4OhCXVND84uSIiYF2V0F4q867fYG9H7BaoDWI
x5j0SGYHtCE1WVU1+f6rOjBoWnrexmv65HBDQesVkqBimL8R+7G2xgVQCNo/4Q+WHaqH2cTtGdAz
NKCahfok5mojGipZpjth+l7SLZwkABCobSzNl13cCJAjz/pz9vtsHgYcwGeMw90msCoFBxi0beE6
KUdl9lfw6Vdkq5QdDSrDnheHA80gotZLKKNKDGE/OdiO4FF4w/1VqVZPtTxyX6gdYhrwv+kMHTuy
/tEKwZ7XrRHfft8QAy5LifCmwoIW+cKCjfilZchXwmJZc1PSjufOKHbl8PWsZfIl7S7MYXwyP/tH
fsiUmzXHW6yibIfTcCgoynQ56kNdk7H6Cl2QZ8ecBqBuMELcnAWuPDoRtPh+/HTalUiiJWGeVvk8
XeaSkJLa8961uDfKKPpu3QNMzbY8Rq+uv5qbUZUoZHApoVkZMdCaiK2EWhmYy9iKtykjgVQFpJ/m
K+jq5cU8eRBdJ4DIF5bvj0VipNMFZdH+j5/dfTLoAs0r9V7dF3+FF8fKqSqdPXpVLIi1ZVM2mHwD
w5iRCIWiaY6TH2Pw5GYQIg1ejIMIBzPkvuVugKUio2dR13fdFq8/82UQUXsEW2NP/GYBV8croq5b
BZvsCw3vqiHoi/lE10Keg3DbhuekOnCsWr+QjBQC4tYezE1BP7Rbs/Je3poMGFe/AeRR+TsQufUT
NxTA62NyVmwCzuo5fqHMKGxPsPVVnZB6BMktOnt9WoWMZXqz04lodH8MXrqXWOLDSQJTxB47s0eA
R/zGu76SyYBK7qftGjh3YPdOTWEET+jh7EYrSl/FZJIZGnMOELyRasWbf3EqT9d7TAjaoEPwcDKe
1B6SMx0GD1C/ivg2qoFPCqQxaEqo8B8SUUcNSvXNA8YTBT/GXgmLEKVniRcv+MZC9vFPeUGbBmP/
afMOCdjuBR3mz10RNW/c2Nkv/mzDzWjJfzx/CNsM82gXz6MUSZnfoJ0TTVnXR5HrEuDzZ53XZIrf
td7+e3A4XujxK6+Rmuk89/7gb9/AXeUBzWCt8vbM5KTUtC7JKgy6kPKzaJSIr50egvDiUHXUl5Wo
ZnEn1SEEY7F+sY8nB5f+yyMnS1W+edlQoSi/HdnFbk4DViARUOOWldY2MyT4dVKRxPzeL1UE++lH
Qh4AtuWgBH+1gzMkz53drwbAI3gZ80v84V/1cuKe2N/Zk+MThY8T9paB00PYB/bWIO1BiZp1wZ3p
ZpEO9YLeC3kksEZ282iMTFTpMlb5q/nsvCA2f4X3LS68w7QkA8fy21ILOXGenc12kiEx9BNoPQKo
3nNZR2AD6jlH3R2zWV6ZvZo6Z4iSWRMOTGXh5j2nv4OFAPX+apW18Q75ztwAbxSe+RTedRk34uQ/
ohBulfdNXISnvnm9qVZgkVT7BGenNOfSZeG9m8mCOGvoiKRqxkPsQNguLLlB74MG0dpENLYtG1Rf
wOtFtPhW/cjIZovV2NspMfDxTglIWRj5rFIUg4ZI5DMiJPRHHVWTj6scOhXc8lfPsxGo7Q9iN4II
TMSsoN0VSOR5bbsT+K96o7itbru9g5/h+4RIOL6KakOVjdyf4FVC42CAa8R9cr24BEwLTmaUtFqn
VcLwhp5sqbMMQfn+8XM0LIY34YmN/rVahZ3LiBl7/g6VVuWR6I3xWfOPEvHunApP1MeKM5jrdF20
Vc3BLY0nRbfzkEx+hcXqIXJNwnQPtxFIhSIsw5WyaPD3G6PtnWWeEW0jgSCjSqPzanlR9j11/ynh
SzFPhp7v7+zX03/3Ie2n7bXoq3lXQYror926c9rRlrla4RkFba6FjWOBPcmsKYhg/vcSWCOhdywi
kdiLeiR06BqELVnQsXO+zp5ug8keufWSH7QAuxJrv1MkDFhTTkxrfaK5Mfd+PI8mheX9OUuoV7ZP
iswfm0r0FBYDmi57I+SnuIGlLk2AluJ28Nf2pjq2nC6gbcQGqx24GvcqpAxx6wKpWYxznbq1nEC/
FQBoxr0UjwHE/3zH+QylG6x+cUqHBqI93IluZqqpBYlF1FL7/cDlLREjXyUa1OTU1U51tDy1jeYM
xQn3fUKjvAwQ1//sMfluFL2jz0DH4yTr9Xe/fLmhvWHsjNsKU8T+qFfLYJaPQytZEAnwbAR+Va7T
FtrbUGaLhLcUkROIAReFjrrSJRQX6JoXr11LiaB9j97hXsnB3FjyGcW6ja6mxR+58qQDZrrnTPr/
AuS2PErEf7Vg2aUb2sZjM1SKrTWG6c5fAtT0GFunRS8KmO+H1aSILAdgyp6VG0vjsU78RyqQaS3I
7DApyUNabFAgSH8br9QgHMXXqfcqqZ8vteUeR8ApK2s+vMpGGiqe+cjYvEq8Lg4dZXQa72uQw0Zo
Dw6ulaWGcZn+daebmc3IBe2KE+76iwk/HzGy2jiYHp0FyhfHKip39sLChCHvrbjyK9QmuWKCSi07
DaawBYNQZL6GEv83Eaw56JMnyXpAhKUE62jDH/P76xi11htbBEOVTEpQY1Xduhic+RGtiXPIQudT
VTR5BCXRWH0lQHvyhi8bAbDEge2pndXXpEEMMB2W3PArG9MikhEV2C2/4AIayNIH10+INCB7Z5y/
MKE4wYQttqfuyEv636pXN4H17WekuW16+OkfvbsjLBQ9chU/4akMJl6GnoHlPtq7NubYDTpN2yra
5pj2YSQK6jzAW+6d8CDEIzHwHBso6VqJxoR+MYAdmnE4nPhHp220MFjBT0nH/CtCQRq5k9dmxvq3
Zcw8iTLv35MY4n2eaKZ/owz7WVzXpzPSb05Tf05ogCFwO804YzDQ+wDz32f+SqKE79pk6iyOxSiM
kxYrc7D8ard1ianweZxLf2BRt1CwAAAFrKsKyIJgR+a5ijYJWC81NZvQI/IVUlMHhRdj2etogHbr
36OgI2ed3Fu+Vu0F9geb7N8I4avDSX+MNQDb6UzDEEz0Nvfgl70ezB8rzVVe2ooGuP3B9rx5RXv8
mSRE5HjvdjLEQZ7aPv9+jbPHkvKRi2e2nNROAoDzgHzerJShSmmmNpzLyhXCIsU4gQKVmVOTOVGL
CZ+0qd9/leDWAkHBxmU1GUpaklztKTbZWV7rWy8K7dgdqFgYubB7lpYXJxbeFTxxioLMt22VTfDU
6Tgap7Jx1zmQFq710bIN95reQmKWECg4IUrYC11QKh4Xa0NWRziC4g7HQgW7EhDmAs4jVIZ5bT7D
NPz/Fc/Au9VZN5H/kOdyDm7GOEAtp2T4hcbZUY4w2dapfw5lIUlKKf0f1syrHVvgxFTGIudPgZ0Z
cRz336utd3o3XtDzBKjlV393nVlyrBtdQprzumdKy5OqRA+tVS4ySTK7LEFa0GzPOENWdfKlizr5
G+CRBTEc7glnAPwc1SAOf4AVOyHHcaF8Ehj9ds58RY3A/+EkmZZ5KczDaCl8YnuSwHdpumzSwn1Z
ZTYzJowhRiWQsZ34Kft4BiNAlywdwH6qZbd5zhx3K6XinLyNheRFDDPVLvfI3CO/9Yap3i+RSy9Z
gMdRlxTugC5x+SjaLd8g882gGXAJLLkXzCyuhcUNuxDsM8GRURN+HD7UmqkPEXOdOMkRguil7Hg5
Wh4A0KZx8H8NPmaNgVRfg94ToImn4bvgf/xpE0Rzs7HmtczKM7rknOPYEVoBjvjcS8ZiUEREpO45
5rD73Gao3nNs+zvwn5ThAvUnDnf5iApYIaWhmpuwT2OVHowEVJDt+vkJGuIX84KbNMfMVW0E2UkQ
srjLn0cZLPRsLXWL3ZlJwVPzsOlRMi/oVqQE3y5vDan2qD3b9O2ss9f0Wvo1jHdSdzHnB4hb83EJ
qTOnef6oeASnBQ4UECKUU9nzKGChyGKm8lkGLfKjv9ujUJLa9CA97+ju1pwq3S2K2cHQxiC8YmkC
/7kVXExsqEx+2nWyjhU43PrkurPmP1SgCwHc9uvlT0/WTuCnS5nHDMvAyvEPbm3OArENugguzhLO
x+QYcImR/35gO98Z0WrCClk19yUeLW1BiiHXh8RlWI+ReMZBrI5XFLLS7rp45Gnbdrld7PJtj5a9
MFXgTCK4Pz4XzUxBMf4ITutNlrYvVay2T67dsqEjtoMYIU9B3mKk4l6hgW4K55fkoAj2HCZY47rR
1zFHrcur6LImj9FNLvMlBq9/hUYLYCpb4x6TM7wopp+C81E5YrOIj9fvHYByjA8vfd2LD7h+uaRK
Pe+BELh7Pu6jESm1Ll24Q4r8g9ZEV8VU6nhUZquVmn/hTxdUzJm7C2JK0cx6MHwoKrl4UG8wP2w6
5YQZn8W6OpwGj5RghZvITDqeZOG+0X+axyJERJScUt7IZoQbvlXCVqG1ONFc8jy5/2jV2/kn6g5X
luj/jwOXWBdZgtE2IKl8UCmHFbfq1bDEv3Y1hjxj3Zf08BlJrfcU2RFJLNCX7YbuV/xSTG6nkECe
v7ZKhVcjoXntTapOuaFHW0sSbqjU19Dsk5mZW12oVsgCHRWKeystKouItKmYpKQiRcVlR+RYXvSv
1afMdlC2mFgV+837xzW38T4l7NsVT6m5XFMW072slzTj9bAAB+3Y6DhDVnUaEDV7xWD2BXqpCwRK
CVHFYPZbWjn75gbFYYLQtnvsNeZR4xoCuS1s4Bv/vGP5ZnU0MNvVxUf5LOs8poDoX1V6iSEHO6Zm
AD2DfEdDTdNACofqAkiK3RkpNov3KDqoq3X/lkaqfq82xBmr3Pp/FlYTo6CfsAE27R7b4ZL5Cd/Q
5U1rrhsowjg5oanGjsEpE1nfQsiXUlNsUmzUOYmn+7bzDydDIIvBfa8akM6o3Rt6QUB8f7CX4RbD
YVwCGafUiCijXOfoSC7V+A0iTDjwcIVlOAnsDgo0lL6FZlWOsXVmisN420tFKt3CsfcyZwGN+S6n
JNEDDQ5fhZoStWrYtwXtjAPUyd+UabsStsINR7PaV/FsZRjn2y+caKGsmqIYXggef2gu+6Yw52e5
BCZ/AG+OKeQ1x6zS+0qYgFd3dpcfF1qEyfDeMHkaaIsD9I9rCfhMFQ+Hp8JinGHrETOaMWchRI+D
G6phwBOHmGiMTJ/v9ZsaUFoN/Z25APGS0ogIP5w6DQJpohvDxycqPR36Vrsyi7xyzHJ5PaG3AvEP
aUyPEM/hzfPD2BZw1HcRtGXopfC9VymPqlXarSK1hthn7llSKXROGxe2iZl7wq4mfk5sQoWQQf3/
Ndfzf9Ifokmbxhl9Q0bHAWBJb2H7MFiWA9mCDfTVHbqkNDswzgzg1EccDU49/mw8CeUAvuU1gfag
87CQTgLvtsqpH2cY3RBZoy4GoG1oifl5BtWeqMMuRgnWHbSLJ6hmaty9gdfJ+NTYxvpD+NPfZ6z8
XQ8nBymcWzA+v3rgEsV5W+eFrc+SLlKKUaGcxmNyaSnIu+5y9fd3rwCg/uwotGgDJyeHKusG2gGS
Hc7HsA5iMmtbxt65H2EC2RH7bN3ycONTTlNwo6SnVZDfpzADzBRREfp+PFDdzJrtpNlnzIK5onsg
pBBhaavMx9u3nvSM5d1SY4sVZSRFbHaPOoV/z5Y20X9yItfpXIE+KpN0Ikjs9KwYqvVcoKa9R7iR
IoD/VYSYpZ+PUTu9yLatD1MbWA+MFwo7eGHHjs1vyp2oAJWGbzlmoYb/xqvDWLqrwxfyTbpKfKub
Yz8UeH39FaQ4wd1Xw+ybJL5exo2ciL4tP36yJKlZ4or9roOZrOeciRKlg589UH7JX04Xah36fQT0
h3RIf0kUhzpMMDojZj/TmkF3FKGY3EhbQcCR2GI48MCKMFduNafWZaM4mcQp0AL+AdJEqm+G5OOt
GDGw2WkttMkVLLVwgWNp23qFICZJqe+aZ0N699keK2at/S+dK83BwHKjvQYQJpmZUvfKJzuYirV8
V5JsxYMq1d68n7r49NwXzZx1ZJr5sjD5OHcJXiQ0PusHcrRmGO7I7vLcPmmK0RTYrI0L2VSjj9R3
4pPP2qFCSe4+Byq6Y8Ltw8YEqg9qnm93RvWUA0J/5f+0QOWU5tlawLoRiMOt3GL1vmwzzEGru+pY
FvvShU2A5CNHYKaQPHGCz8+9nXtJYnAXJj8Y2PIf1XdhWTybQdOEFynWhaAMLgDoAeqxmj1SDJtG
tGp4TDglsWWgw6joj+sKe5/wD0gMa0zkeCsErNo6Qefqs1W1HYmhyl0IZ81hHou7JTVYu7ty1/ED
U9TVdBN7hdh+p8NcIhaDYyzhp4SVMZMzc+/1DTLRs86aVeYSHlahBVGuEv1dh4ouCJvVjVQZztFE
QCEVouZWAbVZ1FYzgznE2nNzv4jo6W4f8/QnG50K+Y47iJuROXaBItteMO6VPKS0EgtPgJQafRh3
ii+y3+IuSqvWeD4/k/QRsOSCHlyHOReDmPjy2HtQjMnLZO9JJfHD3McPZgHzs8VZQ9DMZ1e6Kfmi
wvENNiLh7F392wdq4y5pUzVtEPjxitN5f5CaW5qZwk8MIX8wVLPHxe1H6UkoYlVLPtkNad6ngdsq
m7DiSnOv0dneQdE4AOCymugUR0D7SZgyGOqwUBMFXKuAZ6USdmq3CIzvlBk0tIGwQCkgJe7Mzwqq
TX5fnVbkp7+uKkneBtzyohJ+V+Jg3dGpQdAjHez+18kqnBuJzeFkNYllRaW3QyC3zOLhxW63Zn3b
DSfDvRX6ouqwJktSkrZjlLHGrjejakoKDqHIyJBWCC2CQrylPm36xzGjtMbWY/K+X6IeUo+fuHaM
NeW6PaFabayPMb8b8uedXnA1iU4MXL5rfqWqfL47VYPIRkvugCp9qtLCDB523xMTc3F/BM+hlq2E
1YI6XTeTFoPdboN2tn0h61BB/wLxMgMvvFXvGbuHI3bEeKdXpnxQ/ugKU0L00jKS6P92rTXkmqPd
jtwT+hkCvlcaWrmU4SIcyg1FOpaMO5PCGrzPE0XHml2xncg9g8Wo/dQLiDnrBr590bX+Dkkyv2Vx
N7eC70o4k4ngcMWXIDxcr8vU+cfPvPy0xvVd7geK94TOwHjlrUo9Lb80SG6stdXShLEf/vR6eDqp
TaOmOCdHqLjTsWAbJg8Kp4tbFxuCAfioqSiaFKmsIuIUxnAOEJWpy9IVSgPb2hYFr8MDbeRxYJiU
w0bkigdYgIWduknomUg2vMGhA6zPH70UjC+z88f4cXKhB9HnOKv7bEF0uZ0XN7ZpIJHyiJJKArKx
65uWGQs+HJyqdXSRX5ZR91UYWWzR19/IQfbKqjL5ZPxLltTbssR26c0aYWkpD8R2fTsuvnoUcREe
qBYAMjzDkFGs9sTNPWzID/dWXIpzssrb8EpQnoCn9X8oDzVeRgi1mz+59Qlrc9KnA3Fsk1MMVywF
g3ocUiYrcpg9g0Z8e0zFsqUWitIJ5+V+ePHQchP8uxXhkOlD0EejNNLwR1SIuB+8FK4+ASozJeVI
zX9AU87L9pKtfSlDueE3xtemzKeiygBh42Ni7+IwMLylC7GmM5GoijdV3jdqtPOKolydpvC5E1Gi
+2GxdCzV9O5sAyqlf+LB7+uHecCss7Z5wTzxRe1T28dE7tl54viZMg7cL2CCsEFGTd1UuTnz8wpx
jnFRqSD3U0uKMBcRBWgSns/Vr5MgU+1yEYYOdQ8DFpPMxZSHviYNwndzgjfJ1Lsq5gCyM1SPBlci
NC07omQ8YO2nwi4M8eZIoE7nWxurB8IbWD4KTU2sdsENZO31LSMzuHvEqIT5IfvQwDN5ynaQvCG9
ULiSUSzcImqWBfBbF1uNaz/KWwzrwtwKc9X6brDnfkytacMRHomP+lqW9s+o/n+QYdW5yCjAqzdd
xJD0XvPlUlmQRjuqfuuKT8gHBYplHjkpcw5Mji8qHPSRei5nyQzJU1C/ZTbkmtVq2lSdfAUZMTQ6
mUFLuY/j2QRD//TPK6jdMHJVo7F5Zum/iNI0tVVhrJkNQCmRKhg+yNkBD4L/yphycquZfpx5u2hj
O7p7qpU14IyD9yYJAdOqtIBwXtdZ0fzBnlKiP2xZBEZrkmQwIe9vj8d7E5ymWVRKZV5YFszCqeHM
V4kqU8JqHmR5gzYBWwe7879dehbGGmTP7/JJbi+M+uoU9wZ9RaM3ZsyExKA2eoNrPmCS/vDWY0vN
AbGEeLId091Xdorzi1e7Bt+DHJuk7noxv1EvH9m3Uur2IlIII4J9Uqr+ZrprBuCoe3Yz+XcjPmN5
nFIJMXjkfPe59UZuiWmhPcg7u/t/WZbJ/VKDViVYpgve1FDk6p2EFTfKpXOZWPj8snesg8126yi1
+JDg9nGbFhgxggbiAkrn2h9iJM4nhdjiFxuE/8A8GlfTJTsG6waYRBqqmkJKXLlhy21tUP1PXZh8
qX9kC0DuR3kN65bzB2VPzRGQ8ciEMDZBbYRiJxtjbfPa2+OBtU/kFwGlopwfnnN4XvvcbwpveJYu
AqI6zmHWrghGGgt3bSsNq4wKR4uSN2xTUYpctB7E8hwbZ8SeZLemiZ+D44F+hYgAn1PCzn/hP9HG
kkxqDTzTmyTSTmIiRMfOCqd3SyelG6w2JxzrlD3Sfikal2okX3WxYSuoKikokBjLeUuBPKucfWSx
UTIz0klbrZlzgyw05nMHiYESJB659lFN62LsRxXpkF6BES1kyhRuSRwYHeXlMqVlALQ+IZEVlOai
aLwm+5SbETtANgrpfkRcMFWke4mm6DgvplnMsOdL1aegn6wpQ6NIJRf0W4mosZIYd7EH2UFWSz2E
A8BCfKBKS4zWEz2AuA2Udqclnc1Lp/XkckG4jhRLrwM4Fj3sQnCZAldBnay8iBlxMA36SDqw71iA
MS7kxVSDub6MbddAyTpOj7IzL13tUoBsWAbXT5DRCxtvmYVQZ8oINlwyCb+RfpjAG46KhPr5gEQu
3xqkwqkY0n7EXXk7YrUC0Grk/bII7cGbjBhe/Wz4xGJOdIhm1p0cuFI/YYD+gLP4B+2yjFvGJbPN
37ynsg3m0SKwDI1yPTWm+wj8u2QMLHHiUt5dvXPI6Wd4vRbk0o8lMyzpjfRjNz7WwlKepgaLI1HC
41OkVtwcC7Xhl7uQQxiOG9dnBNKnjPv4xsLmfMCH893FoVzRgUgXE606o3HiC1rtowSdsmPOIAwz
gS5MkE87r8+IeG1jd7n/Sjp+j+FzoEyDqnQ8+jxnJlMio/tW46/Zg/Wk5t7fuJ/lhACfj9wUDc0E
0X57aRS5oy5Hxy8MQ7yyRjCv+M0eME3Kp1Y0BR7bZdraisin4/hrIrzFS32QoGXk1zIJAqQzfGl4
vAezx2aIWlR1epzXCCj24Qy5mDNjQviTc9A6Q+yxyQDKHPdIWJ1pIBFvrPet0T9U7yQfLdWDCKvs
WbHnuFiJzMoGF7UC7cE5LMa33Xep6xRfMO67bqT+ssuIylcC5/HTGdioDV+RYwDXL4kRZXulQBqx
R7WL5FJs8K4DGy5D0igiWnHoKeoaWJJRLuDTEQXTQFhr9Bv0orp3nCVTl/yiZZ77xdVI39U0vsTy
yrwQfg9a/C3iyf5rldWuBKz+jFysm99Cp3NaOm22wDxM55hPpyzu1uhoAKnmM98E5LUcB1p60Vad
20Lrz6NM9Em1ur0Fh3GbkgADxtM071s79otGIRbWiyC9SCTBZFEE3yuWklpm6XGpxYP46neRZ3zq
ccf/INULaJZnT5NhuW7K0bL+JI3dujwq29wBpuOorYao7bB55ytZ+7SaYBWdmDdbuBhAEPpuGJSQ
OFyA+JyFVtyz7ADtYXAjmU7NS6miPQwY5LPvkbiuxL5ZAvxqSKUelEnaD9HfEI4sXoeWtpO2FZPa
Cs0j5cMcxD9DkrEmbMISSUabUR9O4Dd+XkeHxiz1r+Je7JAnCBvtQ734PS9EXh7kkTt10mJyeLAu
nFyvdYzkw3s4DCXGkr+7E80sA1EjaGUso1yGR3L3oxFBKFV4ysDbGVNGVC8VXqyPrjIdm1iJBNzS
dhT1uTVzWmXH1ou9sRWpWMTiTtygAt4CMX1gmqEjqk6J8lqbymthkrmWuRqt1tnoUuC0sMjl/EPX
PuIqyLk1FSDT13oLhTcP3ey8K5lfba6wnZtLEcL3cXav1U1tXAhN8bMGOEYenjUtZETMVdQJQ7oG
WvESLpJtN/oQtqec2ZBwnQudb1JWK0eikuAu9XISsa7LMnlmODGWMkjtW6AIjha1TafG39jsin44
imRXGTw0lbtym1CYoKp2CfkjEcElrgMKcmON35yrKDu9esvt7yaroauogTe5bhMaIWzTaap18Vaw
REyRH6XJrGQ+8DkFVHbwmHICPGKBTZ8iVoHVFVlkVvPkPCwLUkL9gyv9pDTrRc/fQMEvB7un2F6x
RiwtpoH4MaSyNDZcHuD69JNubpzNZZfEYoGCbckmjke7tqOH/M7QqSsuduQ8rViotw+gSgRm2hvh
2ftKXwBpeVG5cmeXsFtqIQDppRC7knnmChrqtvyADPWeCan/25ulqALL8+4PLmauWsuNcIdLg6OK
74W1GdyXwTLiPIwMIfrTHHK8y1rRgrKA+byED3NOSKk13uGqwvTyI1zXAD5tBFhzrRjj6p5uTy66
tTPlhe5Oe+bcr8CNcdw5RXuJM2aNnYrUPIYtaZSZ6/cKvC9zFPTBJypTRgO8weh/knHLk8D7FYZg
C3IQx0p+h0gU4saCfGxHqN+Q5NYFRT/mjZD0Y1B7S1dljX8KzSXSRYvQGXy4nD5RoeiopMrQ9rTG
KlZfptpPfuJE+4F7WC8Bx1pIH7dcVGemrxclAyk4ruIO3eLEpr2JnPkMfrhTi5Qx1UE4FI3vFD54
xuyqwefIGYCYdxWGZ0OvqfW5T3BzCXDLNWnZ/5n3U1gqeYWO+YmpZ5F2/t0dTm0mYiJ2Wvyd0V2X
t20Cwanke4KyMjgdb5MyVAooeIF+er10z+dVrLl7h2cFjgkqUuGjpquRLgGcDJef6AEb7Da9I0NU
DG4LswdXFX8MmDhsGsPhjcxT7zD2MDuLHKGdW9sHzio00H0qgvt/K+zo3UPfLMwd7XNFmeUfgt6W
b65GohwTns1mlMRiG2KyesNqkaNTLzVNS9kRfzvoZEqseYfjYOHYRZiq85XkX84/wZIrW6DafSKX
es61MpbGTGMlfwxB7W3F9UMJilFbxyrCPh9NqKlHu0MdB6GLnwi+xSn7ENbSv4AfZ3B4UWpSdzdp
i1X1LIE/Xa/WWtGr8iamxbc9cb4RshmyzvulxkvDKeDxb88Djamw5uh4CIDJgtEa0s7jCls29DUg
0elageu5KO22fpM7gzcT+EKcT3tsdcQd5wVK5iLPt8daWr5xw+UwVV2V+kmdx5YsYE9SFTYZ9kGQ
D8yHNyD90pNcyYppOuYXS78Q9VcXxiusuUCEmbNZWLAz1fYSXrYV8fV8t3SM3D3EBGWZ60uycCDO
4Z/NSRDi5zF7WErlFSHCzBaWhvz+zHXFEEF43bRY6JXPnmUzP86cI6Vd1TaL4SygKJltqJrsLfa1
2UYUeK3E9g6HB80smGIGT3alksKSkgItuC62a5HpFsFYA5EB5ujuQVs0LFH89JHIeNTdh4K5aSXa
xuTsOckimGZrNatm5qXuLAJeYYxa9glCG2aGWYAeb23gL/dh0c5moAepBOoi5SrZanZL+YH5hY6A
bt1CHkc9/M6TyC3UMUvbklW8Yzxz/KYtAeMudWv0OTFUlO3hd6JexVJ9qmrw2IH4VxSN16M0+pFJ
c4LLscIbVPazvJhzTI6OCWQtmJHv7r8oBmpfwEfjIcUeTBL2DSQFBa0qnDtCa9ihhb4pOPcdJXtS
SGChERxoyT26AAoB60tze/tlxbjSDMD2aE/pJ3DZAsDJIzJKcScGg2nKT7pmWoonDi+sNluyA85r
8pgIrfym/IzTOV97K+04+uIPMDdG4O3VVQQQ4r2O7qcSeX5rr1ywMJJJE1SXIr1MgEAgKSrQnjxz
sa3jlOLBD0q+jxPxq0HYTsg7hFBGsI4QX9mctbFQnLFPxDcx4PCi5ZivjpvQR9y2ZrXkwt+2Mfgf
sqfzQHrEcx3n/5c3OZpRAATkddQ3YdZeQdPe6QMKGMDIdsPM1ARWqvSQSPe3twrYUDgSzqxVDdxM
wrovNQ835CqMCwKmAtdb3z1LKsTaH7oo0WOKCQBW7aAMvUOs2KoOtPMy2k20WVw9XxJwWI4AvrHg
1WYptl/OVntCLTtMFEfB/RdN6IEF7+0216lbP7H1UYjbVNdpiEA6o2cRZO28osYFfpMGM9+qq7dW
13ADogQmhb9t7hKrTLaUFsbrQRWw5OMwwgqPkSPTd+ZV96h4ucUomj4Mr7REPyrDcOIEPY3wFEaw
h3xxzrQcoLU83ijddGzZiwus1IBCoi5LTBBIV23rbRE6kpR5MxfEDDOsYlAja6DR60Aeo5cTdOz+
kNyYvqlyzloCiH6OneroHfUBPuq2of8OkZIWkaOGjdKOdjC8FlvjrjljWmEVoXrnqjdqZoyfzvkl
prur7yCT4HeWSt6Z2N4pd4BOg9GyBVu3DzQefTYtbojrbo7r2qkjk610qb8IPsCnnFkzIr3k8/oL
a+P5XhyFCFdCO4lM8/zW2InbbIzuVDWjLamRokNg30AxRWNco7JEULkPYjxF63BeXoJdj/TnmKPR
Cx/B8+mx0OPz2owGrpXenZPt0P4zO/RNj2ofdSQqkFBimY8ByPUWNlUEhQK24RbzD4ck8btgFny3
07mcig4hewhUDwZFFeSJuKNxEEBKjT5I3h0/0qgEBsk8ynQj5dX+eLRPcXp52nJgoRvFVvIUefh3
fiM/QlmWdJcxayXOOIUxnhtQsTfpAgeFJ3XuUHXtzFWo8orPPMwtCrZBjpXWyNnDaJk8ZDUM8YrN
DROnkJYizwUXwZnwMSvGh2ARIM+Lq2D9lGejpUujWR8ApdzNeU16VMkaV7lz8i2AA+jtPssVsUV/
hNeol1fEioZfkMNEbiOk/7g0Q6jd7r1tXKc/zR1yeGIUcpSitFxRR4VI/ZISgGf7BoNMnzgnyv7G
sZFEXw/13cMnko941WZy1GmyhWVNExaxFU+NDkLXIo6tQ+bx0nD4MH8Rvce18iAtZwDmxXiVqoIC
9/CjnbvZO4pOlrbhaEctLNzYP0S1LZumZHnpvVMKKFOEOmbjvhpggfK5QpgmRU9NJ4OqT+RWFgj1
04illoYkZ2Ue2QPZJxWnmMSoVfZAIMr6zsbAY1zJhyUjsazJCldJ8LYdGhFsbHTb7AvwHNqs5yH+
Y0M2c3Ws8lrE4kWkaLnQZfILuc/nuI/61wR7O7/YXPoXeWNkVRf/UQmzxE9OT1yZZdZBO+jBUIC6
58ME9YRyq+hZ+AzKJdPIxXKiO0IS+D8opoKZkMcxR22Xav6S9AYkbHY5ZQ4FZXDKKeqjY3aJ8EIW
fmbNHb+EBSS+H5eASYeiRoQ7CWx0lO81LCkXaCCXFCjj4T3SYK+ZfAYuOx8Pe9rb5SEEwjLl3WoP
ka1BDjMMdA5YxIkhff9R1pgB/xIH9FEk6vuhjkR6PMsdWoUvX3hiwMN/ABdCkujH3QalACsgh1wR
UPF4GkU8pTq1EcjGB9g43ArgpgIXBRbiR9+Vf8MSoFU5zYW9cBsSBICb5/4ExHIeitU2s6PljY2+
4loXeHTap4i9VYuk1Lv7pKzpRzUVGL8bV3TJ0SA1eVQha0/KlaGQTcRVfmLdDOK6drIBZlH6bULL
6Ozd/ECAk2lLhnPtkcK1IvgqfOd7DZUYpMXm/vSp7TquDHjPe92kfJxNaI4KyXoGkKac7pwVGcOa
RcAfZn/d+uB99g96gpfq521aSmcEkZ/rkJKVfLJiSoRMBKVguxt9wwTq1OB/CVt+7B1+cyJt1biA
vmtPI4tF0Yk/23G6TLSEPYoGBNZTcS8ZMGVYVcUOS3VK4nLGj9Fu71lD1GO3/DEv7ZGXbUFbmD5n
YB8PtHo2M30f0MJ9TOo32zI0Emoik0IPuQFoOxUlytDOwhTonu3JkSTMgmmtUETzbqcu/hiEGPI3
wSueXDLk5ovKWwBSA7/dVQWgxlDv77OjEQY7zYIB2H19UpweFdJmEF7cx9RfkQEdFyusC1AWdagj
2/lryD23j1lu2ZqEnwlefj5QRGx/bebheEEfB1HWVHIYGKaOGRFTpnLIiXWLmqFDsc6gqAkZwojr
Nt+ZPPCjVdMDsFijt/uwJ0mPpqhQHqby9KEuXpPYeegraUtsMxGFEmr6WA7jioguHhxMis+n0PZB
qo7cV2P1FtMtUfYLURlH08MTooeL4OEo0zkCfOl0kM+OEQWWPwDi8Zx1ARYO5SeWW4v70Bm4WBA3
MpIvrGHWrW4ksZeqcRgU0d5Blbo24xxlFBbtkaiz0Nqfrk6jZt4wnRf4zzsbzGVMNsVM0bqJ5yqu
DUKdddNQST7npgDQj/FcHtbgA+RuScpgQ6ys5KunDnw+3OA/FbzpSCoXSt6cF7rZoMHpCrYT4hlZ
23QF1peWKV73539IPiiyYhGnTfwmYLDuA2uWpgzIs9my2RrpPjHtALzAzMAXoTQlEnwMlZWcNGYb
oFvp70UNSGyFHO4bj+J6ZH13V70qRLO4SHc/503YY2+Nd68I06osRhhgW8Q9tF6Z9m4rBnRZCUKA
1j9yJZqn5qsTMuVC4mpxzceuhvETLUb65z7WfAsLb0zElNIfpxW7S/DhYkJTt9EQkPZcCk7kEA4h
pzdAjQXLxjDj27jSP272wjdGNQelKcXiieu011see9H2UOQWsJLVJ094X4yK0XYNAkKk34cR1qYa
E20nOPmTdRAfWGZeO4homtg1P/9w0ZYfTrMA5DO2il7bWeZHoGV5n71MzxVyojVxD1PFDLL+uL20
j5rNwuBHMQbckIgBXG0UT1j6VVO5GBgyMuSLE6UDOo9Q/7zWdjgHaxuEDHgwnhyCF+cH54+03851
+FHs5YmIeYJLAa+cngFbvCwMgjWTxbSyFTqZDsN1E1mblxNjRmA1NKd4JgTCfoIXJbA0CS6caqYZ
OHNM2ooTIFx6HI+NFRnPWHaoUw7kolLiB5lVtXyPDLKvJdLF3wrOxBihzwJZfcMeoVfoLYbGJF7Y
ILkHMaaZFca2793SQGMMCNj97Ewjz37KYDotpCDSJnL7Gw2IxqQ+oyJRo5qS/b/f2hNnJo7rQfvN
ipEUB1V/OPr8Rm600cj8dK6ryqUoOxxYxB88JXsckovSN+K3qvh3RCbA+isVBRav2JhqqdUTwfv6
2uO5m0Kf+Zq4CLmjYsgMS4ErH6bW9KseTqAcTkO5P35ak/z9FmZD4NLkR3bwwkw1EohB1DWCFAzw
FD+w5DIC2mU8WfM0bBISA4oa2LuCFtA8ZxkVLAuzf1bMHoSfYTiwB1RlXZdIoIcIDv3Ql0GLR1dw
bqg4ejUTP1OsnT6FhcpiSG57HUEhm+FO5YA/n4apUpZaO8fQI5EnZL3niSqRZu4HaEjqodo0Q7ui
QbT5dOZIXMoo1itdJ40oR1Ezx9kWMjZoGi+Oogq2VOcLgnTASoBrvIstX6mjDCZ3Fs6XcnlibqS4
WArUwvHmV2dvYWfguPPC5gl3XChjeWbXuXsWpK3NH4M/wlIY/sOK/Ns0ZvW3rmEJi1BHXNA7LwtT
QKeq8EsDj8Jz8glCUeHL+Nt6rZCWt2QmwKaiS9Oyx+rU/0Kq8J7Qyv9mAjhSrgUzglUGdLu9gqht
89HiG+V6b1QiW4eYHBIqwMtC9O5Tangwsi57AtTwa8GDr8sJUkyjYhNMZGtzZnyqWjQ6gqwJYBKZ
iOpfiCQuuwfx6fWGJSuNz6JHd9Q3aaC90pSK5413SxR8uDeJWpDahoxLtKHoKRxB+tfoYmXVlsqp
mQs2Nu7F71ucRAM4QOCk9hXh6WFBhmSFR6+fpNx6JxGTEkeMjCqB2Gyy8ktSjhNGKmT986i9qHb8
oRqgo9ohraQpPEswPamue74sjBqSI+/6ddWZvLLgGFFUowIC2F+sEx6rfnqn8Z1iV4L5O79yr2QW
jRcQLvJKilwUVclhZhW4sEoAoAJ0w8mAMffbieE8ktgl0F9ud5XmSCfBwD2z13J1UvjQ+4MMKQ4B
yqLM2FPBNciSYzOKdZy8hVuRFMUT9L3cSila8TuZQ9jW1OPMMBy/vRF8RchZ77q7HX5+Anh9WV/9
f3Hn4kL38OuqhpxzUzPMp0K2bpDSuD/1AlfEf/tYfQM8zTMqzSWFUw83R02Z63A5GpNZCCR/lFVE
XnKHa/+V5+G1JvENFJ5uJ3RZkmvEH04PDxAbJQT0Mb4Uis2W+Tq8KUAlEWix5Xs1XMHuy7IAv9Hk
t0TQ0jLf0Ie3qN5EbdEOybhVB+K/KkRonmoPXi6xTPvn48keYhyG2LrioxJYXzwtGPq/ZdxocKvS
JPdKhk/k4M5OTsnmtVXOXfP4FF+cWUiE9e/bMP0CGSMysHS3fyoq5SqpqONohAq4SWe8jEE8m/x5
kST58y8rJ6skZe1DeTB3hzmSknHkiR606JbIg5quLSFms0ECQNfy3SHH+1Dl+aOy+nk+kfyMh5Fl
Ajb4v2I1qDaBim7gSc2ZHUxNFOEnst/CUkwjGXTI3nGxs3Cvcde9uevYDvS5lDeFFenJ+pP1VE2V
KRYEDpW0mZK9gsdrF9bMUpY6RKp9Hf2NtX4mTu6hBypYPwHARjwtrAWebBQSoSelX0TzR+9JTbMz
GAG4lvWnnCVRdtLkqGGvgMhT6qhdtnkll7AcJq3amIuY984EWjTb/CJFd5m3tjT6eMXyYuux9FOJ
biOvGZbTwzmKzFX8FycozVm1APAI/H2YVi8xKx1yS6X9KkvJMswA5K7ddPn85gXvk9L3BLF2KAD4
wrK2G/P7bRryJRiunTDx02e9qYifUZWCijLSXSdnvTaKwaMuXtkBdpZqLn04nQdO8uQoO7yYxStO
EfcyDOS4pS1K4UeIn8nRgVX5fYiauheXjOXaFD1nGdYn3ZV8y+5jUZfRm0c8he0DeOCXVKViTMGW
dpg4P4jgGmkN76L7ttjR2rjrl9MrjGTcpaJ17O6WCcS3FcPAmE8wEgKmH68hjaT3vOrutTNHsz6D
lUetije+flA7FYHDTo9I9xfmoET8hk8meddWG3o2Bs19ZuA/x/+Ccqe/yOixsXzWMlM8HqwCYKL8
dMzNv3V671PRjpzdrZ/Cho5ZqFlvWdP0qt1LNuIXLiBjgoFZWtwoRDiijOksw9EWEjeTRHGaOvEF
RQtaGxG1rAWmhAjFT7DfFToLmxH+HtOjSODvg08GM/+5j+vZNYtgIeDixK79fZ2R+/Ez1k0MCLT8
Od+7yvDpMzMmZ96KXIHK+cP/yWdIUYwbgIjuWqTyAqZplflMmPhbN9diiiWn25DEpWoTiWlpMmFG
IvpVwJwQIH7I1z3qJmceLyV+7qggmhJ54Q1hVwC55WJVWKSeId3QPZ9nUmCUsXNAS6Cj2JIL4elq
qF3oGMkprH+Q9hSzqk7bqr5DgYz1pzm9Yn+YGJ1/bgrRqItEBqWjIWJwEgmccpr9URLLZmgRY6bX
bw+MslW+5zDYxf014mubFXpZd4kPShm42rOkONU/1sZEzhKc6dPXM9u+S5mIf4lXxf78PzxzdYhB
m7rxuIZM6nTSpaiCs1nqDHlTEWxY/ajJsAOZRus86kOTM/2PVH3In8PfrwdcfwYtNsMVVcsqswan
oOs3DmO36aSjJqlmmUT3+QkHSXAZavz9O/X77pvJC9b8DxPdmq+SNAz+lg3fefzTFdQEOvSYxbG2
XLSyziysie9LsFk8psC3u9IWITvWyF1LUG9O9NklphGmLYBWIhjohE/ck/VlkU2qoiz1rIyzShgy
m061dvxRr6oRitsYslZDRvj/L4U386YYQLgiHD/Xo5eoszpGmRAkukZ53bDbhMntx8dpCzWI/TH9
Cui8tahrO5KGK21jt1DpzyfOlhhobPBRaj8CgIn2S3KmWHybVi83ON0kw31s1wsosNgVLvsZqNEw
ZSn3U3mHcRa0XbiGNtPScdaPqTV+mMtDoUBEPMKlAGBaEJSFxYvl752wuR/XKwyFz/8n+7WyvVS3
quwCDEtP5fNs/pfWvAg8sDhW8IQfplcGfwwqx+obEt9Fpdex1o2A2Ipay2YhpsnUwVudFw1fZNz8
DUdCrKBG7w90S7aGll4E5RTGfp/5CoGlLDvPXKkPEL1LnezP8ggprLRelyhn1pW6WeDBB9fVpvKf
poYF526vg3VeFUZqjXrkXJW8vsEkMZJxbuqNmyDCtTjgscD2OEs1y0+MiLlyLjVWdvamZy9P0x2p
DC3NQ3XUSsxpDGWqV7EWz+agycMtlpJVdHFpVm/DBjoG4SG248efnTafjoW2InKfLunAK5oyCtDz
k0GvjWXtrAWJcp/ra7YUiPO1vUT7pSy3UkycePy+pc433i0kiQtid5ZD7dgUBJoe0rxrXMPvJtxx
9Agohlb15ZHC8Rr5adpefBzcubCGVCCVaoSWG6zGM/tMHX/RTM7+MBYKZiCFmg+bJ3CfgtOr//oN
WAeTMpScfwT45MIcreuEvWativR2eBwJO9IQyksCs243DR6OxrtPnJAUFiGEMm4bM+UfOnPZweF1
V+smRqMYUyAeZHZZzlalmvjV/kXBybwQgqTyuE+LiTMId4JBQF9sPF7l55A68eP2k6csSVZOIp2V
eft00VB5NQTSrFta3azoAcI9UxSNyUs0W5O3XzJ3nOAlnj7cWhvRKwAFZ1yzTZoJLCqLJqyED5iY
bV9tSc/nlp2PHrjfFtYiBIY9Rl7uHqbjSFTICagFkd/tPhhR25WeNOH4776rnqXy1ETDpH2TEHjn
rkmz9Ujf/HWpv+F4b/E47NWXRcgGEZ5m70lNhdcvxrdI/FqBosQ2qVvh1ZU/mrAhWS9BI9dPKrv+
KLa+Y45TImndImoFTvAMXxbCElEK4dOaCBBwlyTVHMdpZsYQoke4t2EsZEMdA9j6xLVEr4JESDCn
AulmW22Cs1p/C9lk/bLLjwM9fhYuTbsSCPD58uSVAyZyFr+3HgKgcCZ4zbKa3WmKhJYLsD7oXUBl
VVGl2SyrsFVXeVJJ34rG1Yj3qttRZLwhjEPvLVv0WhOcTiCQclloIlk2JdSdd2wFbATdwJoDi/kQ
qD0ycU778SLaZ1PvYgYaHSLtNvWK7Znce1R4DH2xvSjBBRJY/8j53q/ZQ0W2fYsKanRz6BMty33z
YNsozC7+WOB9d+MofyQVXUHzYrdqtkNOAFPLdF/Eh1hqnFCAOEpiVk2d6kzAaV1lFMw+zTe+fqb2
8W8C1GOlUzWgOn7k15CRVqR9pYSLioKwCxhYXwQhzqGhujtk6Cl54HN502dhBVoviUNgL/oMb+CG
vlWEn8w0mslwV19erWo2fXuI7p/oJawquDxYc8TjahXs9wJvKByVMKCKFmonA6fyFvW8DEU8JRA+
bVE9ch33r3O9DpN0vlkukknk3u6wmXwu/bs9tlXtxamW1R5W+6xk6Jw8E+cumP/z0haamFhdBMLY
GoqTkNO110n6+Ii2VkVmjr1bts1LEXvCMMCKqV7HS4wjkUhJINctC33wrvxPVFRqP/Y5s11oKO3v
35i5M8fRp+QRQGtS6ISbZ9q8Ew29zUFjL0MY9Rp80cP2f3jciUP5Wo+BC5deABedNCUVRXAN0xPr
ktUi3fXcMGfJvNUoeNR7fOuF3SNp8saSf35Ss6w8Uh9HiU5N4erIt5c3x1Zbnpk1G66NampJlWVj
DRLp13KFB8WqZb/kYpqJtYw+W5KO6/6VxvdCWdScnM21lmfB4lDNfT0igwPLZceAcVqu7lKGTkMj
WVmXAdsTmXktTF4utaIRjpFJHtEbaRnOmgDnBfOuuIjIDO2MdfHy41JjkHSaz2fAdX1IQ89LIlrH
UQRVD0vXeRgNA9+MN6xqQ4q4FFzY/YxTpVKLhnCxsZbbiOJFonXZW1SvHUrvsl3p77P9yxcO+qlf
VoZEJEGfN5oHQfYzS2qSWJOeZfUOZLJQ3l9Ynne9MqRX3FuvCcbMcDWWwe4fUADiPDFBCcIeo1GU
GDzeaVTfFFp26hjg8Xb3JYRV6xj9fAXC4k87ZpQFCt2iEvzwM8ZtV0+5oNI8/GsgGD+YNvUFjLf+
LB9kJ7OFyV6w2JiTtg94e6kR8xppwoGNlQ3rq9BQa44LDDUo1n6CwCxhBCLNVu4ZxipiPurzTgBq
iAD32cTdvoQO28qjQXRvPMfV0rMU48re+erG/fqsLaHM1IMKMvD2lOO4Mw9x45ltkCNrfN13oTro
fXxjxMy3a9rzYu1WwWePN/l35O9QouFlniEUq9D9dglQYz/w7Ab6cvlrgY8dNeusKFw5xl1u1Hlz
91iVd1fWeAIEGI+GpCO4mbHlPY9UyK+zdkXSV4VzSMvaa28mmbBr8h+tdyTEfc2GVnvGnASKYXy2
sHqnO9pzo+mKrkiVrJL+EBiJLQOsfMHPJCy09Qs3BAXP8o0rgL2H1nLMw9UzubWO55ITKq/dGyBT
XF3iopxBFEm1r2KKpr+45CasxMe9/VYmehe8QDe7bmzSQChq2B3ju+4ZJfCe1oGD50LW/2beZJjy
/4b76hx1fbJ8ACYG2XucC0ZEZ0d8w4cZg9WsHHGCdFQzMWW0UdPYW3lAQ5cc9vvEW+5jOwsUj4z/
HBQPOrOFJtMw27JLosLf46YlndkY1H2xZgsY2bKmwMzpFTxv+mug/r60nMoKiWcQZZ4mbqBeaG/K
jG9OTFgEqzLjEzpJhk4Kax1IXWOZli/+PGq8WGS5Bm37xEj5Hk3YDp1f1nWkXxe2fWcMSXCdTxEQ
lz/9QdBDonFjRPzoJ/iJKT1FwuhOubhBBBtzS4PoceMv6S5lMF4KPzNd1xkWmSLzCbqv4s1luxkQ
9lvkFLIRDQSqXnzqZRrjQkWnN2FE3Bu/HtcGMKjYJKQT8OTGKdl6/a7gYMPiputxfobifCZfcqCK
/E87NTuP0x3qRaoe0D+WxuHdY07e1tD1NqtvVLHNxTUmCXhJAqtv0ha+WAzmDZ6ShxvyS1XNFTYp
ylTgKMnKF3MOyBWAM2iVHo2FCa7qEMBnrMxJ+c8kpW998S+ukSHuUd/FOJUuV/xGH5ELIxMDXsqS
CCsw5xOhXJ6xsZYSAgdLZ9yN/eR+4GNmg8itSNTaHfKuFeoFfJRjN8zyoCWFPhLgjVcx0GHQf7YM
JPECnH7XsL6Ue3ianSqza9PXaQ1ZJFqvW43N/ceJ3gSpNeRSNGu7+2ojT4WBS/Vp6ULXxlLH48lp
iPUvRjnKrMYK8AdQ8n46KdDD06oDVkNpYwHYrtOCiqDgSkH7afV0vpzkdcbB9InXMss7tq2GZjSC
L5vLpBxOnFPetkY/wTMXjzjnAhhQZ4nvz/vE2VrpYc2RrJh1EIVuXT2frPy5irMHEpmKDXzjFP0G
4SoUhHcg0NT629OdPfMZ/ogbE5OSof9ezZ0P+5mpAFQhWWSZVGLSwmdsuwLGVby3OWY43tB31Ruz
EDeMTtJEGNK2pz+qF/LYPokVEP2CPelvF0pRT1QrxLMxyBleyYUYFm0kkb+ljdbYeqsMFyFzyGqw
YWkvLDOBvAEJ8Et6RttVPwqFIQce4aMLGu2g7r3n5VIRO6x1CqcnZZu47WOKkRtTNprcOhioDoHy
DkmGofjYhtStR4SdUe2+uZvITIl5SRqjJO+VPTPAvVCGAMWxucJ1fXUttHaDlNhHRnK9bME2TbrI
OQhZ2n7YByKWG+2nfJmu9nUlaLjlscC3X4ADFRiQlBBb9BZzu9LZ1HCWsPQjrrceAnyv2SFpKnrS
TecVCh3ZFztM+BYQl2UT5en4YIpU2JlBmKWTF1aFgAafJ/RNegT6Leqq1ffXtWYZrZkd/SxVGsRO
hZrJvQ0WaF6dImyqldjjcUE4QIcfg76wzdvkqfRz4CM3gwxOIuWqCMudtqXsNWZb5/4kALTYAq0k
Xgo9XSvkF9rvUFtKQIa/8Gk5hui4bZ8+rNUyj0UwOl2HLLUQVNR/O+Q6D1mGffeUDd6knCWhKNZu
5ce3jfrLxELIRsjlNu6se57WO+pLFkhgYhKM1ISukL5EZlGzaX9rgyFdg8w/FJxPDt5jFApw2PDY
+koZVz2DRfpRYwM5Kcj2IFVsy3ip2f3WlQsJgcC6z4OuIzV0o56+7yb2GJX0iwi6EpJlNXDVyIgH
A/ZSTrgW547ina6Bg9w35aDN+uwz/Kbk06JrDv+9AGHGfel8I02EJVqf2mqr5CoVXkUvedKHozRW
h1G8rJylrRRobGIJvegCL3cppgn7Ffooi1jVV1FUMMxlfmL2d1xCkJKr0YwjKG0/VSWZamPgu0We
VAW2fZkOck0fUVTtu09Qok4UVldHMz+9GIlvrazWDu+StTH4Yg1e//excbOFGX73sjIHwkDjRtMy
9MveDffc2c3yMCtFTXr1aMeopT4soCOUQVRJnkmhzRfBDx5Dk09GvY0DsHHRKYcAj7GUkVgie0t3
yxzonowtrLsCBI6alw70ViCMUyTLIh9Np6gJ4U5i5YAc7ybxy5a33CwSlcTF8L9MlH5y5SKBYo2O
1lGuq1kiMsR3kOBKMHaAnJpUEdt5cSBi6okzPYdokzi0LAijj53UdCaCNl1qXCe3gRzPjMIMH4ZC
aB2NevSsQWoF+dNZHTboOEob5JkwBb6Ubr4Gwb5z3YeEA/XX+AUMvPpDCliXBzQTBBw9t0CHdsWl
DbI6NitSqIObUod3BbFowWhMEimMAJVFZBgSUzjL3r7rVH55kVCSUH6aQTaBg7ZjA0X93ks738ah
UIM0Aoz8tkJvmSVk1JRqRMKC4RLhSTtqU6AATVfoxbv0rEIjo+P+nL3lOWfM+CiznMYyhy2p92yN
URrB7YBcjT/+Pa1fAWGTINwHhUPwNV9W/j76uKCJIP/MW9T6+72qVQ8kyl1M+Ve9eKtW6y0aEbTQ
IwdsUkJCKdmvaTsCl679hq2EQQ40pz8Rb32DLzF4rGvbqvTzpGNQUWhIssJxuMOcYgcQSZWgIxaK
XeD85lWUvZsOxv366GpNUMSVm4Y9c4M9VtOklqIlWodshN2/mVW4WVOK513VOLRnU9BW6EpPPhhV
7AlRNhuvn6blx8Dq7OGCRwOm+IijvuwmzQAFgnOB9JRy8Tqv1owxyTASRq01aCC80br7LHSzmiiv
5GTaWbKTmVdxE3GMBfDA74PGSHBaTd9Id1yItbXvDEBUoh1g/9e6F7zv0tRUUxGr52PiOjKn4A2c
+Ot/ceMhLBs98QtFjMY3ouinVSUuQ6QIKFLL07Js4ovoUuXzDqKiJNaF2kM+W2D30nyPZaaM0Qnn
8peBep9keji23REgXirezE9FWwxQyFGCa8QCrppanCLYivYBSBMvK2oE6JDgrbvob6HOftpKTFs6
bEIfhMKPocgDiNQhahxSABYWfcY1++gLuSQZyE3BMX7B8Pt1iGJ+v/XVLHftxYTASERuu5CpyGmn
KuXaOpX1AejziFYLyJz79yyS4wzqoKXqwKfY9EKkuaHkW8jRMh59CsGV8RNbPk/8vOFrEUdnOurh
bXyPg6wVrjskXYRucsfDNRx0fsBlhxsagaJtezP2Ia/zIZsofvCdDb/62RLk5iw6BjzfONInFzMx
0vnGpUYmX9EuB1uy2ZzK1WyImMsfFiym2nJkdFVtfiKPCcD3k1kt51SI5rKZ+9NzMcVX7Z3OXdzg
DYbG3wyLe5sA0fhXZJdUwQMpiNszGEsvvbzkFziFjvjkVmT/lXenZhDgopgdEE2Sw+aP+p8QlYTg
+G0ZhZzA3q778pvVBzCd7Zft8Kb9jJqAvGAlFjBHgV4XHEEVU+WsAXOPDuFAyivr3Dq2YmQLLLuB
hawZESFPIH8qbsyCPHCZHGS4NuC4bEje1E8lJCCtHVDZLgXwVHr/w4/c53EeER0L22lB4U1wO+e/
kXpNw8v2NrDmAu0fsASSZZC26vqgS/XEt2JtKHfF2N0aS1wRrEzNXox9uxySSHzSTO0jpUbfUhYR
tXazlBIjzwdS9pjXZ1TPp9wbF4oAQt0rw5aRg7at3PCCf9aYSK7rRmnMsSoER1yEHfbnQYmYqfpz
dXrIpid8+No5y5nANRZFPK2SJ+x7XozG95fEjtKHXSscF+g0qaRG5h3EvQJk4ruQsQXUOHUhU+/O
n1mSeOTCxlFsTB9ttmzGZ9F8pm5GNMkcpozkaiGvJpmJjnOMFZyppkShLn2xz0bQj/+CNhyr4oRe
JXO78wQiMkFp4ilFn4M9buZuqds7G/qUgn+d/h6ZbbBrsUvLlTGG6j/vGB0SehEqIpggNo0/9i9u
b8V79P6Fi8ptPxLWHMjKuH3crgbGnTHj9wWf4waawYridLwzIrlcaTW6epHPFE/u7+vh1RNxWvb8
u0RCQ/ibME5E5l9o2wpfMvo1HI+qPPuZkX+hzfckgzgNFOfRr/pmi0cfFmHqdVHkzYk+hEGMIcpP
bjoIxiC8Jlh6lC4dOoRtle3rqjuDMVFq/9NKRIs3jSe8Qfy7q4+hfkAaYLxwQodH0hupUkrP69sI
G5SPIqxjIa0oyeCNBjygiXUShU+qJ38dV8/yOVqEZBqfuD0qtJ2IeUDAM+++Fqa4osnSxKUjXLs0
RxW627ijxLLcQprzd1XGHB4x8YDVnwd2jI72PemY4p31WoI6iax0cmt6DrO8+N6Oh2lKkKQ8ly2o
76PzvuF08s1rFPJVOj0mepEmtXe/Y7G5jyMXrS0E98tmPz7WUajWze1DqzkcqQ3Zq05ooVJBE8/6
4lgR0RKwExN9OLYZxo5oTGqKcXO+vNachYHCZL9cXs0TKhDsmg2QJ8x5hdWTR22Y/6STzdMuEgXg
XxsHmIRMr+cxgbQuT5pTJMrSH2MAQGUm/PIXtRPE6Z8VGBtMUyy1oCXrCWiNAc8dpnV8zXPaF/Vh
EgTTKy/T2O5cMh4gQ+KDCSJInXpv1gT5Gu7O+fXrdZ01hv675JriuvfitHxb+6e2DeY4K0XRsJIS
/HDfLiMoB2NxTAe1WG0aN5Ve94FeEs2jQrmdArA6uy+5qTjk3muXfjEMBKykweK1AcqAjtqM2uVz
W7t2KaSkC0DJnlk6jhiwfJfDomOL1e0GMvMWLu3lnBRiAOQp5Y++yrFNTlByFxVoGv+ik8dQloRz
AmGyD0nYyP4FSADc2WN6GiQMKoJhqsYBt5UlrJZgexrjmkp3zfSrN1UkHF3s/dR32DJj35cEGEh2
hh3Xsqcyw3DV4JAvKHb/7DYr3YSG4fvz0apzG+XAdn2rlHCliuOoZQC4GaFTTr1h4S9f984hlXWb
TW5DXvHvdQhV2u+zykblJHOlmZQkEegkI5GHIH8kMzmKsHizWNyBVcNxYKCspfX8sRfcYr2xLtp6
vQdASZRg5fkEX+8WtV3GK+GSIpmHev/g4JswQyxYF08eObrJDyelD/4tcEl61yqKwrSjuKNIIYvH
pdke0HUZNQDeERoeln45ROw7pz0GPMh6o1QKoQm1yLmoB+H1t5vWvdajJa3sWPCO8heOx5c2/1cs
aW5QY7I6lkvMqQNnqghxi1GbWZ/6T3HczPBho9iVuZIY5S3rs+AZN76vHgtlKMrqAopKF6GYKEWt
gpCQnkUfzZNnP8IcI/7jHqw8jMsrstDowb6SHLmDFE/mYMNz0Vr6lDy09xi8XUlYQPAT0FqnLvu+
uqCOytbMuHQnuzobSUZ05bzuP0hWQ0bP8lX720/0qHqsWfJbtW19eJEamKiMn6WWz/kRKM9A6wfM
0SK2Diza8S8xNnq4kOhucYvZ9QSopAx1doWU2uwlXBL2bz2NgV+Q7XqY5dqd8mFb0Yg+EMRPC+UX
VQEYLyW/ndnjbTkfIwami5CY8rpLb/PdWM2Lfftbup9YOrpBNZxozyh3KBqESCp/KaFu8taOqgCc
k+Eqm3wvZzXam94giDo/lN7euw+8xEbsSvRW3Db60qwWIr0jeCBuoF90lk5NvPkR86PURKCLfe2X
+zmwSBxcLeBG9P8oylGnC5mF3zTt5tl5SCzxWA/tAq2MkI+y3Y+9ilQCYLVJMMfVOppMHeUfmgo4
vCMosnyRLp1ToG1aDsTGbnxk3z+6dqgLSQcJXWS285HOLl/w7KjJtcD0GNqQ6bfSm8WOFhFDfPxV
10uB6ro2oe+DVUL7lfaQgwhVsnpoUGo+2S/J1GnH5Px1GIcz6gEUmKI9jMI7Nal3sPRCUC+AU5br
KkYAeKhQzrLoz5s9ghqjXt7cO84SYReeu0VbOBnpTEEXXTR2uvAo9Ni7AgGCYdQInpRAB5k01iW0
vao6dYzo8AYcg0WJTrB0ZQ8jnDBb3vuTW/Im1wd2hJWSqGSIf9uKvIl8NhUHprYGx8rzK5aS5SJs
C0YV8ECrTYMxaKDodmi73W7JpPXSuEM/Y8nbbejnEFNjsi5ReIt0w6d5vJX22O0pryB+oCBuY1xb
33sQMwZbDgxAWpqbgvoC6FS4f4zhCSPqWYhb5ujYQZG7Sxr7zqXd1CFwHqbU7Jj9Lf+eAZ2DMot3
Eb0TG4ChryGlMG4kZ7/2wRCD/gEjIastmZe6J0y7o06EPUGnFapt1IlnHPxPsmeJAMiN9q8gQKhM
RKeQ27QDjHBDGo9nvQhd/GqkbKLJ2P7A2hjoQLmY8qjuCJhlY5Y7R6GW8qRL4Nw5VKj5ztpDujdr
YtgKanCOoZ9vi2jxR9I09NJUAZfwCsQDcTCVojy04a9HV24GKxGbzJsbZik+zYubtunAF2IqHssA
hTdWL8W/77SnV0do3kFDSYuEJY3NDL+9Qw30URXL7Tq30GxuV8W5f5hEPXwiprJER0IcgDo/CnNw
VVKo5gKo+vacz4mW+HdmYUYFfiMuynAAjhi9q4rmOQhKaDFljfnep+Ikv96SlqcCE+gdDltR0rtS
cmw3sbf5kbX5+MiIzMCfzhLG6dvvFY6niK7rH5IvzZdDHnHh7W8jjXVMaHX3P6PTyWVAGfQk9KOS
oMp2UP5GUyiGt2bejiNjNB+q8kfEjHBzpGDbgIdk9TWQrVv9nyv1pGD+5/gn5WdRqwxoSAZAM70p
r4H0wnQSMjx8FZ0PivyX418QkSbI97B6mgAssggICTo775yBJ0jDldrZbRG93rQ87TGDWy4fplrj
iT6eCzLlB88mW6FKdT2A5OUoyCdTpfWSfZQgtlo+OWg2RWwbvUAzKu9fV/YIJhxRY2t/eOjnaQnP
8g+ThjxXerO/llQlzjaWC+yHBuOkP5WUwnO0LaOfFMaVTI5HYH8lxAqLncuXY8BcPBTJxODx/ccq
39yiY2s4mZERvObcTaYfJ626DL1XwytNZ/t3lknZc+E/fNY1e34blTNPraPYLp1KivJoHcz0xF11
0erX6IbUAllEGkwIfoLY992hYnm440Rb0ATk19I5+dayasd7HOOeiEiT/Fjo2ZHUvj7R7AuFsvh2
NyarTruTP7NyxJTMRsx5Q6ZXs8achqIrDeXP5mmwQF/bM6krRQsx8VkEFMw/szh5iULqAbtDmIlp
JsA+wKFVgc3Y1GcxpkUmEeVimZn4CjGM7lPJ+MtEsWDgLB7VKi4+L7ITzxcWzplpV4gfjLPg5XB4
UbYEbX3tshgtBHbkfpBe8bgTPxnKNEUVFvNFtqramWiZeCRhUQqYk2iIrr+mZEtBjY+f7mJ/fMMM
6aOR+rXTbp2iWAvADIi5A/T/UUiYYC8lGrFwJT7+wM/xfPwbwlQjuq1ay8d4IXjY7iJ5J3Ch+iTR
WgGl0CLwXgOrq1/jX5RaGL7MSixDf7k2jbq84vFHHFU2tlZMDqoVxxwcDezpxQLAELZsGCAaIQ1O
WXYyBxN8Vz3ifTptUNXaOz/odhh66y2rMsbeHYcGrVFGIfXIBUXOfFnSicWRkiwPOrvayQImyAy6
qfsxbnLofW8nB86MCbIbl5hqNT3wGaP2TClJC7tBSB8NByQ4XkfqBOEL2+Tmt2Fgyfb74lahkg+l
JEml44dJMRVAqrZqePc1MeYmOmvJKG1vCS2Wt4DaVV0Yjd7anOWKnNi/ei/eo+x6dtnLNAL1JsKF
SS5A8JlzECdlaCGs00telsjAhBu3mhm9l2dm5XvPBBjjczQPMG2T36F9l19RsVRsaKt8Xf3jn+yA
vuyN8koHrUd8vjPF+RsF9wIlNkw4w6ySmw8YDhelmCCJ0+sIB654cBlallU/pdyWZHrcdIpPGIqo
nNkURr2TLVWbc/ubb2og6O4+bUhZ78Tpx9/UgFRo6kkfcy0/rd8mNEE+J6/R0/bxtMyruxfo3G5Q
eGeQeqqFZp6qlmdWyMUzQcGWwuYKm1ol7g5IXVh3JOTVODUC26GyVi46K3FyM4GsF9401sS2gWMk
hiL5lV5GVY9cWoZc45bGQk7SLFDf0xjGzar5W59wOYwCEedM1oQexPM7pwL0GvqLWH/4fHybbmdK
1X7ZJwAFRJgGN8PRNa0Y6VWKi64dlUOfDEXM0KDWIRrSe5w3NIfXfI5y9ZGlMhdtMHwf3TJFIUCD
Nsjphht1vtn1WqDwZeO0JbhjWboB4nh1loNSE4lCp/6RhAX+K5C9lk5fvAojsEwJ6yEzwGm5gJtn
zBmQEZDIjNzYorE9PJNSESilkgQ0iNSdqLu/A9SU02kipm0nHtefOq0qzoq9s0CoQ5ZxqNh3C2D6
x8ld1EA+pdY4zDi2Bc8YENAeHMnLhyWGhces81oLDVCp0slQ9LIfTEiWVbegh/WpH3wQKk1Q2jn1
mVHO1ee5E0+bAObUiF2cXDvm57mO6GcJu1MNDPo3GGGxUdhX8qygkXdBQuq58UiJR+EiEf+OO+xm
8GDcKU1ixH7uhJZTiui9b4jEKPfP2595D6rvHEV+WaqTDybK435M7FFywB+DBelKaV/4LYwjhOUu
dy+I7mqnuFTuRkHSAJp9ZY52wKAYcF+EPMLhFJ67RHrHmqZd5k+/ewzZF8wXOSD4Z99yXZvWY5N3
GbG4/qPcMRtSQ4Ij+1prK7V5BpE/EHzktitYklZibptHFpik0+a4s4BvXn6dqDkDbQ4jrxKwdq4G
fyDqaP9yqTFZc4gkSh+AbosCszMmZXHMhEguy9stVs/Gxlb6hmFcD8pmH+aUeS0PaQY6yow3pzMd
giJqf67F4PV8gJ9n39fP+H2Ion6waBl6/QGJAk0h2VP5qE+ubhE8HovAr6N62j2y/o/qpu08HDg3
DYbUydEcCL9xUsOdh9a8yNFbOufPLeFcxeuIQE9s1415bBAkJGCjonHVpp/vGjeXNu0EQfqSK+nS
UInc1WUbfC+ndnonA0OmvFWhzu8YbQO/qf9edNanXRubjeksl87JDB3ALYUb+JVyXG+KHHh2F430
FivizfJLadZ38+WM09phb4SQzPbqZf+oniHuAqbpppje9RhEpV9icxy7kaoAXQWDIYAojHuN86dm
CfZz39vzFUvFiGTqKdydSE1tCKAPbvDei+Z5yYX1aCHhbth4w5xyTZo84yoWRZIXjijB21d504Q8
2p6/rwpr5Df/bBrRpMETZuA8LfPp09ONpBrvuTEll+HSG3JfrxMwEsWlpJUx5wtNZXJb4wPw+odC
X9rjfv0kcVTH2UVkOye3sQrvqzeGoJtHYRb2IoXhPdSQPa+2Cw2oOgPbGFa15S2OShKFfWu9JCDy
Bn6pflJk28X9r1/lid0JoJwWLMYnWpnunOggBIl004qhC8TBOGfX0xe3mzzbObHARvYX2bjQ5ehJ
zHwVa9jOgcQ2e7IyhRRZXhw2Iq9YCDaV472DGFH64RCLJePpTvBkIMPoxU5BPgbDDzLLkLeEZPzg
acMcqwOqCH1ty2AhSW3d6dMGmnIxJF8gXXUmzw+0XxSpeGadZeIHpym6kPSrMydDFsiK6hdHLfNQ
cnrWD3TZ5JygznrHAVTjo3M8KJ2wPtE7GiQgDJoU4bW/4SgTvvAJg0YZ6yxr2a12HoH13P74Ylpq
AFhPxmjWaFpHZGJkAr/C9mp0nCCSSoRGbYwe9lPbj6g7PvMdAUpuWqg2Adz/Fk2sosFpsz90QyWb
xNuVrkKhMAVCeB+oMw3fDzBz4eOKUHxn2gvYJYeBT/akMlQn8CADObCdEWq26t06drRMWntv+qKO
6MR4YVHM6/IsytAn7Pd+x20e4AijsLPE4BSikpoVkg17AdLIsAyaCaC9vgPHEfzk0+Nyr9f/SKif
L5QavXAvDQZzSQgpoArQ7eTTlON9xzDdKQssHHooYcyvna1H/70HlVD0u2YiufFsBgXPThZMrP42
JqvsO9LcVE8OZmiRXs89SjVq/ejPn5vi7sIRnn7bHKNwNPuw/OxYZeqa0dGLTCPW57AiEXB3E6wb
Fw7a/sf1IuOZ5J5RtXy6fIeIm+LACip1EaGuYucCzVbQJjwoSTstP+iVz5i8aTWuvkhyeRC186SD
i3b+FQ6vE+ZFXCK2OgIuvx+OeqNrIZUzVcvP6pwN3nK0SuKJBv98ELgxK+A0UqNR3kx5oY9nyhCR
G4feg18S9d2WP/Zo6G6wmYSr43Av2TQtn8fQPiMYSD+GBawizIXrP8cD+W9OkMx3vcEtetz+30ej
kx/L1D8LIdiLn22ITonDFGYFV5r/qxgLKGukNzpjMVMp0BrsucWMRKgGq74fWI16Vn0PnlsAEHha
H1nI2crtMVpJxJpW0t5fEfvapAIV5j9rWe1QKpezJi2LhTYFIArT5rZDujENvkFFcgxMgnBDicUJ
pGo40ffAnoPsxSBf3XflB9GRLCf25M9N5tMvdQTjDROB3/UADuousgq2L3J6PJtxfTYqPXlV+JWz
WWSi/4JldsWLsnFrwL56LikBLACCqbbB9K0v+TWiS0dbjW9m3VbLYpCIRYFmm/H0EcuJJ9XR0wnr
DE0dMjeu3kZSCFeOiWfAuQZadB815nRxl5jawpy251Ei9ySUgCEW3+sJzuSWzJ6bKkxj/FMQc3G3
bhaN4kKww+DMs+3KtWRj7GGaxuUzu5Eb+xxVBYSnIRtbhg9J5aRkspjhZb9vErIBgzAIl7kFLH/7
yLYNay2m4nles7nN9LTMH+12tpqRZNtO8ZE9qXBWJt464VbyqW5yVeSkQH+AJjMvPzOecKUcx8zW
F8lnPckiYkV9qMerSlYEuwssD74p2NVi2XgWFKqWVG/lSq3QTLf16GQRvAh4LtvD+bt3Ab/aRdGI
JyGEDUrFugcuMxvFvOxTkq/6eJ7tBPkYV+4BIK9nnhbyrIC6Fri1hE5pf4DLEHmIMUCeRhEaUFGV
J8P79YJR7MrlpcX1jJvrTKxhxMjzYPZR2woG4e5XqQcwTV/DVHFecHA0u2DdpXjANsorDTAwq5N3
GvQeR0UEOcq1/TBDeDaA5A/aZweFvXzGecq3bvXaRlbm+Kui4EJOcdoCwSHIxn9wvcbn+QIU6zDQ
7gmf+IQ2Vkp2Kh4zod96m/F5o1k9iTnJw3f9RJ9E8EB8gjCAvymGB+bcn4xShHIWh+G5o0ezB5e6
v43ybQYo4Ho3RzkuKxfOCsX7zHlZjtfCtiFRKtMmyCYSwenLeBIjJOlnXivgS9C0K6UJjKsEwtpK
e24VrWr71ePGLYjGkXLrXsMrPy3Mf93w7/H4+ucfY4K1Idey9/Uzbna1apWpRVSQME/o0ekKf1st
1aMqBFrk/zjasXAO6VqcXNZMFFHUo8nzRI6Oqte/owvXakOzY1AaOHxyd5wO4QjC9r40xZfRX3Bj
t/4SAsG9oBdbjTFVIOapxSNNlokUy+ciIW6cKL3nCvFE/JsXypfKofb6RxlSCHG+cpLYzgzPd/Cn
ahxw199DNgGD5s0sayI1npGEbLo9Nj3WMtkcb0INaiigB8quD1x3+pnNA2n53CBs+f/5kv7EhviZ
k2aTtVIwi8Pk7AWanfzbhlZNMK2Utxi8q/UBs1PqCPwK4wGckijnbLUwmsiyGXSOlW+Z/44klXRJ
kCuEoathi5kqCskorOIpFRWWoMSwON3D9NmyZpoPjIA5DM23CuEUQHuTDEtpZdJ3zGvnACfDx2HX
4XWrnaSMSYHrZh2VW6ccvCLU8PLLIgrsSxy+99WNcAsIKct9zlgGQivoO0GoVIZUuTXVIG/Unq1f
cbj5BB+hx60Awd3/llh/5HUOClpQ7MD9n+b/MyUfEN+9ysMWg9oyzeknJ3zBD3J2k/qw3KzFcqSJ
zVR3UN1Ip9vQaQVZKt2UIT60p2KFbEfsEW0h3RNX0Mky3Gcpt1kB3gTS5GveGQgR1icHuBiS8wcK
DY76HgM0B37qxvgsf703vMWpyRmtLA+EYlIedC9l1Q00177dYoj55LZWowAWu/C5CBBS77bOVwLu
SqZs5lBffHuZHdHeH8laNnpnxIAMfpWKzEvsMysKwQsuuRDUfjMTGa/qudNxzJTBd6aaynWqBqhM
DmN9Z4B/9EqNxC9SQffmJI9k96qOfj4upPsX5/EeB3vtxfJXjQxv7+OdDhNvJ64tkCCX8iHeUHqd
aq9pSUagUbdVQ+UPlkOvAw8m6pVfOU6FXTEQ1mzbR+XfIZVwIP85llBP/YfeyMrdfkJem327V4A/
U0uPBb3FwoHZ5hr4vueKxV6Jypx621KsQBCOCH8rAAIRjUdJDGbWbpNNjpxAvogI14Az2dCKjIWk
7Lo8JJhWtVrCLS8zDDX4VAuWMPequFrk+2FvVrG8t5e8iDqOAP7NkPAx+h2AhvfEDsylBc7m1OCl
Boqwo34xOxw9wgt/KwLn5YEg+9OHLZOEC4zmQsuiLv6JCjpjmk95I/8QeuVYGVZKh5DxWc82AzU+
1IcPebEue/pftzavrXXM7fidPwnZTcVQa4Na/U8jNQCxG6YmOzYthRNf4J5FtXpn3t7PfcAInsn5
vNZBt7uhlC62oK4t3UG4Z2sPdvrOma4Wj4Nodhz3trmcSLBB2BR2sRmxPo/SdjfdUGTI4u3ZreFG
1GDeLqLUW1iB7h9xpw2Ue7FiFVSyaMFvCTMUgf0h1T3588WXr9MyOfQMP4BBwi4j5ABisAQYTCa5
GBRmtUmpc4t+/iRQkIuRsWYVeEBbWrGhpqpzc6Ei2NJhdCDHkrJjV97KR1xANZ5E9/TSDL0Ld8a9
dPw4u73vGksYanpknZ1evqGtyzfRV+oT6VCg5vmVNqAjpP5Xo5lRlefOyJJzb71QE+H9p2IlPgdU
tCGaSSzMMoI+HLQaFoGrKiJrp/O5+hZmyvR/DV1W9mziMKAsYTSKAXsKsHH5oyOg5VQo1J8Fxpyb
hDWYxbY3OHllw1mF0+dimkn9w+YQBeE1ZmSPX65kJjGd1OZRMHq0C66tjeCcGlsIMkue8kEodNK7
it04jYfbKNpU3OHQS1CakJAA4DrxH/Hl5qa09Af5f+qplxSfK01wPjdTxcXifK6wSdLcD1bOG84O
1Ymn0SX/4TdirqPQx2QboDHRPXHSbbxUFIumdR4cvrivzQii7r1REr4aAzxxwbdR/HuW05yIbDV8
K/Ow5/CLiASIfp/fGBzQrToZVdbrvGaOFlMqaJKmUeFm/aVo7p0bfLcKefNfASPbxIOvPKUCDhI2
TpPg4w11Opb57mKnCWwzWwsO3dVDCH88X/q92E6zkVTJpCrMn9JunlpZlNn6eXUqwVFCk2BG+o86
zTE/Y96isSaYUPKnWr6lPwHeT16SZWR+AWYPc5TWii/lkSfxh7SDmaqpu/yvYlQiQRIQCON1uX+M
wvhpAZfdqQT5H6a9n5NyfIwMLDshcg8IMmk48vgW9740Gt8DID3MU6Js9oL5nto6C9fiIWAfDEN4
E0yllTWmffyKO5bY7zGuDCVox3K8f+IVBdUXS8k0BdZUxKhPSgi5g9+7AoB5dKWrFlSWRZobcfBK
vOFcQqtlngkkFrksAf0UQDXP1CvzEQOXc32vQI/c1D7WqhGQPuysWRJceqgDp351WZ5BuTmhANGE
l7WxrTRUHaj+nThLiA4h/Jl5+/jsANXip/Kd7IY0sGpXo9yRqiriEvBlKDr5SJ9i5fy4aMVS2HS7
AZhWgyGFDVWaoNwap3iTV97oMOsEu5DwgEvUN5WdgF/UBUuHGOYO9Qf88x7ziQqEN/UgjbEZF8ka
TyvPfjW2vw7QAcHCFrP2ASaIH08W6yi+m1qNK/NaloJTQDISHz7EhQQBhsTdTjqrA+LlkjF5zncW
IMb1Dey1EobhSpMOIUnD/Wn78UJtmcYLUShOLH/3tn/8HpHKm4IMIU/g5U3qHyoduS40T2HZuq2T
JcVCyx1eZurwE/lVGiZjuqPo1GepiZQf3/y98lXxVZSsG7UUIte18ZyDI6pIcwuWs+PqwMCfPkwl
obSybDD5spgUjSaHrp62SF68JDAjtYnwkhovpuiNIJubrdwj4kvcAoeVjNlpNFGC+iFkryPXoDGZ
c3s/QXQ6pnCNe0bii1OMF45iZtrO91/h2zkjtc1EdRb3xKVYaduQBWVSm85I7HG3AxHsmtdnr1+Y
hHtxL2nw1dhBdWnyXmxt1E4RnNyp7Y+4+3vR8h9fVYP4KGujqWYaFbWCQAE2soNbQqMiBoufFjCC
zHPP7tF0xf49QY4LgDlRjGFeHuqFhl/B+16LbpofsaID7mYD5EAaeiqXqmiqAEBSpZZ4TkA96dWt
NI/JVBsjuJI7SQnRD9GBtc339ADY26l+glKArb7kflXmmJdEa2IhHDe506boltswS3hoAx7/8rez
Yyt+y6ymcA5+I7drJUrQlTDGuT+BBj+Y74TapDyW595c0NjZm/a3WjWkY+RbA6s7wO4faIEsRnIh
6L6ORilLMBT66waD4N7aeLNz2lK3vS+o6bPcmlRk5cy21TSoa7i/mNQg3m7WiUnM/1W4dVOi7CPN
6S/TcepZuoUVhdkMdahW+T0lAxoo89lAX4TtsnAf88qpIUl71mLzcYQOvSwal2q7rUfE4GmObWAc
9jJ00zBVeQ6R5Y6xnNg5dWYhNkjLErqK5A76qaOuy8ZI/7YBBinMpe+mawitkvSF7m4pnU8IbUS+
LToh3m4wnNLIXHWHZpnXxno9xKUtzB+r9jk3zkW88FOEhWwXMGkLliTzfnr/g84krcLnd2lAZdFr
VMU7nruXt9kPMS1Y5ok0+f1awZqvoRHR6recsxfJX1LdPbY/KfkDg/aVaLh96bz2Z+9izqCyMRJ7
CSDO6FalxbzGNzCFfokjhIaLmgW+OAJzYYkP7pDF6ChNyjKLgXNpbIwXqyvocWC9zHNh68kifibI
aWPuY1SgTHrh8WNwt7rENwYIG1s/PYUN9mJWdIEMpTRKV/+LVAqdGMPuGVY7bt/8J7luB266j2dG
nqk2b9yO/JW2Y4vdg4obHnCcw+9FQ94jZ1tPk5ak9nL9JpJLnwbU8+jpdtxoyEMxdmNd3Ej9hrYD
IGl/w/hHUnxtkpI6Ude/VthtukMb10HHAWjcxXzcjWTL82QEfqcaFR08CpitdwmHMdeqiFnG0YtM
wdVfb+ODt4Aw0QN8Y2chvi2xcufLizJlel+qfr63mIvpQYqdmlcB8FcF2mHa9wau3wg0KDV+VCaw
munSWScfdViQZWbY4Ft0O4uMYOW59tkXxaHGbSGuhWpzmlpkSQl4u7fFtgEXx25E0ro/3A0j4Bzw
2QtAk/RoSz3NhRRDDMAay6G9Th7l/O3zc/679pcGGK8vpRf/lEH1W5jP+OHcxovxa22kdH3LeI+x
skBV4A/9DTQdzx7yCk1IAqSK83YveILS5Ac17Mc3BLoRzGSmIcCQWc/EciofH1rhFt7tNLXS9dnd
Eu1yuzh5bNkj1yhTTwBbyxHwBp2nnyhmO+pR+pBw1odT5NclR8ksJhjtEAh/xV/N6oQwE0d6oC+t
Oijg6pYGoqnRMXyJPPuZIE5jN0KfLMaHnw1UH0UERL/06I7MBkFundXgM/uYVoEE5DjjSC/BI0G4
jyUANe+54l3Nf9mM3gI3jYAu6hxqPf/uiUJyMUJBODOmyykXHW0ZBBuCzQlGzMsvaOn+hCnHiaRK
lLNq/YUbbdJZdTt8J1lk/aO+5pPLCXlDsMtO2TnHVcOEcVgOacyOp0630hTQFaIwhOMyCqrMF3qA
Eizy0OrmsXkuOtevkUFnnLtSI6dZxlKWKFV0RKWAaJb3y1fc6eGewrKsvKT6krv6oEr8Ge4ukzFT
EJ9+G6ch7H6Wxr10SlN7ayXWBQQpZw68/Zu+JK8NX7POZFSByctj/qG3CnnzkDeKXiXSy6Tgb1SL
gyFgFIRlPvSYv0CbIxXttqFWQD0lmOZz5+8T6IBNFr6pVLTQZZ6U/YOTbSOdRpVbSaryG37s2V+8
9FE0P3oQ/N26XbO+B6GaPbFPORg7+EmjKGy4x1oojpleMixIewXahCzklVQPddr3tBHGxAstrUrz
IryhlsFBfMUQDxWuWrhrzxuZQdRuI36PEuzFGHX5OL1Tg6LbIkNE6N1qly7MKkSx60uvK+D2Wued
BUe6CzO5nH/8Js4aeJgvXTwlB6ISNkerViOJ0I1NMmZeikIuCuCPu3DFqC6B6WdRgCpY8mwq6KlC
wfyQGhGHJBwRxuBPSf4U42AbejL9oKLETaZgQxB+adWbI22S+6bUifxoFu845bp8d2/BH33g4EGw
NmqREQIXV8Stm5yYC000S0ZdJcMjJxV26W7iQGH3OncMDonLaMqhX72qd2vu7ytNdRky0bPyUfTw
ivLJ69dlZEy2wdW14XcAaazm0IrMnwqidDUfmYYTPN8to7r4kak69PZ9T3jJqfuBnrHoJVBJgh5h
hIo8TBQPFUzFzD/n5KJo24QAJpoZTcBEL61F6hwTKoyP1Gng/K5hum7Bur8NYxMhtuLBc2KQRpnF
Bp+Dygu5WBdV3CbU276ykQLLtchGlFjt3uRIxpwt6Q9t8FJAlNZTz9+64PjNzIKqZ98fQk+gX7Fx
GtCXBkiggjgP067qR8psKDBJ9TS31bm8/iZPyhVkHcmcXyRLesaGqn8X8aqR2AtpP5T9Z7yaeV8D
VYlI+Wiv6rISrVAgN+riU5Hbxm+lhrbKwvRUo7mwJlMGZX2U4LQLvtfFAC6vWC9gkJNbcjo5mTox
Uf+7i+GCFhlkF93plSJjMSXRMdIQKIaaKI5tKFSBAbCQoZA8Z5WbtYVpWT0JVFfztYemx2ql9DgZ
SEYqnE5jG3EZIO/Hjc9KFDJ6aBpUBMJAk8GxDB/gpEVE3bedbD/Yy8hX9EY6Ol9QEfELDdjrT/iG
1p3B+XGakNaQhaGwvvo31CGAXSzRaNEs8KO///OUPCOd/Eb5xMlaIykhzocCIGFiy3o+RlCOmKnE
1pfInl52TOKmnyrEl1idxQpnwQL0d3itB34UOASLniaSrkDamcA7FlU7mvWJK6gDbC6fzyF2Fy0F
bSvaxW1YCMxlGJ0IPcawopBAnp+zyDeXvcAbBT8zkV2YzmNiW+LZHA4n09FriZ9Q2XAf9hHupq3p
o4meyzJewyYgAlHnYhjNByg3Dc+tkAmNDMyiduwC0/+LwewQVDlgoy+8XNqsYuLk29BcTGD6kiQg
QRgggHNKMZqopjmB5mKrF/ev/wSE6XxdjD62GIG49BXslblcX+Tmp+qSz6+olxscKpb6kuFunbG1
BY0HOuyPZe7oT7vu39FYDM1g9AEc4OgxS/vPFDexh0vMnMwHgaSgR/8jq8ersTIm7DcdQP1pAdS1
w1+jVfe4OG5WL6zrYSLexv8mQ/l/EpGpdhA33xYOLbghMNH1y4dALd/IaSXgNc7VqPs16JpigCHk
LPCMSE5hmnjm3iCJeZj77RwI4C0zyhKmue1mOt2fXSLyG+Pe/qXtf4OcZGoznSPpbv78mvCz6sSo
NeeYhqnw/jiH3VxS+cDcQ3NMoPkzzsiP/Mr/YDA9LzpJ+knevS/LLtgZhsUprkqEze9ExZVQnpBG
VYwnyOvL79NLQoKM5/mXKMQTazYH8hT7mO0rGjwatqhNRm5/+aVv5Vh5Urm6LOBhthYOWFYnKwnT
GBlgmTgb9Z5LnCQdTuhXRVUS/mC83VP6DHo7opokJRTCXW6M7z0gB2n9Fc1+29cFyMTXp9ag7T0w
tLT5zsDmC6NIn3111pN/yCd4LXDw0WbQvlZtcc4dq2dQs36gLFOXFBgQbdrDwwpfSkWPjr5dn9bH
gq5WcOhbMB57uA0OCQ3HUEN4Jmy1B8IJHEk/yMxsvoctTVmDClv0jTLYVGv2ONo/S4zx++PjWOSW
qgy+QD4IR9Ccb8E6Q3QgbZhtQlYPgpu7fSF/nntreNMndFrpQ3u+2UnPRkqOHEz5C5peufu/nRsM
mkXgAZrFF7lchtzHufMG5InzwBFT9MH38NRezijDrCgyizt9lSlGJd85Uorpl9WgCbYu/OlHhp3A
OxwxtNKECUIXn1a98g5htqinpz0X6X8Ab0+OsZZiAnzUppgl0Oh+01sX+6/BM1cLV6RqEOBZcKc+
cfNND9zxjTOeK40VKVIHdg5BZLTFN2MLle34TppbovESQ9ft+vfd2MiHvVhAwdIEMkSeeVP2KMFw
uvjLWeDqLcWT4KeS1zTZmWXD4RXGpmgszk0Szk9G+BSdbk6lw3V+Arvyzw8GhMZEP4uGuZJDOm4E
fWQyanKQLnjbb4qnJO6T6MyNtVFBQ98PZnlIBFvydHgC1l25+3jSgdmWaBGqcx6VwKMdG4qGeX4A
RD7Jp2irq+l+PKJdlowKjo5heKHq1QQZJHOm66uyozHg44fStgTVZpWQi5554g25CuvBZLWY32wL
afkDPC0jNxcP6Ntq6HAbjAclUgbKegY8T4CMumaxZJA/DHJWdSpVr+tGAhNPsApJNuEY0MO21bOT
nNJtAt4N2S5icw0c3GdkCHyjMu41D3gMP90Kpbn9B8E1xF3Beck/pHpOOZHJM59xzPQD2Sz9FdPN
+bxYGqN2nP90okxxpPhAwJ0gB8fVR2xbgnhv1FFMb0WkUKTABqlvHdDUxIHN6k/UCp9jwlJvrMjb
1ZyM9fSGI5lyDop1yWaIpUKOYDzy5YcTDojEUvs/GZi2O+kgT3X4H1ipkqU6xlWCdrB2AU4HGtCo
XSr7Ov8DHdHOcm2qq673xN3DrWM5yAaKuBk4zjQhakgrrBl9Wl20ssFW097gRrqrgI4zjqKP3Bu6
GHeEsIIyMFmgs+4B1RJ8Xd57rF05ygPa7O6I32+jztt8xgWW5HrkHrI5ODAmAAjlTFBBoXezFzQC
fC8+fAOnoaXbD9DIzg/E/Zhm8ZnqI7bnZmzZEjF1Z+TBb0IFce421yggd8EpdvXgfPyJ2KLx4Zxi
yhRGEaT3lg6yQbltQNdJyEcxaQxI2I7WnAEsyjaDgS3oURS9Zo3Ws3zuzwOeKu2Ru0S/PTLCIZ7q
qI/vXkl0dMBOMM+QiZ99nSme2hiECb0lOKRD+eNBXxp+reGLtEVEEMQDCCzz7a1gG/Ec/bV32RMm
yxbinMEMmLEbIay6N9y1OHTEQD0guDKKqWCiyJlXbLaXggV/hWqxLNXy9ErdNpl+SJGvW1L1XX/H
ETdLEk/wR0gY5D8E+/VUxmFY6UrBdWuE2DoUUpgCW9m5ONt3X+d0nvX29QLuDkEy+POH6k2PTFVZ
7EjbFGsuEAcBtkTEBzF52fTGRVV8tuzc14sGtBvy1D/3BV87MjSk0UffC7QjiaekMiXYKlJBAn1h
9A4a4Y3SxhpSCQs7QMZ2VW949ylBRgXKOf1ufF+aSbDYcIUM8YhCn6QYRNJqJ/A/g8v5CMV31ygO
gj3FJT+33aJEMN8jkVoOAHuoFt2dY3u2EdWrmVfd2AlIWMLAR9LR9IOkmJe8eMLMPx4EGOfqfI1g
8DqSN7zHeTWY7QpjyN1oKFlc3CMj2lsyd4lPRA2iwL3lGxXTU9QjQbvJI/i9phoaEwdlcQP8oq7M
tSwK7unkoqAmvfd+wLPu+im2l3UWs+MKWIFRRK9lcCUygoC0tx1tx7Fgdb+qvNKAFdLPQRLnsmAY
ebEJEQ50HFtlhVHo9J4+4oSneD06w9IXRCcmX/61oRCn6lxNjtPzLTtYzXuhs8q+iQeEVIkOqttN
pWnDjbyiPQNKuGETXMA1cnxPU2wnY7966l7H6Bn3i7O9w2KuG19JVdcYYLPhoOWfeHEqscWgKSuC
7ZB8MSNgB9rm4Lx8ZFHanjGb35u0vU8KBlk6sa7bYIexCHKVYBieOD11wKhQ7cTYixia5T4pIG5Q
fvZveG5dlsSivJl1zuH0Gq90bFe9DBkQt83eaVgwkplLwX7hmsknZ9LkPWBDnenGmlA8pta4pdzq
7ICIbsWG7gNRmwooCP8oMHBrEt63+zYBxrQvfmqJByhFY22dKhklWAtUo5Bze9RfKipGeJ6zR5hn
CJFkgiob+jYuFmmZMZjzHwG4IWHTzz8KWuRhqZk+UFPkcN057n7LPsRW2iyvMcYgyjSo78HCHf12
hiiJcLAKvyPNX0+ZGbbj7rvqMFkgwmzFlF2Xc6+QO5FrQUUQYqhfHV+Y/rlERurluB0LXgWxEXn6
nCC+olXT4/xOds3tJlDKC9/jY8r8hgVayfLyD2p/9Yxmt2Y1CdH6x4cZJaLrCslaVDHiZsV4G06Y
cLUyNRo+wGgkDx4s5ZQ3akFe33U5qPyeRcHDSfwIx1l8KBCo1K+OCuUx0kssI/+sBvxWaO+lXxfo
QwBac5msbV8kzYE6CYW1I2S/xN2I2k7TmGG9A42Zoy/H9j+q58g27UHE+LgInhJRz79zTTvJ0zTn
dytl2HJO9zQirTyCw+dAZsCjt+uQHKLKassKvMNo5bqmukibbrlnHL1KV0xljU525ewDYCDaqQq2
UegaPockkSUmT6raGtMM0k6qiVNA543LsR13yUF19+XI8Kw4L01KrsVK1se2LNVbpv3Ri+xhKh2T
w16KKbPXkRkGjb1Z4GafhXEOz9hfa49ICje9wJWgwax3StjsJR40Biluv9rTxNvIkcuUxg2ir7Ch
apI/hzNKzUk+wdOl/qD1d47uWxJ8uqqUiEbaK3yrYwe481caIag3wl9iWXmBoW+bEtDrRk/jzdHX
8r8o6Dz1YEj0y8Yx+tAjL3kW+94QI5zaLBehPrK+SR/B+eTFhQIU6on/krGdejw4ZGnaejqT3IdT
AZOWcAS8QIbkTpqPy1Unh/Kzz9ewx+zABH8LRSqDtKj9DysmMO7omllNP3IoWJjHCSUkWt36g04+
+zG14Kh+tMwZ5+BvU6jswDBuEVnRq+mOFUoccvItI09Dn+Z1lwnbnTyhIOzqzdveAOluRTmU5L3F
vi+/7L6/RT4I7QaDN6OWK/ppEGHarC68A8bKY3Rv45LA6/nQn0maqSYsNjzVh8OeJqLqk4jt856G
/BmDgj7hFnzeV6zz5a5S3aibJWLy4rukcUOmLNFF69vZSqNl4Dy/jd9kX89dwD40ZrchNjdn3lTW
eRzKlII29wGnHqEmaHczYAaHX6AdJZtG++5McBhTbcTJsY3e3+li3U5kH4NlgibvAK84tNFqaAu3
7C9X14OfUY8AQOtG409PYr4QTpHNJ3kyuk6W0RznJGGWo2HmGjjzXRjYye5pdTrWBcwy5KhCow6X
zCaSFZaqzIis3Y1qpw59DSnikosWbAkr2Quetgwl1ROCN6+xTGJB50WmIGGw2B+aQP4yivhtC1Rm
IqMsZwSRenHy1OiO/8ipS0RekdOs1UTAAUiyfXpR062Tq7WG+ZhSvPZvKGQdd6vSMQrdajvvcDBQ
ctpT6wTZodysy5u51KOG74+vf8fdHwny90Ln/ZF4aOHX4snnMgQ61ICfkEjeEXExPcKOD50Se02/
JSZC46+7o9y8PeT07TTjWzrPuKfaO2cHfTYiH+75dFsNxQCJFnOzpe35cZweprsE4DAO4BMZithd
EvjeeDLVRJBaUN9IcHEfS0N78t2ySTDtw8HjqYraKX33hiy9N4NjwsMnwgH2eQwxCTdYbtsHzPiT
pqzKKhAFHQb+7C/RCLWyMH/Q0tL+k8ku+6KBFwPD1Xy9xCaXocVWO7nNO0DmoiAVmRKTUOIkdy3z
5eupd9Eu3ByPdSD63cnsp3FP4eSS6f5y9d9dgbHJ/p4d4ZsTyZ2FQu3KzfIyf7l3ys/Ny8LM1/EY
qlGnrXv06bIhEizOqiy7QtMWtSSQl4Q/IjVNWysMSNmvnQQjsyWC9oFjvqR7x6ysL92SYbE1Gzfy
sjQIzBuKK9iOS8Ps5oYdzcgnDNkgqASEXV6/rN4Q3bZKVIFoUHi33I0phkz21FaIr2wkpxNO18t9
qE1qavd665RQY+4ZgQZswoDL4WDNyHkc9pjGQIVmxLsKp//BGqkFVKGDJdDpKXunq6/x0jFbBO0k
/jGt+Fx5EiIOyMmx0Wr3ZmqbZmiq6BprD2nbvbXgYznt04AoB4W8eLLDDap/+IKy1sv3R7DDe7jA
O4qm/Ibd8DAPWUaFvkdx+Aw8e/W76oAeT3jI2nwxmVK/zGdSTbMZCUfI+KfYwBtcS0L20W5EHlPY
+jeMnUs51OPLDycm9/BSEaQ+G5Wsds/So7XDl6S0N6RLqckl52e0F0X7PKnzaco/edykCTCivUD8
AOv43WcWczmACs54hQQHcbJnhLo9fTUydy+XqZBaXYvbae5sE9o5a411tWL46a264EmzZG2+8pH9
UlfXnkoO+PVbdtI4wdn7AwIzs+f6P07aBwJn8LplaI49RaZoPHDGJ0CSKt0dUf+J3mVHR2NheYd5
B3SmlozwhvLaPlfgaQx5Q9sZgPyi3WlBsprwnNPHp/oLlrh3g2uiKlYnP9e0Phq4vyBVjCiKaTDN
AlGwRzJYjAtIYXUMiPa8zPzuQrGoi3QUfTeh8RY6DAWDUdfIII5ulxpubEYkMplw3rZQ0t5fdht1
Jo/3T4YiBKKZtaW8IdH9RoDJUoIncN1rZZMa8mMDKPnX4pezkmk85jAL7ilQ8kkSs7Ndno3eHKse
/zDjPDrWTnKdsHW+uUp5tbq4V+IvctQVuytI0jrEHrPWyVfbHtiADInXwgFQ5KFZhXx5NLiYhha3
TWVhcIuaOvpNwciCiu5vYE2v8rpC9CWV6SyWFTCwjc+giwxKPW1uKYwm6ZzNNfM6tVxOy11yvV/1
WUm15/xLpT2PoxbKEoJiuzFQN8j+qzbpccp1CiYu3ot2zxyWHCzxOu3QQvETc5MoK2P8xIdWvHzf
0+43oJSZQ8SvxjrvNNWpbDTPuPWRVvNn6elj3l1KD4o4olWO08lKVjNy+2P2oLt6/arZIYk65qOn
sKWOaLPQMApYvv4MKD+h5aUP5fRWJ/0pnybirdJVZzVWReUYBJiMpySIK3GbIEtbI8YNfmFdbldr
9WFGld/+pUQGtb7XFEDGKsOeWOaXiu+XeBNzL31A1jbrHtxqAplLMR722vpP/S+TzODGFMua9gxx
zGz2/5GdLsaqoS5TGCE2MCCWaUEwzw835p6+EbkA/gHqinDo3S7FZi/ghxFuMnQ5HnHzB1o4Uii/
7bShiTOrFOrLe49VJLA9z1zcsSyUU1fsHmZBMBQF3XFp7uvd4MaNCtMS8l0zRCw5NLQDiFlJ4Bdp
Qc5cRPAio/U6qgKLwY0WbvEF/c7GXdeqwyWg//OZ+MPxWMEh2J+UpzDDYjp6Z14GBy2Tb7cDL+XZ
ZjtF+mWnkJPmHLc99mZs6yIaEx3KULU1IVnPC2LIVV8tAo1k5P0G2FXsyVtZbkyKICr0mPr2PcjW
v8S1zMLMsrzNlXJQwxmeB0zulF98VLhjcv+gINZlB+bxNkcbqcrat/s0Aocfz8db1s1kXH9tESGG
/lBQeoI7R/w03wXAkNYGZHXHhkCTas5ZdY5OPXqDGtOmiG3QwdczBivnXNwTPxIb9A6zf4sldyac
rBnTJ4MJmdAGel0qjhtJF4d21xLjvPzlIL3ySU1KF+p4CJ2Ul9GWqPSexHvWx1/mnGVWAQ45WAvI
WMqPNt3iTi33HWIq4ZRkWXfR1nLWeHNIskEo+8MnImab+Y1ttYhdhx+O1OfwRetjS02kMrojMi5n
iX/kouzUskN2PAGviH0jpHMWl1XZFX+At+A5KwIY60qhKe9fi8xId2+GnM54dJAFbNg88IBd6L1r
+rV24nA4/yeSU4p73VP6H4M9LkiL/6fd73X3Z0wYPT5sRw7SkiSnYBIX3V+P52X1AKs2BdWRqUkK
RuTCdrwl/bd8LyeQ2NavyyjJiUiySIIkz7Qp5EEEFSdu71Hb6Sx5Cf6ykVhiW7Nk2cHZ2mGvJPBn
xKK2GZsOaaQcHeRF2Mt30JwqSV58clb94CDO1EteISv6L9anxsAP0UYLGJAHodJQPqYDH+g/csqx
+OrX5FpWMxACrFRaXAxI9n0th5RAL4yePH4FME8diL6OOVnf+ogaBU3UHdFs5a7L8RTcNewcpvVr
bKkMAXdcKRrq9n6GeN/mL9WQIusF6uqZGWowWDlnxwOUW5XzUok5sF4fjFvpiprQcHcmPOYIOMlJ
XWI0V+LZqsQyrRPsarUrDLPWZ/cEy01V6MahImfiZTUVclSom0cl1kayD/yF8dLQvQVQkcvHiHUP
hBph5Mr3Ytb4Vz7rl3mA7cpS+ay/e4CWvhLVDfLa6en+XEqh0yMu9Jk5i81EmRHJJ4JNUDwUEQv1
k4fuNR0meDgcV3yV8NJfHuzwzT50OleJoisemCjLl+QklUDRYI1cSnmnST6g5ctRu6EFsHCOCKnm
wTIedj7JDI7Y7AiwYUPXB1+Avhr/CZRapqNOrLPc8iqmMXA8lM4kM25qtu7EBi6ovdVj/FIpQN26
bVDc9pGpPnBur4Hc4TP+Ti22KS4HtnVsxEOmWHRFDQ1GMcON7pCqZwm5HB5ljPD+zZAul+UcLq5E
E4+wjta8mL2xfNxJ9i/oRbqxNE+n/+nbimY78MwqLjSNie8A+6TkcxDTNtVA3UI58GBISC4ZcHCf
MXih5Y2AiPrLSInefY5k7qVLlDtncj5Y9xaVyXmjfFT5GTh+08Gd1iu1gR2eNkQnNXk6hxO3RFcF
pzn2xD/5QHON5NmhJFSsQ8pf3NoCXzZ96I55IOAKMCeRmxJ28j+P/tYMin7hFFcV7yo+b1yIMa2Z
4QbQmn7wAtgZHoanQx+NfTcQCrkKlrbj/6vuzuXj7oSNF2pRIEnaO4N9CJ4PotTh9sNR0gUk1wd8
JzbDj+oEHiQikcAGJ/XcibrVb3QXD316O/ulmxlhGV7dZLqGXDqeKJ69Hr6781mSg/8MAIjENPL2
NMyRu3JFA9tfEIuoNP6BvSv5SMd60frmRf5KHjgkL5ZPALqTgQrxYoMlZPciu1bKwobReD8bKYKn
PTqhWCvRtdOm4oS9BAKTE8EIro2OiAmeVc5PmQ31hNw6mzGvxXRcjnqhznMrTGHG3SSVpvCWDGKs
5Zbep0PEEAQ1BL6q0B4VqFPjSqA9S7RtpAPWBSbrXUOoSlW1ETFcN9pT6NetzlJ3oEinqh+ImhmS
anDYDcv5G5Z34qpQo0Snj1dPbi8LStYqWSNTQOaDxkK/eGnVQdZnKM0xr7LWKrJCILkUkE+pAtvr
k6/rAKCB5nU0ocoLjcp/zev8UeNqRXxWXYnqiHvCyZkYRwp/10UBTwwRBEs6rVDegUcT8hZixYlR
4Y4MHCHquokLrKLXdsIcF0BWPXVZe18rjq8ro3ANpWx3MkpocyEJWBTFIQsG3yHm2e+nCtKBpWMS
qE+BFaIfW0Or4+r5IPhGEAK85vetze+K65JwbpZIG1Bpx1C72Di5kUhcSHYH0u24Vkw8AZkiCjFA
h8CaP+G1sjPgvihSsJMqq8mP8r9xLivF/IikkMb54Mj3zAhffKivi7BT49NLvgJz4/6aaIY+YOh3
nNkRqbs08/vipcrH0t5XTpcgCDoihquxKlmZNLs1dV1P/JhsD4jEQ9mB1QsI31twOJ0ITxO6ngu7
bET6eM0ZMfSXfSdsYW1qigGRYAs9kdJuSIqGyZVd4c+LCM0iS7/OX4hPx9Lm54Mq7XoMqGi2UMKx
50wdyiF6oNMP9I+8InAQ32MRmeeP4+OzH+YQrv4rD+TER2kReu9F+g3pOBIbe6iECRECDWomKRr2
y07OydErlMKN36tv9LXi+y0a5lQgHvBMYqowQseIgpUGl5SwdnFK9EG0ZWsSw2xq95MbIlaIjDBH
RSp1uVd1nXxGgroqC7YAIkciJtj5Yk1vS2vXM63/367pO8S23HgnFPXfbfgNpgD6l0aLiRKzvYYe
cA/JjCHon8+0ilnE5tr4LTZ0PAG/7Mv1xwDOQVoDzmQv9zM9BHYZPUZHXsw2/dN9Vz8HEQFuCz29
AyTmuHYP53hFBxICUpJ8cy17Xgyh4yg2GP1SV2GVub2r4pujaVIUejns1RiObJghpQ+Yz+Kf6UG4
Yn50wZwSnxCfI1+dV9MTH2GMRhAkz0r3/Xh20nlbIxHCM8oGtSoAoxWgPWt9BNz420Pm77a2eZcs
1uBblNSheO8Ky79ztS2xb+XIMO+Jk4LWP3tFkmzQgVVd/V6h8tbOcmhWoEq9ijSjYYf04I8ayzj2
dO/tN8PJusi6chXHyRJv5AiKha7F37rZ2FVZbUyPFPCflY0qG9GRQwJiuj2XYfqqkVnbWrygaZEA
XY8f7kJdv4BAeoT9waz8xK0hYx5YN+Zrn/ILe/3mWSA3gyCaa4plS2HScwZC78ZaiA5r1hEo32Sr
Tt1/oiqhDJBKdUxLSmIwCERylRcu+U2MqVkbV3GC/0wCWwyLTBPm5LPJcRtryq1/kBdgqUov67Pd
RMduhspp+sXRHrdnLb6U9w4xGjZEIvJl7XcP229Miw9jvOFUYNNmwemPgdSTKq0QP7rjI9ajktqv
2kDDIMr4ND8oupZhA7Eloq+fXstm9YKjqs5rR2WqzZtqv72KHlbYaOjKxRQh8+lTt3ZrP1Odfqb0
VUrJH6BO4GDX4gFkijLVsoIdjOMmz09a7Ef5TqVcs9Fd1aVMqeffWREXK2vVO2sYqbdOtoLshncO
5e5bD3gelRuf+xRMOQC4ghFOitpwJaUfk7DKo52jYnVB/zRcgguISHveZTcBLlL+j7EBDelb5pDt
lrqHzieA9LH3K9YD2ywBGFy0Kpgih4Q2r9pjQqkVtWhp0r1ft+6OW1v4xRsCmR8SBIyVLI0NAR4k
jo+qptUtX5TEQezKkHAe43HrzEUGkpLrUik1d9Wco22+EFdAXXgqcu2ee2YoMhEmeRh3tKyFh27i
FNNdvCVVkHBJ5o7/Rnw12az2OamdR1mOkZJXd+NcL+CTy7w7mdwDLMgdMM/07WMrx2D4RaTtnJ6J
zBBMu+BawhptXyopfpGYt89di+71OjzZ5VKvKJNlbFufvp5akL/QyoKA7AdRTrHyXB652DHoO3Rs
5BfJC6RXh5oPT4wmCj4XnZYsiWiyv6GrnGHuAQ6wfaF7/VR00NUX2Y5lJSXRBm7N9B8BzlqcFs5V
j9SAo4kKB2hi5X8Zdm6eVSRgBXRW+ouIT9YhgYSsPgwgoBlnrMHqca68h99R9T54yH3EABORgdrg
hKfriVNLUcg4mgGIQps6IphAWmgNzmylMXdC3hsv6nFZirQ1uAxzYVyxALq3OtKs+YErjliCMW/I
+eyQo3UV7qT2n3zQEumFSQjnJTdR2SttfJGLJS+FpAyJWxDiEzyvXoNXuyWr4mEoNLW6aK8y6tvu
ABLSSx/DXuMFhQdnL/I3jHUeyA+nvosXwQ9caiANXDgy3THkSKM5CFiPR24QVQBS3Zo4OZtOkVl2
u/qbgNSsCRcU3+ar0ZYGlwyy4NKnHsW9i9aVRSDXwiXDCG/wYM+/H6hxiGL2Rt7mIiPbyd8N/f3z
B8aCFRtUJmUJUNugJKFq/hhPMZFLuAaxtjO+y2anvlBS5frel6zdPcSkrssqvHdKdDb3Xfgb3pR8
R7jWJ14zrwi0CkKkjVMy9DS+fFs/iFEU+ONCd/OH9+CL2GdGQbpBDEetSyRizQSrza4F2p0YPYXc
s5N/RHFLRIxGHnaONZQglcwv5S5WfGkWfy5NAjrU2tSyuUonUSqXcHLh6H1CCRzb3/PPCUy7zhbM
RVRg2s+CnuK3vG01mK4PjZTahmPLTGYuyLX1AZm8vfX4lvlmozRg5j9jCBs4dOj2Im2nGbk4Jg7z
Zev7HlD7HMT/p8Ho+HF9kPw8kOcN3oa5bevs1tX+ZkZE2gHSM4Jd5MFKfS75iaaZEAmxb9rVyezd
pg0BsN4UbQVYVEWH1hyHes8IR6iznNf0UyjnFMuWrXY57LpbrO4DxVYjA2xm+7/46ayNUuZ/Bs3g
taLxaqTxYUJOsiRoxTp1dftUqYnHII1SS7aSlxNRnc7zX5yDvTWBReVVEfJOTfmF1fZ+Ipytq3cd
kAUnZNfD8KT0PS1kNix/FA6TqO0MagU4OlC0zoZcnYG14svVW4+rSHjNuFYSzVByp6WZCtN76jcb
mKFT94IN5BzS+KQ6ngqyDjzkGI7rBhoNppXH5ilCml6FBiqZ2diWDY5N2IyQ9OplPEGFUgoEYDr5
oaM6id0DO1fGH/J+Wr1g8udUv5LaedRsssGXJkjO/7X100hzLAEjPDT8Kag4tw16qf91W8lwkwsZ
bDbMJnZWCAyyop5/Ec9L4t+E1FhqeHmsWy1uhx09cU/B+lJ4cyFjE6esC0lHhFg0k8JHNebUEibP
8Y0trp3qAP4X15QVIaCXQTGxhIHvIfPCQ6YIUnS4pfFa8FDiJ5mEsnZi5X5Zgt8u3y4KstC5AM5y
r/kooolEb4R4HUt8EVFMXgU/RXiTi0axhjfN5HxksG+N2F4Rprzb/32hv23JvDU506zl5VlTaIKR
wBBemkHKPmAMODdd2TGVZIdOzWheEuFKcOgMIB9qFNnyv97ppP7UJlkDpf6u8FZJ/sNSz+rhlRaI
ZQQAzf+C0KYTSc2Wr46ukF3kyE+C+Hgb+OXef1KmnGTzvJOxAq8slkD3EN8GhJehtdJDSTIlRYrA
SOBQPEXyTIoQu2izVfFehFkmQ4H5533Cq3yqG+LFcMDsXZLRsDyCaxhg1opp0VmPOWNUcyoY1JRJ
Pk920oOsVgOTvtjv3FOzvcs1ntweRqAZNLVcqKr62KKAgSqmETIDFyzks027CGeAVrzUeWMVgUt5
UlpgUV4WIMRXLH4dFlalcRWyXKEEqahWjLqxSVqseUeNmQYlrw30GqS8WcUQu37FntTO5jL8ynkj
9P5mZJ0g+xG7y1Q3CUp9O27/901yrlbe47HmQ30MhRJuY4dseZ82QDRk+HA9LtabPOSCuqHPGf/3
MwKOPkTVV2zaaHIj1j0uBCIrbYoIXUswWWuS5t7Vc1cduF0OVgl+xAgtx+yjZB8vNIagOWCSXJFP
JHISxpn2x0cO3ZHC3gkoC2yrUr4e93YfEqumczP5XBkEGrd3w3uhrNGQrqJaqOFVh/dz8DBwpbDQ
I0X+hKUNn36/FIUcuFArxQY7uY4cjVgRZL4yyvGL9nSk77PEXCqT2AAAIrGWcg2sBviz0S0CIUMl
Q0hoyxz03+ZcVsJw2TTPOO4pS1UnJ0G/hwYcg9H4SO6agXAbZVjpQgaK8h9VgEcz0zmdmhtcSyMS
m58xbPdO29nlAKUzLSLTUsz8SCxWDY9ZJcPLv09CSfD2r8bQBE1RdONxtxZPs2hhnqmMy+a8k4UR
bLZi6wTTWUimPQP1tr+qMVgqlp6h3BtiKHYUUkR0D4pdPy9yb2assTTsDJrixFTsbEd+Uj5WOgB1
yKtl5yTJYM0PFGFLexsOsSCom3jERqH4zTukLWE4CDOFiipjZJJu8V14QI9xC36KKJnJCBDaVEKk
DhM7BeTDg6dMy/PhWK3WsBmZcNZRShJFbfCUEgTnZ+64rm7b+wBH+HSWsmlbaHTMqE9OmjeAAVre
GSYYehJZgeF/UqB3dKH65bX7157bU3nc7xVfioI0pdQI96hE752CfsyWmLXzBjMpdRPg3mwRbKWs
lES6fnfyPEBDqJI6XNtRAPt8UVOvCqSxQ57TemFlCt0AeJxu40q1rjFwzYkSuqS8IqX9+ZCHEBC5
YXR02QUMLqCUYeS2xw11YJ4tbAcrqaAecChuKGFHxXTRG+g9dvATwOP/6krkP0AH1nRXYLyVElGJ
HoYdrSThmE4hEDNOzWE6O1u8S6ICJ/vi/55MYsAcp0/6OHV4PlZcLtElLj0efVoR4uMjqxotCi/A
cNTH1Z94Ap4ifSEjQVkZda6DZJHjSt8iVuP9Xtyc/uXB4Q8C0J5R0sDnnnqMtETC/eLF4BLZ/kvY
kqVxvEWRGPCngbJlmZG5jv5SUWeuSxP6rn3ClBCT87/e3YOLK81OfkTtev8tPlFRRhNkTfMcwqJH
jQOXdb9THvHztNBvbmYmTaKwtEXZVghY4GGeT0NxNEEfDFHzNbM3/nHU7PKey8pbE6baC/mMTWSO
AOy+i9HZAz4sQNzt7IVy7EheIlTBAlhEoxIPCxXLoN1kr8Wo1gzEAbkC+krxERLYMyh6sx00SRNe
n2R71iknQNDHa1PE6Y14Fjo/s71KiS/SM983Shl9jqsNImBkbO8g5Aq2wze+PqwZwqzw2yxX65CP
RRuCZ3FLgBLVPwxCA9BbossuUH/1WjC/uKpeywmGEJTY96CWoLnkUwoqRUdn6I6SgXM3B7+y9MSd
/E57vXlMi9xF5uRbDg7FINYED5eAL42We+RVnBXCYOP8J4aBMcOZTWYH6fVt6Ie84tGd079llemY
t/GwxpkSElaCNgrHI1VEDTVuV2CYYpdiN+0Man7n0ZDXtG8Jvw/pp95wncZBOtPSzlK+Hm4zF5vq
lku8EMF5Fm73E+bnlYywzOcMLmIDLvGPZCmJBEU4tc0ra/pbI/eOX5SWQFz9U32zcVE/R9mQ1pg7
5deQqZatYORr6CWe0HEofpfl6gSfYpBmPPeAsyh9W8tF4sthkfO+4NSIjugeV/JtE0RLn7roUBQH
IA62C0dOjVQLRLdlqrKUqOutn6GwlbvUv6JYGL9LXe9QfMbb262nVrWatu5ClGxUt09Xuv3fgeaG
wXkzlAypIu1U3g0O3YmBuqM3SD2+83WNsPjyRdtUlXZDeFlMPivY/J0nGr4wB89LV2WhBixPZCEz
d4SA7+rWBaeRQAIK/5tb0dzvq6RXSnFvwyHhBppVskrgJDbWwL3qz6Lvrqp6DbHPIB7vvLJ8ROSz
dUMtEpJ7nMp69pmXTOn348wuMaCAeX3s8+QQuv+HVF1YlPHDFWO27yHREPFfpgqpvv/cCqLj9btV
UQy5/uJomHHsLX1R79Vzomg2L8xQr+suM+2iZykB0uJiWivrGAelVGx0OpiB6RqtosifybT11dnK
PAjGIwk3DfELhqe50Y3sKsCyRnhlB1EyXjbsQRUsTYp2Vs2UKkMwHq8ko05Bwh0Fq/QAJUBTXfJD
FIAGFTlOtlguB0vVBmUW6B+W5NwAHF1JqVr2ZrnYJDjtcGLqjzzvE4YE90GOf66XLybdulBuve1Y
SFqBGScLBHjRzzLhEQVlxIZdysr+0mT3TPUeX54NJcQEtsLFsf1kAxwPgMbPlDpcfKVoYKz+64M1
gLdUTCIf9EpBCnlHorcRnSd8h2vu0Vw2DoUmF+4NnZOyPNS9oJ8po9f+tggdmkvfa0IL6/DsKdi/
cRZskgx2AkvcLAP+Tm0QAoSt550IJNj6KfOPzvnSWOMOL7ufHdYeZRGKT/Fvb6FAkO1SHQb2OTzQ
feo66tVT2OezqklCAur+SInjvpir7MIUewbVvjFbHicyvVWcXlPYY1t6CfLJsLCZxYzTwVdRdxZX
kYkZJi7HOqVwIKh//GrmEBvYzARFcmLmyc2VjCE8PmegJKdifHdTAyd3nyrknNFnaGGHHStmgPlj
Emuu4JCNeumYbR+71xmNsAifNGxGKIjm3bjUJ1TAwP38caKjvoKyhIenEil1uc7EZmaNt1cE5gw1
4FW0Owyk8JOmLcLOhI00x5cP58kWc9FgPZeC8thFzL5kyqswj9RqXDymVfldUOS143fHGde3WaqR
RqG3YHJRELK43Ri3AUB4LHBUU4jYuMch71gST3qkv+HA4nNG3YyJgf6bCvka4WlaoJ7yKaWFxhcj
hYMpIvs6J+SfhX8S928CA46fAcw0IXq4clsCROW9HYJ+nsBgaBErjNNtEBb2M6CSf7u9PQkpQdCI
j4ti3dyGl2rLGpmPUJ2x8Uwkqz9Qw7BZxxugwiaI9HUjNeY3byo+iXpDCTpDZ1mrDAPqhfXmvouh
umFGKyJwLLQu/p49Ms7kAQ1XhHj6BH0rne0gt9+YK4blkVzG3LDBIJTcoaoJaDu9a9/O0gxq6b+W
GCdS+fs0LL6+L7neWR9F0hKuedh13S4Gf2LxymwFTWIGvUEfxd5xRzpr/jtdK+3f3FsewIAtPIRY
26fiSUZtl8SqNC29oluTuRMNEJPczP2qoygESHvAmC1hDiNBOJ5+qPYQy3Im5Uguxecst4OUVP4B
BZbYmbB6CIdsY1FNIRn4PxncNviDTXdRPN98neGz4MCxppF07wSz26+OazXVQwlH5OHSGZa/Tpro
/ohijUxs36DiLy7Jw+BPPkmI5Jr1b4mbfGamcfVWPfDrhTBIyeD+YcRbLofzv5am1jihDcly/OmE
ViaT972GqBhmxoEEUEj2L6PCY3emt6+q0hJ1vgg7O6UCDzsgOH+O2nDuSoxZA4REEFiRewykU14O
0KwOVcyLaWOFHJPBHxdaWtjxolY+q3AtxJHMeDCogt3qSdiQ/A+WpMGVg8COF6r1BSteMPdkL6pE
p+vhdXmuUJZwJg3/GdNcz+OSs+78Aduqmzw46C7fyQgSlyXSc8pou79Wk+PHs0P5fFLtHB+fwvkn
lahXMRUj+lvhKVgWpLk8ZPSUjivajkMck84q3IgXiL6ATwhbaDYm5GA7aCsJti4ll/Igm8VHzp68
npeiVUGqzmgmm1WGrl01deoi1e+aQT+HbWqqQYu884fQfu0JIICIY1BWPXzoqYQxobCiQdBHriuW
lF9P8FJm+BwWvT23UDIfId2CtfBKAOVoCgKvCiqVXTGm+Kun9dHmHNMja30TtqDNseBW3C7RSccY
TCEZWzk367HGFOrc6ntRbmyrmMeAe+lQfr7IFweu/ycbwT9kBqIejWM03D/1xTEqCdI9xKTqJqsR
/iyQK/gx8oS903b/1SFhxNUhmGQDYy89GpYkWhfkz/hTT8WsrqvQf8gEkH2uAo7I8xLsCg+lMxEp
6F4S6PDkMsB77vO+QKTyY262L0qNvBmip+l2MY99xd7S0tXwLqQXz6pNrOqbEV+o3f6Yr9WwYS9p
L8L3Evxvby6GJVfpkOD0IHuVAxg0mMcEIbh5j6aJ1dI2/YXTaR02sh4UPPYQ29hCiB2l45UMtem6
aWSvPPJyeOYSrdAvmXqsGU0641aN9trYp4Gfm9POvXexY46BHB6VQ7Q1bIq+uLM60F2qw0XP2DP9
o4MpwuHj1Qt2Sd0G6Rh8MkaO/mv4qbvLVAGqX+OSTQEh+KsAM+ZfzOvIfm1j+itQKXFjIWoga8mS
GmLsupkWIqQ8BlHN32b4aVirORQmQ7pXd/dlavs7f7jwDwVQMYO2kyHsQZ2BOzBfmpvKY2yDkJGm
smqCGWFxZuJgEctwQz3pmtTQrHR4MrxGGIaknugkMh3fe+bYzlJCGje0R3TtYgPpGPPEsqMgiNqg
hVJnDWmDfoyNrlZsZ06cl9Jb2vI6c9lsNyecaQ2EUU43wVKu3SzjoXNB67j2FRkuGqwwmY0uFLq9
L3GjU4ZlKUM0+hyrwI8TZDdpjeZZUQoFI0/QrCFcUy6+cAgMNTdzK71oXTh0CsrbvZPwSNOvPYA7
rPrkLxeFNlx5cobNNuX4TxvRB1Lsy/i18xoizXEW3PhM2sbZCwQF2gDDREPTx1rlm6DWLbZE7kxO
gNAfgzXUN2rVEa8CQXR3ffotRrarAMVFf3FKRFKDyWLoKiKaBixxlVW2dKXQ9ckYSQNF/9dSGatJ
fe/po6j9vCbTDf4biDnMGPzhj9QTermByUKoaMZOcHZ0aPjAEdgrSZDJFCw+JaBJhS//UD+CB/hb
SgJMLUEsqKmQePOqn5FYO9wFXgEqaWjLFq2Oj+4DMC1smzZ4S/2SB6oUJPOOHYctFPFIWEnErJf1
2O+rMmQtrBb7mpfHbbTM103h7yhbarz8lCUXt9Ub2pc/kN0evENi1sqS1sE3PJL2Tz9510+CoeC4
S+Zfx9jtdRv6MDVydCkGG9tF3LVcgkFS9F2jwngUnY9VVF3BFlO1qlSHHCfFzqtHMiQrruYVYAfT
8AtMdNrxQh8+0BXzDMKiOU6OGiN1krlw1v1bGcoiZeB2/TNnPlaheKw7WJNM1Himq+9Tdz8a4mJp
2Sj5ICb8iyjH5gAjpGGKvFX5kJvt8BjBw5Wa1jBkjxUBW6vOmJfplATvowtijrYXOPxyhu4IXs6J
p0GHu8+FbmwlVV50E6Gperj13yR2u1I02prI/fUGGVhYDJColmP+27iOj4FCSPamde6gk8GefOvs
HtSwp7Th27wO9+aoibDFdAFqpyS3hbOXo+Q+Qdr+GIcCJ7DRl66c8vWbgm4wbmCoDB5uPSLENemS
Jn6nwBuMh5kBzrzM01qvwcHUHXjsxLA5Cw4HH9MXanZDXz9lN/hn5x5RghNyVxAOJ38GSyMv0ggm
e4/ytAxBi2fgONCl9/g8GHS08NATV8zmRUb+LbhGHS8QlG6TXFtaJI9zFeld3r526urHSqpvauv8
0zbQ3hkP4jSos+mP0niAHMfw6Cx7k5ls7H1vMVM4/LeMQwEN2S7IbR6JwGBjqfX0bfNv1jOwME5v
NCAVBM3SDQ4QTe4KjpvfVS1zk09suCd3zRDWqHk/Q2zYjaeyjzgr/URhIKqtwv2SYy7DRJ0qfceM
CQIN7TALFgJ+FfIc69Azw3Tusm+UWWWO117ft9agMX+mSxHhuf6236QKWN+tTrXwojGX1dntQdjD
JUatQ6BN3IWzo3aqP3V8XuE9ejHB4enlVJDph0J2RWoYZUm0jbXMB9YzsPpGdgpa3W21XabxFBfx
4Khmg+PuNy2uaKyYcGalVy4dPZWP0kHBOAhPM2QujbwUkowLosv5scJe8iAyTTdm0i+qMUIpNxJK
Zm+WHjdAmHNMjHXK8LwQtqFuOCW2Repg4CO1PUuZExqpdlIQaQszhV6Pb4ENStv6bdkMbTnTZ1e1
dpT71mgU9Wzx6+OOdC58mt0f2zigs6KZw6mi2xUvswS/+m8I61GJPZO0HMhUeP6sIOR27+VmJTZa
5iBBP3TPIDjN4kPOo3ZHmuAibPChCRcWV+8vx0cX3UO6vwGbfTdvI7CessvrDSuutqTaDQG3KNxS
1WlmTE7/hb4X+U05GpNSoj71YTFm3pwilWIqK+XVecKxAtstXCdOUmPwpLeJ7ll5HMWVw+y+Er28
vApBCJ189WMUzmRtOxl94kvnUMTVXjLyt83LqAT1E6/NDJsBRBXTZlEAtozcr8DH+Un99F6AdEue
glpafI0P7zvu2ZYg7F2AADhE7aZfRrARFCmXY5rZltg+483pD87Nx52gU0y1ELP7snoMnFNqBIy8
3zde8npsGZERf+9IF4lP1rD73CPzfzyw7UZUq+KvRgyb3eXlRmUbV0CbyMUh3GM94eFhTThhJ/Bh
cVeDQamAV//RvKoG3lwZsv3CM2B7I8IhTIvQUyDqIfRN1PuRRbnVhJYUl2kphTtg1ULB7LlTz8D+
5VfnL6IAYWRGB8rb3wXMPuU1u2PLTEhoxCnGLTWJzp58RBlwCoRYOvvVJj8aieXy5Wp5CcZd78jS
pYCajhRWsyvdykYdFHnvURaPmU+DftoSmFXoP5BApTR2f23/o4+xy9A7UpmC2ceFfeYU01N8ndnh
DFBFhdCg59aKu57Jvy4wOvnWfkiGget06wXU1vRV7Hqw82KYnyY9PclfthqUggUBisEQuH1JFq9Q
9eW+M8pA1wGHdMDJAZVpcDgWqiAa3VbT1wC8Ls1m1AYLMOhXe+2Ptq0qXia08Z8UzRUVHwTKV4FE
cFBeEkaLukR2MIuONy68cxjId+7kUzj94wjl+04LSZwXOzGlsXx9FRPYrESvzrwicimW6yU72w7z
U7lLMkZMFloBFV85AimF5jZFsaFzmOkXlmp2X1zu2Z5iY5x3W2hpEmb2rl0xbN/YAgy9TK7KovYw
Yp6aAody5xFpxg2651yRyxCiDwF1lh+NpduI4V1RBN/eApGhXrCj6lc7fh638PWWPCtn6+wGQG1t
AMs3d2Vu+fx1tV5oDywQDG3WXJSNKU0W7XKbBSOINlGmNFnaWmiGHNzoXJwfbXQht7LP2v0UQcZm
ZeidUHpspLnlVmoDmiVtNqLznPE7FhWHhI6po96ERqbb88sHHYgHzjtSmd/q/YSzGfTN9pCqxdvu
F7aQENdOnQdmii0qlDgcym9e5jmymva9YLxnjMpG7DqZS5dBrYHuoxg4tO6Y5fKu3onD4nLTaLCu
1G1EkUt5unGcESEM0JmeH8+b+2kh7s1sEcjSSFWlDVR5FVATNplCIDomh+HgQfttMMq00/sM4yZm
dHTEJyCDkOk9BUeDc+rBK7BHpg7ZHaKdlP6E9uL05wsVbYEY8r9tfr2b9n3ERkAesdj9TPczQrhS
HjrOvRnu7fVmpSU5b1IXevGlQjd5H0YghVRwzuaLFLrEYv8Wr/wyjgB35Hf7Fw1ZhRnsgCe+h+FN
am2FsSiE+/+7nsWJszyD55Jm7cgvq64YEqG8Fs2SXjg7Zr6XusdhoBGtAfVman7L2iYnPrRIch3k
65Avsm7QvFV4rKohbWORPsQ0DFQhyef+O1RgCCjLPx0TLJbuO5gzU2Iz/WPIMlzMfYbwlijZMktN
sR4J+1H728XOrx4zPC7GWDg845DqJGu3T6jiMXWeb5BEVvQXdiuWVn8xoQEiBvE91dZtcqzAREgw
NjT0n+ulaOqenZ534KG1OCmGx1KWgTx0n3sfaFWxExnVS2StUYzqOQ3hnDpZ5t6ejQ+8cPKvxKTC
R7CXrkwF8klwLz+Q5TShHTUsrHP9U4QCWKKk/afaRe1Z7x2T4UQ2q1waU/R6HToq08xvhonuZMRW
FACYIkgJf6aGCQhJMRSNTJYQXyPEWB0sr+kxYLA8HKjisCOD6sv+d3PKSZvZqUtFHhroDglxUOJK
kEeB4YuvFXn00Kvns+6oirD1Cs5OmXi+cvHjIuODxL6Nqf9IISfIrThOH8bmYm5BmtU8xWle4Gc3
R6GNLuBKWMFC80tx/GjE286Ro/b88NfNdjHn2SUHFT+zq1JzAmlQa2VeqOZAJvZ6FQnLKPI6FHVU
ZgOE3Br0pMA7N3oHaLiOwiiajsulIHM4ls9gL5Yiv5DeSBTDL1Hnylz9i8kIUNgO6U/DG+Q8AnMn
F2nOVi/K2yW+maMHmrZNP9BVqtfEEb/UmF2tqvJPQh14mD8HT6dhPlGYJTrpTiyEtOofoecsaCgX
hCmuDW1npWyVMXN+c8z997XGqbDsA61UxUbIdK95dZSYyQSgmH80mFd9lMl77+MV5V4HIl6ToTOw
sCZVef938VuNdIBFn4hqX7FaPKwzBK7wmMXH53M+pnztRltb9L1Z6zLy/KMyTCt3IvVXj1DpzIlj
cHdjaWsHAIw9MneHGYVtbjii7h2W3tItV6IGVUqDyE6Ev8TX9Trig7HFVXsg71ZsOd5fasAnPiFv
tQ6I8jsVA2nBGjlSV7/ydfxOz2K1362S9h1g+cnEz5Mo3CobT7CMPpZI98MJb+b66egMML9rpRUj
+oeoubdCSXN34hzehh6BfwFsgmkQd36YR7LSGO1+aKyRYfvFZRYxwmeescslkNqU60v0dKmOyWmS
H/d0Y0vnMV7bAspWweQPYNtm1ectTo07vc2CRDnRxmh2uwN0v82jQ2O3ja4mWsbrfD3zJnize/pX
k60OP3kuR/+kRXo7u64583DWvbr/pR8y3CVtZYCbj0VBZLNFvdcwgbwdzqQ83tKjU5kyI9Gytyds
vkrbT9rgRDHd0S3MHn6v36SnFmmgobDAxbq8W5nrGMlQn6A94+rzOLWohZWD7QYYfKVJFL5G4jI6
8g/jEORy7wLu+JzjgPfp42qY2y6svXbwkLCEKGK1ioVCNmqA0dlkqBbXcYd9vTSA7jYu7OPgWvbg
XpEw1zIaOaU0NM5y1zANtiphRnSxj7Kn/nBuLuVsc/oDTMTooSOrwaE8IcjzCprp5xzU5zowLBBA
9lIfv0cqXZxr2Xu0zysDJRR7CHbO9lOa0DOcvBNoujUUDTJ2h+7EeCxBittk0gyYpKuW6GcyJSvT
PxlcdSBb4+IVjUzUIJYlxjFqeCT5c4JCG9so1fePzx6v2+XAmnNFrowo82f8o83GW9VTH+bpeuQv
nemRlNIffVB6zoSDlm6awGzRIF8yZ5GB9Gvpac9vlx/icCy5QXsWqCBfju/A7WXyy9eAz5v8BiXm
SPdmn2J4FuooYZpz98kk5HJvc8HRbLCfv7ZW1rQqFSB2m6I4t6N/M/3e546RGShgCsZsbiJZlL3u
d4jpMw6KBhfPyglrd4SUOGBWjlnjskJuGhoU6EjyT/t12hH8DAu8SzUqSdYTfCTG7zAdi4FoJtnA
VS6+ARVlvWfkB4jfXrCS+UFn1636Faj0LsKacsMjzRUWr9FbVpQkU01AmVJN6cr6NLn2qe0Y9O+N
bn4aFhtiA84KEzeRGj3ZrsyG4H8wJrHP8gXBOb6MZ3dhXsAsZewBPuixRWDB3QPHti/0ZXjnbJ75
DSfsphBP5LqPY41ZBh8VooRi0noO37886+e0VVh64W5jrm8o31CV10zZklfOUK0nkqtD9m01crzV
gFfZYwUDbZX/+5Jz+C5aNVYKOo5q+qrS878hryzSn3xRIph/gw3LOD/v43c4tdzs4IemwxVMBF7l
Ti+M8bpV63hexj4oZYyyXe3hZPuRtqYyt0kJCMH8FuIoHSFkF+244CVWmNPCQQZsC5oStpXJe7Cg
H1a6FvMfNx8d+ejxsFaAk8RiQi3sTqY4kTzngLk8tH5w0LUd1f2QCj3qW1+eIPrTe+5U5FSZ/rxL
ocxNKaVG7mKTUY4aRtz+VmsLzQ1UGh7k0hqI6M9BWebLuNrJ+7MfDGcJacPxZd6TauRY3ZJofsWX
gBkjuuLQH/Bj2bvj7yoWzZwvFkGMFHom3/fzXNiYEvv69QwQsWgb9zI6cBhhiLj0GgSBKjoo/G4s
VOM/Dxru/pBKR3pWbjgVHYCKSKgm72TvxD00bl6cP+4jITReVFV3iEUaf8J50tyI06qQS/p7S9Er
ZtDuYQcaEmbYVmneWXYZbVv7+6enheUKgKmDyc4gfVsPdKDCPki6TJwpg+gbioSuFp0I6Yk1aqsr
tbbBNEVb81vGaxMEdbeB2nGr05AXl9ix5co6sWOfeZs2pmMPuV1awq2e+IKk06V9dkZyWjai6wTW
hiSPxNDKAoCHC9wvIpto+rLA4piRPtqHBD4da+FoCvZsRJtO7kI+2vvqzhA6TwirjDuCQ/A8TOdX
BuPCAD828hqUeICkHY4QSx2l1NIu3qCrjMhyfXLVFDoIv3CYI7EsHEoUJzwzhR6pb0poyOtPv55v
U7rSAnomMKpYFpD3owTko1ugifLYDyLU2fnT01T38pp2SI7hvve689mof1LzISinK/TpwZHXu2cS
J0ZVYYGHyc5r0RS1UGsOi4WlDizYyktDzxcG0XFE7S8ZltEeOx4Dqz5Sw2Jp72R36EkhrzP3tBoS
jT2tPxJuIP9NqV9OGP3OwBO355gF8flh7i5qjGDMfXIIOmaHiLlOLYuFDzhevu3F4kL0DqBy1ym5
OpDvbCwDEzVePiWR9E6jOOfKmn4xiAFMZmY0fAxMzTyfic3+k8NR5af6sBuj48JiUJq7G4N1XMDV
5PliVhYolFNynLgZE0zOsHo0c3Ynl10XlVNjbPRJmIvJa0sx5mfSh23CY/zIeGBdTUZB/VCmefEE
h0Fhg1/SMyeIElLF4iRtVafiMKsZ0ZNS2sDe2MuHijw4CEZiMrgQPaRUgJhnceBHmFD/oKb98e8p
y9HNBvNS/emUFrmSQTjKqdGi1pLEYwtmaXi5N/G+IehstP6xUg8kgEQxDPcd2ItcsIB8SROjLqlg
0cNkAR+NhNSu6tIV1mgefeBRq/LabEeda/5gW0ZcJ3FpdJzi61pWa6kyOKttdinoe/OE1GNHyiv4
0Eb724vYXesHDC05RfWokjc5gKmFEjHtgIgoqN5B+SHz8iDWG3Z3pXA5nLqwT2wlS90QQ2o1Yh7v
GrUQHf1tGfRE8eD5oMLUTA4e2EPtShUcoj4hnIfX2yn4Lm66yonHbH08tYt67RMaWQgnZvQGzjDK
8bXT+8/NavHxm500n8+azeVN8H19D2Fv6blNBMmSazEGttd+CnDF5uQE4xXKS8CXnxH5c819hsxb
vlvEo7k9XNzjnQptlDJggg6NVW7oTbQvsOvtucqTpG4grc5JXRWYigDN0epQvZPQ6sBAQ6VWMxP+
tfKkinQ/qdhQs8rqPd12fvzmpdxz33dZHqYfuxcpAxedUgizJIQZffXU1wIB662B7AwR+EVLfAiU
dGT0ZQgoatFcCT8WblRgt2TaOW5CTwtDdrq4dyd6mUCkgTBY7Y3KQfRlfdF823GpuTOHGsW5xWNn
/Ol26JvzB+xDn5vwlFsDs1O6JRXRToPuOpmBA46069aR+h47gQ/FF0Gqvikh6Fw5UBQDIt8tjwK+
Hx3GWfcb28lCntJaymEj5Sfd6J/a9yptP185iA8SPEukGjn+RbtddnSU3UHnJa6E8ToGtf/4mpsB
5Ip066TK/Bjw7sMowjzz+ZuORvfSkafYq3p36G22noDMgsLCFwLiAzRrVsbN2WHNde3aesBFhLRr
itbYCl0tcVukqn66oaGsmCO52YmnbcaZhSm6O6KICZOzY7bOAyLPot5LPuZ0D+4Z0w2Zs9evma/R
KmV+8rRjq9gEAPhMTCCqVwU9/r3kGlzos/wszBXaOV28LfJq1iEuMEohkyVbHNVzYSFRbfFvNVeh
/7oUSJRoPaF7Z/bZ+nxzYr2Tv1bSgKrTEX6xRv2TjBubkkbv6Jxcj3/JxjIy3iKp6WXX1y8vbKFU
hRsMu0boYcBPbhSiTkikZU2MAPvw1BvO7D5cfoOEVafl/qnYWBJuO+eWnYSTdZkD2xVsQnzjc6HR
/d2esBomgvY8vV3PK+FLAoXIGHwOu4PKNw+Ov75RBn/uEg8qD++rMm51DHTeVhcFBUYTreimAr+n
TPsEKfnDILV5pdjsQvmb0pgUJ2GShXrurevLbgsFDnoT2oIc6w6lese3GffiHFYdzOlYzPuuzZht
Dx9SLx3qCmLIxdi+nuCgtfVUC8YgGcDNuvT5WD7AzdLUfhuaxw0lRm9zet3j8PDSFSq77CGBK14a
y4XtDP5VzXtn4Xp9jj0Kg2kqLNEId2+mWIynQVMwyVlBpXz1qbp6d+VL2g4m1UAuYee6X4t0EUZD
HVMaD+MGKepKC/AEsfnwxY3C2fYIRQ3O4smF91JwcGbJ5o3pgr7ncotIJKiky0ePm7i+WvGFWdCh
GQXLAn4VFxlm5RQSXIxHQIud86DpHlYrH5JQLHBUwp/iExkWNQmlycrRDX+RJdLO6fJ7mT1O/cFX
ZFznEym1zbCWASxZYzzN8gVY5ke5dqMkFDSeFvqfogoP/JOyzf3pnoXj57ctTwfqOHhWlLykPRWp
yCp0i5ruicY7dYFRXwk16ByFJqbhvpOKKQTiBRn2dUBGWA6huUUg8YrIxC5Xw7sRsxbl0vJ1mf7m
ziiW2fwp7jNJGYpQ7/nqm+PukUJtlWoCzamb33WS2nYrzBElBwa/5o6UGaYnKuwm4TlVg2z1xSNO
qoRwO3Ya3D7b24kzAZdvlckh1nH05duWHohhw4GA3Phnxu9aVu4c4DN02iBJkUAb0x2j1n/vfzbm
wpGYJyZXVkfJkUSOz43zL8LuIscGjjYshy8Nax4xUa7qcDeu8kHCWMLCnXmhS7xOo70HWK/GA1qQ
nTNpBd4dmGq9pSuannJ+sKkWpmQ+yl6smN5AvlWrvwCE2jPKk+8kyeAeSP+tdFqsWOfRydBGVljR
+npVX/hyyZv8sF+ftgXhH2gOL+3ZS4uiN5j1eOH7ewuqzmKGQMcswFAZYgGMOUPT19/ZmVIVe90n
I0gqXkTo6M+A3PtM7RAF59Zyh5lNo3FV41MaoIDOdXa7c39iHQ25xgJQyHC2yNG18A9WXzjKYQNy
4IOQfyCdbJdImppsyTg5JR8plmrnmlxeaT3nNOlxnDDOowSoM96gAz/i4XNE/IDgONXCZEfKsEch
kL21XaT91TYm1GOTotmgoDg7UTSpZtcsi3XMEbYxuqdzqL911RxTDpR/c5fMcvJrTN9eJvrQoYHt
G3NZ8RtVFVtwDk2jXOGHlEkrBTr2kN31HcE2OZ3PdF6VEM50h6DmEaTw2JCgImTxmt3J6HIqLSZI
tHvZLJRc221xW4uhJnFoLE44WCPySqNarQGXhv73Tbg0ei9k1ZiLCTYG2SlDQ4mZJHJ7EdEi9JWS
oyxT7psO16yoEGjLJk4X9VnJ7ANH2hCr6I/baED66xLPOLJtfDo174Y7S7bbRaXNAWNBa7wJGOTc
YEWury/MS98/UREx7G5t2fCDPioeyyZ3wejAl23l0etFrDfUfgz8pF0tEcbM6ojPFM0y+Xgoo6E4
mIzfPkCZ7fphGMAQ0/kBphPOi/kWKdy0Fs4mASovL42rcn+Yv6AmxX4npiAmWTKZfLuaRvCi2mK3
Nooa0CLjVN56sQA7U6ydgDdkul8NbLFl1lrFIxrH+9IVQIy8KEctik9u+CiCD5G/0uP0QrLh5yp2
gnRGUlUT9vWfOFX8IGFuQBqm2I9dDixgj0pPAf//Vq21aG+VntZap++L1cp+cW3l+xubFi8GZUy8
sCViwGdHuIN9TFbeqmmR5UPjg7WceS0I4Cap1Z4wYUl73wQo1yhxcHHK1sg3JmglK/2pnjbEjVVW
DObYic1jf5dwxqLuQw50L6UkioXNiZKGVLvKRGmvmecgda/kqzGZbkIbejxCsipNEQBOdY+r0RyL
BdhyGUqL3PftGTcAraJlA/SGeVi3SqS9/wVjh0lOHGePuXMuALHUBUkDXhNMxwHAwZMQTeje/i1U
Szee++uVPpdZFIDitI0EP7/7sfWWimituBkjBWLPhn19BUezcuf/RFnjsqf9c9ZELWxgujTpGRhX
YCqgUp1aoB+HdynvLmJS5C8GYbkGkObo9NEIwW8VWStzbAZmd7Oo5cKKAnwjkEQhyP6XAZxfBGZ1
kSiz3GIsyqQtyECBoEXZNakowmmCRalmDI3y/XYXt8/gleuAnzkvZNkBp2RTI1ozZ25xlnsDTWJd
GUIdc3UBGQVa7mi2EIr3yN5mT1bRuCIiqg5tfS/kNJCvy6iHUeow4ekCCz/8xrKLqFfvMcoDALsg
PpOqdtTEIa/t0HcrjXY9H2ZYev6CgWCrtkGzNMKjzjf5+r2dFp5tQDpIHACCXC8HifeKLTSwlbZQ
CiU5tkJL84Aaccd4oJ3A+ENhqRHoIsNGlX2AqB2Kd6/4elg53Qs4z8+66dZvt4KpEU1qmbt2ocDF
hW7AMo6D1riQs/pveRA/BaDmrmhGFKEvwOUsVKU8Oed0x+EQbXHLU0NARIN3H0Az8JV47mlDnlrL
WzzJLfldsrdNXYwHUd7qb7ckK3CirCe5L/2fHSRGNlnGjINlq2nlwiIgLt5J7CGyHRoFXtGUaICn
/1S66WzB+4BUyTEDb3dMnKXRaCX3xZloUq/fvbOPIP7AG8Nk70kgHxUjFR557xWAG9wUMgeW2C+3
UDVyfiIXCOUEvKW+z/PoAq6UL8qjpy7wsDUPtGqgW7xrWs8EAaYmzehsZ7tSbH5uqZ63C2o67Nno
nqCCzeYIh3spFSJZLBlfNRzPERUefFN57cDSdDdV43YIY40xPiULJDUAQIrB9iNM0VpF6FOngjvL
g2EsB/0psxj8N5gf3SW6vGX+PewS53nrvE1b0L8CAT7QQuLEEdZJfAsqBkUJRPJ3NG6UeWZj/Ld2
DiRJ8ZUW74SP1eoMUXVsWQDStefIHFOf/Ec1frJ4IzbFuva7tzC6cfT27r2Xvf7vFW2E7/KUqnZa
MiDknMHyc+sYl+POJGgy8pytEvoophgL0EO6x8oQNM6HTImkxCz8JpMaQ1srcHRZ2MBIcRCrJ8Ls
2n1kQP2f+UzyKFTlIPXPMDw0VQx1oH2Re/5zbkLGiclZWT41TSf0bPNpqJ/kxPFC4C7PzKjA3KBv
9zQoWfpcUiiUjWY8MyndOaK7lTOoze4QC4GohOz0ll0HW9CjxC1F8UM3l1BoQ0xpa1FKdPzkO9rk
JGmd7+JXuEan9TN0Cw6pBPVuChAYleHq26oZDFN0rX1fump5AiSuouLCD9F2XrCnax42teaA5/zl
0GczyqGAfd/57iEDYQrsUuELtzA6cllcQsWBtjRF2CI/BNNSguKEX0+sRrVMSSaR3jHnf5tH2AeK
prbmDYbG8Oanow11R/+aZQZkev/s2D0pVHchZMko+SIykDZslVke4ldbGXHe/kZKvjM8C0Q65hv5
+yplev06nlwaChBZBZSNDBd8kVynu26wBxqesHl3xRYydZiaXW6JySNYax+LMTfDRzkrtKBTy8yF
Z1uSacsKW3wUliEQ9z6jWegJBoWHjAyjxWgrfae5eHn3gX7INDuPKtUhPc9AXoPiRTSarREne+dr
IzqqkC14cxMnOS0yas9xiE+OyiYjUgFpvvlQ8vDyKeMXwlukUjIrGpvB2ASITJm1ZNyTnNSperzC
V9VrokEtF4yhJIC+Xd23Q/4wed83kXAtl51PW33YBM+QO8kFWyUUQpcSBRzgX7uuy6buwQXKLj5X
+t3XgQhWK5mOlWjBAniVnRQxnrlir38wVCfRWLLX6yYlJIRbSogK8zg13/vUCuOmU4UHEAPHyinM
RwRVA4gZlwbKDoUZoemM+Jqg86Dtic6XT/6dIzN7BQTBbDDtiE/TZdkKp/wxjUynr2ypXC0a0fnd
QN0kJsChPIlN8TL+4uAt+Stix+lfkPVpmnRs3CWfsAVjhLRSCGclnHSQ99LuROuYwKrq7en1qjIE
hTXPZsso5JUD5I2vExTWvr3lh/OyTmjAQe1N8EN7hQXN9PyTcMy5VyWKH2xqeb1BFmsB8MX28CcJ
m9rlKDqxPXfNyOrPF5GKg9xsQJRO2Gf8GrbbgyM1uFgT24EcwfS47J/qwcOb5TtXyu/dSi2KDLZV
CkIazwcJJPg6vN3xsbYGDBnF/rHnmbNrJahoZYoIObt9p1djmSkes+TklM+aBX+q2e0jJKVi6wB6
s/jQIISRiSm/+96F14m6nY63DMww5kiGxcmdO7EhgyXTdwHKD3Au06rPX6TeRcAbKOVW99oY2w8p
mCNY4sJ+rX00OEwdW7RLVxOt2MrXlCOiaf9I1qS6RnD6NCnCTTSds++ADXj4iDezqb9Yv3Q9bZDd
vBG5ax0fRmNt1JU3NBFv7Z1IgcE4xzuGcXPoTiOPLh42pa7fPSL3uxzDoPaI46qIfOOCiXew5Ecy
ZnBKqMDY4zNzeRmwE/dMPM66bwngWCiAaIvnKSH1hGxtW4aL7pFQBen1iduYXWRqBiehGsVjgldt
mhWhQaGjmZF9nl2RKEzsXtS61sD/4XvXG5mN10I1GzMm1OqE6rc2t1DC/LQIbeFm1PBTZMc+I8BL
WAkvvgiofmefI/f4dG/tuG5CLcYfRvSCLwIKat5mt7w+EmlRHQaHYV9fFrPfE7swfbJu7/TyaiKD
xoD/a39RRggcS+gQWZCkWNE+2beRh5lA91owVA75d6YonoDj4/1+3OlI9r3beE9RuIGA5L6gGq2l
lWjx7hbJG7FaxdcJ8CzuMNt35V12aKX/ijH8vP1i9l5rCOpqcite4CD5PUjND8dqsrRq6ZeROa2P
p9pJDuOufBaUGqRndH/td3ciRXrIlZCmGafT0RJhKJ6sS7AkvSS9rJOvma3hzdjrhBCpAwljxP12
dp2iwA1/yTmOOebouhfP5sctnWCWeM4FQhatJEiV7R80M6INYJanQjxxYVEv1rjJ5Z0wvaNLyZtY
ZmCdTNBho7SCrCjkhE0rSCmCnJeX9l/jAQMIdnAZs90rHwglx6Q4kUKIp61UbdBQ9s53E6EFip9f
ov2yt5RGLZifXk5yvMOZI2Eegx7zQDxGuwrZrdY8K4UhLmgrl7zVPp5lpZYA4ZYBhpj8qlTZ8PLJ
kxDwSUCVjpObkQ5ulbnk9LtqILcm5t+Z6mTam4xFqOfu2xRfugF2xDZDMHUJ/qNkwO+cSNCRslWN
k1l3fM5Bddbz+6Nw2Zi099L6jwHBGF1T1dOY5jszgJ51s8mVF3vom8+tvCNjyplgUGHhaFye9hWd
GSr2mgh0yMKXaEaBzKnndnVK6lR85+w2+3z1Z+SRxjbChivCcyB+B9sSVYr/HiH5eEFmxCYcfpfD
mKacRMxe0aOpRtAWDb90YUmtlVHB+KwrIoEqg/GDhM45piG/4XXWRV4dd0xnAmYao0iOYJjvZfCF
VTZakWLUvshLoN2LSchDY9xz1kRfrb6TAYvUIG+u+KfayNVjlkfZfJviUJcmxRTnfcMB5zcVIBfN
uXBmNy1dSbHbuMzOL/dJQ4hTxYd5qesOchE52keZ03G/fd4A+9HSUxn8SFaTHOYbvZCjrCH8NCOg
J6r9H1r3YzRSrbKs7MSVKE6t8Y2UT5olZGeDF3/iWTf9pekZAC1IgpMKhrbXt7wQv6x3QzjN5kuF
fbdLFuhUuIJSHCEOvMLHJkZmugXMVRlCKFSoWz3pij5kN2VSTkVTbUrPxTu1JrWOMaRtqeH9zNrz
lVGphZHLhmRsPGdadUki6WswzJVsfddDGEP1OmSJocInQz5vLyb67AUqUQpYew6cOYD9IKuo29cN
OEN+TK+R/FRN/Jb5IjwRJprG9AAwyEIT3HQSMTDG1p8IXcm6h0okFvLS9G3ju2Ov9lH1iNatcTOn
tLsjNwqnJun5vOui6XdWc8eSh7CFnCrGBjnE5DyP4wH/sNjzH9297vX6SYoeFZgr+Yvv9cgsO4Yj
j57hniMKHZhn7ZJyN4693gR0ICsyMtTbj8qSlOs1E5dfkK1avFnBoEOwlln4faw3wmGbLgbznB9n
f7QbtPsQLl5MmyW1QXJjo8GFQYTsmGJ8Aag8jjjbH8MWlLUx/nqLVtvn0dNM1VvvimvibysKng7v
lzY6gfDl4r/024igk13AHpL0Pr4/CHzgJzSlgTJXIUyQipsch2TsYSCWTZDw4yBav175z2O5coki
BxsfrUAsWSo0qnSCv6RyB0+mj8U2EtsuIPPa2XSyyv+/qxan74jAZs6Ovlu+wjgQZV6jN9naQGLk
/D0wHDHWw212RMCchIm/SMAaSQ3xbhGeY8P7Gvw+isKFrTEMnwHXouuZFCyftleSzUb3sCZMp57m
LvjvCbjA0lksZL95cmlsX1uF5dJe0vPKLGWRXSCKoRJGglWGDCbDDH36ZQgHCMm8FImWd19WwF//
yTUHd8xBo1rdXY6Vlsrj6OhqS42Rbynplg7yowI6M7oJlFrmqIq3FhZw4NboHC0lBjz7/x5pNHWH
kj0cnYYSTsW0RtzAF+WAyqANRdAGNHZ+BBdVqQ/i1m/doU6/8/g2g5sAkN8hRWH2eBqDq7ZPtO72
3zOUef9P3wBOYUygunYpN8BNyLVg7iYPqs3FBQcHP8Rsh4d5ZkrRQOQMW+SPWXVDCWAYZPrWf2wF
Yzik16buD4IHc6v7kmwM73J/4ZBlJNKfDDM37knKMkDGyVRow40GGSOCOHdn4gea7z6nfvJNaD6q
cSDyoyecH6KYX0Ry893HnlGdv3r8wic/vex1OpBpfw4a69I1HDozgkw0lbrkjO9j8ugK++cv+8QP
TRK1h52Xt5qPty4Gsu4s1oGhe5Nci1C58SQFirbQOtTGNs8Nu+uGIYezivzjDPL9dhMCTsPg/tHM
ULtO3/FFIxSL9Nw2pBN/rqeK/HvEsMHiiuGW8uavbYpCyXrsQJ7T8pRlxWShdkIwY3c7p6+i1EuX
AS2lNAX8ghvuHEtd2oXXcxI6KiBhU40PlQzky2FxDv4pHtTU9l0OlX3hU8gsjBFlg9UcfZTp5pxn
tOq5qvU7UX2WUD+qXoHYpamWzKkQgQKFrAC7n4iGGnD2qLn9Ui+hGaHMXzSBVWQnBiRaxFUiJeEB
dr/EnVlk6do8iPD8vZC+UZKkWnk38Hswgr5GuoCWSv8ecRNOqQF5DZveRSutC/W5PQP7JoFNY+D4
tQxH/q+JFKqBxzM0w0C1AXHl9YaLxyZS6AwYC9m4fvMBLw88Agz8Ywg5U8XlrrxOP2R62lni4vuF
YE1eUAQyu6FUNGBNgbO7bl1gz9MD9gXMEwE7eQkNeZq5WweebCGBgnAla5DwsSois9j/dh8Vm4/n
0sPrvBKnQxhOW+MVAaP06R+pAemNaahHg/FTLYQgRly/SSBSQY1QVU+yLgroJKrL+6GgVMjryZhh
NhMKOghQNSNNakdlUVagNodZA0kwOOWC2g/QkxUPV/f3l8AE52I94vL5lLvXOg2o/woq+EVJqMcv
FopFHoAFRRa8/UlnVZuzQGIliSml+TnLaT7AfuaP02byUWw22sCnjjWbG+CvGCtlb7GDcUgfE5n3
L0weVW9NVQUQAQfOmZck1iUNUjMWZLETElbi86MrRY1FUqn//UAt0EIuKfOwHSWFJq2pGVV35/Lz
zRDKKD5Yl3eHsSFfsErhJZKPd0EKiNRwT2A5JooJJGd0Nh8iU1pQSbyjhBD+6Uo9WvTayHftctxV
fnJBdtbd9HEnvMrpzq2d9sE0JgtzzbPbg5h/5TMTsfF9Q8F6jj8FNgBGQR6VlJ0BT8TMjEN7yube
TQ2f8+5iqPyy6UClKrKc576uFd/KfTfvMArlCPCws3263AiaxHRdHMJg+UgAOsx8G/yidfV583oq
49qrpjjewTkRcNb09mxYGZgtf+wjt9Pzi65FvCdTM3arAnBUsBrClhypjfB3k0WE4a69dutv+NBW
AvhyUAOh7OvNdLRqCyKZS+dsw0ElpvRJekWlwKTH2men0mR4kUCTdw/LWX1xj9yeyjM1sASmzvun
knsRJ8Uwp6Vm3o2xqRGYLozNqH2gpziEAR8IVYnnOjNTwZSln9bJlIM0TegwbJiqaZCsPWRVeURR
xknKMxx9M9xkyFYJcy82i5fear2TfJumqV3mghMawG5jgvtBtKxPnteNWWRz9TbTYQGmt7T5Imb4
bXa8T2ybgvpokZKm6yISXFUIHzmNkiCtKkrPlp45C4Hl0FvgHUK1c51F/Tyg++uUwwKAqzYNqBnP
Yl0zchSvHSWGydIrmV3NVR5+D5OfdKxQWvzYrTRxCRjn1t61ufL+b42ESGs7qtbRE5NCQ+1CG/ZH
Vo9k0pChNXGh7qtjlrQFj6p/VDOfnDV7W4PXW0UgMIMqQadKRPPYVmfWZ69zi0p3jSIkdJug7stY
5VYFj6nG5JVZK/Aa3GjfBhQ8ihq8ZGnR4Oj4U2VN9FATlkqdGXw3EVd9/1luKGEEefyXLxsXp+0g
+Jr4xQu/VT5L1UNtzZnCU7G4GbI/61Dhb4Gfe2vcuhLoeYirveEQ1xejGx9g7ZVIH4TlfbjzBjE+
nzStxHLdfrc+xkm0Hd3bdq6Bcp8XpHmnGTqDhy+uooqUGjuEoZ8XqufICU5FAMYe2msLgwlZXNEv
9kB4Npj8EsZnowR8HYHdcSxZfIcgTOD/c0GH8XNn++vMW0jx1TMrB7ymeHBtvutKiQOyRdCRk33B
DwB686OoKSRNZ6sSfs1ine6WrhmM2DxNUQ9fMoCOf2QhpMeaXR/YQnZEXxctAUM2GJM/sctnlhv/
yWR6LOByJ2D8SnXXgXErHua4cGqYcbgzG5cs5ezfjqY1hr27dC9zzVRtp4xJ/Tr0VlRoAEwceB7Z
+X1tjccTKoSqbCGext16J9MVQ4u22xGp7udvfEidM5DxcehJGfvGksu7xqgQErs1Rs4fJ3XwfWuE
/XJtFl4D4CWlKNip102da1tDq1h/Ds8/3jZpFqRIltXieq9JCP1UL98zmMgiyZlxH5nVAtZZxNHb
zKvqehU3JKckWdGxVoR0sahHSURDKXGMj74119FECWg9jEmqbLCXQ6SSt6lKrqwFqH1dEUcVck0/
KxKN33DCD1kBxKbKONPuFrv1ZCj+LZ6kcqw0/z8hY6VLyzBfzNqPRJelvfX1tDuiO+9aIEo6Rufk
tM5bkj8PgHwoOzKVrKFT34Di/kwiKmqiGpqTD7tL45xTeMyEx2c5ySWv1hqlPpZf9vmcShBTkgWh
VCq6G1hlGgNqkYXT5fb54w9TGgy0OPwvI23vtGrdFg3UegwuIdHIaCiNhCsxWjn1DnlXqllvANP3
rYMxh3OcRWRIE2mPH20ZPiKzpgKUIH58vQoIRxfg0rf9GHVGJxlbBMzH1VAB4wbvM9tzU6E50WyL
0Wt9LqyW05A/tr8hsApkzcr+QSkV5AnsNhAIRX0vjQlzb2QvNUE3b+n7wSqDpN2+Nrl0/RyNvizZ
xj7iFhORotGBACegT48fwVzeznhAKyjp9KtYZprrJrrVYGlHtqu92jrUw0CeJHVxvktNCibVeeUm
C6j1ArpoRRJbLLhTjula55C9dE8C/usqMynWzIzN2kk5pWXofoMXkq1k/MUxBgj9l+uHnq7QHiUq
CZx+Zv8To2mZY3mqwr4ZIq71rgFxJ9yYSareg6cplwPo8scIQxJc05N0kwVdkfvlXpKc+fDSu9A9
weBEnXVatbz8G+jdcxrg74oIvKqC/6OoGytkL5PH7ADEruknLUcu9Yf3Vu/ajeblP+Qn6D85+LiT
2YyhvDdEUu7/0Yd1o2GsMDIQBPDHjR5NrCm47xcn4l/COT4HDcoyvrPThC6f9Ts6BlmssvRwYLo7
fTF7I6wkCJTTLdxl+M4hXDKsXGQ6kz8E5WnflPaStMXcQCwf2DcmXeNiiIzRMI8+fmW7BTMWT6Ch
4SQGUhW48cF6UhWu3B5hCZiAxXMWrkzwCTKz88NGHux2b9K9aaAD4d+UzP2j9BxpnYvvpj4m1q4K
dOLLYl1nZt2G42IeEpoUQJTw4hCj3RquMi6XmtwCqzPwpAk6WVdlKXD0oy1+qSs35kEBxzanyOq1
RRNK/OElvYVds23G5jT0HwH53k0bsNFbqN6bKJHjXGaMwgeh/SwISWkY0KncJwHuVpIx9mfe/VCO
SPVsphOpslirv9D1NgdzZZ1gWNTbd2PHDe00Wd90ReBTkPYFPTk9vK6XtlGK43UenWSPw+qbLM7u
ckWhRJZ82wwDWyKfEsj7Y2aLkEimQ2fdzr5mcznCm9wz/YCWseL0RdMGbKTPEAN07Xzcu4jXdGwO
3QfIbTKYQySH8BdO5Bay/gT+mF8NMe/WWJ8xuNQZ5dGGUU64MxsJzQPee0P7TSIvj5UHvVZYNzGC
+bQ3QFxjP4SY5RijgWO40qpgtUZ0LH9snc+IW58rbOCuEuUT9orwdCYkoguvG3bSw7bBseGYL6+V
9glbDpWRtrYxIDWQQGKJcwyldJqbcqRXZHgnL4sh6Byn6AfT1U10feFPoYM+XJs0iIhueaX3cWcB
/hH4WTCt0ZFB/hFx4HCTs9iJrmgRTvzHFCLJupRth219bl8v2l1mxHdYqISxjDKcshSPEgpeccUS
wWY+WKkm4e+X/Pn40+WEO8a0q+wIT0lkrojdeKdycN1Oz79WCphf/KdrVKH66FlA4fHJS39P9E/8
lMLPPHa+94KHSXuR51obQu59cOA5+7nj4jDi1br3ofS3uPhnJF4GMmt+VF0OlGKvUCxJppRn/aWQ
dajS5Pn7SMsNUFMfTdqpDhx8uFKsGNcOzsDL1mbUg8L5Ct9kDMjM5jl8eCu0Hgrd0wsaK4oD257d
kehb1m+LmNxJx9KpNbUY27PFgTYCrpTbb4swxHAgAMFZU9EQpzP95XNMtVwe0ajE2rHoYMFOpoRP
AtD8JkHDjWaJJh0lZHfNyynG+W8hfIauQ7xXoNUsC1tOi9N0kWolwKnaiZP0fPclBjr3Uf4Cm6LK
3lGaylozIhuaEw1wJ3gw7rDxjd2tsi87/Y/IZ/ys2lVfEcY+GdS+0871+CunEfwmrTVpBq2nok7Q
Kvq/aUDTdClwmVnqcKRF3/gHQ90pnMZkRxOKrqDPsTih7kB96mOywu6lm9sIbtxXV2y8u4HDGuWP
6hJcyrsN34DhWJFkLcgNcjMbTKiQjeqyjovNjdTGvRJOQKDlnC8FYQ/nYfy0MCLWeUz6eW/gXkhF
BGesf4CsXF/zeXwoP7gO97X/9N1i6JCSsi4fwWDDWCMNvc0fAvVKaGBY+SFvCNZZsMAafQWVs70t
EDXLN5JENyZWP8sVCwV9Zo+dip8LtkaqWqabcQXN2i1Q/Ch7DqTG+/4iFBcNcmP41zgSY02F+ZDS
UqzMKv+iIoCaqP2chrfZKyeBQEF9YbUQqkqSaqP8lOdFtWohP12QaKHVuQit96NnaGsSmA7tjomq
vdycPpH+9tEM8W3qoGdtx+pal27KsY0eSvhPgg1LGCUo6pTKvIWqfSPpIxcBld8zej7+bCPr/Lht
MpQtMV9f/XnbtgxAk8aRlxETd/WKXDcS9H7+bjaaXp/qZuVGfjCUV7REdot0cfp410B0433Eb2y5
n+ueT7NWdQ0xfCUDIX0J8qywGNkiDYaW1gIEDyEvo3zkP9Za3JYV806376FoJANl1fXCZ8QIUhtL
XoGLb3EJxRmSlTFRanRi/ATHyiNGOCcXfEdPj5T30MhHT9sMRwwOsnuePTvYxI5Bb5dZkg4G/Gb6
XC4/lWgvxWh1I2Ct1GSPkaGambK7gs7P+Ub+EuhjuYXBvv8K9oAhTXX04oFItzK26qTEkUVWeG6r
aI5qQfJQ29s2QdAYf6Z08AsQdUFsIVcrHHg0VgnrYKf81TadrwueCx4qBic9w6lGBEB3bYBOeSO7
Q1ltn8K7xzsiy532dxSYLj9SreCqqZgW3aCZUfdRgzX09lrNrnSj5tB0JFb05Hp7X9nG3WBOsd2A
UakQTga7JqbplVSslxIowAvP5397VU02CVeQ5t6NTIRoxeMQp5UbjwGgBLcTlnaYTjOArBFdT2nc
Cw6CZpK0+RqF4T/6KI0OC6LAd1xoRgqISSf2jEFWsblJalKQ2wOyZHRGb4z/r6I8t485IImVNlKt
+ylTH+IF1/QlBEmRRxhlcgM04BVCq0W6QRwyIPtklfWDyuXcWNErfiALVNGvNy7psnBxRrv2iCLd
87C+F8Qft3ZkYdto/M+aV8SCuoijo65NsYCoDZmLWFb5yHJCz6r297BzLWsrQ5rbNSbAbwyJSY0X
Y+F9uHzQnQEiOV/9G5YMko3ZeYN4oiu8jGaf0ZyoeyFcpHAwK3zbNMl5YVIyLidJMPmTnwNvIWui
kbSCq5PBm6WlNWfwKhCHO83fwqOSTZCM1UoHl33L/dXH0m/gEr3MW7dWN/TymK2Ps92brgcwaQl8
mD8kSuQETZZ7JdacQKCwoX1NLMdn93uTmje/nLCu1LDfAPTHsmgl+2CT5RhidJkUl9kO1hlgktaz
L3KKJTXKD+Q3BL7E3HfC3d6rdYVyB+0/qEz/WZZt6NLwSWSJYM6RHKQaZ3ecwVtkGkcFeHVOkFLz
f2RnjIqfi930IcqpzoItQHjqBzcVPt4r6cFmu+pq06vwN2UfR1hcYHDz8Qomkcm3rbwGYoCLNeuA
Q9ASdMGkxRHa0TumZ+vi2bBU8O1fXME2A3AsnLO6JPEQdGyDWRSck6sUJcuZc7wD5LYx4ZhO3p7O
fNu9LHg9dl+qxgRa69QxSu+f7MwbuxL6uG7I/cxh8Fpt+LeHbgraETVgpJZXv+Oax5PRF5coN3ns
5faJ+Ei8ZYr5hwPHpygNGin9hiJX6sAU3+yEr4If1MwHh8GuGPckC/5YSmqiVljBXGJuSTNKqL8G
6gpqbNIzfyFwIVPtY/Pn3jLPlnL3kiJXrUmCuvIVM7vt01RY6tGNm9dMIbTuZsoVdZTHWJgHC1D8
gh5h119h07pVIdT5VZLz+ypzIcx57lM26WdM7DuwSV4s6KCFR5TM7hnB9qKkq+6rijDzaAnUVk5n
c1wXQW75EGQnLf4cy4yKJMm3nRY1EFpg01ql9SPrtO2sIwcceQFJ4uDTcF8FnUQvYE/5TyIyIKuC
T6sY3qvPVVV01IH9aSrsxqnp0gLgbmPT/lMxauSyYOs7hu4IBhJ7AVBHcR8ICqY8UxP7urAcTKyZ
DlCjgHUVO4ectNzF2PR8AJr3J8kw03V+UVnI4kge3ukfjxUgrr5ONKPKonfCyHM+8T79ASIvBQru
s1kjTsRIryO1CEQiLNvCe3HYgp262GQD1LESLyzGmDL9Ej8qVacuzglAUWVJ78bwUzrnPJLxffca
ed6MPtgNLZ8c+DFpGjrcgqoqaYF3nrE0UoMmJ686zhd92F4EluBaWL94+9YJ6Ho1XK8MjOiZf9EG
MOy8zPYKGCFXcEV8AoBACzL0hES0QJEb5hCRPCtWTVEqPgm4eAnGZW09zhbF1C3DbKzBUYpOdnCt
P3Q2lMwomiF9T2i1xBTi1vPfmca/5CPdNe7jrsbLZutrINz4VMniJQuT6Cngs0Px1cISmdWsrHSz
jIimTZKMZYUbd4z4F+bJdSL386N4zRuc14WmJVA+pcbqDsCVMqdRrNw7H1b2EowpnjNIzrgcwJPk
tqC4y1GlkZMbTLRW9iMeDH/2nH2Ba7kb/iykXdZ7uTAz/gYa5KEUqlA2nzekF2+PD1ZiTefvO6Ts
OAgcErBM+sn3Cg+80cbORRT2Vlt9lWWPTmQ9fmJ2ekRJBCF7XJPjtCdUM8UDLEDQpAuHzz/wi5gv
oju7pPvrRdKuDdfl6ht77ARBsYyiPzjr6wjgX9gCRZ1XSFEX8cILrHbJqfRrvbuG67lP1HQ/uSBm
guyzn+bt9zZ/7XbRIa3UYoz6iTbHeuwo8j6QVB8jdScpajE8CJxlb6w15wxOYcxaKSVoNinXy7ma
Zh/IC7x4LorgH1Fyh28BPpCUOx+DWsk9CKmMtZrFKRnuv/SazE+b8Fd8fCPWKfyUuLLvKRLb6+m8
SNGxcYrWgaj7ue/a3GvsNlnqQRky++hT6d9do63w/tvuDrByU6LAWz3LOHYTQFpe2W/ebYpUvH/1
0WHq9HGhh4brtnSZ1PQ+K7d9g+HB5/freEmvpOqOxBYThUeJ53GY1cStRd2V9ZUsWXlqKYvKS4Rs
OA1nqZ3PFCvgWdnvHWp04eMnx4eKTyX4ubA2yAMBYYSe1Bl+4YpiT5jhfO1U/xzhz6K/ZJF3JdQe
JUDy8+8AejiMzB7Nhg98loBOVlIt80WpbXNQxsxBzDp3Qds1Rv191b+0M6zgbDYd2A/IVZkZ7+Uz
ty8v8EiP1jSz1Zd8ACkyxgarAq0OrCS7dk+AVDlrbM6pEN1PSrs+TQls6a+vSUzW0wHVUuoS/i3/
TSwOfYiZ0yPnUZGqkku5RT4ODscVBi89bwxvq8Yi0S61fz62WFFUlGRBw3XRLr7JlV5Vrej5ayhy
6trLJDcEMLXM/DebVfoC8fdFycxJhTcUe0s+MNqzgFwsHmZmDyw6UsknOxPctO5AwWG7gzAlyyzP
x2kYu46VcUeDUmw8m4ZIzbKKkTqtMN1lt7P0NuDtOBjoiCEB1Uy8McdL7P0HOLzOg8tv8WKkW+9y
sqrV6EVE3NK4G0r+kWGnG0kPpXBwMKCKP4VIMRX/Ul9jF+fVrDo3iUM05USMSJlZg9I4Iod/iaYc
dJyVov708h/nSjKQDHgL1MTwOIMqgvITyZXJJ7bN+YprpXD1WLPTyhD+ZvFsx+E14g2TIj05huBT
9jFLCqF5SSFBjrtpw64t2BdxUVob4krh6pl/8r+BS1WxHr1So/afYsr6Bm3YRoZGCY9RUDKVBzUx
rrt5gSJ9befJfKb/XUXpz9GF/gp/A7uA1tznKsZ2NY9j5iBcl4zD8TJ2aOKdDC+VpYAuQisGvDkK
N1z7pvTA68QYbYH/jLkywuJK68fRCxpZWi5R0ILIi9bt94yVVsNVK01FPJjA6YXdg4B7Uo3VHgXZ
j3l7zZNB40gg/7o71QLgq77d3Ndf31G0OECAgLya1mWmnK6NGCFqLwPokkNnmi2Im3CyQTnjs2yw
JPu/ZT9xeaMjkw4Mp6xLWQ3OZPmh5o1zsw8lCf23BIFIeBBjAA8OnjqdnfUIjvcuDYINvd66440f
J9l9hiBzoGO3Rj9P3BXQO3LJjJk0jZcZpVVH9LzLWmxutNvwYUVIjNougjnsp8RB2aamIV73ZOXc
VJAPr/sPH/wWkl39hHzWfLe28a9b2kWjvKjc2omx3vPlbXblZDBgeeKaSTcVC6Ue+9k8Gm2JuHES
f3NBItDBgQ8pDUlFuVXUO3IxFsTKoI3Y4gxLW1TzyjMWSThW7ZFpzz9/nJDix34LRmFGz+ty9r00
gvnkNaCjH35DEgDty6va+77TpWY2uUvEL/34toVWiABKZu7Mb+/yiEeEAXwMkPxSwyQVe/3sQaCg
elUpV6ZW11NCmPy7ZsHsNVKgXnLEP6JXZE0V7snq94iabWSdiNB9pFuyqgPpk/VfEd3ImpLLMYGI
GAP5fr7n0cRtwi9MMwyhWdgt7ZVHoN05yyO9sOy2IyAK0Q4qgVt6T/yUTrVdBSqFCUkXyU4J3sSa
xdMpLSXIH/1CwbpIYrEM9kCovu1/ASEiVNaCHNNtOvfa5zrdILyGqdkyLLrn3VoSosPDJ8EKJ4j2
VsuufqHNsU1zP/F5FLOQfKhmwjU5+VTZg3fGTqHyf+c69WD88kP0+ZNliOVJ2jQX8qVrmw5ORED7
AHpqsmbCpxRP+AfymSu60HkoNwVykd4f4zDe5t3XesuQmjMOMIP62zHMZ2DvYfyLcTpt6ttxq4SV
wfmm3Yg4apuMAivdj0TAv/liwstwkEnk/iHt4NGWjwaSPKNJsLGMR3C2MH+PqmWQFFzjv03nZzsF
Vkbhsld03Dfgd+EjHQEP1tOTAg+oiWgOY/1NMP+uqF4YHAsuCVYUXhxxLIuZ/yHQ/XU9JXAEM6KO
Pv9qb5ta6uIAu0vgYyHa
`protect end_protected
| gpl-3.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/output_blk.vhd | 9 | 27248 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ASsQbDHYZ2jOGQBfw+yVq8wqqC9S8flvFG57NHpo/7pTom6PP2stKonqkWnSO7vA8kWtmthNINjw
jxmRNXqPvA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vz4vXKX6Uo3Jo+OUdh0J+nJ9ZsQbwAS6FFdRZ4NoakXkr8JGrdMf+TNoIUHHGp7/FCe2AT8KBfir
OAmuJ2mnYUkSus9CIk1N3f2xNZGxWMUHLVp9llVWxyTPFCNJw8bloZoYVwPcsshsLosH3JJKLieu
bXmoGKfjAK0EpFmSOdg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1urY7PK74SYzM0sLp/4fH/UoYLTqN+ijKZx6zyoCEH0Mka8oIoN7hmNZ3pfhhg1Kotjg3M2Q75V4
YgYiXCJ2xNcQTdQHJDJyrWsABgBQhY3aaXmYwx1yYV8WFyF5vFsiSsZHLjG/bzlry50FLVKkEgDB
o1bOqxMXrztx/962ftJvUpeh/0LGdBqIzwucRIxt3xa9qJKMUD9aYIqJyopik0A+o4fWUyDFeYSD
xn8Z+u8dO25nuweau3HNJlcl+Ru5AEViIV7Ph1MPxiwyFuzoRgTMhmr2jxI70ZXWsSgFe8b/xkA1
mtykadoddhqLAH16mkS0J/u66p/7vYoXXfjiGQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
r9i6KPozAJVEqoIEsQwwIMPKjqjymvi0XZVg1n+jizv8sZ8ID93bjPSyySy+jwlgbP6VqOu68I7L
aalqDed1edeQoYbx09vjJH8P9k3rsMTxKuUnGoMRcUIb8exMZvyUJoyO04bFBHO1hyIWoazXD4yv
LmlCbEAphsebT5Y+KMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RSVlPQT+iw98Bfdg2PU+AtW6nkfTOZjLNLqGw4xAicM/8b+u1x3+yt63XyxhAxgzU1pk21tmcZ/W
wUAuSqcTSSkIEhKGvV1NLxCeNzrgzX72Fg2KSzytBPyUlbE3fWG6wlACyavr1N5DaveQP5WOUWv4
EBi21eLoO9hArOR9qaDqc4ZaV50oGlvRzws0GiJVgdN0IsHwH0WyMHvIWt84pv2zxNfyNjpYO5vp
+GYcZirzepKVte4TAORWqJAk0EyRNfOiYwyV2dloNFcfOvEjNH+QYQenV6Y3kKJsW4LLIXmvHWbK
ULvh8eNrfqNhEbgxAEg38KIp2u8xVwqP7j6gOQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18432)
`protect data_block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`protect end_protected
| gpl-3.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.