repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/lib_fifo_v1_0/hdl/src/vhdl/sync_fifo_fg.vhd
7
70413
-- sync_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: sync_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new -- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- sync_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/16/2008$ -- -- History: -- DET 1/16/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3 -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 4/9/2009 EDK 11.2 -- ~~~~~~ -- - Replaced FIFO Generator version 5.1 with 5.2. -- ^^^^^^ -- -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0_5 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- KARTHEEK 03/02/2016 -- - Update to use fifo_generator_v13_1_1 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v13_1_1; use fifo_generator_v13_1_1.all; ------------------------------------------------------------------------------- entity sync_fifo_fg is generic ( C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DCOUNT_WIDTH : integer := 4 ; C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo C_HAS_DCOUNT : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_ERR : integer := 0 ; C_HAS_ALMOST_FULL : integer := 0 ; C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM C_PORTS_DIFFER : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; C_READ_DATA_WIDTH : integer := 16; C_READ_DEPTH : integer := 16; C_RD_ERR_LOW : integer := 0 ; C_WR_ACK_LOW : integer := 0 ; C_WR_ERR_LOW : integer := 0 ; C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through C_WRITE_DATA_WIDTH : integer := 16; C_WRITE_DEPTH : integer := 16; C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8 ); port ( Clk : in std_logic; Sinit : in std_logic; Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0); Wr_en : in std_logic; Rd_en : in std_logic; Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0); Almost_full : out std_logic; Full : out std_logic; Empty : out std_logic; Rd_ack : out std_logic; Wr_ack : out std_logic; Rd_err : out std_logic; Wr_err : out std_logic; Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0) ); end entity sync_fifo_fg; architecture implementation of sync_fifo_fg is -- Function delarations function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMaxDepth -- -- Function Description: -- Returns the largest value of either Write depth or Read depth -- requested by input parameters. -- ------------------------------------------------------------------- function GetMaxDepth (rd_depth : integer; wr_depth : integer) return integer is Variable max_value : integer := 0; begin If (rd_depth < wr_depth) Then max_value := wr_depth; else max_value := rd_depth; End if; return(max_value); end function GetMaxDepth; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- -- changing this to C_FAMILY Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- lib_fifo supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; -- Calculate associated FIFO characteristics Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH); Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1; Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 0; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4; -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals signal sig_full : std_logic; signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0); signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal ALMOST_EMPTY : std_logic; signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising clock edge to issue assertion -- Wait until Clk = '1'; -- wait until Clk = '0'; -- Wait until Clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait;-- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Almost_full <= '0' ; -- : out std_logic; -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Rd_ack <= '0' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IfGen implements the fifo using fifo_generator_v9_3 -- when the designated FPGA Family is Spartan-6, Virtex-6 or -- later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin --UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu" or FAMILY_TO_USE = "virtexuplus" or FAMILY_TO_USE = "kintexuplus" or FAMILY_TO_USE = "zynquplus") generate UltraScale_device: if (FAMILY_TO_USE /= "virtex7" and FAMILY_TO_USE /= "kintex7" and FAMILY_TO_USE /= "artix7" and FAMILY_TO_USE /= "zynq") generate begin Full <= sig_full or WR_RST_BUSY; end generate UltraScale_device; --Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu" and FAMILY_TO_USE /= "virtexuplus" and FAMILY_TO_USE /= "kintexuplus" and FAMILY_TO_USE/= "zynquplus") generate Series7_device: if (FAMILY_TO_USE = "virtex7" or FAMILY_TO_USE = "kintex7" or FAMILY_TO_USE = "artix7" or FAMILY_TO_USE = "zynq") generate begin Full <= sig_full; end generate Series7_device; -- Create legacy data count by concatonating the Full flag to the -- MS Bit position of the FIFO data count -- This is per the Fifo Generator Migration Guide sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt; Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto FGEN_CNT_WIDTH-C_DCOUNT_WIDTH); ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- BRAM implementations of a legacy Sync FIFO -- ------------------------------------------------------------------------------- I_SYNC_FIFO_BRAM : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1 generic map( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ??? C_DEFAULT_VALUE => "BlankString", -- what to do here ??? C_DIN_WIDTH => C_WRITE_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_READ_DATA_WIDTH, C_ENABLE_RLOCS => 0, -- not supported C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => C_HAS_DCOUNT, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_RD_RST => 0, -- not used for sync FIFO C_HAS_RST => 0, -- not used for sync FIFO C_HAS_SRST => 1, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_WR_RST => 0, -- not used for sync FIFO C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_RD_DEPTH => MAX_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => C_RD_ACK_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_DEPTH => MAX_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map( backup => '0', backup_marker => '0', clk => Clk, rst => '0', srst => Sinit, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => sig_full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => ALMOST_EMPTY, valid => Rd_ack, underflow => Rd_err, data_count => sig_prim_fg_datacnt, rd_data_count => RD_DATA_COUNT, wr_data_count => WR_DATA_COUNT, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate FAMILY_SUPPORTED; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_regdirect.vhd
4
414905
------------------------------------------------------------------------------- -- axi_vdma_regdirect ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_regdirect.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_regdirect is generic( C_NUM_REGISTERS : integer := 6 ; C_NUM_FSTORES : integer range 1 to 32 := 1 ; C_NUM_FSTORES_64 : integer range 1 to 32 := 1 ; C_GENLOCK_MODE : integer range 0 to 3 := 0 ; ----------------------------------------------------------------------- C_DYNAMIC_RESOLUTION : integer range 0 to 1 := 1 ; -- Run time configuration of HSIZE, STRIDE, FRM_DLY, StartAddress & VSIZE -- 0 = Halt VDMA before writing new set of HSIZE, STRIDE, FRM_DLY, StartAddress & VSIZE -- 1 = Run time register configuration for new set of HSIZE, STRIDE, FRM_DLY, StartAddress & VSIZE. ----------------------------------------------------------------------- C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32 ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- run_stop : in std_logic ; -- dmasr_halt : in std_logic ; -- stop : in std_logic ; -- -- reg_index : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- -- Register Direct Support -- prmtr_updt_complete : out std_logic ; -- regdir_idle : out std_logic ; -- -- -- Register Direct Mode Video Parameter In -- reg_module_vsize : out std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- reg_module_hsize : out std_logic_vector -- (HSIZE_DWIDTH-1 downto 0) ; -- reg_module_strid : out std_logic_vector -- (STRIDE_DWIDTH-1 downto 0) ; -- reg_module_frmdly : out std_logic_vector -- (FRMDLY_DWIDTH-1 downto 0) ; -- reg_module_strt_addr : out STARTADDR_ARRAY_TYPE -- (0 to C_NUM_FSTORES - 1) ; -- reg_module_start_address1 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address2 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address3 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address4 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address5 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address6 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address7 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address8 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address9 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address10 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address11 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address12 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address13 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address14 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address15 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address16 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address17 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address18 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address19 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address20 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address21 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address22 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address23 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address24 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address25 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address26 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address27 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address28 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address29 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address30 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address31 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0); -- reg_module_start_address32 : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) -- ); end axi_vdma_regdirect; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_regdirect is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant VSYNC_INDEX : integer := 0; -- VSYNC Register index constant HSYNC_INDEX : integer := 1; -- HSYNC Register index constant DLY_STRIDE_INDEX : integer := 2; -- STRIDE/DLY Reg index --constant RESERVED_INDEX3 : integer := 3; -- Reserved constant STARTADDR1_INDEX : integer := 3; -- Start Address 1 Reg index constant STARTADDR2_INDEX : integer := 4; -- Start Address 2 Reg index constant STARTADDR3_INDEX : integer := 5; -- Start Address 3 Reg index constant STARTADDR4_INDEX : integer := 6; -- Start Address 3 Reg index constant STARTADDR5_INDEX : integer := 7; -- Start Address 3 Reg index constant STARTADDR6_INDEX : integer := 8; -- Start Address 3 Reg index constant STARTADDR7_INDEX : integer := 9; -- Start Address 3 Reg index constant STARTADDR8_INDEX : integer := 10; -- Start Address 3 Reg index constant STARTADDR9_INDEX : integer := 11; -- Start Address 3 Reg index constant STARTADDR10_INDEX : integer := 12; -- Start Address 3 Reg index constant STARTADDR11_INDEX : integer := 13; -- Start Address 3 Reg index constant STARTADDR12_INDEX : integer := 14; -- Start Address 3 Reg index constant STARTADDR13_INDEX : integer := 15; -- Start Address 3 Reg index constant STARTADDR14_INDEX : integer := 16; -- Start Address 3 Reg index constant STARTADDR15_INDEX : integer := 17; -- Start Address 3 Reg index constant STARTADDR16_INDEX : integer := 18; -- Start Address 3 Reg index ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal prmtr_updt_complete_i : std_logic := '0'; signal reg_config_locked_i : std_logic := '0'; signal regdir_idle_i : std_logic := '0'; signal run_stop_d1 : std_logic := '0'; signal run_stop_re : std_logic := '0'; --signal reg_module_strt_addr_i : STARTADDR_ARRAY_TYPE(0 to MAX_FSTORES-1); signal reg_module_start_address1_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address2_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address3_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address4_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address5_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address6_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address7_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address8_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address9_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address10_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address11_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address12_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address13_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address14_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address15_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address16_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address17_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address18_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address19_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address20_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address21_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address22_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address23_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address24_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address25_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address26_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address27_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address28_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address29_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address30_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address31_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal reg_module_start_address32_i : std_logic_vector(C_M_AXI_ADDR_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Register DMACR RunStop bit to create a RE pulse REG_RUN_RE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then run_stop_d1 <= '0'; else run_stop_d1 <= run_stop; end if; end if; end process REG_RUN_RE; run_stop_re <= run_stop and not run_stop_d1; -- Gen register direct idle flag to indicate when not idle. -- Flag is asserted to NOT idle at start of run and to Idle -- on reset, halt, or stop (i.e. error) -- This is used to generate first fsync in free run mode. REG_IDLE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or stop = '1')then regdir_idle_i <= '1'; elsif(run_stop_re = '1')then regdir_idle_i <= '0'; elsif(prmtr_updt_complete_i = '1')then regdir_idle_i <= '1'; end if; end if; end process REG_IDLE; regdir_idle <= regdir_idle_i; -- Vertical Size Register VSIZE_REGISTER : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_vsize <= (others => '0'); elsif(axi2ip_wrce(VSYNC_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_vsize <= axi2ip_wrdata(VSIZE_DWIDTH-1 downto 0); end if; end if; end process VSIZE_REGISTER; VIDEO_PRMTR_UPDATE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or run_stop = '0')then prmtr_updt_complete_i <= '0'; elsif(axi2ip_wrce(VSYNC_INDEX) = '1' and reg_config_locked_i = '0')then prmtr_updt_complete_i <= '1'; else prmtr_updt_complete_i <= '0'; end if; end if; end process VIDEO_PRMTR_UPDATE; prmtr_updt_complete <= prmtr_updt_complete_i; -- Horizontal Size Register HSIZE_REGISTER : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_hsize <= (others => '0'); elsif(axi2ip_wrce(HSYNC_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_hsize <= axi2ip_wrdata(HSIZE_DWIDTH-1 downto 0); end if; end if; end process HSIZE_REGISTER; -- Delay/Stride Register --Genlock Slave mode S_GEN_DLYSTRIDE_REGISTER : if C_GENLOCK_MODE = 1 generate begin S_DLYSTRIDE_REGISTER : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then --reg_module_frmdly <= (others => '0'); reg_module_frmdly <= "00001"; --CR 709007 reg_module_strid <= (others => '0'); elsif(axi2ip_wrce(DLY_STRIDE_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_frmdly <= axi2ip_wrdata(FRMDLY_MSB downto FRMDLY_LSB); reg_module_strid <= axi2ip_wrdata(STRIDE_DWIDTH-1 downto 0); end if; end if; end process S_DLYSTRIDE_REGISTER; end generate S_GEN_DLYSTRIDE_REGISTER; --Genlock Master mode M_GEN_DLYSTRIDE_REGISTER : if C_GENLOCK_MODE = 0 generate begin reg_module_frmdly <= (others => '0'); M_DLYSTRIDE_REGISTER : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_strid <= (others => '0'); elsif(axi2ip_wrce(DLY_STRIDE_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_strid <= axi2ip_wrdata(STRIDE_DWIDTH-1 downto 0); end if; end if; end process M_DLYSTRIDE_REGISTER; end generate M_GEN_DLYSTRIDE_REGISTER; --Dynamic Genlock Master mode DM_GEN_DLYSTRIDE_REGISTER : if C_GENLOCK_MODE = 2 generate begin reg_module_frmdly <= (others => '0'); DM_DLYSTRIDE_REGISTER : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_strid <= (others => '0'); elsif(axi2ip_wrce(DLY_STRIDE_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_strid <= axi2ip_wrdata(STRIDE_DWIDTH-1 downto 0); end if; end if; end process DM_DLYSTRIDE_REGISTER; end generate DM_GEN_DLYSTRIDE_REGISTER; --Dynamic Genlock Slave mode DS_GEN_DLYSTRIDE_REGISTER : if C_GENLOCK_MODE = 3 generate begin reg_module_frmdly <= (others => '0'); DS_DLYSTRIDE_REGISTER : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_strid <= (others => '0'); elsif(axi2ip_wrce(DLY_STRIDE_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_strid <= axi2ip_wrdata(STRIDE_DWIDTH-1 downto 0); end if; end if; end process DS_DLYSTRIDE_REGISTER; end generate DS_GEN_DLYSTRIDE_REGISTER; --No Dynamic resolution GEN_REG_CONFIG_LOCK_BIT : if C_DYNAMIC_RESOLUTION = 0 generate begin REG_CONFIG_LOCKED : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then reg_config_locked_i <= '0'; elsif(axi2ip_wrce(VSYNC_INDEX) = '1')then reg_config_locked_i <= '1'; end if; end if; end process REG_CONFIG_LOCKED; end generate GEN_REG_CONFIG_LOCK_BIT; --Dynamic resolution GEN_NO_REG_CONFIG_LOCK_BIT : if C_DYNAMIC_RESOLUTION = 1 generate begin reg_config_locked_i <= '0'; end generate GEN_NO_REG_CONFIG_LOCK_BIT; --***************************************************************************** --** START ADDRESS REGISTERS --***************************************************************************** -- Generate C_NUM_FSTORE start address registeres --GEN_START_ADDR_REG : for i in 1 to MAX_FSTORES generate ----signal j : integer := 1; -- --begin ----j<= i; -- -- -- Start Address Registers -- START_ADDR : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_strt_addr_i(i-1) <= (others => '0'); -- -- Write to appropriate Start Address -- -- elsif(i>= C_NUM_FSTORES)then -- reg_module_strt_addr_i(i-1) <= (others => '0'); -- -- -- elsif(i<C_NUM_FSTORES and axi2ip_wrce(i+2) = '1'and C_NUM_FSTORES <17)then -- reg_module_strt_addr_i(i-1) <= axi2ip_wrdata; -- elsif(i<C_NUM_FSTORES and C_NUM_FSTORES >=17 and j<17 and axi2ip_wrce(i+2) = '1' and reg_index(0) = '0')then -- --if(i<17 and axi2ip_wrce(i+2) = '1')then -- --if(reg_index(0) = '0')then -- reg_module_strt_addr_i(i-1) <= axi2ip_wrdata; -- --elsif(reg_index(0) = '1')then -- elsif(i<C_NUM_FSTORES and C_NUM_FSTORES >=17 and j<17 and axi2ip_wrce(i+2) = '1' and reg_index(0) = '1')then -- reg_module_strt_addr_i(i+15) <= axi2ip_wrdata; -- --end if; -- --elsif(i>=17 and axi2ip_wrce(i-14) = '1')then -- elsif(i<C_NUM_FSTORES and C_NUM_FSTORES >=17 and j>=17 and axi2ip_wrce(i-14) = '1' and reg_index(0) = '0')then -- --if(reg_index(0) = '0')then -- reg_module_strt_addr_i(i-17) <= axi2ip_wrdata; -- --elsif(reg_index(0) = '1')then -- elsif(i<C_NUM_FSTORES and C_NUM_FSTORES >=17 and j>=17 and axi2ip_wrce(i-14) = '1' and reg_index(0) = '1')then -- reg_module_strt_addr_i(i-1) <= axi2ip_wrdata; -- --end if; -- --end if; -- -- For frames greater than fstores the vectors are reserved -- -- and set to zero -- end if; -- end if; -- end process START_ADDR; --end generate GEN_START_ADDR_REG; -- Map only C_NUM_FSTORE vectors to output port -- Number of Fstores Generate GEN_NUM_FSTORES_1 : if C_NUM_FSTORES = 1 generate -- Start Address Register START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; reg_module_start_address2_i <= (others => '0'); reg_module_start_address3_i <= (others => '0'); reg_module_start_address4_i <= (others => '0'); reg_module_start_address5_i <= (others => '0'); reg_module_start_address6_i <= (others => '0'); reg_module_start_address7_i <= (others => '0'); reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_1; -- Number of Fstores Generate GEN_NUM_FSTORES_2 : if C_NUM_FSTORES = 2 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; reg_module_start_address3_i <= (others => '0'); reg_module_start_address4_i <= (others => '0'); reg_module_start_address5_i <= (others => '0'); reg_module_start_address6_i <= (others => '0'); reg_module_start_address7_i <= (others => '0'); reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_2; -- Number of Fstores Generate GEN_NUM_FSTORES_3 : if C_NUM_FSTORES = 3 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; reg_module_start_address4_i <= (others => '0'); reg_module_start_address5_i <= (others => '0'); reg_module_start_address6_i <= (others => '0'); reg_module_start_address7_i <= (others => '0'); reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_3; -- Number of Fstores Generate GEN_NUM_FSTORES_4 : if C_NUM_FSTORES = 4 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; reg_module_start_address5_i <= (others => '0'); reg_module_start_address6_i <= (others => '0'); reg_module_start_address7_i <= (others => '0'); reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_4; -- Number of Fstores Generate GEN_NUM_FSTORES_5 : if C_NUM_FSTORES = 5 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; reg_module_start_address6_i <= (others => '0'); reg_module_start_address7_i <= (others => '0'); reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_5; -- Number of Fstores Generate GEN_NUM_FSTORES_6 : if C_NUM_FSTORES = 6 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; reg_module_start_address7_i <= (others => '0'); reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_6; -- Number of Fstores Generate GEN_NUM_FSTORES_7 : if C_NUM_FSTORES = 7 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; reg_module_start_address8_i <= (others => '0'); reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_7; -- Number of Fstores Generate GEN_NUM_FSTORES_8 : if C_NUM_FSTORES = 8 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; reg_module_start_address9_i <= (others => '0'); reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_8; -- Number of Fstores Generate GEN_NUM_FSTORES_9 : if C_NUM_FSTORES = 9 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; reg_module_start_address10_i <= (others => '0'); reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_9; -- Number of Fstores Generate GEN_NUM_FSTORES_10 : if C_NUM_FSTORES = 10 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; reg_module_start_address11_i <= (others => '0'); reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_10; -- Number of Fstores Generate GEN_NUM_FSTORES_11 : if C_NUM_FSTORES = 11 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; reg_module_start_address12_i <= (others => '0'); reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_11; -- Number of Fstores Generate GEN_NUM_FSTORES_12 : if C_NUM_FSTORES = 12 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; reg_module_start_address13_i <= (others => '0'); reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_12; -- Number of Fstores Generate GEN_NUM_FSTORES_13 : if C_NUM_FSTORES = 13 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; reg_module_start_address14_i <= (others => '0'); reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_13; -- Number of Fstores Generate GEN_NUM_FSTORES_14 : if C_NUM_FSTORES = 14 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; reg_module_start_address15_i <= (others => '0'); reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_14; -- Number of Fstores Generate GEN_NUM_FSTORES_15 : if C_NUM_FSTORES = 15 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; reg_module_start_address16_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_15; -- Number of Fstores Generate GEN_NUM_FSTORES_16 : if C_NUM_FSTORES = 16 generate -- Start Address Register 1 START_ADDR1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address1_i <= axi2ip_wrdata; end if; end if; end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif(axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0')then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; reg_module_start_address17_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_16; -- Number of Fstores Generate GEN_NUM_FSTORES_17 : if C_NUM_FSTORES = 17 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 START_ADDR2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address2_i <= axi2ip_wrdata; end if; end if; end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; reg_module_start_address18_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_17; -- Number of Fstores Generate GEN_NUM_FSTORES_18 : if C_NUM_FSTORES = 18 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 START_ADDR3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address3_i <= axi2ip_wrdata; end if; end if; end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; reg_module_start_address19_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_18; -- Number of Fstores Generate GEN_NUM_FSTORES_19 : if C_NUM_FSTORES = 19 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 START_ADDR4 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address4_i <= axi2ip_wrdata; end if; end if; end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; reg_module_start_address20_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_19; -- Number of Fstores Generate GEN_NUM_FSTORES_20 : if C_NUM_FSTORES = 20 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 START_ADDR5 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address5_i <= axi2ip_wrdata; end if; end if; end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; reg_module_start_address21_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_20; -- Number of Fstores Generate GEN_NUM_FSTORES_21 : if C_NUM_FSTORES = 21 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 START_ADDR6 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address6_i <= axi2ip_wrdata; end if; end if; end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; reg_module_start_address22_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_21; -- Number of Fstores Generate GEN_NUM_FSTORES_22 : if C_NUM_FSTORES = 22 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 START_ADDR7 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address7_i <= axi2ip_wrdata; end if; end if; end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; reg_module_start_address23_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_22; -- Number of Fstores Generate GEN_NUM_FSTORES_23 : if C_NUM_FSTORES = 23 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 START_ADDR8 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address8_i <= axi2ip_wrdata; end if; end if; end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; reg_module_start_address24_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_23; -- Number of Fstores Generate GEN_NUM_FSTORES_24 : if C_NUM_FSTORES = 24 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 START_ADDR9 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address9_i <= axi2ip_wrdata; end if; end if; end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; reg_module_start_address25_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_24; -- Number of Fstores Generate GEN_NUM_FSTORES_25 : if C_NUM_FSTORES = 25 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 START_ADDR10 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address10_i <= axi2ip_wrdata; end if; end if; end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; reg_module_start_address26_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_25; -- Number of Fstores Generate GEN_NUM_FSTORES_26 : if C_NUM_FSTORES = 26 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 START_ADDR11 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address11_i <= axi2ip_wrdata; end if; end if; end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; reg_module_start_address27_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_26; -- Number of Fstores Generate GEN_NUM_FSTORES_27 : if C_NUM_FSTORES = 27 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 --START_ADDR11 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address11_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address11_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR11; -- Start Address Register 12 START_ADDR12 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address12_i <= axi2ip_wrdata; end if; end if; end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; START_ADDR27 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address11_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address27_i <= axi2ip_wrdata; end if; end if; end process START_ADDR27; reg_module_start_address28_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_27; -- Number of Fstores Generate GEN_NUM_FSTORES_28 : if C_NUM_FSTORES = 28 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 --START_ADDR11 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address11_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address11_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR11; -- Start Address Register 12 --START_ADDR12 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address12_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address12_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR12; -- Start Address Register 13 START_ADDR13 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address13_i <= axi2ip_wrdata; end if; end if; end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; START_ADDR27 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address11_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address27_i <= axi2ip_wrdata; end if; end if; end process START_ADDR27; START_ADDR28 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address12_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address28_i <= axi2ip_wrdata; end if; end if; end process START_ADDR28; reg_module_start_address29_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_28; -- Number of Fstores Generate GEN_NUM_FSTORES_29 : if C_NUM_FSTORES = 29 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 --START_ADDR11 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address11_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address11_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR11; -- Start Address Register 12 --START_ADDR12 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address12_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address12_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR12; -- Start Address Register 13 --START_ADDR13 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address13_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address13_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR13; -- Start Address Register 14 START_ADDR14 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address14_i <= axi2ip_wrdata; end if; end if; end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; START_ADDR27 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address11_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address27_i <= axi2ip_wrdata; end if; end if; end process START_ADDR27; START_ADDR28 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address12_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address28_i <= axi2ip_wrdata; end if; end if; end process START_ADDR28; START_ADDR29 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address13_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address29_i <= axi2ip_wrdata; end if; end if; end process START_ADDR29; reg_module_start_address30_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_29; -- Number of Fstores Generate GEN_NUM_FSTORES_30 : if C_NUM_FSTORES = 30 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 --START_ADDR11 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address11_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address11_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR11; -- Start Address Register 12 --START_ADDR12 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address12_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address12_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR12; -- Start Address Register 13 --START_ADDR13 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address13_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address13_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR13; -- Start Address Register 14 --START_ADDR14 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address14_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address14_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR14; -- Start Address Register 15 START_ADDR15 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address15_i <= axi2ip_wrdata; end if; end if; end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; START_ADDR27 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address11_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address27_i <= axi2ip_wrdata; end if; end if; end process START_ADDR27; START_ADDR28 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address12_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address28_i <= axi2ip_wrdata; end if; end if; end process START_ADDR28; START_ADDR29 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address13_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address29_i <= axi2ip_wrdata; end if; end if; end process START_ADDR29; START_ADDR30 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address14_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address30_i <= axi2ip_wrdata; end if; end if; end process START_ADDR30; reg_module_start_address31_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_30; -- Number of Fstores Generate GEN_NUM_FSTORES_31 : if C_NUM_FSTORES = 31 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 --START_ADDR11 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address11_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address11_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR11; -- Start Address Register 12 --START_ADDR12 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address12_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address12_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR12; -- Start Address Register 13 --START_ADDR13 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address13_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address13_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR13; -- Start Address Register 14 --START_ADDR14 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address14_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address14_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR14; -- Start Address Register 15 --START_ADDR15 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address15_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address15_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR15; -- Start Address Register 16 START_ADDR16 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then reg_module_start_address16_i <= axi2ip_wrdata; end if; end if; end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; START_ADDR27 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address11_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address27_i <= axi2ip_wrdata; end if; end if; end process START_ADDR27; START_ADDR28 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address12_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address28_i <= axi2ip_wrdata; end if; end if; end process START_ADDR28; START_ADDR29 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address13_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address29_i <= axi2ip_wrdata; end if; end if; end process START_ADDR29; START_ADDR30 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address14_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address30_i <= axi2ip_wrdata; end if; end if; end process START_ADDR30; START_ADDR31 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address15_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address31_i <= axi2ip_wrdata; end if; end if; end process START_ADDR31; reg_module_start_address32_i <= (others => '0'); end generate GEN_NUM_FSTORES_31; -- Number of Fstores Generate GEN_NUM_FSTORES_32 : if C_NUM_FSTORES = 32 generate -- Start Address Register 1 --START_ADDR1 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address1_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address1_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR1; -- Start Address Register 2 --START_ADDR2 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address2_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address2_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR2; -- Start Address Register 3 --START_ADDR3 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address3_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address3_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR3; -- Start Address Register 4 --START_ADDR4 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address4_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address4_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR4; -- Start Address Register 5 --START_ADDR5 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address5_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address5_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR5; -- Start Address Register 6 --START_ADDR6 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address6_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address6_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR6; -- Start Address Register 7 --START_ADDR7 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address7_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address7_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR7; -- Start Address Register 8 -- START_ADDR8 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address8_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address8_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR8; -- Start Address Register 9 --START_ADDR9 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address9_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address9_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR9; -- Start Address Register 10 --START_ADDR10 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address10_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address10_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR10; -- Start Address Register 11 --START_ADDR11 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address11_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address11_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR11; -- Start Address Register 12 --START_ADDR12 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address12_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address12_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR12; -- Start Address Register 13 --START_ADDR13 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address13_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address13_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR13; -- Start Address Register 14 --START_ADDR14 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address14_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address14_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR14; -- Start Address Register 15 --START_ADDR15 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address15_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address15_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR15; -- Start Address Register 16 --START_ADDR16 : process(prmry_aclk) -- begin -- if(prmry_aclk'EVENT and prmry_aclk = '1')then -- if(prmry_resetn = '0')then -- reg_module_start_address16_i <= (others => '0'); -- elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0' )then -- reg_module_start_address16_i <= axi2ip_wrdata; -- end if; -- end if; -- end process START_ADDR16; -- Start Address Register 17 START_ADDR17 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address1_i <= (others => '0'); reg_module_start_address17_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address1_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR1_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address17_i <= axi2ip_wrdata; end if; end if; end process START_ADDR17; -- Start Address Register 17 START_ADDR18 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address2_i <= (others => '0'); reg_module_start_address18_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address2_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR2_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address18_i <= axi2ip_wrdata; end if; end if; end process START_ADDR18; START_ADDR19 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address3_i <= (others => '0'); reg_module_start_address19_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address3_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR3_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address19_i <= axi2ip_wrdata; end if; end if; end process START_ADDR19; START_ADDR20 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address4_i <= (others => '0'); reg_module_start_address20_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address4_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR4_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address20_i <= axi2ip_wrdata; end if; end if; end process START_ADDR20; START_ADDR21 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address5_i <= (others => '0'); reg_module_start_address21_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address5_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR5_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address21_i <= axi2ip_wrdata; end if; end if; end process START_ADDR21; START_ADDR22 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address6_i <= (others => '0'); reg_module_start_address22_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address6_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR6_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address22_i <= axi2ip_wrdata; end if; end if; end process START_ADDR22; START_ADDR23 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address7_i <= (others => '0'); reg_module_start_address23_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address7_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR7_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address23_i <= axi2ip_wrdata; end if; end if; end process START_ADDR23; START_ADDR24 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address8_i <= (others => '0'); reg_module_start_address24_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address8_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR8_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address24_i <= axi2ip_wrdata; end if; end if; end process START_ADDR24; START_ADDR25 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address9_i <= (others => '0'); reg_module_start_address25_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address9_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR9_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address25_i <= axi2ip_wrdata; end if; end if; end process START_ADDR25; START_ADDR26 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address10_i <= (others => '0'); reg_module_start_address26_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address10_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR10_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address26_i <= axi2ip_wrdata; end if; end if; end process START_ADDR26; START_ADDR27 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address11_i <= (others => '0'); reg_module_start_address27_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address11_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR11_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address27_i <= axi2ip_wrdata; end if; end if; end process START_ADDR27; START_ADDR28 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address12_i <= (others => '0'); reg_module_start_address28_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address12_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR12_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address28_i <= axi2ip_wrdata; end if; end if; end process START_ADDR28; START_ADDR29 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address13_i <= (others => '0'); reg_module_start_address29_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address13_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR13_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address29_i <= axi2ip_wrdata; end if; end if; end process START_ADDR29; START_ADDR30 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address14_i <= (others => '0'); reg_module_start_address30_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address14_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR14_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address30_i <= axi2ip_wrdata; end if; end if; end process START_ADDR30; START_ADDR31 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address15_i <= (others => '0'); reg_module_start_address31_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address15_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR15_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address31_i <= axi2ip_wrdata; end if; end if; end process START_ADDR31; START_ADDR32 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_module_start_address16_i <= (others => '0'); reg_module_start_address32_i <= (others => '0'); elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '0')then reg_module_start_address16_i <= axi2ip_wrdata; elsif( axi2ip_wrce(STARTADDR16_INDEX) = '1' and reg_config_locked_i = '0' and reg_index(0) = '1')then reg_module_start_address32_i <= axi2ip_wrdata; end if; end if; end process START_ADDR32; end generate GEN_NUM_FSTORES_32; -- Number of Fstores Generate GEN_1 : if C_NUM_FSTORES = 1 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; end generate GEN_1; -- Number of Fstores Generate GEN_2 : if C_NUM_FSTORES = 2 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; end generate GEN_2; -- Number of Fstores Generate GEN_3 : if C_NUM_FSTORES = 3 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; end generate GEN_3; -- Number of Fstores Generate GEN_4 : if C_NUM_FSTORES = 4 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; end generate GEN_4; -- Number of Fstores Generate GEN_5 : if C_NUM_FSTORES = 5 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; end generate GEN_5; -- Number of Fstores Generate GEN_6 : if C_NUM_FSTORES = 6 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; end generate GEN_6; -- Number of Fstores Generate GEN_7 : if C_NUM_FSTORES = 7 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; end generate GEN_7; -- Number of Fstores Generate GEN_8 : if C_NUM_FSTORES = 8 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; end generate GEN_8; -- Number of Fstores Generate GEN_9 : if C_NUM_FSTORES = 9 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; end generate GEN_9; -- Number of Fstores Generate GEN_10 : if C_NUM_FSTORES = 10 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; end generate GEN_10; -- Number of Fstores Generate GEN_11 : if C_NUM_FSTORES = 11 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; end generate GEN_11; -- Number of Fstores Generate GEN_12 : if C_NUM_FSTORES = 12 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; end generate GEN_12; -- Number of Fstores Generate GEN_13 : if C_NUM_FSTORES = 13 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; end generate GEN_13; -- Number of Fstores Generate GEN_14 : if C_NUM_FSTORES = 14 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; end generate GEN_14; -- Number of Fstores Generate GEN_15 : if C_NUM_FSTORES = 15 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; end generate GEN_15; -- Number of Fstores Generate GEN_16 : if C_NUM_FSTORES = 16 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; end generate GEN_16; -- Number of Fstores Generate GEN_17 : if C_NUM_FSTORES = 17 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; end generate GEN_17; -- Number of Fstores Generate GEN_18 : if C_NUM_FSTORES = 18 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; end generate GEN_18; -- Number of Fstores Generate GEN_19 : if C_NUM_FSTORES = 19 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; end generate GEN_19; -- Number of Fstores Generate GEN_20 : if C_NUM_FSTORES = 20 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; end generate GEN_20; -- Number of Fstores Generate GEN_21 : if C_NUM_FSTORES = 21 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; end generate GEN_21; -- Number of Fstores Generate GEN_22 : if C_NUM_FSTORES = 22 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; end generate GEN_22; -- Number of Fstores Generate GEN_23 : if C_NUM_FSTORES = 23 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; end generate GEN_23; -- Number of Fstores Generate GEN_24 : if C_NUM_FSTORES = 24 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; end generate GEN_24; -- Number of Fstores Generate GEN_25 : if C_NUM_FSTORES = 25 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; end generate GEN_25; -- Number of Fstores Generate GEN_26 : if C_NUM_FSTORES = 26 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; end generate GEN_26; -- Number of Fstores Generate GEN_27 : if C_NUM_FSTORES = 27 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; reg_module_strt_addr(26) <= reg_module_start_address27_i ; end generate GEN_27; -- Number of Fstores Generate GEN_28 : if C_NUM_FSTORES = 28 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; reg_module_strt_addr(26) <= reg_module_start_address27_i ; reg_module_strt_addr(27) <= reg_module_start_address28_i ; end generate GEN_28; -- Number of Fstores Generate GEN_29 : if C_NUM_FSTORES = 29 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; reg_module_strt_addr(26) <= reg_module_start_address27_i ; reg_module_strt_addr(27) <= reg_module_start_address28_i ; reg_module_strt_addr(28) <= reg_module_start_address29_i ; end generate GEN_29; -- Number of Fstores Generate GEN_30 : if C_NUM_FSTORES = 30 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; reg_module_strt_addr(26) <= reg_module_start_address27_i ; reg_module_strt_addr(27) <= reg_module_start_address28_i ; reg_module_strt_addr(28) <= reg_module_start_address29_i ; reg_module_strt_addr(29) <= reg_module_start_address30_i ; end generate GEN_30; -- Number of Fstores Generate GEN_31 : if C_NUM_FSTORES = 31 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; reg_module_strt_addr(26) <= reg_module_start_address27_i ; reg_module_strt_addr(27) <= reg_module_start_address28_i ; reg_module_strt_addr(28) <= reg_module_start_address29_i ; reg_module_strt_addr(29) <= reg_module_start_address30_i ; reg_module_strt_addr(30) <= reg_module_start_address31_i ; end generate GEN_31; -- Number of Fstores Generate GEN_32 : if C_NUM_FSTORES = 32 generate reg_module_strt_addr(0) <= reg_module_start_address1_i ; reg_module_strt_addr(1) <= reg_module_start_address2_i ; reg_module_strt_addr(2) <= reg_module_start_address3_i ; reg_module_strt_addr(3) <= reg_module_start_address4_i ; reg_module_strt_addr(4) <= reg_module_start_address5_i ; reg_module_strt_addr(5) <= reg_module_start_address6_i ; reg_module_strt_addr(6) <= reg_module_start_address7_i ; reg_module_strt_addr(7) <= reg_module_start_address8_i ; reg_module_strt_addr(8) <= reg_module_start_address9_i ; reg_module_strt_addr(9) <= reg_module_start_address10_i ; reg_module_strt_addr(10) <= reg_module_start_address11_i ; reg_module_strt_addr(11) <= reg_module_start_address12_i ; reg_module_strt_addr(12) <= reg_module_start_address13_i ; reg_module_strt_addr(13) <= reg_module_start_address14_i ; reg_module_strt_addr(14) <= reg_module_start_address15_i ; reg_module_strt_addr(15) <= reg_module_start_address16_i ; reg_module_strt_addr(16) <= reg_module_start_address17_i ; reg_module_strt_addr(17) <= reg_module_start_address18_i ; reg_module_strt_addr(18) <= reg_module_start_address19_i ; reg_module_strt_addr(19) <= reg_module_start_address20_i ; reg_module_strt_addr(20) <= reg_module_start_address21_i ; reg_module_strt_addr(21) <= reg_module_start_address22_i ; reg_module_strt_addr(22) <= reg_module_start_address23_i ; reg_module_strt_addr(23) <= reg_module_start_address24_i ; reg_module_strt_addr(24) <= reg_module_start_address25_i ; reg_module_strt_addr(25) <= reg_module_start_address26_i ; reg_module_strt_addr(26) <= reg_module_start_address27_i ; reg_module_strt_addr(27) <= reg_module_start_address28_i ; reg_module_strt_addr(28) <= reg_module_start_address29_i ; reg_module_strt_addr(29) <= reg_module_start_address30_i ; reg_module_strt_addr(30) <= reg_module_start_address31_i ; reg_module_strt_addr(31) <= reg_module_start_address32_i ; end generate GEN_32; --GEN_START_ADDR_MAP : for i in 0 to C_NUM_FSTORES-1 generate --begin -- -- reg_module_strt_addr(i) <= reg_module_strt_addr_i(i); -- --end generate GEN_START_ADDR_MAP; --reg_module_strt_addr(0) <= reg_module_start_address1_i ; --reg_module_strt_addr(1) <= reg_module_start_address2_i ; --reg_module_strt_addr(2) <= reg_module_start_address3_i ; --reg_module_strt_addr(3) <= reg_module_start_address4_i ; --reg_module_strt_addr(4) <= reg_module_start_address5_i ; --reg_module_strt_addr(5) <= reg_module_start_address6_i ; --reg_module_strt_addr(6) <= reg_module_start_address7_i ; --reg_module_strt_addr(7) <= reg_module_start_address8_i ; --reg_module_strt_addr(8) <= reg_module_start_address9_i ; --reg_module_strt_addr(9) <= reg_module_start_address10_i ; --reg_module_strt_addr(10) <= reg_module_start_address11_i ; --reg_module_strt_addr(11) <= reg_module_start_address12_i ; --reg_module_strt_addr(12) <= reg_module_start_address13_i ; --reg_module_strt_addr(13) <= reg_module_start_address14_i ; --reg_module_strt_addr(14) <= reg_module_start_address15_i ; --reg_module_strt_addr(15) <= reg_module_start_address16_i ; --reg_module_strt_addr(16) <= reg_module_start_address17_i ; --reg_module_strt_addr(17) <= reg_module_start_address18_i ; --reg_module_strt_addr(18) <= reg_module_start_address19_i ; --reg_module_strt_addr(19) <= reg_module_start_address20_i ; --reg_module_strt_addr(20) <= reg_module_start_address21_i ; --reg_module_strt_addr(21) <= reg_module_start_address22_i ; --reg_module_strt_addr(22) <= reg_module_start_address23_i ; --reg_module_strt_addr(23) <= reg_module_start_address24_i ; --reg_module_strt_addr(24) <= reg_module_start_address25_i ; --reg_module_strt_addr(25) <= reg_module_start_address26_i ; --reg_module_strt_addr(26) <= reg_module_start_address27_i ; --reg_module_strt_addr(27) <= reg_module_start_address28_i ; --reg_module_strt_addr(28) <= reg_module_start_address29_i ; --reg_module_strt_addr(29) <= reg_module_start_address30_i ; --reg_module_strt_addr(30) <= reg_module_start_address31_i ; --reg_module_strt_addr(31) <= reg_module_start_address32_i ; ---- Map for use in read mux. reg_module_start_address1 <= reg_module_start_address1_i ; reg_module_start_address2 <= reg_module_start_address2_i ; reg_module_start_address3 <= reg_module_start_address3_i ; reg_module_start_address4 <= reg_module_start_address4_i ; reg_module_start_address5 <= reg_module_start_address5_i ; reg_module_start_address6 <= reg_module_start_address6_i ; reg_module_start_address7 <= reg_module_start_address7_i ; reg_module_start_address8 <= reg_module_start_address8_i ; reg_module_start_address9 <= reg_module_start_address9_i ; reg_module_start_address10 <= reg_module_start_address10_i ; reg_module_start_address11 <= reg_module_start_address11_i ; reg_module_start_address12 <= reg_module_start_address12_i ; reg_module_start_address13 <= reg_module_start_address13_i ; reg_module_start_address14 <= reg_module_start_address14_i ; reg_module_start_address15 <= reg_module_start_address15_i ; reg_module_start_address16 <= reg_module_start_address16_i ; reg_module_start_address17 <= reg_module_start_address17_i ; reg_module_start_address18 <= reg_module_start_address18_i ; reg_module_start_address19 <= reg_module_start_address19_i ; reg_module_start_address20 <= reg_module_start_address20_i ; reg_module_start_address21 <= reg_module_start_address21_i ; reg_module_start_address22 <= reg_module_start_address22_i ; reg_module_start_address23 <= reg_module_start_address23_i ; reg_module_start_address24 <= reg_module_start_address24_i ; reg_module_start_address25 <= reg_module_start_address25_i ; reg_module_start_address26 <= reg_module_start_address26_i ; reg_module_start_address27 <= reg_module_start_address27_i ; reg_module_start_address28 <= reg_module_start_address28_i ; reg_module_start_address29 <= reg_module_start_address29_i ; reg_module_start_address30 <= reg_module_start_address30_i ; reg_module_start_address31 <= reg_module_start_address31_i ; reg_module_start_address32 <= reg_module_start_address32_i ; --------***************************************************************************** --------** START ADDRESS REGISTERS --------***************************************************************************** ------ -------- Generate C_NUM_FSTORE start address registeres ------GEN_START_ADDR_REG : for i in 0 to MAX_FSTORES-1 generate ------begin ------ ------ -- Start Address Registers ------ START_ADDR : process(prmry_aclk) ------ begin ------ if(prmry_aclk'EVENT and prmry_aclk = '1')then ------ if(prmry_resetn = '0')then ------ reg_module_strt_addr_i(i) <= (others => '0'); ------ -- Write to appropriate Start Address ------ -- Index based on [(i+1)*2]+2. This gives an index increment ------ -- starting at 4 then going 6,8,10,12, etc. skipping each ------ -- reserved space between 32-bit start addresses. For ------ -- 64bit addressing this index calculation will need to be ------ -- modified. ------ elsif(i<C_NUM_FSTORES and axi2ip_wrce(((i+1)*2)+2) = '1')then ------ reg_module_strt_addr_i(i) <= axi2ip_wrdata; ------ ------ -- For frames greater than fstores the vectors are reserved ------ -- and set to zero ------ elsif(i>= C_NUM_FSTORES)then ------ reg_module_strt_addr_i(i) <= (others => '0'); ------ ------ end if; ------ end if; ------ end process START_ADDR; ------end generate GEN_START_ADDR_REG; ------ -------- Map only C_NUM_FSTORE vectors to output port ------GEN_START_ADDR_MAP : for i in 0 to C_NUM_FSTORES-1 generate ------begin ------ ------ reg_module_strt_addr(i) <= reg_module_strt_addr_i(i); ------ ------end generate GEN_START_ADDR_MAP; ------ ------ -------- Map for use in read mux. ------reg_module_start_address1_i <= reg_module_strt_addr_i(0); ------reg_module_start_address2_i <= reg_module_strt_addr_i(1); ------reg_module_start_address3_i <= reg_module_strt_addr_i(2); ------reg_module_start_address4_i <= reg_module_strt_addr_i(3); ------reg_module_start_address5_i <= reg_module_strt_addr_i(4); ------reg_module_start_address6_i <= reg_module_strt_addr_i(5); ------reg_module_start_address7_i <= reg_module_strt_addr_i(6); ------reg_module_start_address8_i <= reg_module_strt_addr_i(7); ------reg_module_start_address9_i <= reg_module_strt_addr_i(8); ------reg_module_start_address10_i <= reg_module_strt_addr_i(9); ------reg_module_start_address11_i <= reg_module_strt_addr_i(10); ------reg_module_start_address12_i <= reg_module_strt_addr_i(11); ------reg_module_start_address13_i <= reg_module_strt_addr_i(12); ------reg_module_start_address14_i <= reg_module_strt_addr_i(13); ------reg_module_start_address15_i <= reg_module_strt_addr_i(14); ------reg_module_start_address16_i <= reg_module_strt_addr_i(15); ------reg_module_start_address17_i <= reg_module_strt_addr_i(16); ------reg_module_start_address18_i <= reg_module_strt_addr_i(17); ------reg_module_start_address19_i <= reg_module_strt_addr_i(18); ------reg_module_start_address20_i <= reg_module_strt_addr_i(19); ------reg_module_start_address21_i <= reg_module_strt_addr_i(20); ------reg_module_start_address22_i <= reg_module_strt_addr_i(21); ------reg_module_start_address23_i <= reg_module_strt_addr_i(22); ------reg_module_start_address24_i <= reg_module_strt_addr_i(23); ------reg_module_start_address25_i <= reg_module_strt_addr_i(24); ------reg_module_start_address26_i <= reg_module_strt_addr_i(25); ------reg_module_start_address27_i <= reg_module_strt_addr_i(26); ------reg_module_start_address28_i <= reg_module_strt_addr_i(27); ------reg_module_start_address29_i <= reg_module_strt_addr_i(28); ------reg_module_start_address30_i <= reg_module_strt_addr_i(29); ------reg_module_start_address31_i <= reg_module_strt_addr_i(30); ------reg_module_start_address32_i <= reg_module_strt_addr_i(31); end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover.vhd
5
74551
------------------------------------------------------------------------------- -- axi_datamover.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ; use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ; use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap; use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ; use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ; use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_CACHE_USER : integer range 0 to 1 := 0; C_ENABLE_SKID_BUF : string := "11111"; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0; C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_CMD_WIDTH : integer range 72 to 112 := 72; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_datamover; architecture implementation of axi_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; function funct_fix_addr (in_addr_width : integer) return integer is Variable new_addr_width : Integer; begin If (in_addr_width <= 32) Then new_addr_width := 32; elsif (in_addr_width > 32 and in_addr_width <= 40) Then new_addr_width := 40; elsif (in_addr_width > 40 and in_addr_width <= 48) Then new_addr_width := 48; elsif (in_addr_width > 48 and in_addr_width <= 56) Then new_addr_width := 56; else new_addr_width := 64; End if; Return (new_addr_width); end function funct_fix_addr; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH); constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ; signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ; begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; end generate GEN_MM2S_TKEEP_ENABLE1; GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate begin m_axis_mm2s_tkeep <= (others => '1'); end generate GEN_MM2S_TKEEP_DISABLE1; GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate begin -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; end generate GEN_S2MM_TKEEP_ENABLE1; GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate begin sig_s2mm_tstrb <= (others => '1'); end generate GEN_S2MM_TKEEP_DISABLE1; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_OMIT -- -- If Generate Description: -- Instantiate the MM2S OMIT Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_OMIT_WRAPPER -- -- Description: -- Read omit Wrapper Instance -- ------------------------------------------------------------ I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr_int , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_OMIT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_FULL -- -- If Generate Description: -- Instantiate the MM2S Full Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_FULL_WRAPPER -- -- Description: -- Read Full Wrapper Instance -- ------------------------------------------------------------ I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr_int , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr_int , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_OMIT -- -- If Generate Description: -- Instantiate the S2MM OMIT Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_OMIT_WRAPPER -- -- Description: -- Write Omit Wrapper Instance -- ------------------------------------------------------------ I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr_int , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_OMIT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_FULL -- -- If Generate Description: -- Instantiate the S2MM FULL Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_FULL_WRAPPER -- -- Description: -- Write Full Wrapper Instance -- ------------------------------------------------------------ I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED , C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr_int , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr_int , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover.vhd
5
74551
------------------------------------------------------------------------------- -- axi_datamover.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ; use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ; use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap; use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ; use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ; use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_CACHE_USER : integer range 0 to 1 := 0; C_ENABLE_SKID_BUF : string := "11111"; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0; C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_CMD_WIDTH : integer range 72 to 112 := 72; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_datamover; architecture implementation of axi_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; function funct_fix_addr (in_addr_width : integer) return integer is Variable new_addr_width : Integer; begin If (in_addr_width <= 32) Then new_addr_width := 32; elsif (in_addr_width > 32 and in_addr_width <= 40) Then new_addr_width := 40; elsif (in_addr_width > 40 and in_addr_width <= 48) Then new_addr_width := 48; elsif (in_addr_width > 48 and in_addr_width <= 56) Then new_addr_width := 56; else new_addr_width := 64; End if; Return (new_addr_width); end function funct_fix_addr; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH); constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ; signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ; begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; end generate GEN_MM2S_TKEEP_ENABLE1; GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate begin m_axis_mm2s_tkeep <= (others => '1'); end generate GEN_MM2S_TKEEP_DISABLE1; GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate begin -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; end generate GEN_S2MM_TKEEP_ENABLE1; GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate begin sig_s2mm_tstrb <= (others => '1'); end generate GEN_S2MM_TKEEP_DISABLE1; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_OMIT -- -- If Generate Description: -- Instantiate the MM2S OMIT Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_OMIT_WRAPPER -- -- Description: -- Read omit Wrapper Instance -- ------------------------------------------------------------ I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr_int , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_OMIT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_FULL -- -- If Generate Description: -- Instantiate the MM2S Full Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_FULL_WRAPPER -- -- Description: -- Read Full Wrapper Instance -- ------------------------------------------------------------ I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr_int , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr_int , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_OMIT -- -- If Generate Description: -- Instantiate the S2MM OMIT Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_OMIT_WRAPPER -- -- Description: -- Write Omit Wrapper Instance -- ------------------------------------------------------------ I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr_int , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_OMIT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_FULL -- -- If Generate Description: -- Instantiate the S2MM FULL Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_FULL_WRAPPER -- -- Description: -- Write Full Wrapper Instance -- ------------------------------------------------------------ I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED , C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr_int , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_MICRO_DMA => C_MICRO_DMA , C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr_int , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_sg_cdc.vhd
4
43586
------------------------------------------------------------------------------- -- axi_vdma_sg_cdc ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_sg_cdc.vhd -- Description: This entity encompases the Clock Domain Crossing Pulse -- Generator for Scatter Gather signals -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_vdma_sg_cdc is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 32 := 32 ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- From Register Module (Primary Clk Domain) -- reg2cdc_run_stop : in std_logic ; -- reg2cdc_stop : in std_logic ; -- reg2cdc_taildesc_wren : in std_logic ; -- reg2cdc_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- reg2cdc_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- To Scatter Gather Engine (Secondary Clk Domain -- cdc2sg_run_stop : out std_logic ; -- cdc2sg_stop : out std_logic ; -- cdc2sg_taildesc_wren : out std_logic ; -- cdc2sg_taildesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- cdc2sg_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- From Scatter Gather Engine (Secondary Clk Domain) -- sg2cdc_ftch_idle : in std_logic ; -- sg2cdc_ftch_interr_set : in std_logic ; -- sg2cdc_ftch_slverr_set : in std_logic ; -- sg2cdc_ftch_decerr_set : in std_logic ; -- sg2cdc_ftch_err_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- sg2cdc_ftch_err : in std_logic ; -- -- -- To DMA Controller -- cdc2dmac_ftch_idle : out std_logic ; -- -- -- To Register Module -- cdc2reg_ftch_interr_set : out std_logic ; -- cdc2reg_ftch_slverr_set : out std_logic ; -- cdc2reg_ftch_decerr_set : out std_logic ; -- cdc2reg_ftch_err_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- cdc2reg_ftch_err : out std_logic -- ); end axi_vdma_sg_cdc; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_sg_cdc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal reg2cdc_taildesc_i : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0') ; -- signal sg2cdc_ftch_err_addr_i : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0') ; -- signal reg2cdc_curdesc_i : std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0') ; -- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Aysnchronous mode therefore instantiate clock domain crossing logic GEN_CDC_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- From register module (primary clock domain) to -- scatter gather engine (secondary clock domain) ---- CUR_DESC_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_VECTR_P_S , ---- C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT -- Not Used ---- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '1' , ---- prmry_vect_in => reg2cdc_curdesc , ---- scndry_vect_out => cdc2sg_curdesc ---- ); -- Register signal in to give clear FF output to CDC P_IN_CUR_DESC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then reg2cdc_curdesc_i <= (others => '0'); else reg2cdc_curdesc_i <= reg2cdc_curdesc; end if; end if; end process P_IN_CUR_DESC; CUR_DESC_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 0, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => prmry_aclk, prmry_resetn => prmry_resetn, prmry_in => '0', prmry_vect_in => reg2cdc_curdesc_i, prmry_ack => open, scndry_aclk => scndry_aclk, scndry_resetn => scndry_resetn, scndry_out => open, scndry_vect_out => cdc2sg_curdesc ); -- From register module (primary clock domain) to -- scatter gather engine (secondary clock domain) ---- TAIL_DESC_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => reg2cdc_taildesc_wren , ---- scndry_out => cdc2sg_taildesc_wren , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT -- Not Used ---- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT ---- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) , -- Not Used ---- scndry_vect_out => open ---- ); TAIL_DESC_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => prmry_aclk, prmry_resetn => prmry_resetn, prmry_in => reg2cdc_taildesc_wren, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => scndry_aclk, scndry_resetn => scndry_resetn, scndry_out => cdc2sg_taildesc_wren, scndry_vect_out => open ); -- From register module (primary clock domain) to -- scatter gather engine (secondary clock domain) ---- TAIL_DESC_VECT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_VECTR_P_S , ---- C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT -- Not Used ---- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => reg2cdc_taildesc_wren , ---- prmry_vect_in => reg2cdc_taildesc , ---- scndry_vect_out => cdc2sg_taildesc ---- ); ---- -- Register signal in to give clear FF output to CDC P_IN_TAIL_DESC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0')then reg2cdc_taildesc_i <= (others => '0'); elsif(reg2cdc_taildesc_wren = '1')then reg2cdc_taildesc_i <= reg2cdc_taildesc; end if; end if; end process P_IN_TAIL_DESC; TAIL_DESC_VECT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 0, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => prmry_aclk, prmry_resetn => prmry_resetn, prmry_in => '0', prmry_vect_in => reg2cdc_taildesc_i, prmry_ack => open, scndry_aclk => scndry_aclk, scndry_resetn => scndry_resetn, scndry_out => open, scndry_vect_out => cdc2sg_taildesc ); -- From register module (primary clock domain) to -- scatter gather engine (secondary clock domain) ---- RUNSTOP_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => reg2cdc_run_stop , ---- scndry_out => cdc2sg_run_stop , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); RUNSTOP_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => prmry_aclk, prmry_resetn => prmry_resetn, prmry_in => reg2cdc_run_stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => scndry_aclk, scndry_resetn => scndry_resetn, scndry_out => cdc2sg_run_stop, scndry_vect_out => open ); -- From register module (primary clock domain) to -- scatter gather engine (secondary clock domain) ---- STOP_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => reg2cdc_stop , ---- scndry_out => cdc2sg_stop , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- STOP_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => prmry_aclk, prmry_resetn => prmry_resetn, prmry_in => reg2cdc_stop, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => scndry_aclk, scndry_resetn => scndry_resetn, scndry_out => cdc2sg_stop, scndry_vect_out => open ); -- From SG Engine (secondary clock domain) to -- DMA Controller (primary clock domain) ---- FTCH_IDLE_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 , ---- C_RESET_STATE => 1 ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => sg2cdc_ftch_idle , ---- prmry_out => cdc2dmac_ftch_idle , ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- FTCH_IDLE_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => scndry_aclk, prmry_resetn => scndry_resetn, prmry_in => sg2cdc_ftch_idle, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => prmry_aclk, scndry_resetn => prmry_resetn, scndry_out => cdc2dmac_ftch_idle, scndry_vect_out => open ); --sg to reg -- From SG Engine (secondary clock domain) to -- Register Block (primary clock domain) ---- FTCH_INTERR_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => sg2cdc_ftch_interr_set , ---- prmry_out => cdc2reg_ftch_interr_set , ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- FTCH_INTERR_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => scndry_aclk, prmry_resetn => scndry_resetn, prmry_in => sg2cdc_ftch_interr_set, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => prmry_aclk, scndry_resetn => prmry_resetn, scndry_out => cdc2reg_ftch_interr_set, scndry_vect_out => open ); ---- FTCH_SLVERR_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => sg2cdc_ftch_slverr_set , ---- prmry_out => cdc2reg_ftch_slverr_set , ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- FTCH_SLVERR_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => scndry_aclk, prmry_resetn => scndry_resetn, prmry_in => sg2cdc_ftch_slverr_set, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => prmry_aclk, scndry_resetn => prmry_resetn, scndry_out => cdc2reg_ftch_slverr_set, scndry_vect_out => open ); ---- FTCH_DECERR_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => sg2cdc_ftch_decerr_set , ---- prmry_out => cdc2reg_ftch_decerr_set , ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- FTCH_DECERR_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => scndry_aclk, prmry_resetn => scndry_resetn, prmry_in => sg2cdc_ftch_decerr_set, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => prmry_aclk, scndry_resetn => prmry_resetn, scndry_out => cdc2reg_ftch_decerr_set, scndry_vect_out => open ); ---- ERR_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => sg2cdc_ftch_err , ---- prmry_out => cdc2reg_ftch_err , ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) , ---- prmry_vect_out => open , ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(C_M_AXI_SG_ADDR_WIDTH-1 downto 0), -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- ERR_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => scndry_aclk, prmry_resetn => scndry_resetn, prmry_in => sg2cdc_ftch_err, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => prmry_aclk, scndry_resetn => prmry_resetn, scndry_out => cdc2reg_ftch_err, scndry_vect_out => open ); ---- ERR_VECT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_VECTR_S_P , ---- C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ---- ) ---- port map ( ---- prmry_aclk => prmry_aclk , ---- prmry_resetn => prmry_resetn , ---- scndry_aclk => scndry_aclk , ---- scndry_resetn => scndry_resetn , ---- scndry_in => '0' , ---- prmry_out => open , ---- prmry_in => '0' , -- Not Used ---- scndry_out => open , -- Not Used ---- scndry_vect_s_h => sg2cdc_ftch_err , ---- scndry_vect_in => sg2cdc_ftch_err_addr , ---- prmry_vect_out => cdc2reg_ftch_err_addr , ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE_VECT(C_M_AXI_SG_ADDR_WIDTH-1 downto 0), -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- -- Register signal in to give clear FF output to CDC P_IN_ERR_VECT : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0')then sg2cdc_ftch_err_addr_i <= (others => '0'); elsif(sg2cdc_ftch_err = '1')then sg2cdc_ftch_err_addr_i <= sg2cdc_ftch_err_addr; end if; end if; end process P_IN_ERR_VECT; ERR_VECT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 0, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => scndry_aclk, prmry_resetn => scndry_resetn, prmry_in => '0', prmry_vect_in => sg2cdc_ftch_err_addr_i, prmry_ack => open, scndry_aclk => prmry_aclk, scndry_resetn => prmry_resetn, scndry_out => open, scndry_vect_out => cdc2reg_ftch_err_addr ); end generate GEN_CDC_FOR_ASYNC; -- Synchronous Mode therefore map inputs to associated -- outputs directly. GEN_NO_CDC_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin cdc2sg_run_stop <= reg2cdc_run_stop ; cdc2sg_stop <= reg2cdc_stop ; cdc2sg_taildesc_wren <= reg2cdc_taildesc_wren ; cdc2sg_taildesc <= reg2cdc_taildesc ; cdc2sg_curdesc <= reg2cdc_curdesc ; cdc2dmac_ftch_idle <= sg2cdc_ftch_idle ; cdc2reg_ftch_interr_set <= sg2cdc_ftch_interr_set ; cdc2reg_ftch_slverr_set <= sg2cdc_ftch_slverr_set ; cdc2reg_ftch_decerr_set <= sg2cdc_ftch_decerr_set ; cdc2reg_ftch_err <= sg2cdc_ftch_err ; cdc2reg_ftch_err_addr <= sg2cdc_ftch_err_addr ; end generate GEN_NO_CDC_FOR_SYNC; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/lib_pkg_v1_0/hdl/src/vhdl/lib_pkg.vhd
28
16351
-- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: lib_pkg.vhd -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lib_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end lib_pkg; package body lib_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body lib_pkg;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_genlock_mngr.vhd
4
139378
------------------------------------------------------------------------------- -- axi_vdma_genlock_mngr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_genlock_mngr.vhd -- -- Description: This entity encompasses the Gen Lock Manager -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_vdma_genlock_mngr is generic( C_GENLOCK_MODE : integer range 0 to 3 := 0 ; -- Specifies Gen-Lock Mode of operation -- 0 = Master - Channel configured to be Gen-Lock Master -- 1 = Slave - Channel configured to be Gen-Lock Slave C_GENLOCK_NUM_MASTERS : integer range 1 to 16 := 1 ; -- Number of Gen-Lock masters capable of controlling Gen-Lock Slave C_INTERNAL_GENLOCK_ENABLE : integer range 0 to 1 := 0 ; -- Enable internal genlock bus -- 0 = disable internal genlock bus -- 1 = enable internal genlock bus C_NUM_FSTORES : integer range 1 to 32 := 5 -- Number of Frame Stores ); port ( -- Secondary Clock Domain prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- -- Dynamic Frame Store Support -- num_frame_store : in std_logic_vector -- (NUM_FRM_STORE_WIDTH-1 downto 0) ; -- num_fstore_minus1 : in std_logic_vector -- (FRAME_NUMBER_WIDTH-1 downto 0) ; -- -- -- Gen-Lock Slave Signals -- mstr_in_control : in std_logic_vector(3 downto 0) ; -- genlock_select : in std_logic ; -- frame_ptr_in : in std_logic_vector -- ((C_GENLOCK_NUM_MASTERS -- *NUM_FRM_STORE_WIDTH)-1 downto 0) ; -- internal_frame_ptr_in : in std_logic_vector -- (NUM_FRM_STORE_WIDTH-1 downto 0) ; -- slv_frame_ref_out : out std_logic_vector -- (FRAME_NUMBER_WIDTH-1 downto 0) ; -- fsize_mismatch_err_flag : in std_logic ; -- -- Gen-Lock Master Signals -- dmasr_halt : in std_logic ; -- circular_prk_mode : in std_logic ; -- mstr_frame_update : in std_logic ; -- mstr_frame_ref_in : in std_logic_vector -- (FRAME_NUMBER_WIDTH-1 downto 0) ; -- mstrfrm_tstsync_out : out std_logic ; -- frame_ptr_out : out std_logic_vector -- (NUM_FRM_STORE_WIDTH-1 downto 0) -- ); end axi_vdma_genlock_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_genlock_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Zero vector for tying off unused inputs constant ZERO_VALUE : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); -- Number of bits to analyze for grey code enconding and decoding constant GREY_NUM_BITS : integer := max2(1,clog2(C_NUM_FSTORES)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Slave only signals signal grey_frame_ptr : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal grey_frmstr_adjusted : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal partial_frame_ptr : std_logic_vector(GREY_NUM_BITS-1 downto 0) := (others => '0'); signal padded_partial_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); -- Master and Slave signals signal s_binary_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal ds_binary_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal dm_binary_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal binary_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal raw_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal rvc_frame_ref_in : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal dm_inv_raw_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s_inv_raw_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal ds_inv_raw_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal inv_raw_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal reg_raw_frame_ptr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal grey_frame_ptr_out : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s_frame_ptr_out : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal num_fstore_equal_one : std_logic := '0'; signal dm_mstr_reverse_order : std_logic := '0'; signal dm_mstr_reverse_order_d1 : std_logic := '0'; signal s_mstr_reverse_order : std_logic := '0'; signal ds_mstr_reverse_order : std_logic := '0'; signal s_mstr_reverse_order_d1 : std_logic := '0'; signal ds_mstr_reverse_order_d1 : std_logic := '0'; signal mstr_reverse_order : std_logic := '0'; signal mstr_reverse_order_d1 : std_logic := '0'; signal mstr_reverse_order_d2 : std_logic := '0'; -- Test signals signal mstrfrm_tstsync_d1 : std_logic := '0'; signal mstrfrm_tstsync_d2 : std_logic := '0'; signal mstrfrm_tstsync_d3 : std_logic := '0'; signal mstrfrm_tstsync_d4 : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Number of fstore value set in register is 0x01. num_fstore_equal_one <= '1' when num_fstore_minus1 = ZERO_VALUE(FRAME_NUMBER_WIDTH-1 downto 0) else '0'; ------------------------------------------------------------------------------- -- Generate genlock decoding logic for slave ------------------------------------------------------------------------------- GENLOCK_FOR_SLAVE : if C_GENLOCK_MODE = 1 generate begin ----------------------------------------------------------------------------------------------------------------------------------- --Output GenLock Slave's working frame number in grey ----------------------------------------------------------------------------------------------------------------------------------- -- Create flag to indicate when to reverse frame order for genlock output -- 0= normal frame order, 1= reverse frame order RVRS_ORDER_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then --if(prmry_resetn = '0' )then mstr_reverse_order <= '1'; -- On update if at frame 0 then toggle reverse order flag. -- Do not toggle flag if in park mode. elsif(fsize_mismatch_err_flag = '0' and mstr_frame_update = '1' and mstr_frame_ref_in = num_fstore_minus1 and circular_prk_mode = '1')then mstr_reverse_order <= not mstr_reverse_order; -- toggle reverse flag end if; end if; end process RVRS_ORDER_FLAG; -- Register reverse flag twice to align flag with phase 4 grey encoded tag -- process REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstr_reverse_order_d1 <= '1'; mstr_reverse_order_d2 <= '1'; else mstr_reverse_order_d1 <= mstr_reverse_order; mstr_reverse_order_d2 <= mstr_reverse_order_d1; end if; end if; end process REG_DELAY_FLAG; -- For FSTORE > 1 then gray coding is needed for proper clock crossing -- in Gen-Lock slave. (CR578234 - added generate for fstores > 1) GEN_FSTORES_GRTR_ONE : if C_NUM_FSTORES > 1 generate begin --------------------------------------------------------------------------- -- Phase 1: Based on reverse order flag convert master frame in into a -- reverse order frame number (i.e. 3,2,1,0) -- or normal order (i.e. 0,1,2,3) --------------------------------------------------------------------------- --rvc_frame_ref_in <= std_logic_vector((C_NUM_FSTORES - 1) - unsigned(mstr_frame_ref_in)); rvc_frame_ref_in <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(mstr_frame_ref_in)); FRAME_CONVERT_P1 : process(mstr_reverse_order,mstr_frame_ref_in,rvc_frame_ref_in,num_fstore_equal_one) begin if(mstr_reverse_order = '1' and num_fstore_equal_one = '0')then raw_frame_ptr <= rvc_frame_ref_in; else raw_frame_ptr <= mstr_frame_ref_in; end if; end process FRAME_CONVERT_P1; -- Register to break long timing paths REG_P1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_raw_frame_ptr <= (others => '0'); else reg_raw_frame_ptr <= raw_frame_ptr; end if; end if; end process REG_P1; --------------------------------------------------------------------------- -- Phase 2: Partial Invert of raw frame pointer (invert only the -- log2(C_NUM_FSTORE) bits -- GREY_NUM_BITS = 1 which is C_NUM_FSTORE = 1 to 2 then invert 1 LSB -- GREY_NUM_BITS = 2 which is C_NUM_FSTORE = 3 to 4, then invert 2 LSBs -- GREY_NUM_BITS = 3 which is C_NUM_FSTORE = 5 to 8, then invert 3 LSBs -- GREY_NUM_BITS = 4 which is C_NUM_FSTORE = 9 to 16, then invert 4 LSBs -- GREY_NUM_BITS = 5 which is C_NUM_FSTORE = 17 to 32, then invert 5 LSBs (all bits) --------------------------------------------------------------------------- -- CR604657 - shifted FSTORE 2 to the correct inverse PARTIAL_NOT_P2 : process(num_frame_store,reg_raw_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 17 to 32 when others => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= not reg_raw_frame_ptr(4); end case; end process PARTIAL_NOT_P2; -- Register pratial not to break timing paths REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then binary_frame_ptr <= (others => '0'); else binary_frame_ptr <= inv_raw_frame_ptr; end if; end if; end process REG_P2; --------------------------------------------------------------------------- -- Phase 3 : Grey Encode -- Encode binary coded frame pointer --------------------------------------------------------------------------- GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => FRAME_NUMBER_WIDTH ) port map( -- Grey Encode binary_in => binary_frame_ptr , grey_out => grey_frame_ptr_out , -- Grey Decode grey_in => ZERO_VALUE(FRAME_NUMBER_WIDTH-1 downto 0) , binary_out => open ); --------------------------------------------------------------------------- -- Phase 4 : Tag Grey Encoded Pointer -- Tag grey code with the inverse of the reverse flag. This provides -- two sets of grey codes representing 2 passes through frame buffer. --------------------------------------------------------------------------- -- If C_NUM_FSTORES is 17 to 32 then all 5 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_5_BITS : if GREY_NUM_BITS = 5 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 2 when "000010" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= grey_frame_ptr_out(4); s_frame_ptr_out(5) <= not mstr_reverse_order_d2; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_5_BITS; -- If C_NUM_FSTORES is 8 to 16 then all 4 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_4_BITS : if GREY_NUM_BITS = 4 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000101" | "000110" | "000111" | "001000" => -- 5, 6, 7, and 8 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 9 to 16 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_4_BITS; -- C_NUM_FSTORES = 4 to 7 GEN_EQL_3_BITS : if GREY_NUM_BITS = 3 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 5 to 7 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_3_BITS; -- C_NUM_FSTORES = 3 GEN_EQL_2_BITS : if GREY_NUM_BITS = 2 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 3 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_2_BITS; -- C_NUM_FSTORES = 2 GEN_EQL_1_BITS : if GREY_NUM_BITS = 1 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_1_BITS; end generate GEN_FSTORES_GRTR_ONE; -- CR606861 -- For FSTORE = 1 then gray coding is not needed. Simply -- pass the reverse order flag out. -- (CR578234 - added generate for fstores = 1) GEN_FSTORES_EQL_ONE : if C_NUM_FSTORES = 1 generate begin s_frame_ptr_out <= "00000" & not(mstr_reverse_order_d2); end generate GEN_FSTORES_EQL_ONE; -- Register Master Frame Pointer Out REG_FRAME_PTR_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then frame_ptr_out <= (others => '0'); else frame_ptr_out <= s_frame_ptr_out; end if; end if; end process REG_FRAME_PTR_OUT; ------------------------------------------------------------------------------------------------------------------- -- Mux frame pointer in from Master based on master in control GENLOCK_MUX_I : entity axi_vdma_v6_2_8.axi_vdma_genlock_mux generic map( C_GENLOCK_NUM_MASTERS => C_GENLOCK_NUM_MASTERS , C_INTERNAL_GENLOCK_ENABLE => C_INTERNAL_GENLOCK_ENABLE ) port map( prmry_aclk => prmry_aclk , prmry_resetn => prmry_resetn , mstr_in_control => mstr_in_control , genlock_select => genlock_select , internal_frame_ptr_in => internal_frame_ptr_in , frame_ptr_in => frame_ptr_in , frame_ptr_out => grey_frame_ptr ); --------------------------------------------------------------------------- -- Phase 1: -- Decode Grey coded frame pointer --------------------------------------------------------------------------- ADJUST_4_FRM_STRS : process(num_frame_store,grey_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= '0'; grey_frmstr_adjusted(2) <= '0'; grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= '0'; grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= grey_frame_ptr(3); grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => -- 17 to 32 grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= grey_frame_ptr(3); grey_frmstr_adjusted(4) <= grey_frame_ptr(4); grey_frmstr_adjusted(5) <= '0'; end case; end process ADJUST_4_FRM_STRS; S_GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => GREY_NUM_BITS ) port map( -- Grey Encode binary_in => ZERO_VALUE(GREY_NUM_BITS - 1 downto 0) , grey_out => open , -- Grey Decode grey_in => grey_frmstr_adjusted(GREY_NUM_BITS - 1 downto 0) , binary_out => partial_frame_ptr ); --------------------------------------------------------------------------- -- Phase 2: -- Invert partial frame pointer and pad to full frame pointer width --------------------------------------------------------------------------- -- FSTORES = 1 or 2 therefore pad decoded frame pointer with 4 bits -- CR604657 - shifted FSTORE 2 case to the correct padding location GEN_FSTORES_12 : if C_NUM_FSTORES = 1 or C_NUM_FSTORES = 2 generate begin padded_partial_frame_ptr <= "0000" & partial_frame_ptr; end generate GEN_FSTORES_12; -- FSTORES = 3 or 4 therefore pad decoded frame pointer with 3 bits GEN_FSTORES_34 : if C_NUM_FSTORES > 2 and C_NUM_FSTORES < 5 generate begin padded_partial_frame_ptr <= "000" & partial_frame_ptr; end generate GEN_FSTORES_34; -- FSTORES = 5,6,7 or 8 therefore pad decoded frame pointer with 2 bit GEN_FSTORES_5678 : if C_NUM_FSTORES > 4 and C_NUM_FSTORES < 9 generate begin padded_partial_frame_ptr <= "00" & partial_frame_ptr; end generate GEN_FSTORES_5678; -- FSTORES = 9 to 16 therefore pad decoded frame pointer with 1 bit GEN_FSTORES_9TO16 : if C_NUM_FSTORES > 8 and C_NUM_FSTORES < 17 generate begin padded_partial_frame_ptr <= '0' & partial_frame_ptr; end generate GEN_FSTORES_9TO16; -- FSTORES > 16 therefore no need to pad decoded frame pointer GEN_FSTORES_17NUP : if C_NUM_FSTORES > 16 generate begin padded_partial_frame_ptr <= partial_frame_ptr; end generate GEN_FSTORES_17NUP; -- CR604640 - fixed wrong signal in sensitivity list. -- CR604657 - shifted FSTORE 2 to the correct inverse S_PARTIAL_NOT_P2 : process(num_frame_store,padded_partial_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => s_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); s_inv_raw_frame_ptr(1) <= '0'; s_inv_raw_frame_ptr(2) <= '0'; s_inv_raw_frame_ptr(3) <= '0'; s_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => s_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); s_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); s_inv_raw_frame_ptr(2) <= '0'; s_inv_raw_frame_ptr(3) <= '0'; s_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => s_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); s_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); s_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); s_inv_raw_frame_ptr(3) <= '0'; s_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => s_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); s_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); s_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); s_inv_raw_frame_ptr(3) <= not padded_partial_frame_ptr(3); s_inv_raw_frame_ptr(4) <= '0'; when others => -- 17 to 32 s_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); s_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); s_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); s_inv_raw_frame_ptr(3) <= not padded_partial_frame_ptr(3); s_inv_raw_frame_ptr(4) <= not padded_partial_frame_ptr(4); end case; end process S_PARTIAL_NOT_P2; -- Register to break long timing paths S_REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then s_binary_frame_ptr <= (others => '0'); else s_binary_frame_ptr <= s_inv_raw_frame_ptr; end if; end if; end process S_REG_P2; --------------------------------------------------------------------------- -- Phase 3: -- Convert to frame pointer (i.e. reverse if need or pass through) --------------------------------------------------------------------------- -- Reverse order indication -- 1 = frame order reversed, 0 = frame order normal --mstr_reverse_order <= not grey_frame_ptr(GREY_NUM_BITS); REVERSE_INDICATOR : process(num_frame_store,grey_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => s_mstr_reverse_order <= not grey_frame_ptr(0); -- Number of Frame Stores = 2 when "000010" => s_mstr_reverse_order <= not grey_frame_ptr(1); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => s_mstr_reverse_order <= not grey_frame_ptr(2); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => s_mstr_reverse_order <= not grey_frame_ptr(3); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => s_mstr_reverse_order <= not grey_frame_ptr(4); -- Number of Frame Stores = 16 to 32 when others => s_mstr_reverse_order <= not grey_frame_ptr(5); end case; end process REVERSE_INDICATOR; -- Register reverse flag to align flag with phase 3 process S_REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then s_mstr_reverse_order_d1 <= '1'; else s_mstr_reverse_order_d1 <= s_mstr_reverse_order; end if; end if; end process S_REG_DELAY_FLAG; FRAME_CONVERT_P3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then slv_frame_ref_out <= (others => '0'); -- reverse order frames (reverse the frame) elsif(s_mstr_reverse_order_d1='1' and num_fstore_equal_one = '0')then slv_frame_ref_out <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(s_binary_frame_ptr)); -- reverse order frames with only 1 frame store (reverse the frame) -- CR607439 - If 1 fstore then frame is always just 0 elsif(num_fstore_equal_one = '1')then slv_frame_ref_out <= (others => '0'); -- forward order frame (simply pass through) else slv_frame_ref_out <= s_binary_frame_ptr; end if; end if; end process FRAME_CONVERT_P3; mstrfrm_tstsync_out <= '0'; -- Not used for slaves end generate GENLOCK_FOR_SLAVE; ------------------------------------------------------------------------------- -- Generate genlock decoding logic for master ------------------------------------------------------------------------------- GENLOCK_FOR_MASTER : if C_GENLOCK_MODE = 0 generate begin -- Only used for slave mode slv_frame_ref_out <= (others => '0'); -- Create flag to indicate when to reverse frame order for genlock output -- 0= normal frame order, 1= reverse frame order RVRS_ORDER_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then --if(prmry_resetn = '0' )then mstr_reverse_order <= '1'; -- On update if at frame 0 then toggle reverse order flag. -- Do not toggle flag if in park mode. elsif(fsize_mismatch_err_flag = '0' and mstr_frame_update = '1' and mstr_frame_ref_in = num_fstore_minus1 and circular_prk_mode = '1')then mstr_reverse_order <= not mstr_reverse_order; -- toggle reverse flag end if; end if; end process RVRS_ORDER_FLAG; -- Register reverse flag twice to align flag with phase 4 grey encoded tag -- process REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstr_reverse_order_d1 <= '1'; mstr_reverse_order_d2 <= '1'; else mstr_reverse_order_d1 <= mstr_reverse_order; mstr_reverse_order_d2 <= mstr_reverse_order_d1; end if; end if; end process REG_DELAY_FLAG; -- For FSTORE > 1 then gray coding is needed for proper clock crossing -- in Gen-Lock slave. (CR578234 - added generate for fstores > 1) GEN_FSTORES_GRTR_ONE : if C_NUM_FSTORES > 1 generate begin --------------------------------------------------------------------------- -- Phase 1: Based on reverse order flag convert master frame in into a -- reverse order frame number (i.e. 3,2,1,0) -- or normal order (i.e. 0,1,2,3) --------------------------------------------------------------------------- --rvc_frame_ref_in <= std_logic_vector((C_NUM_FSTORES - 1) - unsigned(mstr_frame_ref_in)); rvc_frame_ref_in <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(mstr_frame_ref_in)); FRAME_CONVERT_P1 : process(mstr_reverse_order,mstr_frame_ref_in,rvc_frame_ref_in,num_fstore_equal_one) begin if(mstr_reverse_order = '1' and num_fstore_equal_one = '0')then raw_frame_ptr <= rvc_frame_ref_in; else raw_frame_ptr <= mstr_frame_ref_in; end if; end process FRAME_CONVERT_P1; -- Register to break long timing paths REG_P1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_raw_frame_ptr <= (others => '0'); else reg_raw_frame_ptr <= raw_frame_ptr; end if; end if; end process REG_P1; --------------------------------------------------------------------------- -- Phase 2: Partial Invert of raw frame pointer (invert only the -- log2(C_NUM_FSTORE) bits -- GREY_NUM_BITS = 1 which is C_NUM_FSTORE = 1 to 2 then invert 1 LSB -- GREY_NUM_BITS = 2 which is C_NUM_FSTORE = 3 to 4, then invert 2 LSBs -- GREY_NUM_BITS = 3 which is C_NUM_FSTORE = 5 to 8, then invert 3 LSBs -- GREY_NUM_BITS = 4 which is C_NUM_FSTORE = 9 to 16, then invert 4 LSBs -- GREY_NUM_BITS = 5 which is C_NUM_FSTORE = 17 to 32, then invert 5 LSBs (all bits) --------------------------------------------------------------------------- -- CR604657 - shifted FSTORE 2 to the correct inverse PARTIAL_NOT_P2 : process(num_frame_store,reg_raw_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 17 to 32 when others => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= not reg_raw_frame_ptr(4); end case; end process PARTIAL_NOT_P2; -- Register pratial not to break timing paths REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then binary_frame_ptr <= (others => '0'); else binary_frame_ptr <= inv_raw_frame_ptr; end if; end if; end process REG_P2; --------------------------------------------------------------------------- -- Phase 3 : Grey Encode -- Encode binary coded frame pointer --------------------------------------------------------------------------- GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => FRAME_NUMBER_WIDTH ) port map( -- Grey Encode binary_in => binary_frame_ptr , grey_out => grey_frame_ptr_out , -- Grey Decode grey_in => ZERO_VALUE(FRAME_NUMBER_WIDTH-1 downto 0) , binary_out => open ); --------------------------------------------------------------------------- -- Phase 4 : Tag Grey Encoded Pointer -- Tag grey code with the inverse of the reverse flag. This provides -- two sets of grey codes representing 2 passes through frame buffer. --------------------------------------------------------------------------- -- If C_NUM_FSTORES is 17 to 32 then all 5 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_5_BITS : if GREY_NUM_BITS = 5 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 2 when "000010" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= grey_frame_ptr_out(4); s_frame_ptr_out(5) <= not mstr_reverse_order_d2; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_5_BITS; -- If C_NUM_FSTORES is 8 to 16 then all 4 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_4_BITS : if GREY_NUM_BITS = 4 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000101" | "000110" | "000111" | "001000" => -- 5, 6, 7, and 8 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 9 to 16 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_4_BITS; -- C_NUM_FSTORES = 4 to 7 GEN_EQL_3_BITS : if GREY_NUM_BITS = 3 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 5 to 7 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_3_BITS; -- C_NUM_FSTORES = 3 GEN_EQL_2_BITS : if GREY_NUM_BITS = 2 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 3 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_2_BITS; -- C_NUM_FSTORES = 2 GEN_EQL_1_BITS : if GREY_NUM_BITS = 1 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_1_BITS; end generate GEN_FSTORES_GRTR_ONE; -- CR606861 -- For FSTORE = 1 then gray coding is not needed. Simply -- pass the reverse order flag out. -- (CR578234 - added generate for fstores = 1) GEN_FSTORES_EQL_ONE : if C_NUM_FSTORES = 1 generate begin s_frame_ptr_out <= "00000" & not(mstr_reverse_order_d2); end generate GEN_FSTORES_EQL_ONE; -- Register Master Frame Pointer Out REG_FRAME_PTR_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then frame_ptr_out <= (others => '0'); else frame_ptr_out <= s_frame_ptr_out; end if; end if; end process REG_FRAME_PTR_OUT; --********************************************************************* --** TEST VECTOR SIGNALS - For Xilinx Internal Testing Only --********************************************************************* -- Coverage Off REG_SCNDRY_TSTSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstrfrm_tstsync_d1 <= '0'; mstrfrm_tstsync_d2 <= '0'; mstrfrm_tstsync_d3 <= '0'; mstrfrm_tstsync_d4 <= '0'; else mstrfrm_tstsync_d1 <= mstr_frame_update; mstrfrm_tstsync_d2 <= mstrfrm_tstsync_d1; mstrfrm_tstsync_d3 <= mstrfrm_tstsync_d2; mstrfrm_tstsync_d4 <= mstrfrm_tstsync_d3; end if; end if; end process REG_SCNDRY_TSTSYNC_OUT; mstrfrm_tstsync_out <= mstrfrm_tstsync_d4; -- Coverage On --********************************************************************* --** END TEST SECTION --********************************************************************* end generate GENLOCK_FOR_MASTER; ------------------------------------------------------------------------------- -- Generate genlock decoding logic for master ------------------------------------------------------------------------------- DYNAMIC_GENLOCK_FOR_MASTER : if C_GENLOCK_MODE = 2 generate begin ---------------------------------------------------------------------------------------------------------- --un-greying Dynamic slave's (internal or external) frame number ---------------------------------------------------------------------------------------------------------- -- Mux frame pointer in from Master based on master in control GENLOCK_MUX_I : entity axi_vdma_v6_2_8.axi_vdma_genlock_mux generic map( C_GENLOCK_NUM_MASTERS => C_GENLOCK_NUM_MASTERS , C_INTERNAL_GENLOCK_ENABLE => C_INTERNAL_GENLOCK_ENABLE ) port map( prmry_aclk => prmry_aclk , prmry_resetn => prmry_resetn , mstr_in_control => mstr_in_control , genlock_select => genlock_select , internal_frame_ptr_in => internal_frame_ptr_in , frame_ptr_in => frame_ptr_in , frame_ptr_out => grey_frame_ptr ); --------------------------------------------------------------------------- -- Phase 1: -- Decode Grey coded frame pointer --------------------------------------------------------------------------- ADJUST_4_FRM_STRS : process(num_frame_store,grey_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= '0'; grey_frmstr_adjusted(2) <= '0'; grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= '0'; grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= grey_frame_ptr(3); grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => -- 17 to 32 grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= grey_frame_ptr(3); grey_frmstr_adjusted(4) <= grey_frame_ptr(4); grey_frmstr_adjusted(5) <= '0'; end case; end process ADJUST_4_FRM_STRS; GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => GREY_NUM_BITS ) port map( -- Grey Encode binary_in => ZERO_VALUE(GREY_NUM_BITS - 1 downto 0) , grey_out => open , -- Grey Decode grey_in => grey_frmstr_adjusted(GREY_NUM_BITS - 1 downto 0) , binary_out => partial_frame_ptr ); --------------------------------------------------------------------------- -- Phase 2: -- Invert partial frame pointer and pad to full frame pointer width --------------------------------------------------------------------------- -- FSTORES = 1 or 2 therefore pad decoded frame pointer with 4 bits -- CR604657 - shifted FSTORE 2 case to the correct padding location GEN_FSTORES_12 : if C_NUM_FSTORES = 1 or C_NUM_FSTORES = 2 generate begin padded_partial_frame_ptr <= "0000" & partial_frame_ptr; end generate GEN_FSTORES_12; -- FSTORES = 3 or 4 therefore pad decoded frame pointer with 3 bits GEN_FSTORES_34 : if C_NUM_FSTORES > 2 and C_NUM_FSTORES < 5 generate begin padded_partial_frame_ptr <= "000" & partial_frame_ptr; end generate GEN_FSTORES_34; -- FSTORES = 5,6,7 or 8 therefore pad decoded frame pointer with 2 bit GEN_FSTORES_5678 : if C_NUM_FSTORES > 4 and C_NUM_FSTORES < 9 generate begin padded_partial_frame_ptr <= "00" & partial_frame_ptr; end generate GEN_FSTORES_5678; -- FSTORES = 9 to 16 therefore pad decoded frame pointer with 1 bit GEN_FSTORES_9TO16 : if C_NUM_FSTORES > 8 and C_NUM_FSTORES < 17 generate begin padded_partial_frame_ptr <= '0' & partial_frame_ptr; end generate GEN_FSTORES_9TO16; -- FSTORES > 16 therefore no need to pad decoded frame pointer GEN_FSTORES_17NUP : if C_NUM_FSTORES > 16 generate begin padded_partial_frame_ptr <= partial_frame_ptr; end generate GEN_FSTORES_17NUP; -- CR604640 - fixed wrong signal in sensitivity list. -- CR604657 - shifted FSTORE 2 to the correct inverse DM_PARTIAL_NOT_P2 : process(num_frame_store,padded_partial_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => dm_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); dm_inv_raw_frame_ptr(1) <= '0'; dm_inv_raw_frame_ptr(2) <= '0'; dm_inv_raw_frame_ptr(3) <= '0'; dm_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => dm_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); dm_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); dm_inv_raw_frame_ptr(2) <= '0'; dm_inv_raw_frame_ptr(3) <= '0'; dm_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => dm_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); dm_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); dm_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); dm_inv_raw_frame_ptr(3) <= '0'; dm_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => dm_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); dm_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); dm_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); dm_inv_raw_frame_ptr(3) <= not padded_partial_frame_ptr(3); dm_inv_raw_frame_ptr(4) <= '0'; when others => -- 17 to 32 dm_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); dm_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); dm_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); dm_inv_raw_frame_ptr(3) <= not padded_partial_frame_ptr(3); dm_inv_raw_frame_ptr(4) <= not padded_partial_frame_ptr(4); end case; end process DM_PARTIAL_NOT_P2; -- Register to break long timing paths DM_REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then dm_binary_frame_ptr <= (others => '0'); else dm_binary_frame_ptr <= dm_inv_raw_frame_ptr; end if; end if; end process DM_REG_P2; --------------------------------------------------------------------------- -- Phase 3: -- Convert to frame pointer (i.e. reverse if need or pass through) --------------------------------------------------------------------------- -- Reverse order indication -- 1 = frame order reversed, 0 = frame order normal --mstr_reverse_order <= not grey_frame_ptr(GREY_NUM_BITS); DM_REVERSE_INDICATOR : process(num_frame_store,grey_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => dm_mstr_reverse_order <= not grey_frame_ptr(0); -- Number of Frame Stores = 2 when "000010" => dm_mstr_reverse_order <= not grey_frame_ptr(1); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => dm_mstr_reverse_order <= not grey_frame_ptr(2); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => dm_mstr_reverse_order <= not grey_frame_ptr(3); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => dm_mstr_reverse_order <= not grey_frame_ptr(4); -- Number of Frame Stores = 16 to 32 when others => dm_mstr_reverse_order <= not grey_frame_ptr(5); end case; end process DM_REVERSE_INDICATOR; -- Register reverse flag to align flag with phase 3 process DM_REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then dm_mstr_reverse_order_d1 <= '1'; else dm_mstr_reverse_order_d1 <= dm_mstr_reverse_order; end if; end if; end process DM_REG_DELAY_FLAG; DM_FRAME_CONVERT_P3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then slv_frame_ref_out <= (others => '0'); -- reverse order frames (reverse the frame) elsif(dm_mstr_reverse_order_d1='1' and num_fstore_equal_one = '0')then slv_frame_ref_out <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(dm_binary_frame_ptr)); -- reverse order frames with only 1 frame store (reverse the frame) -- CR607439 - If 1 fstore then frame is always just 0 elsif(num_fstore_equal_one = '1')then slv_frame_ref_out <= (others => '0'); -- forward order frame (simply pass through) else slv_frame_ref_out <= dm_binary_frame_ptr; end if; end if; end process DM_FRAME_CONVERT_P3; ----------------------------------------------------------------------------------------------------------- --grey frame number out for dynamic genlock master ----------------------------------------------------------------------------------------------------------- -- Create flag to indicate when to reverse frame order for genlock output -- 0= normal frame order, 1= reverse frame order RVRS_ORDER_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then --if(prmry_resetn = '0' )then mstr_reverse_order <= '1'; -- On update if at frame 0 then toggle reverse order flag. -- Do not toggle flag if in park mode. elsif(fsize_mismatch_err_flag = '0' and mstr_frame_update = '1' and mstr_frame_ref_in = num_fstore_minus1 and circular_prk_mode = '1')then mstr_reverse_order <= not mstr_reverse_order; -- toggle reverse flag end if; end if; end process RVRS_ORDER_FLAG; -- Register reverse flag twice to align flag with phase 4 grey encoded tag -- process REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstr_reverse_order_d1 <= '1'; mstr_reverse_order_d2 <= '1'; else mstr_reverse_order_d1 <= mstr_reverse_order; mstr_reverse_order_d2 <= mstr_reverse_order_d1; end if; end if; end process REG_DELAY_FLAG; -- For FSTORE > 1 then gray coding is needed for proper clock crossing -- in Gen-Lock slave. (CR578234 - added generate for fstores > 1) GEN_FSTORES_GRTR_ONE : if C_NUM_FSTORES > 1 generate begin --------------------------------------------------------------------------- -- Phase 1: Based on reverse order flag convert master frame in into a -- reverse order frame number (i.e. 3,2,1,0) -- or normal order (i.e. 0,1,2,3) --------------------------------------------------------------------------- --rvc_frame_ref_in <= std_logic_vector((C_NUM_FSTORES - 1) - unsigned(mstr_frame_ref_in)); rvc_frame_ref_in <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(mstr_frame_ref_in)); FRAME_CONVERT_P1 : process(mstr_reverse_order,mstr_frame_ref_in,rvc_frame_ref_in,num_fstore_equal_one) begin if(mstr_reverse_order = '1' and num_fstore_equal_one = '0')then raw_frame_ptr <= rvc_frame_ref_in; else raw_frame_ptr <= mstr_frame_ref_in; end if; end process FRAME_CONVERT_P1; -- Register to break long timing paths REG_P1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_raw_frame_ptr <= (others => '0'); else reg_raw_frame_ptr <= raw_frame_ptr; end if; end if; end process REG_P1; --------------------------------------------------------------------------- -- Phase 2: Partial Invert of raw frame pointer (invert only the -- log2(C_NUM_FSTORE) bits -- GREY_NUM_BITS = 1 which is C_NUM_FSTORE = 1 to 2 then invert 1 LSB -- GREY_NUM_BITS = 2 which is C_NUM_FSTORE = 3 to 4, then invert 2 LSBs -- GREY_NUM_BITS = 3 which is C_NUM_FSTORE = 5 to 8, then invert 3 LSBs -- GREY_NUM_BITS = 4 which is C_NUM_FSTORE = 9 to 16, then invert 4 LSBs -- GREY_NUM_BITS = 5 which is C_NUM_FSTORE = 17 to 32, then invert 5 LSBs (all bits) --------------------------------------------------------------------------- -- CR604657 - shifted FSTORE 2 to the correct inverse PARTIAL_NOT_P2 : process(num_frame_store,reg_raw_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 17 to 32 when others => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= not reg_raw_frame_ptr(4); end case; end process PARTIAL_NOT_P2; -- Register pratial not to break timing paths REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then binary_frame_ptr <= (others => '0'); else binary_frame_ptr <= inv_raw_frame_ptr; end if; end if; end process REG_P2; --------------------------------------------------------------------------- -- Phase 3 : Grey Encode -- Encode binary coded frame pointer --------------------------------------------------------------------------- GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => FRAME_NUMBER_WIDTH ) port map( -- Grey Encode binary_in => binary_frame_ptr , grey_out => grey_frame_ptr_out , -- Grey Decode grey_in => ZERO_VALUE(FRAME_NUMBER_WIDTH-1 downto 0) , binary_out => open ); --------------------------------------------------------------------------- -- Phase 4 : Tag Grey Encoded Pointer -- Tag grey code with the inverse of the reverse flag. This provides -- two sets of grey codes representing 2 passes through frame buffer. --------------------------------------------------------------------------- -- If C_NUM_FSTORES is 17 to 32 then all 5 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_5_BITS : if GREY_NUM_BITS = 5 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 2 when "000010" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= grey_frame_ptr_out(4); s_frame_ptr_out(5) <= not mstr_reverse_order_d2; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_5_BITS; -- If C_NUM_FSTORES is 8 to 16 then all 4 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_4_BITS : if GREY_NUM_BITS = 4 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000101" | "000110" | "000111" | "001000" => -- 5, 6, 7, and 8 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 9 to 16 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_4_BITS; -- C_NUM_FSTORES = 4 to 7 GEN_EQL_3_BITS : if GREY_NUM_BITS = 3 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 5 to 7 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_3_BITS; -- C_NUM_FSTORES = 3 GEN_EQL_2_BITS : if GREY_NUM_BITS = 2 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 3 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_2_BITS; -- C_NUM_FSTORES = 2 GEN_EQL_1_BITS : if GREY_NUM_BITS = 1 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_1_BITS; end generate GEN_FSTORES_GRTR_ONE; -- CR606861 -- For FSTORE = 1 then gray coding is not needed. Simply -- pass the reverse order flag out. -- (CR578234 - added generate for fstores = 1) GEN_FSTORES_EQL_ONE : if C_NUM_FSTORES = 1 generate begin s_frame_ptr_out <= "00000" & not(mstr_reverse_order_d2); end generate GEN_FSTORES_EQL_ONE; -- Register Master Frame Pointer Out REG_FRAME_PTR_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then frame_ptr_out <= (others => '0'); else frame_ptr_out <= s_frame_ptr_out; end if; end if; end process REG_FRAME_PTR_OUT; --********************************************************************* --** TEST VECTOR SIGNALS - For Xilinx Internal Testing Only --********************************************************************* -- Coverage Off REG_SCNDRY_TSTSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstrfrm_tstsync_d1 <= '0'; mstrfrm_tstsync_d2 <= '0'; mstrfrm_tstsync_d3 <= '0'; mstrfrm_tstsync_d4 <= '0'; else mstrfrm_tstsync_d1 <= mstr_frame_update; mstrfrm_tstsync_d2 <= mstrfrm_tstsync_d1; mstrfrm_tstsync_d3 <= mstrfrm_tstsync_d2; mstrfrm_tstsync_d4 <= mstrfrm_tstsync_d3; end if; end if; end process REG_SCNDRY_TSTSYNC_OUT; mstrfrm_tstsync_out <= mstrfrm_tstsync_d4; -- Coverage On --********************************************************************* --** END TEST SECTION --********************************************************************* end generate DYNAMIC_GENLOCK_FOR_MASTER; ------------------------------------------------------------------------------- -- Generate genlock decoding logic for Dynamic slave ------------------------------------------------------------------------------- DYNAMIC_GENLOCK_FOR_SLAVE : if C_GENLOCK_MODE = 3 generate begin -- Mux frame pointer in from Master based on master in control GENLOCK_MUX_I : entity axi_vdma_v6_2_8.axi_vdma_genlock_mux generic map( C_GENLOCK_NUM_MASTERS => C_GENLOCK_NUM_MASTERS , C_INTERNAL_GENLOCK_ENABLE => C_INTERNAL_GENLOCK_ENABLE ) port map( prmry_aclk => prmry_aclk , prmry_resetn => prmry_resetn , mstr_in_control => mstr_in_control , genlock_select => genlock_select , internal_frame_ptr_in => internal_frame_ptr_in , frame_ptr_in => frame_ptr_in , frame_ptr_out => grey_frame_ptr ); --------------------------------------------------------------------------- -- Phase 1: -- Decode Grey coded frame pointer --------------------------------------------------------------------------- ADJUST_4_FRM_STRS : process(num_frame_store,grey_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= '0'; grey_frmstr_adjusted(2) <= '0'; grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= '0'; grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= '0'; grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= grey_frame_ptr(3); grey_frmstr_adjusted(4) <= '0'; grey_frmstr_adjusted(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => -- 17 to 32 grey_frmstr_adjusted(0) <= grey_frame_ptr(0); grey_frmstr_adjusted(1) <= grey_frame_ptr(1); grey_frmstr_adjusted(2) <= grey_frame_ptr(2); grey_frmstr_adjusted(3) <= grey_frame_ptr(3); grey_frmstr_adjusted(4) <= grey_frame_ptr(4); grey_frmstr_adjusted(5) <= '0'; end case; end process ADJUST_4_FRM_STRS; GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => GREY_NUM_BITS ) port map( -- Grey Encode binary_in => ZERO_VALUE(GREY_NUM_BITS - 1 downto 0) , grey_out => open , -- Grey Decode grey_in => grey_frmstr_adjusted(GREY_NUM_BITS - 1 downto 0) , binary_out => partial_frame_ptr ); --------------------------------------------------------------------------- -- Phase 2: -- Invert partial frame pointer and pad to full frame pointer width --------------------------------------------------------------------------- -- FSTORES = 1 or 2 therefore pad decoded frame pointer with 4 bits -- CR604657 - shifted FSTORE 2 case to the correct padding location GEN_FSTORES_12 : if C_NUM_FSTORES = 1 or C_NUM_FSTORES = 2 generate begin padded_partial_frame_ptr <= "0000" & partial_frame_ptr; end generate GEN_FSTORES_12; -- FSTORES = 3 or 4 therefore pad decoded frame pointer with 3 bits GEN_FSTORES_34 : if C_NUM_FSTORES > 2 and C_NUM_FSTORES < 5 generate begin padded_partial_frame_ptr <= "000" & partial_frame_ptr; end generate GEN_FSTORES_34; -- FSTORES = 5,6,7 or 8 therefore pad decoded frame pointer with 2 bit GEN_FSTORES_5678 : if C_NUM_FSTORES > 4 and C_NUM_FSTORES < 9 generate begin padded_partial_frame_ptr <= "00" & partial_frame_ptr; end generate GEN_FSTORES_5678; -- FSTORES = 9 to 16 therefore pad decoded frame pointer with 1 bit GEN_FSTORES_9TO16 : if C_NUM_FSTORES > 8 and C_NUM_FSTORES < 17 generate begin padded_partial_frame_ptr <= '0' & partial_frame_ptr; end generate GEN_FSTORES_9TO16; -- FSTORES > 16 therefore no need to pad decoded frame pointer GEN_FSTORES_17NUP : if C_NUM_FSTORES > 16 generate begin padded_partial_frame_ptr <= partial_frame_ptr; end generate GEN_FSTORES_17NUP; -- CR604640 - fixed wrong signal in sensitivity list. -- CR604657 - shifted FSTORE 2 to the correct inverse DS_PARTIAL_NOT_P2 : process(num_frame_store,padded_partial_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => ds_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); ds_inv_raw_frame_ptr(1) <= '0'; ds_inv_raw_frame_ptr(2) <= '0'; ds_inv_raw_frame_ptr(3) <= '0'; ds_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => ds_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); ds_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); ds_inv_raw_frame_ptr(2) <= '0'; ds_inv_raw_frame_ptr(3) <= '0'; ds_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => ds_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); ds_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); ds_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); ds_inv_raw_frame_ptr(3) <= '0'; ds_inv_raw_frame_ptr(4) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => ds_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); ds_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); ds_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); ds_inv_raw_frame_ptr(3) <= not padded_partial_frame_ptr(3); ds_inv_raw_frame_ptr(4) <= '0'; when others => -- 17 to 32 ds_inv_raw_frame_ptr(0) <= not padded_partial_frame_ptr(0); ds_inv_raw_frame_ptr(1) <= not padded_partial_frame_ptr(1); ds_inv_raw_frame_ptr(2) <= not padded_partial_frame_ptr(2); ds_inv_raw_frame_ptr(3) <= not padded_partial_frame_ptr(3); ds_inv_raw_frame_ptr(4) <= not padded_partial_frame_ptr(4); end case; end process DS_PARTIAL_NOT_P2; -- Register to break long timing paths DS_REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then ds_binary_frame_ptr <= (others => '0'); else ds_binary_frame_ptr <= ds_inv_raw_frame_ptr; end if; end if; end process DS_REG_P2; --------------------------------------------------------------------------- -- Phase 3: -- Convert to frame pointer (i.e. reverse if need or pass through) --------------------------------------------------------------------------- -- Reverse order indication -- 1 = frame order reversed, 0 = frame order normal --mstr_reverse_order <= not grey_frame_ptr(GREY_NUM_BITS); DS_REVERSE_INDICATOR : process(num_frame_store,grey_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => ds_mstr_reverse_order <= not grey_frame_ptr(0); -- Number of Frame Stores = 2 when "000010" => ds_mstr_reverse_order <= not grey_frame_ptr(1); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => ds_mstr_reverse_order <= not grey_frame_ptr(2); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => ds_mstr_reverse_order <= not grey_frame_ptr(3); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => ds_mstr_reverse_order <= not grey_frame_ptr(4); -- Number of Frame Stores = 16 to 32 when others => ds_mstr_reverse_order <= not grey_frame_ptr(5); end case; end process DS_REVERSE_INDICATOR; -- Register reverse flag to align flag with phase 3 process DS_REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then ds_mstr_reverse_order_d1 <= '1'; else ds_mstr_reverse_order_d1 <= ds_mstr_reverse_order; end if; end if; end process DS_REG_DELAY_FLAG; DS_FRAME_CONVERT_P3 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then slv_frame_ref_out <= (others => '0'); -- reverse order frames (reverse the frame) elsif(ds_mstr_reverse_order_d1='1' and num_fstore_equal_one = '0')then slv_frame_ref_out <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(ds_binary_frame_ptr)); -- reverse order frames with only 1 frame store (reverse the frame) -- CR607439 - If 1 fstore then frame is always just 0 elsif(num_fstore_equal_one = '1')then slv_frame_ref_out <= (others => '0'); -- forward order frame (simply pass through) else slv_frame_ref_out <= ds_binary_frame_ptr; end if; end if; end process DS_FRAME_CONVERT_P3; ----------------------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------------------- --Output Dynamic GenLock Slave's working frame number in grey ----------------------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------------------- -- Create flag to indicate when to reverse frame order for genlock output -- 0= normal frame order, 1= reverse frame order RVRS_ORDER_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then --if(prmry_resetn = '0' )then mstr_reverse_order <= '1'; -- On update if at frame 0 then toggle reverse order flag. -- Do not toggle flag if in park mode. elsif(fsize_mismatch_err_flag = '0' and mstr_frame_update = '1' and mstr_frame_ref_in = num_fstore_minus1 and circular_prk_mode = '1')then mstr_reverse_order <= not mstr_reverse_order; -- toggle reverse flag end if; end if; end process RVRS_ORDER_FLAG; -- Register reverse flag twice to align flag with phase 4 grey encoded tag -- process DS2_REG_DELAY_FLAG : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstr_reverse_order_d1 <= '1'; mstr_reverse_order_d2 <= '1'; else mstr_reverse_order_d1 <= mstr_reverse_order; mstr_reverse_order_d2 <= mstr_reverse_order_d1; end if; end if; end process DS2_REG_DELAY_FLAG; -- For FSTORE > 1 then gray coding is needed for proper clock crossing -- in Gen-Lock slave. (CR578234 - added generate for fstores > 1) GEN_FSTORES_GRTR_ONE : if C_NUM_FSTORES > 1 generate begin --------------------------------------------------------------------------- -- Phase 1: Based on reverse order flag convert master frame in into a -- reverse order frame number (i.e. 3,2,1,0) -- or normal order (i.e. 0,1,2,3) --------------------------------------------------------------------------- --rvc_frame_ref_in <= std_logic_vector((C_NUM_FSTORES - 1) - unsigned(mstr_frame_ref_in)); rvc_frame_ref_in <= std_logic_vector(unsigned(num_fstore_minus1) - unsigned(mstr_frame_ref_in)); FRAME_CONVERT_P1 : process(mstr_reverse_order,mstr_frame_ref_in,rvc_frame_ref_in,num_fstore_equal_one) begin if(mstr_reverse_order = '1' and num_fstore_equal_one = '0')then raw_frame_ptr <= rvc_frame_ref_in; else raw_frame_ptr <= mstr_frame_ref_in; end if; end process FRAME_CONVERT_P1; -- Register to break long timing paths REG_P1 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then reg_raw_frame_ptr <= (others => '0'); else reg_raw_frame_ptr <= raw_frame_ptr; end if; end if; end process REG_P1; --------------------------------------------------------------------------- -- Phase 2: Partial Invert of raw frame pointer (invert only the -- log2(C_NUM_FSTORE) bits -- GREY_NUM_BITS = 1 which is C_NUM_FSTORE = 1 to 2 then invert 1 LSB -- GREY_NUM_BITS = 2 which is C_NUM_FSTORE = 3 to 4, then invert 2 LSBs -- GREY_NUM_BITS = 3 which is C_NUM_FSTORE = 5 to 8, then invert 3 LSBs -- GREY_NUM_BITS = 4 which is C_NUM_FSTORE = 9 to 16, then invert 4 LSBs -- GREY_NUM_BITS = 5 which is C_NUM_FSTORE = 17 to 32, then invert 5 LSBs (all bits) --------------------------------------------------------------------------- -- CR604657 - shifted FSTORE 2 to the correct inverse PARTIAL_NOT_P2 : process(num_frame_store,reg_raw_frame_ptr) begin case num_frame_store is -- Number of Frame Stores = 1 and 2 when "000001" | "000010" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 5, 6, 7, and 8 when "000101" | "000110" | "000111" | "001000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= reg_raw_frame_ptr(4); -- Number of Frame Stores = 17 to 32 when others => inv_raw_frame_ptr(0) <= not reg_raw_frame_ptr(0); inv_raw_frame_ptr(1) <= not reg_raw_frame_ptr(1); inv_raw_frame_ptr(2) <= not reg_raw_frame_ptr(2); inv_raw_frame_ptr(3) <= not reg_raw_frame_ptr(3); inv_raw_frame_ptr(4) <= not reg_raw_frame_ptr(4); end case; end process PARTIAL_NOT_P2; -- Register pratial not to break timing paths REG_P2 : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then binary_frame_ptr <= (others => '0'); else binary_frame_ptr <= inv_raw_frame_ptr; end if; end if; end process REG_P2; --------------------------------------------------------------------------- -- Phase 3 : Grey Encode -- Encode binary coded frame pointer --------------------------------------------------------------------------- GREY_CODER_I : entity axi_vdma_v6_2_8.axi_vdma_greycoder generic map( C_DWIDTH => FRAME_NUMBER_WIDTH ) port map( -- Grey Encode binary_in => binary_frame_ptr , grey_out => grey_frame_ptr_out , -- Grey Decode grey_in => ZERO_VALUE(FRAME_NUMBER_WIDTH-1 downto 0) , binary_out => open ); --------------------------------------------------------------------------- -- Phase 4 : Tag Grey Encoded Pointer -- Tag grey code with the inverse of the reverse flag. This provides -- two sets of grey codes representing 2 passes through frame buffer. --------------------------------------------------------------------------- -- If C_NUM_FSTORES is 17 to 32 then all 5 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_5_BITS : if GREY_NUM_BITS = 5 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is -- Number of Frame Stores = 1 when "000001" => s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 2 when "000010" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 3 and 4 when "000011" | "000100" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 5 to 8 when "000101" | "000110" | "000111" | "001000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 9 to 16 when "001001" | "001010" | "001011" | "001100" | "001101" | "001110" | "001111" | "010000" => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; -- Number of Frame Stores = 17 to 32 when others => s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= grey_frame_ptr_out(4); s_frame_ptr_out(5) <= not mstr_reverse_order_d2; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_5_BITS; -- If C_NUM_FSTORES is 8 to 16 then all 4 bits are used of frame number therefore -- no need to pad grey encoded result GEN_EQL_4_BITS : if GREY_NUM_BITS = 4 generate begin -- CR606861 S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000101" | "000110" | "000111" | "001000" => -- 5, 6, 7, and 8 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 9 to 16 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= grey_frame_ptr_out(3); s_frame_ptr_out(4) <= not mstr_reverse_order_d2; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_4_BITS; -- C_NUM_FSTORES = 4 to 7 GEN_EQL_3_BITS : if GREY_NUM_BITS = 3 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000011" | "000100" => -- 3 and 4 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- 5 to 7 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= grey_frame_ptr_out(2); s_frame_ptr_out(3) <= not mstr_reverse_order_d2; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_3_BITS; -- C_NUM_FSTORES = 3 GEN_EQL_2_BITS : if GREY_NUM_BITS = 2 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when "000010" => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 3 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= grey_frame_ptr_out(1); s_frame_ptr_out(2) <= not mstr_reverse_order_d2; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_2_BITS; -- C_NUM_FSTORES = 2 GEN_EQL_1_BITS : if GREY_NUM_BITS = 1 generate begin S_FRM_PTR_OUT_PROCESS : process(num_frame_store,grey_frame_ptr_out,mstr_reverse_order_d2) begin case num_frame_store is when "000001" => -- Number of Frame Stores = 1 s_frame_ptr_out(0) <= not mstr_reverse_order_d2; s_frame_ptr_out(1) <= '0'; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; when others => -- Number of Frame Stores = 2 s_frame_ptr_out(0) <= grey_frame_ptr_out(0); s_frame_ptr_out(1) <= not mstr_reverse_order_d2; s_frame_ptr_out(2) <= '0'; s_frame_ptr_out(3) <= '0'; s_frame_ptr_out(4) <= '0'; s_frame_ptr_out(5) <= '0'; end case; end process S_FRM_PTR_OUT_PROCESS; end generate GEN_EQL_1_BITS; end generate GEN_FSTORES_GRTR_ONE; -- CR606861 -- For FSTORE = 1 then gray coding is not needed. Simply -- pass the reverse order flag out. -- (CR578234 - added generate for fstores = 1) GEN_FSTORES_EQL_ONE : if C_NUM_FSTORES = 1 generate begin s_frame_ptr_out <= "00000" & not(mstr_reverse_order_d2); end generate GEN_FSTORES_EQL_ONE; -- Register Master Frame Pointer Out REG_FRAME_PTR_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then frame_ptr_out <= (others => '0'); else frame_ptr_out <= s_frame_ptr_out; end if; end if; end process REG_FRAME_PTR_OUT; --********************************************************************* --** TEST VECTOR SIGNALS - For Xilinx Internal Testing Only --********************************************************************* -- Coverage Off REG_SCNDRY_TSTSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mstrfrm_tstsync_d1 <= '0'; mstrfrm_tstsync_d2 <= '0'; mstrfrm_tstsync_d3 <= '0'; mstrfrm_tstsync_d4 <= '0'; else mstrfrm_tstsync_d1 <= mstr_frame_update; mstrfrm_tstsync_d2 <= mstrfrm_tstsync_d1; mstrfrm_tstsync_d3 <= mstrfrm_tstsync_d2; mstrfrm_tstsync_d4 <= mstrfrm_tstsync_d3; end if; end if; end process REG_SCNDRY_TSTSYNC_OUT; mstrfrm_tstsync_out <= mstrfrm_tstsync_d4; -- Coverage On --********************************************************************* --** END TEST SECTION --********************************************************************* end generate DYNAMIC_GENLOCK_FOR_SLAVE; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/zybo_petalinux_piano.srcs/sources_1/bd/block_design/hdl/block_design_wrapper.vhd
1
7768
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --Date : Tue Aug 2 21:54:54 2016 --Host : andrewandrepowell2-desktop running 64-bit Ubuntu 16.04 LTS --Command : generate_target block_design_wrapper.bd --Design : block_design_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity block_design_wrapper is port ( AC_BCLK : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_MCLK : out STD_LOGIC; AC_MUTE_N : out STD_LOGIC; AC_PBLRC : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_RELRC : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_SDATA_I : in STD_LOGIC; AC_SDATA_O : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; ac_i2c_scl_io : inout STD_LOGIC; ac_i2c_sda_io : inout STD_LOGIC; mic_spi_io0_io : inout STD_LOGIC; mic_spi_io1_io : inout STD_LOGIC; mic_spi_sck_io : inout STD_LOGIC; mic_spi_ss1_o : out STD_LOGIC; mic_spi_ss2_o : out STD_LOGIC; mic_spi_ss_io : inout STD_LOGIC ); end block_design_wrapper; architecture STRUCTURE of block_design_wrapper is component block_design is port ( DDR_cas_n : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; AC_I2C_sda_i : in STD_LOGIC; AC_I2C_sda_o : out STD_LOGIC; AC_I2C_sda_t : out STD_LOGIC; AC_I2C_scl_i : in STD_LOGIC; AC_I2C_scl_o : out STD_LOGIC; AC_I2C_scl_t : out STD_LOGIC; MIC_SPI_sck_i : in STD_LOGIC; MIC_SPI_sck_o : out STD_LOGIC; MIC_SPI_sck_t : out STD_LOGIC; MIC_SPI_io0_i : in STD_LOGIC; MIC_SPI_io0_o : out STD_LOGIC; MIC_SPI_io0_t : out STD_LOGIC; MIC_SPI_io1_i : in STD_LOGIC; MIC_SPI_io1_o : out STD_LOGIC; MIC_SPI_io1_t : out STD_LOGIC; MIC_SPI_ss_i : in STD_LOGIC; MIC_SPI_ss_o : out STD_LOGIC; MIC_SPI_ss1_o : out STD_LOGIC; MIC_SPI_ss2_o : out STD_LOGIC; MIC_SPI_ss_t : out STD_LOGIC; AC_RELRC : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_PBLRC : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_MCLK : out STD_LOGIC; AC_SDATA_I : in STD_LOGIC; AC_BCLK : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_SDATA_O : out STD_LOGIC_VECTOR ( 0 to 0 ); AC_MUTE_N : out STD_LOGIC ); end component block_design; component IOBUF is port ( I : in STD_LOGIC; O : out STD_LOGIC; T : in STD_LOGIC; IO : inout STD_LOGIC ); end component IOBUF; signal ac_i2c_scl_i : STD_LOGIC; signal ac_i2c_scl_o : STD_LOGIC; signal ac_i2c_scl_t : STD_LOGIC; signal ac_i2c_sda_i : STD_LOGIC; signal ac_i2c_sda_o : STD_LOGIC; signal ac_i2c_sda_t : STD_LOGIC; signal mic_spi_io0_i : STD_LOGIC; signal mic_spi_io0_o : STD_LOGIC; signal mic_spi_io0_t : STD_LOGIC; signal mic_spi_io1_i : STD_LOGIC; signal mic_spi_io1_o : STD_LOGIC; signal mic_spi_io1_t : STD_LOGIC; signal mic_spi_sck_i : STD_LOGIC; signal mic_spi_sck_o : STD_LOGIC; signal mic_spi_sck_t : STD_LOGIC; signal mic_spi_ss_i : STD_LOGIC; signal mic_spi_ss_o : STD_LOGIC; signal mic_spi_ss_t : STD_LOGIC; begin ac_i2c_scl_iobuf: component IOBUF port map ( I => ac_i2c_scl_o, IO => ac_i2c_scl_io, O => ac_i2c_scl_i, T => ac_i2c_scl_t ); ac_i2c_sda_iobuf: component IOBUF port map ( I => ac_i2c_sda_o, IO => ac_i2c_sda_io, O => ac_i2c_sda_i, T => ac_i2c_sda_t ); block_design_i: component block_design port map ( AC_BCLK(0) => AC_BCLK(0), AC_I2C_scl_i => ac_i2c_scl_i, AC_I2C_scl_o => ac_i2c_scl_o, AC_I2C_scl_t => ac_i2c_scl_t, AC_I2C_sda_i => ac_i2c_sda_i, AC_I2C_sda_o => ac_i2c_sda_o, AC_I2C_sda_t => ac_i2c_sda_t, AC_MCLK => AC_MCLK, AC_MUTE_N => AC_MUTE_N, AC_PBLRC(0) => AC_PBLRC(0), AC_RELRC(0) => AC_RELRC(0), AC_SDATA_I => AC_SDATA_I, AC_SDATA_O(0) => AC_SDATA_O(0), DDR_addr(14 downto 0) => DDR_addr(14 downto 0), DDR_ba(2 downto 0) => DDR_ba(2 downto 0), DDR_cas_n => DDR_cas_n, DDR_ck_n => DDR_ck_n, DDR_ck_p => DDR_ck_p, DDR_cke => DDR_cke, DDR_cs_n => DDR_cs_n, DDR_dm(3 downto 0) => DDR_dm(3 downto 0), DDR_dq(31 downto 0) => DDR_dq(31 downto 0), DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_odt => DDR_odt, DDR_ras_n => DDR_ras_n, DDR_reset_n => DDR_reset_n, DDR_we_n => DDR_we_n, FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp, FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0), FIXED_IO_ps_clk => FIXED_IO_ps_clk, FIXED_IO_ps_porb => FIXED_IO_ps_porb, FIXED_IO_ps_srstb => FIXED_IO_ps_srstb, MIC_SPI_io0_i => mic_spi_io0_i, MIC_SPI_io0_o => mic_spi_io0_o, MIC_SPI_io0_t => mic_spi_io0_t, MIC_SPI_io1_i => mic_spi_io1_i, MIC_SPI_io1_o => mic_spi_io1_o, MIC_SPI_io1_t => mic_spi_io1_t, MIC_SPI_sck_i => mic_spi_sck_i, MIC_SPI_sck_o => mic_spi_sck_o, MIC_SPI_sck_t => mic_spi_sck_t, MIC_SPI_ss1_o => mic_spi_ss1_o, MIC_SPI_ss2_o => mic_spi_ss2_o, MIC_SPI_ss_i => mic_spi_ss_i, MIC_SPI_ss_o => mic_spi_ss_o, MIC_SPI_ss_t => mic_spi_ss_t ); mic_spi_io0_iobuf: component IOBUF port map ( I => mic_spi_io0_o, IO => mic_spi_io0_io, O => mic_spi_io0_i, T => mic_spi_io0_t ); mic_spi_io1_iobuf: component IOBUF port map ( I => mic_spi_io1_o, IO => mic_spi_io1_io, O => mic_spi_io1_i, T => mic_spi_io1_t ); mic_spi_sck_iobuf: component IOBUF port map ( I => mic_spi_sck_o, IO => mic_spi_sck_io, O => mic_spi_sck_i, T => mic_spi_sck_t ); mic_spi_ss_iobuf: component IOBUF port map ( I => mic_spi_ss_o, IO => mic_spi_ss_io, O => mic_spi_ss_i, T => mic_spi_ss_t ); end STRUCTURE;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_rst_module.vhd
4
25470
------------------------------------------------------------------------------- -- axi_vdma_rst_module ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_rst_module.vhd -- Description: This entity is the top level reset module entity for the -- AXI VDMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; --use proc_common_v4_0_2.family_support.all; ------------------------------------------------------------------------------- entity axi_vdma_rst_module is generic( C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude Scatter Gather Engine -- 0 = Exclude Scatter Gather Engine (Enables Register Direct Mode) -- 1 = Include Scatter Gather Engine C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. ); port ( ----------------------------------------------------------------------- -- Clock Sources ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ; -- m_axi_sg_aclk : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- m_axis_mm2s_aclk : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- s_axis_s2mm_aclk : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- Hard Reset -- ----------------------------------------------------------------------- -- axi_resetn : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- MM2S Soft Reset Support -- ----------------------------------------------------------------------- -- mm2s_soft_reset : in std_logic ; -- mm2s_soft_reset_clr : out std_logic := '0' ; -- mm2s_all_idle : in std_logic ; -- mm2s_fsize_mismatch_err : in std_logic ; -- CR591965 mm2s_stop : in std_logic ; -- mm2s_halt : out std_logic := '0' ; -- mm2s_halt_cmplt : in std_logic ; -- mm2s_run_stop : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- S2MM Soft Reset Support -- ----------------------------------------------------------------------- -- s2mm_soft_reset : in std_logic ; -- s2mm_soft_reset_clr : out std_logic := '0' ; -- s2mm_all_idle : in std_logic ; -- s2mm_fsize_mismatch_err : in std_logic ; -- CR591965 s2mm_stop : in std_logic ; -- s2mm_halt : out std_logic := '0' ; -- s2mm_halt_cmplt : in std_logic ; -- s2mm_run_stop : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- SG Status -- ----------------------------------------------------------------------- -- ftch_err : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- MM2S Distributed Reset Out -- ----------------------------------------------------------------------- -- -- AXI Upsizer and Line Buffer -- mm2s_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Primary Reset (Raw) -- mm2s_dm_prmry_resetn : out std_logic := '1' ; -- -- AXI Stream Logic Reset -- mm2s_axis_resetn : out std_logic := '1' ; -- -- AXI Stream Reset Outputs -- mm2s_axis_reset_out_n : out std_logic := '1' ; -- -- ----------------------------------------------------------------------- -- -- S2MM Distributed Reset Out -- ----------------------------------------------------------------------- -- -- AXI Upsizer and Line Buffer -- s2mm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Primary Reset (Raw) -- s2mm_dm_prmry_resetn : out std_logic := '1' ; -- -- AXI Stream Logic Reset -- s2mm_axis_resetn : out std_logic := '1' ; -- -- AXI Stream Reset Outputs -- s2mm_axis_reset_out_n : out std_logic := '1' ; -- -- ----------------------------------------------------------------------- -- -- Scatter Gather Distributed Reset Out -- ----------------------------------------------------------------------- -- m_axi_sg_resetn : out std_logic := '1' ; -- m_axi_dm_sg_resetn : out std_logic := '1' ; -- -- ----------------------------------------------------------------------- -- -- Hard Reset Out -- ----------------------------------------------------------------------- -- s_axi_lite_resetn : out std_logic := '1' ; -- mm2s_hrd_resetn : out std_logic := '1' ; -- s2mm_hrd_resetn : out std_logic := '1' -- ); end axi_vdma_rst_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_rst_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal hrd_resetn_i : std_logic := '1'; --signal axi_lite_resetn_d1 : std_logic := '1'; signal mm2s_axi_sg_resetn : std_logic := '1'; signal mm2s_dm_axi_sg_resetn : std_logic := '1'; signal s2mm_axi_sg_resetn : std_logic := '1'; signal s2mm_dm_axi_sg_resetn : std_logic := '1'; Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin REG_HRD_RST : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then hrd_resetn_i <= axi_resetn; end if; end process REG_HRD_RST; s_axi_lite_resetn <= hrd_resetn_i; -- Generate MM2S reset signals GEN_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 1 generate signal sig_mm2s_dm_prmry_resetn : std_logic := '1'; signal sig_mm2s_axis_resetn : std_logic := '1'; signal sig_mm2s_prmry_resetn : std_logic := '1'; Attribute KEEP of sig_mm2s_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_mm2s_prmry_resetn : signal is "no"; Attribute KEEP of sig_mm2s_dm_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_mm2s_dm_prmry_resetn : signal is "no"; Attribute KEEP of sig_mm2s_axis_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_mm2s_axis_resetn : signal is "no"; begin mm2s_prmry_resetn <= sig_mm2s_prmry_resetn; mm2s_dm_prmry_resetn <= sig_mm2s_dm_prmry_resetn; mm2s_axis_resetn <= sig_mm2s_axis_resetn; RESET_I : entity axi_vdma_v6_2_8.axi_vdma_reset generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_SG => C_INCLUDE_SG -- CR622081 ) port map( -- Clock Sources s_axi_lite_aclk => s_axi_lite_aclk , m_axi_sg_aclk => m_axi_sg_aclk , prmry_axi_aclk => m_axi_mm2s_aclk , prmry_axis_aclk => m_axis_mm2s_aclk , -- Hard Reset axi_resetn => hrd_resetn_i , hrd_axi_resetn => mm2s_hrd_resetn , -- Soft Reset soft_reset => mm2s_soft_reset , soft_reset_clr => mm2s_soft_reset_clr , run_stop => mm2s_run_stop , all_idle => mm2s_all_idle , stop => mm2s_stop , halt => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , fsize_mismatch_err => mm2s_fsize_mismatch_err , -- CR591965 -- MM2S Main Primary Reset (Hard and Soft) prmry_resetn => sig_mm2s_prmry_resetn , -- MM2S Main Datamover Primary Reset (RAW) (Hard and Soft) dm_prmry_resetn => sig_mm2s_dm_prmry_resetn , -- AXI Stream Reset (Hard and Soft) axis_resetn => sig_mm2s_axis_resetn , -- AXI Stream Reset Out (Hard and Soft) axis_reset_out_n => mm2s_axis_reset_out_n , -- AXI Scatter/Gather Reset (Hard and Soft) axi_sg_resetn => mm2s_axi_sg_resetn , -- AXI Scatter/Gather Reset (RAW) (Hard and Soft) axi_dm_sg_resetn => mm2s_dm_axi_sg_resetn ); end generate GEN_RESET_FOR_MM2S; -- No MM2S therefore tie off mm2s reset signals GEN_NO_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 0 generate begin mm2s_prmry_resetn <= '1'; mm2s_dm_prmry_resetn <= '1'; mm2s_axis_resetn <= '1'; mm2s_axis_reset_out_n <= '1'; mm2s_axi_sg_resetn <= '1'; mm2s_dm_axi_sg_resetn <= '1'; mm2s_halt <= '0'; mm2s_soft_reset_clr <= '0'; end generate GEN_NO_RESET_FOR_MM2S; -- Generate S2MM reset signals GEN_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 1 generate signal sig_s2mm_dm_prmry_resetn : std_logic := '1'; signal sig_s2mm_axis_resetn : std_logic := '1'; signal sig_s2mm_prmry_resetn : std_logic := '1'; Attribute KEEP of sig_s2mm_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s2mm_prmry_resetn : signal is "no"; Attribute KEEP of sig_s2mm_dm_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s2mm_dm_prmry_resetn : signal is "no"; Attribute KEEP of sig_s2mm_axis_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s2mm_axis_resetn : signal is "no"; begin s2mm_prmry_resetn <= sig_s2mm_prmry_resetn; s2mm_dm_prmry_resetn <= sig_s2mm_dm_prmry_resetn; s2mm_axis_resetn <= sig_s2mm_axis_resetn; RESET_I : entity axi_vdma_v6_2_8.axi_vdma_reset generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_SG => C_INCLUDE_SG -- CR622081 ) port map( -- Clock Sources s_axi_lite_aclk => s_axi_lite_aclk , m_axi_sg_aclk => m_axi_sg_aclk , prmry_axi_aclk => m_axi_s2mm_aclk , prmry_axis_aclk => s_axis_s2mm_aclk , -- Hard Reset axi_resetn => hrd_resetn_i , hrd_axi_resetn => s2mm_hrd_resetn , -- Soft Reset soft_reset => s2mm_soft_reset , soft_reset_clr => s2mm_soft_reset_clr , run_stop => s2mm_run_stop , all_idle => s2mm_all_idle , stop => s2mm_stop , halt => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , fsize_mismatch_err => s2mm_fsize_mismatch_err , -- CR591965 -- MM2S Main Primary Reset (Hard and Soft) prmry_resetn => sig_s2mm_prmry_resetn , -- MM2S Main Datamover Primary Reset (RAW) (Hard and Soft) dm_prmry_resetn => sig_s2mm_dm_prmry_resetn , -- AXI Stream Reset (Hard and Soft) axis_resetn => sig_s2mm_axis_resetn , -- AXI Stream Reset Out (Hard and Soft) axis_reset_out_n => s2mm_axis_reset_out_n , -- AXI Scatter/Gather Reset (Hard and Soft) axi_sg_resetn => s2mm_axi_sg_resetn , -- AXI Scatter/Gather Reset (RAW) (Hard and Soft) axi_dm_sg_resetn => s2mm_dm_axi_sg_resetn ); end generate GEN_RESET_FOR_S2MM; -- No SsMM therefore tie off mm2s reset signals GEN_NO_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 0 generate begin s2mm_prmry_resetn <= '1'; s2mm_dm_prmry_resetn <= '1'; s2mm_axis_resetn <= '1'; s2mm_axis_reset_out_n <= '1'; s2mm_axi_sg_resetn <= '1'; s2mm_dm_axi_sg_resetn <= '1'; s2mm_halt <= '0'; s2mm_soft_reset_clr <= '0'; end generate GEN_NO_RESET_FOR_S2MM; -- Scatter Gather Mode GEN_FOR_SG : if C_INCLUDE_SG = 1 generate begin REG_SG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If there is a scatter gather error, then a reset on either channel -- (soft or hard) will reset axi_sg engine if(ftch_err = '1')then m_axi_sg_resetn <= mm2s_axi_sg_resetn and s2mm_axi_sg_resetn; m_axi_dm_sg_resetn <= mm2s_dm_axi_sg_resetn and s2mm_dm_axi_sg_resetn; -- If no scatter gather erros then only a hard reset will reset scatter gather engine else m_axi_sg_resetn <= hrd_resetn_i; m_axi_dm_sg_resetn <= hrd_resetn_i; end if; end if; end process REG_SG_RESET_OUT; end generate GEN_FOR_SG; -- Register Direct Mode GEN_FOR_NO_SG : if C_INCLUDE_SG = 0 generate begin m_axi_sg_resetn <= '1'; m_axi_dm_sg_resetn <= '1'; end generate GEN_FOR_NO_SG; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_rst_module.vhd
4
25470
------------------------------------------------------------------------------- -- axi_vdma_rst_module ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_rst_module.vhd -- Description: This entity is the top level reset module entity for the -- AXI VDMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; --use proc_common_v4_0_2.family_support.all; ------------------------------------------------------------------------------- entity axi_vdma_rst_module is generic( C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude Scatter Gather Engine -- 0 = Exclude Scatter Gather Engine (Enables Register Direct Mode) -- 1 = Include Scatter Gather Engine C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. ); port ( ----------------------------------------------------------------------- -- Clock Sources ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ; -- m_axi_sg_aclk : in std_logic ; -- m_axi_mm2s_aclk : in std_logic ; -- m_axis_mm2s_aclk : in std_logic ; -- m_axi_s2mm_aclk : in std_logic ; -- s_axis_s2mm_aclk : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- Hard Reset -- ----------------------------------------------------------------------- -- axi_resetn : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- MM2S Soft Reset Support -- ----------------------------------------------------------------------- -- mm2s_soft_reset : in std_logic ; -- mm2s_soft_reset_clr : out std_logic := '0' ; -- mm2s_all_idle : in std_logic ; -- mm2s_fsize_mismatch_err : in std_logic ; -- CR591965 mm2s_stop : in std_logic ; -- mm2s_halt : out std_logic := '0' ; -- mm2s_halt_cmplt : in std_logic ; -- mm2s_run_stop : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- S2MM Soft Reset Support -- ----------------------------------------------------------------------- -- s2mm_soft_reset : in std_logic ; -- s2mm_soft_reset_clr : out std_logic := '0' ; -- s2mm_all_idle : in std_logic ; -- s2mm_fsize_mismatch_err : in std_logic ; -- CR591965 s2mm_stop : in std_logic ; -- s2mm_halt : out std_logic := '0' ; -- s2mm_halt_cmplt : in std_logic ; -- s2mm_run_stop : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- SG Status -- ----------------------------------------------------------------------- -- ftch_err : in std_logic ; -- -- ----------------------------------------------------------------------- -- -- MM2S Distributed Reset Out -- ----------------------------------------------------------------------- -- -- AXI Upsizer and Line Buffer -- mm2s_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Primary Reset (Raw) -- mm2s_dm_prmry_resetn : out std_logic := '1' ; -- -- AXI Stream Logic Reset -- mm2s_axis_resetn : out std_logic := '1' ; -- -- AXI Stream Reset Outputs -- mm2s_axis_reset_out_n : out std_logic := '1' ; -- -- ----------------------------------------------------------------------- -- -- S2MM Distributed Reset Out -- ----------------------------------------------------------------------- -- -- AXI Upsizer and Line Buffer -- s2mm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Primary Reset (Raw) -- s2mm_dm_prmry_resetn : out std_logic := '1' ; -- -- AXI Stream Logic Reset -- s2mm_axis_resetn : out std_logic := '1' ; -- -- AXI Stream Reset Outputs -- s2mm_axis_reset_out_n : out std_logic := '1' ; -- -- ----------------------------------------------------------------------- -- -- Scatter Gather Distributed Reset Out -- ----------------------------------------------------------------------- -- m_axi_sg_resetn : out std_logic := '1' ; -- m_axi_dm_sg_resetn : out std_logic := '1' ; -- -- ----------------------------------------------------------------------- -- -- Hard Reset Out -- ----------------------------------------------------------------------- -- s_axi_lite_resetn : out std_logic := '1' ; -- mm2s_hrd_resetn : out std_logic := '1' ; -- s2mm_hrd_resetn : out std_logic := '1' -- ); end axi_vdma_rst_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_rst_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal hrd_resetn_i : std_logic := '1'; --signal axi_lite_resetn_d1 : std_logic := '1'; signal mm2s_axi_sg_resetn : std_logic := '1'; signal mm2s_dm_axi_sg_resetn : std_logic := '1'; signal s2mm_axi_sg_resetn : std_logic := '1'; signal s2mm_dm_axi_sg_resetn : std_logic := '1'; Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin REG_HRD_RST : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then hrd_resetn_i <= axi_resetn; end if; end process REG_HRD_RST; s_axi_lite_resetn <= hrd_resetn_i; -- Generate MM2S reset signals GEN_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 1 generate signal sig_mm2s_dm_prmry_resetn : std_logic := '1'; signal sig_mm2s_axis_resetn : std_logic := '1'; signal sig_mm2s_prmry_resetn : std_logic := '1'; Attribute KEEP of sig_mm2s_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_mm2s_prmry_resetn : signal is "no"; Attribute KEEP of sig_mm2s_dm_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_mm2s_dm_prmry_resetn : signal is "no"; Attribute KEEP of sig_mm2s_axis_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_mm2s_axis_resetn : signal is "no"; begin mm2s_prmry_resetn <= sig_mm2s_prmry_resetn; mm2s_dm_prmry_resetn <= sig_mm2s_dm_prmry_resetn; mm2s_axis_resetn <= sig_mm2s_axis_resetn; RESET_I : entity axi_vdma_v6_2_8.axi_vdma_reset generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_SG => C_INCLUDE_SG -- CR622081 ) port map( -- Clock Sources s_axi_lite_aclk => s_axi_lite_aclk , m_axi_sg_aclk => m_axi_sg_aclk , prmry_axi_aclk => m_axi_mm2s_aclk , prmry_axis_aclk => m_axis_mm2s_aclk , -- Hard Reset axi_resetn => hrd_resetn_i , hrd_axi_resetn => mm2s_hrd_resetn , -- Soft Reset soft_reset => mm2s_soft_reset , soft_reset_clr => mm2s_soft_reset_clr , run_stop => mm2s_run_stop , all_idle => mm2s_all_idle , stop => mm2s_stop , halt => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , fsize_mismatch_err => mm2s_fsize_mismatch_err , -- CR591965 -- MM2S Main Primary Reset (Hard and Soft) prmry_resetn => sig_mm2s_prmry_resetn , -- MM2S Main Datamover Primary Reset (RAW) (Hard and Soft) dm_prmry_resetn => sig_mm2s_dm_prmry_resetn , -- AXI Stream Reset (Hard and Soft) axis_resetn => sig_mm2s_axis_resetn , -- AXI Stream Reset Out (Hard and Soft) axis_reset_out_n => mm2s_axis_reset_out_n , -- AXI Scatter/Gather Reset (Hard and Soft) axi_sg_resetn => mm2s_axi_sg_resetn , -- AXI Scatter/Gather Reset (RAW) (Hard and Soft) axi_dm_sg_resetn => mm2s_dm_axi_sg_resetn ); end generate GEN_RESET_FOR_MM2S; -- No MM2S therefore tie off mm2s reset signals GEN_NO_RESET_FOR_MM2S : if C_INCLUDE_MM2S = 0 generate begin mm2s_prmry_resetn <= '1'; mm2s_dm_prmry_resetn <= '1'; mm2s_axis_resetn <= '1'; mm2s_axis_reset_out_n <= '1'; mm2s_axi_sg_resetn <= '1'; mm2s_dm_axi_sg_resetn <= '1'; mm2s_halt <= '0'; mm2s_soft_reset_clr <= '0'; end generate GEN_NO_RESET_FOR_MM2S; -- Generate S2MM reset signals GEN_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 1 generate signal sig_s2mm_dm_prmry_resetn : std_logic := '1'; signal sig_s2mm_axis_resetn : std_logic := '1'; signal sig_s2mm_prmry_resetn : std_logic := '1'; Attribute KEEP of sig_s2mm_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s2mm_prmry_resetn : signal is "no"; Attribute KEEP of sig_s2mm_dm_prmry_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s2mm_dm_prmry_resetn : signal is "no"; Attribute KEEP of sig_s2mm_axis_resetn : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s2mm_axis_resetn : signal is "no"; begin s2mm_prmry_resetn <= sig_s2mm_prmry_resetn; s2mm_dm_prmry_resetn <= sig_s2mm_dm_prmry_resetn; s2mm_axis_resetn <= sig_s2mm_axis_resetn; RESET_I : entity axi_vdma_v6_2_8.axi_vdma_reset generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_SG => C_INCLUDE_SG -- CR622081 ) port map( -- Clock Sources s_axi_lite_aclk => s_axi_lite_aclk , m_axi_sg_aclk => m_axi_sg_aclk , prmry_axi_aclk => m_axi_s2mm_aclk , prmry_axis_aclk => s_axis_s2mm_aclk , -- Hard Reset axi_resetn => hrd_resetn_i , hrd_axi_resetn => s2mm_hrd_resetn , -- Soft Reset soft_reset => s2mm_soft_reset , soft_reset_clr => s2mm_soft_reset_clr , run_stop => s2mm_run_stop , all_idle => s2mm_all_idle , stop => s2mm_stop , halt => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , fsize_mismatch_err => s2mm_fsize_mismatch_err , -- CR591965 -- MM2S Main Primary Reset (Hard and Soft) prmry_resetn => sig_s2mm_prmry_resetn , -- MM2S Main Datamover Primary Reset (RAW) (Hard and Soft) dm_prmry_resetn => sig_s2mm_dm_prmry_resetn , -- AXI Stream Reset (Hard and Soft) axis_resetn => sig_s2mm_axis_resetn , -- AXI Stream Reset Out (Hard and Soft) axis_reset_out_n => s2mm_axis_reset_out_n , -- AXI Scatter/Gather Reset (Hard and Soft) axi_sg_resetn => s2mm_axi_sg_resetn , -- AXI Scatter/Gather Reset (RAW) (Hard and Soft) axi_dm_sg_resetn => s2mm_dm_axi_sg_resetn ); end generate GEN_RESET_FOR_S2MM; -- No SsMM therefore tie off mm2s reset signals GEN_NO_RESET_FOR_S2MM : if C_INCLUDE_S2MM = 0 generate begin s2mm_prmry_resetn <= '1'; s2mm_dm_prmry_resetn <= '1'; s2mm_axis_resetn <= '1'; s2mm_axis_reset_out_n <= '1'; s2mm_axi_sg_resetn <= '1'; s2mm_dm_axi_sg_resetn <= '1'; s2mm_halt <= '0'; s2mm_soft_reset_clr <= '0'; end generate GEN_NO_RESET_FOR_S2MM; -- Scatter Gather Mode GEN_FOR_SG : if C_INCLUDE_SG = 1 generate begin REG_SG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If there is a scatter gather error, then a reset on either channel -- (soft or hard) will reset axi_sg engine if(ftch_err = '1')then m_axi_sg_resetn <= mm2s_axi_sg_resetn and s2mm_axi_sg_resetn; m_axi_dm_sg_resetn <= mm2s_dm_axi_sg_resetn and s2mm_dm_axi_sg_resetn; -- If no scatter gather erros then only a hard reset will reset scatter gather engine else m_axi_sg_resetn <= hrd_resetn_i; m_axi_dm_sg_resetn <= hrd_resetn_i; end if; end if; end process REG_SG_RESET_OUT; end generate GEN_FOR_SG; -- Register Direct Mode GEN_FOR_NO_SG : if C_INCLUDE_SG = 0 generate begin m_axi_sg_resetn <= '1'; m_axi_dm_sg_resetn <= '1'; end generate GEN_FOR_NO_SG; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/zybo_petalinux_piano.ip_user_files/bd/block_design/ipshared/analogdeviceinc.com/axi_i2s_adi_v1_0/hdl/adi_common/axi_streaming_dma_tx_fifo.vhd
7
1587
library ieee; use ieee.std_logic_1164.all; library adi_common_v1_00_a; use adi_common_v1_00_a.dma_fifo; entity axi_streaming_dma_tx_fifo is generic ( RAM_ADDR_WIDTH : integer := 3; FIFO_DWIDTH : integer := 32 ); port ( clk : in std_logic; resetn : in std_logic; fifo_reset : in std_logic; -- Enable DMA interface enable : in Boolean; -- Write port S_AXIS_ACLK : in std_logic; S_AXIS_TREADY : out std_logic; S_AXIS_TDATA : in std_logic_vector(FIFO_DWIDTH-1 downto 0); S_AXIS_TLAST : in std_logic; S_AXIS_TVALID : in std_logic; -- Read port out_stb : out std_logic; out_ack : in std_logic; out_data : out std_logic_vector(FIFO_DWIDTH-1 downto 0) ); end; architecture imp of axi_streaming_dma_tx_fifo is signal in_ack : std_logic; signal drain_dma : Boolean; begin fifo: entity dma_fifo generic map ( RAM_ADDR_WIDTH => RAM_ADDR_WIDTH, FIFO_DWIDTH => FIFO_DWIDTH ) port map ( clk => clk, resetn => resetn, fifo_reset => fifo_reset, in_stb => S_AXIS_TVALID, in_ack => in_ack, in_data => S_AXIS_TDATA, out_stb => out_stb, out_ack => out_ack, out_data => out_data ); drain_process: process (S_AXIS_ACLK) is variable enable_d1 : Boolean; begin if rising_edge(S_AXIS_ACLK) then if resetn = '0' then drain_dma <= False; else if S_AXIS_TLAST = '1' then drain_dma <= False; elsif enable_d1 and enable then drain_dma <= True; end if; enable_d1 := enable; end if; end if; end process; S_AXIS_TREADY <= '1' when in_ack = '1' or drain_dma else '0'; end;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_intrpt.vhd
4
31463
------------------------------------------------------------------------------- -- axi_sg_intrpt ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_intrpt.vhd -- Description: This entity handles interrupt coalescing -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 6/14/10 v1_00_a -- ^^^^^^ -- CR565366 -- Fixed issue where simultaneous sof and eof caused delay timer to not enable -- thus missing a delay interrupt. This issue occurs with small packets(i.e. -- 2 data beats) -- ~~~~~~ -- GAB 7/1/10 v1_00_a -- ^^^^^^ -- CR567661 -- Remapped interrupt threshold control to be driven based on whether update -- engine is included or not. Renamed interrupt threshold decrement control here -- to match change in upper level. -- ~~~~~~ -- GAB 8/3/10 v1_00_a -- ^^^^^^ -- CR570398 -- Routed dlyirq_wren to reset delay timer logic on assertion -- ~~~~~~ -- GAB 8/12/10 v1_00_a -- ^^^^^^ -- CR572013 -- Added ability to disable threshold count reset on delay timer timeout in -- order to match legacy SDMA operation. -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_sg_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg_intrpt is generic( C_INCLUDE_CH1 : integer range 0 to 1 := 1 ; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_CH2 : integer range 0 to 1 := 1 ; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_DLYTMR : integer range 0 to 1 := 1 ; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125 -- Interrupt Delay Timer resolution in usec ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ch1_irqthresh_decr : in std_logic ;-- CR567661 -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- ch2_irqthresh_decr : in std_logic ;-- CR567661 -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) -- ); end axi_sg_intrpt; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_intrpt is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Delay interrupt fast counter width constant FAST_COUNT_WIDTH : integer := clog2(C_DLYTMR_RESOLUTION+1); -- Delay interrupt fast counter terminal count constant FAST_COUNT_TC : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_DLYTMR_RESOLUTION-1),FAST_COUNT_WIDTH)); -- Delay interrupt fast counter zero value constant ZERO_FAST_COUNT : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_VALUE : std_logic_vector(7 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ch1_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD; signal ch1_dly_irq_set_i : std_logic := '0'; signal ch1_ioc_irq_set_i : std_logic := '0'; signal ch1_delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal ch1_delay_cnt_en : std_logic := '0'; signal ch1_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); signal ch1_dly_fast_incr : std_logic := '0'; signal ch1_delay_zero : std_logic := '0'; signal ch1_delay_tc : std_logic := '0'; signal ch1_disable_delay : std_logic := '0'; signal ch2_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD; signal ch2_dly_irq_set_i : std_logic := '0'; signal ch2_ioc_irq_set_i : std_logic := '0'; signal ch2_delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal ch2_delay_cnt_en : std_logic := '0'; signal ch2_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); signal ch2_dly_fast_incr : std_logic := '0'; signal ch2_delay_zero : std_logic := '0'; signal ch2_delay_tc : std_logic := '0'; signal ch2_disable_delay : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Transmit channel included therefore generate transmit interrupt logic GEN_INCLUDE_MM2S : if C_INCLUDE_CH1 = 1 generate begin REG_THRESH_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_thresh_count <= ONE_THRESHOLD; ch1_ioc_irq_set_i <= '0'; -- New Threshold set by CPU OR delay interrupt event occured. -- CR572013 - added ability to disable threshold count reset on delay timeout -- elsif(ch1_irqthresh_wren = '1' or ch1_dly_irq_set_i = '1') then elsif( (ch1_irqthresh_wren = '1') or (ch1_dly_irq_set_i = '1' and ch1_irqthresh_rstdsbl = '0')) then ch1_thresh_count <= ch1_irqthresh; ch1_ioc_irq_set_i <= '0'; -- IOC event then... elsif(ch1_irqthresh_decr = '1')then --CR567661 -- Threshold at zero, reload threshold and drive ioc -- interrupt. if(ch1_thresh_count = ONE_THRESHOLD)then ch1_thresh_count <= ch1_irqthresh; ch1_ioc_irq_set_i <= '1'; else ch1_thresh_count <= std_logic_vector(unsigned(ch1_thresh_count(7 downto 0)) - 1); ch1_ioc_irq_set_i <= '0'; end if; else ch1_thresh_count <= ch1_thresh_count; ch1_ioc_irq_set_i <= '0'; end if; end if; end process REG_THRESH_COUNT; -- Pass current threshold count out to DMASR ch1_irqthresh_status <= ch1_thresh_count; ch1_ioc_irq_set <= ch1_ioc_irq_set_i; --------------------------------------------------------------------------- -- Generate Delay Interrupt Timers --------------------------------------------------------------------------- GEN_CH1_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate begin GEN_CH1_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate begin --------------------------------------------------------------------------- -- Delay interrupt high resolution timer --------------------------------------------------------------------------- REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_dly_fast_cnt <= FAST_COUNT_TC; ch1_dly_fast_incr <= '0'; elsif(ch1_dly_fast_cnt = ZERO_FAST_COUNT)then ch1_dly_fast_cnt <= FAST_COUNT_TC; ch1_dly_fast_incr <= '1'; else ch1_dly_fast_cnt <= std_logic_vector(unsigned(ch1_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1); ch1_dly_fast_incr <= '0'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH1_FAST_COUNTER; GEN_CH1_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_dly_fast_incr <= '0'; else ch1_dly_fast_incr <= '1'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH1_NO_FAST_COUNTER; -- DMACR Delay value set to zero - disable delay interrupt ch1_delay_zero <= '1' when ch1_irqdelay = ZERO_DELAY else '0'; -- Delay Terminal Count reached (i.e. Delay count = DMACR delay value) ch1_delay_tc <= '1' when ch1_delay_count = ch1_irqdelay and ch1_delay_zero = '0' and ch1_packet_sof = '0' else '0'; -- 1 clock earlier delay counter disable to prevent count -- increment on TC hit. ch1_disable_delay <= '1' when ch1_delay_zero = '1' or ch1_dlyirq_dsble = '1' or ch1_dly_irq_set_i = '1' else '0'; --------------------------------------------------------------------------- -- Delay interrupt low resolution timer --------------------------------------------------------------------------- REG_DELAY_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 need to reset on SOF now due to CR change -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_delay_count <= (others => '0'); ch1_dly_irq_set_i <= '0'; elsif(ch1_dly_fast_incr = '1' and ch1_delay_tc = '1')then ch1_delay_count <= (others => '0'); ch1_dly_irq_set_i <= '1'; elsif(ch1_dly_fast_incr = '1')then ch1_delay_count <= std_logic_vector(unsigned(ch1_delay_count(7 downto 0)) + 1); ch1_dly_irq_set_i <= '0'; else ch1_delay_count <= ch1_delay_count; ch1_dly_irq_set_i <= '0'; end if; end if; end process REG_DELAY_COUNT; -- Pass current delay count to DMASR ch1_irqdelay_status <= ch1_delay_count; ch1_dly_irq_set <= ch1_dly_irq_set_i; -- Enable control for delay counter REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_disable_delay = '1')then ch1_delay_cnt_en <= '0'; -- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer -- to not enable -- elsif(ch1_packet_sof = '1')then -- stop counting if already counting and receive an sof and -- not end of another packet elsif(ch1_delay_cnt_en = '1' and ch1_packet_sof = '1' and ch1_packet_eof = '0')then ch1_delay_cnt_en <= '0'; elsif(ch1_packet_eof = '1')then ch1_delay_cnt_en <= '1'; end if; end if; end process REG_DELAY_CNT_ENABLE; end generate GEN_CH1_DELAY_INTERRUPT; --------------------------------------------------------------------------- -- Delay interrupt NOT included --------------------------------------------------------------------------- GEN_NO_CH1_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate begin ch1_dly_irq_set <= '0'; ch1_dly_irq_set_i <= '0'; ch1_irqdelay_status <= (others => '0'); end generate GEN_NO_CH1_DELAY_INTR; end generate GEN_INCLUDE_MM2S; -- Receive channel included therefore generate receive interrupt logic GEN_INCLUDE_S2MM : if C_INCLUDE_CH2 = 1 generate begin REG_THRESH_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_thresh_count <= ONE_THRESHOLD; ch2_ioc_irq_set_i <= '0'; -- New Threshold set by CPU OR delay interrupt event occured. -- CR572013 - added ability to disable threshold count reset on delay timeout -- elsif(ch2_irqthresh_wren = '1' or ch2_dly_irq_set_i = '1') then elsif( (ch2_irqthresh_wren = '1') or (ch2_dly_irq_set_i = '1' and ch2_irqthresh_rstdsbl = '0')) then ch2_thresh_count <= ch2_irqthresh; ch2_ioc_irq_set_i <= '0'; -- IOC event then... elsif(ch2_irqthresh_decr = '1')then --CR567661 -- Threshold at zero, reload threshold and drive ioc -- interrupt. if(ch2_thresh_count = ONE_THRESHOLD)then ch2_thresh_count <= ch2_irqthresh; ch2_ioc_irq_set_i <= '1'; else ch2_thresh_count <= std_logic_vector(unsigned(ch2_thresh_count(7 downto 0)) - 1); ch2_ioc_irq_set_i <= '0'; end if; else ch2_thresh_count <= ch2_thresh_count; ch2_ioc_irq_set_i <= '0'; end if; end if; end process REG_THRESH_COUNT; -- Pass current threshold count out to DMASR ch2_irqthresh_status <= ch2_thresh_count; ch2_ioc_irq_set <= ch2_ioc_irq_set_i; --------------------------------------------------------------------------- -- Generate Delay Interrupt Timers --------------------------------------------------------------------------- GEN_CH2_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate begin --------------------------------------------------------------------------- -- Delay interrupt high resolution timer --------------------------------------------------------------------------- GEN_CH2_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate begin REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_dly_fast_cnt <= FAST_COUNT_TC; ch2_dly_fast_incr <= '0'; elsif(ch2_dly_fast_cnt = ZERO_FAST_COUNT)then ch2_dly_fast_cnt <= FAST_COUNT_TC; ch2_dly_fast_incr <= '1'; else ch2_dly_fast_cnt <= std_logic_vector(unsigned(ch2_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1); ch2_dly_fast_incr <= '0'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH2_FAST_COUNTER; GEN_CH2_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_dly_fast_incr <= '0'; else ch2_dly_fast_incr <= '1'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH2_NO_FAST_COUNTER; -- DMACR Delay value set to zero - disable delay interrupt ch2_delay_zero <= '1' when ch2_irqdelay = ZERO_DELAY else '0'; -- Delay Terminal Count reached (i.e. Delay count = DMACR delay value) ch2_delay_tc <= '1' when ch2_delay_count = ch2_irqdelay and ch2_delay_zero = '0' and ch2_packet_sof = '0' else '0'; -- 1 clock earlier delay counter disable to prevent count -- increment on TC hit. ch2_disable_delay <= '1' when ch2_delay_zero = '1' or ch2_dlyirq_dsble = '1' or ch2_dly_irq_set_i = '1' else '0'; --------------------------------------------------------------------------- -- Delay interrupt low resolution timer --------------------------------------------------------------------------- REG_DELAY_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 need to reset on SOF now due to CR change -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_delay_count <= (others => '0'); ch2_dly_irq_set_i <= '0'; elsif(ch2_dly_fast_incr = '1' and ch2_delay_tc = '1')then ch2_delay_count <= (others => '0'); ch2_dly_irq_set_i <= '1'; elsif(ch2_dly_fast_incr = '1')then ch2_delay_count <= std_logic_vector(unsigned(ch2_delay_count(7 downto 0)) + 1); ch2_dly_irq_set_i <= '0'; else ch2_delay_count <= ch2_delay_count; ch2_dly_irq_set_i <= '0'; end if; end if; end process REG_DELAY_COUNT; -- Pass current delay count to DMASR ch2_irqdelay_status <= ch2_delay_count; ch2_dly_irq_set <= ch2_dly_irq_set_i; -- Enable control for delay counter REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_disable_delay = '1')then ch2_delay_cnt_en <= '0'; -- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer -- to not enable -- elsif(ch2_packet_sof = '1')then -- stop counting if already counting and receive an sof and -- not end of another packet elsif(ch2_delay_cnt_en = '1' and ch2_packet_sof = '1' and ch2_packet_eof = '0')then ch2_delay_cnt_en <= '0'; elsif(ch2_packet_eof = '1')then ch2_delay_cnt_en <= '1'; end if; end if; end process REG_DELAY_CNT_ENABLE; end generate GEN_CH2_DELAY_INTERRUPT; --------------------------------------------------------------------------- -- Delay interrupt NOT included --------------------------------------------------------------------------- GEN_NO_CH2_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate begin ch2_dly_irq_set <= '0'; ch2_dly_irq_set_i <= '0'; ch2_irqdelay_status <= (others => '0'); end generate GEN_NO_CH2_DELAY_INTR; end generate GEN_INCLUDE_S2MM; -- Transmit channel not included therefore associated outputs to zero GEN_EXCLUDE_MM2S : if C_INCLUDE_CH1 = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); end generate GEN_EXCLUDE_MM2S; -- Receive channel not included therefore associated outputs to zero GEN_EXCLUDE_S2MM : if C_INCLUDE_CH2 = 0 generate begin ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_EXCLUDE_S2MM; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_intrpt.vhd
4
31463
------------------------------------------------------------------------------- -- axi_sg_intrpt ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_intrpt.vhd -- Description: This entity handles interrupt coalescing -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 6/14/10 v1_00_a -- ^^^^^^ -- CR565366 -- Fixed issue where simultaneous sof and eof caused delay timer to not enable -- thus missing a delay interrupt. This issue occurs with small packets(i.e. -- 2 data beats) -- ~~~~~~ -- GAB 7/1/10 v1_00_a -- ^^^^^^ -- CR567661 -- Remapped interrupt threshold control to be driven based on whether update -- engine is included or not. Renamed interrupt threshold decrement control here -- to match change in upper level. -- ~~~~~~ -- GAB 8/3/10 v1_00_a -- ^^^^^^ -- CR570398 -- Routed dlyirq_wren to reset delay timer logic on assertion -- ~~~~~~ -- GAB 8/12/10 v1_00_a -- ^^^^^^ -- CR572013 -- Added ability to disable threshold count reset on delay timer timeout in -- order to match legacy SDMA operation. -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_sg_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg_intrpt is generic( C_INCLUDE_CH1 : integer range 0 to 1 := 1 ; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_CH2 : integer range 0 to 1 := 1 ; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_DLYTMR : integer range 0 to 1 := 1 ; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125 -- Interrupt Delay Timer resolution in usec ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ch1_irqthresh_decr : in std_logic ;-- CR567661 -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- ch2_irqthresh_decr : in std_logic ;-- CR567661 -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) -- ); end axi_sg_intrpt; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_intrpt is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Delay interrupt fast counter width constant FAST_COUNT_WIDTH : integer := clog2(C_DLYTMR_RESOLUTION+1); -- Delay interrupt fast counter terminal count constant FAST_COUNT_TC : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_DLYTMR_RESOLUTION-1),FAST_COUNT_WIDTH)); -- Delay interrupt fast counter zero value constant ZERO_FAST_COUNT : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_VALUE : std_logic_vector(7 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ch1_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD; signal ch1_dly_irq_set_i : std_logic := '0'; signal ch1_ioc_irq_set_i : std_logic := '0'; signal ch1_delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal ch1_delay_cnt_en : std_logic := '0'; signal ch1_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); signal ch1_dly_fast_incr : std_logic := '0'; signal ch1_delay_zero : std_logic := '0'; signal ch1_delay_tc : std_logic := '0'; signal ch1_disable_delay : std_logic := '0'; signal ch2_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD; signal ch2_dly_irq_set_i : std_logic := '0'; signal ch2_ioc_irq_set_i : std_logic := '0'; signal ch2_delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal ch2_delay_cnt_en : std_logic := '0'; signal ch2_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); signal ch2_dly_fast_incr : std_logic := '0'; signal ch2_delay_zero : std_logic := '0'; signal ch2_delay_tc : std_logic := '0'; signal ch2_disable_delay : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Transmit channel included therefore generate transmit interrupt logic GEN_INCLUDE_MM2S : if C_INCLUDE_CH1 = 1 generate begin REG_THRESH_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_thresh_count <= ONE_THRESHOLD; ch1_ioc_irq_set_i <= '0'; -- New Threshold set by CPU OR delay interrupt event occured. -- CR572013 - added ability to disable threshold count reset on delay timeout -- elsif(ch1_irqthresh_wren = '1' or ch1_dly_irq_set_i = '1') then elsif( (ch1_irqthresh_wren = '1') or (ch1_dly_irq_set_i = '1' and ch1_irqthresh_rstdsbl = '0')) then ch1_thresh_count <= ch1_irqthresh; ch1_ioc_irq_set_i <= '0'; -- IOC event then... elsif(ch1_irqthresh_decr = '1')then --CR567661 -- Threshold at zero, reload threshold and drive ioc -- interrupt. if(ch1_thresh_count = ONE_THRESHOLD)then ch1_thresh_count <= ch1_irqthresh; ch1_ioc_irq_set_i <= '1'; else ch1_thresh_count <= std_logic_vector(unsigned(ch1_thresh_count(7 downto 0)) - 1); ch1_ioc_irq_set_i <= '0'; end if; else ch1_thresh_count <= ch1_thresh_count; ch1_ioc_irq_set_i <= '0'; end if; end if; end process REG_THRESH_COUNT; -- Pass current threshold count out to DMASR ch1_irqthresh_status <= ch1_thresh_count; ch1_ioc_irq_set <= ch1_ioc_irq_set_i; --------------------------------------------------------------------------- -- Generate Delay Interrupt Timers --------------------------------------------------------------------------- GEN_CH1_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate begin GEN_CH1_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate begin --------------------------------------------------------------------------- -- Delay interrupt high resolution timer --------------------------------------------------------------------------- REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_dly_fast_cnt <= FAST_COUNT_TC; ch1_dly_fast_incr <= '0'; elsif(ch1_dly_fast_cnt = ZERO_FAST_COUNT)then ch1_dly_fast_cnt <= FAST_COUNT_TC; ch1_dly_fast_incr <= '1'; else ch1_dly_fast_cnt <= std_logic_vector(unsigned(ch1_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1); ch1_dly_fast_incr <= '0'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH1_FAST_COUNTER; GEN_CH1_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_dly_fast_incr <= '0'; else ch1_dly_fast_incr <= '1'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH1_NO_FAST_COUNTER; -- DMACR Delay value set to zero - disable delay interrupt ch1_delay_zero <= '1' when ch1_irqdelay = ZERO_DELAY else '0'; -- Delay Terminal Count reached (i.e. Delay count = DMACR delay value) ch1_delay_tc <= '1' when ch1_delay_count = ch1_irqdelay and ch1_delay_zero = '0' and ch1_packet_sof = '0' else '0'; -- 1 clock earlier delay counter disable to prevent count -- increment on TC hit. ch1_disable_delay <= '1' when ch1_delay_zero = '1' or ch1_dlyirq_dsble = '1' or ch1_dly_irq_set_i = '1' else '0'; --------------------------------------------------------------------------- -- Delay interrupt low resolution timer --------------------------------------------------------------------------- REG_DELAY_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 need to reset on SOF now due to CR change -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_delay_count <= (others => '0'); ch1_dly_irq_set_i <= '0'; elsif(ch1_dly_fast_incr = '1' and ch1_delay_tc = '1')then ch1_delay_count <= (others => '0'); ch1_dly_irq_set_i <= '1'; elsif(ch1_dly_fast_incr = '1')then ch1_delay_count <= std_logic_vector(unsigned(ch1_delay_count(7 downto 0)) + 1); ch1_dly_irq_set_i <= '0'; else ch1_delay_count <= ch1_delay_count; ch1_dly_irq_set_i <= '0'; end if; end if; end process REG_DELAY_COUNT; -- Pass current delay count to DMASR ch1_irqdelay_status <= ch1_delay_count; ch1_dly_irq_set <= ch1_dly_irq_set_i; -- Enable control for delay counter REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_disable_delay = '1')then ch1_delay_cnt_en <= '0'; -- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer -- to not enable -- elsif(ch1_packet_sof = '1')then -- stop counting if already counting and receive an sof and -- not end of another packet elsif(ch1_delay_cnt_en = '1' and ch1_packet_sof = '1' and ch1_packet_eof = '0')then ch1_delay_cnt_en <= '0'; elsif(ch1_packet_eof = '1')then ch1_delay_cnt_en <= '1'; end if; end if; end process REG_DELAY_CNT_ENABLE; end generate GEN_CH1_DELAY_INTERRUPT; --------------------------------------------------------------------------- -- Delay interrupt NOT included --------------------------------------------------------------------------- GEN_NO_CH1_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate begin ch1_dly_irq_set <= '0'; ch1_dly_irq_set_i <= '0'; ch1_irqdelay_status <= (others => '0'); end generate GEN_NO_CH1_DELAY_INTR; end generate GEN_INCLUDE_MM2S; -- Receive channel included therefore generate receive interrupt logic GEN_INCLUDE_S2MM : if C_INCLUDE_CH2 = 1 generate begin REG_THRESH_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_thresh_count <= ONE_THRESHOLD; ch2_ioc_irq_set_i <= '0'; -- New Threshold set by CPU OR delay interrupt event occured. -- CR572013 - added ability to disable threshold count reset on delay timeout -- elsif(ch2_irqthresh_wren = '1' or ch2_dly_irq_set_i = '1') then elsif( (ch2_irqthresh_wren = '1') or (ch2_dly_irq_set_i = '1' and ch2_irqthresh_rstdsbl = '0')) then ch2_thresh_count <= ch2_irqthresh; ch2_ioc_irq_set_i <= '0'; -- IOC event then... elsif(ch2_irqthresh_decr = '1')then --CR567661 -- Threshold at zero, reload threshold and drive ioc -- interrupt. if(ch2_thresh_count = ONE_THRESHOLD)then ch2_thresh_count <= ch2_irqthresh; ch2_ioc_irq_set_i <= '1'; else ch2_thresh_count <= std_logic_vector(unsigned(ch2_thresh_count(7 downto 0)) - 1); ch2_ioc_irq_set_i <= '0'; end if; else ch2_thresh_count <= ch2_thresh_count; ch2_ioc_irq_set_i <= '0'; end if; end if; end process REG_THRESH_COUNT; -- Pass current threshold count out to DMASR ch2_irqthresh_status <= ch2_thresh_count; ch2_ioc_irq_set <= ch2_ioc_irq_set_i; --------------------------------------------------------------------------- -- Generate Delay Interrupt Timers --------------------------------------------------------------------------- GEN_CH2_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate begin --------------------------------------------------------------------------- -- Delay interrupt high resolution timer --------------------------------------------------------------------------- GEN_CH2_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate begin REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_dly_fast_cnt <= FAST_COUNT_TC; ch2_dly_fast_incr <= '0'; elsif(ch2_dly_fast_cnt = ZERO_FAST_COUNT)then ch2_dly_fast_cnt <= FAST_COUNT_TC; ch2_dly_fast_incr <= '1'; else ch2_dly_fast_cnt <= std_logic_vector(unsigned(ch2_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1); ch2_dly_fast_incr <= '0'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH2_FAST_COUNTER; GEN_CH2_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_dly_fast_incr <= '0'; else ch2_dly_fast_incr <= '1'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH2_NO_FAST_COUNTER; -- DMACR Delay value set to zero - disable delay interrupt ch2_delay_zero <= '1' when ch2_irqdelay = ZERO_DELAY else '0'; -- Delay Terminal Count reached (i.e. Delay count = DMACR delay value) ch2_delay_tc <= '1' when ch2_delay_count = ch2_irqdelay and ch2_delay_zero = '0' and ch2_packet_sof = '0' else '0'; -- 1 clock earlier delay counter disable to prevent count -- increment on TC hit. ch2_disable_delay <= '1' when ch2_delay_zero = '1' or ch2_dlyirq_dsble = '1' or ch2_dly_irq_set_i = '1' else '0'; --------------------------------------------------------------------------- -- Delay interrupt low resolution timer --------------------------------------------------------------------------- REG_DELAY_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 need to reset on SOF now due to CR change -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_delay_count <= (others => '0'); ch2_dly_irq_set_i <= '0'; elsif(ch2_dly_fast_incr = '1' and ch2_delay_tc = '1')then ch2_delay_count <= (others => '0'); ch2_dly_irq_set_i <= '1'; elsif(ch2_dly_fast_incr = '1')then ch2_delay_count <= std_logic_vector(unsigned(ch2_delay_count(7 downto 0)) + 1); ch2_dly_irq_set_i <= '0'; else ch2_delay_count <= ch2_delay_count; ch2_dly_irq_set_i <= '0'; end if; end if; end process REG_DELAY_COUNT; -- Pass current delay count to DMASR ch2_irqdelay_status <= ch2_delay_count; ch2_dly_irq_set <= ch2_dly_irq_set_i; -- Enable control for delay counter REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_disable_delay = '1')then ch2_delay_cnt_en <= '0'; -- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer -- to not enable -- elsif(ch2_packet_sof = '1')then -- stop counting if already counting and receive an sof and -- not end of another packet elsif(ch2_delay_cnt_en = '1' and ch2_packet_sof = '1' and ch2_packet_eof = '0')then ch2_delay_cnt_en <= '0'; elsif(ch2_packet_eof = '1')then ch2_delay_cnt_en <= '1'; end if; end if; end process REG_DELAY_CNT_ENABLE; end generate GEN_CH2_DELAY_INTERRUPT; --------------------------------------------------------------------------- -- Delay interrupt NOT included --------------------------------------------------------------------------- GEN_NO_CH2_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate begin ch2_dly_irq_set <= '0'; ch2_dly_irq_set_i <= '0'; ch2_irqdelay_status <= (others => '0'); end generate GEN_NO_CH2_DELAY_INTR; end generate GEN_INCLUDE_S2MM; -- Transmit channel not included therefore associated outputs to zero GEN_EXCLUDE_MM2S : if C_INCLUDE_CH1 = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); end generate GEN_EXCLUDE_MM2S; -- Receive channel not included therefore associated outputs to zero GEN_EXCLUDE_S2MM : if C_INCLUDE_CH2 = 0 generate begin ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_EXCLUDE_S2MM; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_updt_q_mngr.vhd
4
37201
------------------------------------------------------------------------------- -- axi_sg_updt_q_mngr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_q_mngr.vhd -- Description: This entity is the descriptor update queue manager -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Created top level for descriptor update queue management -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_sg_pkg.all; library lib_pkg_v1_0_2; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.sync_fifo_fg; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_q_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Control **-- -- --***********************************-- -- ch1_updt_curdesc_wren : out std_logic ; -- ch1_updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_active : in std_logic ; -- ch1_updt_queue_empty : out std_logic ; -- ch1_updt_ioc : out std_logic ; -- ch1_updt_ioc_irq_set : in std_logic ; -- -- ch1_dma_interr : out std_logic ; -- ch1_dma_slverr : out std_logic ; -- ch1_dma_decerr : out std_logic ; -- ch1_dma_interr_set : in std_logic ; -- ch1_dma_slverr_set : in std_logic ; -- ch1_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Control **-- -- --***********************************-- -- ch2_updt_active : in std_logic ; -- ch2_updt_curdesc_wren : out std_logic ; -- ch2_updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_queue_empty : out std_logic ; -- ch2_updt_ioc : out std_logic ; -- ch2_updt_ioc_irq_set : in std_logic ; -- -- ch2_dma_interr : out std_logic ; -- ch2_dma_slverr : out std_logic ; -- ch2_dma_decerr : out std_logic ; -- ch2_dma_interr_set : in std_logic ; -- ch2_dma_slverr_set : in std_logic ; -- ch2_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Update Interface In **-- -- --***********************************-- -- s_axis_ch1_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Update Interface In **-- -- --***********************************-- -- s_axis_ch2_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- --***************************************-- -- --** Update Interface to AXI DataMover **-- -- --***************************************-- -- -- S2MM Stream Out To DataMover -- s_axis_s2mm_tdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_tlast : out std_logic ; -- s_axis_s2mm_tvalid : out std_logic ; -- s_axis_s2mm_tready : in std_logic -- ); end axi_sg_updt_q_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_q_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal m_axis_ch1_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch1_updt_tlast : std_logic := '0'; signal m_axis_ch1_updt_tvalid : std_logic := '0'; signal m_axis_ch1_updt_tready : std_logic := '0'; signal m_axis_ch2_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch2_updt_tlast : std_logic := '0'; signal m_axis_ch2_updt_tvalid : std_logic := '0'; signal m_axis_ch2_updt_tready : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --***************************************************************************** --** CHANNEL 1 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 1 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- GEN_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 1 generate begin --***************************************************************************** --** CHANNEL 1 - DESCRIPTOR QUEUE ** --***************************************************************************** -- If Descriptor Update queueing enabled then instantiate Queue Logic GEN_CH1_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate begin ------------------------------------------------------------------------------- I_CH1_UPDT_DESC_QUEUE : entity axi_vdma_v6_2_8.axi_sg_updt_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , C_SG_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s_axis_updt_aclk => s_axis_ch1_updt_aclk , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch1_updt_tdata , m_axis_updt_tlast => m_axis_ch1_updt_tlast , m_axis_updt_tvalid => m_axis_ch1_updt_tvalid , m_axis_updt_tready => m_axis_ch1_updt_tready ); end generate GEN_CH1_QUEUE; --***************************************************************************** --** CHANNEL 1 - NO DESCRIPTOR QUEUE ** --***************************************************************************** -- No update queue enabled, therefore map internal stream logic -- directly to channel port. GEN_CH1_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate begin I_NO_CH1_UPDT_DESC_QUEUE : entity axi_vdma_v6_2_8.axi_sg_updt_noqueue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch1_updt_tdata , m_axis_updt_tlast => m_axis_ch1_updt_tlast , m_axis_updt_tvalid => m_axis_ch1_updt_tvalid , m_axis_updt_tready => m_axis_ch1_updt_tready ); end generate GEN_CH1_NO_QUEUE; end generate GEN_CH1_UPDATE_Q_IF; -- Channel 1 NOT included therefore tie ch1 outputs off GEN_NO_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 0 generate begin ch1_updt_curdesc_wren <= '0'; ch1_updt_curdesc <= (others => '0'); ch1_updt_queue_empty <= '1'; ch1_updt_ioc <= '0'; ch1_dma_interr <= '0'; ch1_dma_slverr <= '0'; ch1_dma_decerr <= '0'; m_axis_ch1_updt_tdata <= (others => '0'); m_axis_ch1_updt_tlast <= '0'; m_axis_ch1_updt_tvalid <= '0'; s_axis_ch1_updtptr_tready <= '0'; s_axis_ch1_updtsts_tready <= '0'; end generate GEN_NO_CH1_UPDATE_Q_IF; --***************************************************************************** --** CHANNEL 2 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 2 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- GEN_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 1 generate begin --************************************************************************* --** CHANNEL 2 - DESCRIPTOR QUEUE ** --************************************************************************* -- If Descriptor Update queueing enabled then instantiate Queue Logic GEN_CH2_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate begin --------------------------------------------------------------------------- I_CH2_UPDT_DESC_QUEUE : entity axi_vdma_v6_2_8.axi_sg_updt_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , C_SG_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_FAMILY => C_FAMILY ) port map( --------------------------------------------------------------- -- AXI Scatter Gather Interface --------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s_axis_updt_aclk => s_axis_ch2_updt_aclk , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch2_updt_curdesc_wren , updt_curdesc => ch2_updt_curdesc , updt_active => ch2_updt_active , updt_queue_empty => ch2_updt_queue_empty , updt_ioc => ch2_updt_ioc , updt_ioc_irq_set => ch2_updt_ioc_irq_set , dma_interr => ch2_dma_interr , dma_slverr => ch2_dma_slverr , dma_decerr => ch2_dma_decerr , dma_interr_set => ch2_dma_interr_set , dma_slverr_set => ch2_dma_slverr_set , dma_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch2_updt_tdata , m_axis_updt_tlast => m_axis_ch2_updt_tlast , m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , m_axis_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_CH2_QUEUE; --***************************************************************************** --** CHANNEL 2 - NO DESCRIPTOR QUEUE ** --***************************************************************************** -- No update queue enabled, therefore map internal stream logic -- directly to channel port. GEN_CH2_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate I_NO_CH2_UPDT_DESC_QUEUE : entity axi_vdma_v6_2_8.axi_sg_updt_noqueue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ) port map( --------------------------------------------------------------- -- AXI Scatter Gather Interface --------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch2_updt_curdesc_wren , updt_curdesc => ch2_updt_curdesc , updt_active => ch2_updt_active , updt_queue_empty => ch2_updt_queue_empty , updt_ioc => ch2_updt_ioc , updt_ioc_irq_set => ch2_updt_ioc_irq_set , dma_interr => ch2_dma_interr , dma_slverr => ch2_dma_slverr , dma_decerr => ch2_dma_decerr , dma_interr_set => ch2_dma_interr_set , dma_slverr_set => ch2_dma_slverr_set , dma_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch2_updt_tdata , m_axis_updt_tlast => m_axis_ch2_updt_tlast , m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , m_axis_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_CH2_NO_QUEUE; end generate GEN_CH2_UPDATE_Q_IF; -- Channel 2 NOT included therefore tie ch2 outputs off GEN_NO_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 0 generate begin ch2_updt_curdesc_wren <= '0'; ch2_updt_curdesc <= (others => '0'); ch2_updt_queue_empty <= '1'; ch2_updt_ioc <= '0'; ch2_dma_interr <= '0'; ch2_dma_slverr <= '0'; ch2_dma_decerr <= '0'; m_axis_ch2_updt_tdata <= (others => '0'); m_axis_ch2_updt_tlast <= '0'; m_axis_ch2_updt_tvalid <= '0'; s_axis_ch2_updtptr_tready <= '0'; s_axis_ch2_updtsts_tready <= '0'; end generate GEN_NO_CH2_UPDATE_Q_IF; ------------------------------------------------------------------------------- -- MUX For DataMover ------------------------------------------------------------------------------- TO_DATAMVR_MUX : process(ch1_updt_active, ch2_updt_active, m_axis_ch1_updt_tdata, m_axis_ch1_updt_tlast, m_axis_ch1_updt_tvalid, m_axis_ch2_updt_tdata, m_axis_ch2_updt_tlast, m_axis_ch2_updt_tvalid) begin if(ch1_updt_active = '1')then s_axis_s2mm_tdata <= m_axis_ch1_updt_tdata; s_axis_s2mm_tlast <= m_axis_ch1_updt_tlast; s_axis_s2mm_tvalid <= m_axis_ch1_updt_tvalid; elsif(ch2_updt_active = '1')then s_axis_s2mm_tdata <= m_axis_ch2_updt_tdata; s_axis_s2mm_tlast <= m_axis_ch2_updt_tlast; s_axis_s2mm_tvalid <= m_axis_ch2_updt_tvalid; else s_axis_s2mm_tdata <= (others => '0'); s_axis_s2mm_tlast <= '0'; s_axis_s2mm_tvalid <= '0'; end if; end process TO_DATAMVR_MUX; m_axis_ch1_updt_tready <= s_axis_s2mm_tready; m_axis_ch2_updt_tready <= s_axis_s2mm_tready; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_stbs_set_nodre.vhd
18
43117
------------------------------------------------------------------------------- -- axi_datamover_stbs_set_nodre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_stbs_set_nodre.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_stbs_set_nodre is generic ( C_STROBE_WIDTH : Integer range 1 to 128 := 8 -- Specifies the width (in bits) of the input strobe bus. ); port ( -- Input Strobe bus ---------------------------------------------------- -- tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- ------------------------------------------------------------------------ -- Asserted Strobes count output --------------------------------------- -- num_stbs_asserted : Out std_logic_vector(7 downto 0) -- -- Indicates the number of asserted tstrb_in bits -- ------------------------------------------------------------------------ ); end entity axi_datamover_stbs_set_nodre; architecture implementation of axi_datamover_stbs_set_nodre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; function funct_256bit_stbs_set (strb_3 : std_logic_vector(2 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 5;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 24 := 0; begin case strb_3 is -- when "0000000" => -- lvar_num_set := 0; ------- 1 bit -------------------------- when "001" => lvar_num_set := 8; ------- 2 bit -------------------------- when "011" => lvar_num_set := 16; ------- 3 bit -------------------------- when "111" => lvar_num_set := 24; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_256bit_stbs_set; function funct_512bit_stbs_set (strb_3 : std_logic_vector(6 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 6;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 56 := 0; begin case strb_3 is -- when "0000000" => -- lvar_num_set := 0; ------- 1 bit -------------------------- when "0000001" => lvar_num_set := 8; ------- 2 bit -------------------------- when "0000011" => lvar_num_set := 16; ------- 3 bit -------------------------- when "0000111" => lvar_num_set := 24; when "0001111" => lvar_num_set := 32; when "0011111" => lvar_num_set := 40; when "0111111" => lvar_num_set := 48; when "1111111" => lvar_num_set := 56; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_512bit_stbs_set; function funct_1024bit_stbs_set (strb_3 : std_logic_vector(14 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 7;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 120 := 0; begin case strb_3 is ------- 1 bit -------------------------- when "000000000000001" => lvar_num_set := 8; ------- 2 bit -------------------------- when "000000000000011" => lvar_num_set := 16; ------- 3 bit -------------------------- when "000000000000111" => lvar_num_set := 24; when "000000000001111" => lvar_num_set := 32; when "000000000011111" => lvar_num_set := 40; when "000000000111111" => lvar_num_set := 48; when "000000001111111" => lvar_num_set := 56; when "000000011111111" => lvar_num_set := 64; when "000000111111111" => lvar_num_set := 72; when "000001111111111" => lvar_num_set := 80; when "000011111111111" => lvar_num_set := 88; when "000111111111111" => lvar_num_set := 96; when "001111111111111" => lvar_num_set := 104; when "011111111111111" => lvar_num_set := 112; when "111111111111111" => lvar_num_set := 120; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_1024bit_stbs_set; -- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is -- -- Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed -- -- -- Variable lvar_num_set : Integer range 0 to 8 := 0; -- -- begin -- -- case strb_8 is -- ---- ------- 1 bit -------------------------- -- when "00000001" | "00000010" | "00000100" | "00001000" | -- "00010000" | "00100000" | "01000000" | "10000000" => -- -- lvar_num_set := 1; -- -- -- ------- 2 bit -------------------------- -- when "00000011" | "00000110" | "00001100" | "00011000" | -- "00110000" | "01100000" | "11000000" => -- -- lvar_num_set := 2; -- -- -- ------- 3 bit -------------------------- -- when "00000111" | "00001110" | "00011100" | "00111000" | -- "01110000" | "11100000" => -- -- lvar_num_set := 3; -- -- -- ------- 4 bit -------------------------- -- when "00001111" | "00011110" | "00111100" | "01111000" | -- "11110000" => -- -- lvar_num_set := 4; -- -- -- ------- 5 bit -------------------------- -- when "00011111" | "00111110" | "01111100" | "11111000" => -- -- lvar_num_set := 5; -- -- -- ------- 6 bit -------------------------- -- when "00111111" | "01111110" | "11111100" => -- -- lvar_num_set := 6; -- -- -- ------- 7 bit -------------------------- -- when "01111111" | "11111110" => -- -- lvar_num_set := 7; -- -- -- ------- 8 bit -------------------------- -- when "11111111" => -- -- lvar_num_set := 8; -- -- -- ------- all zeros or sparse strobes ------ -- When others => -- -- lvar_num_set := 0; -- -- end case; -- -- -- Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); -- -- -- -- end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_new_vect : std_logic_vector (2 downto 0) := (others => '0'); signal lsig_num_new_stbs1 : unsigned(4 downto 0) := (others => '0'); signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0'); signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; -- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); lsig_new_vect <= sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8); lsig_num_new_stbs1 <= funct_256bit_stbs_set(lsig_new_vect) ; lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1); lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH); process (lsig_new_vect, sig_strb_input) begin case lsig_new_vect is ------- 1 bit -------------------------- when "000" => lsig_new_vect1 <= sig_strb_input (7 downto 0); when "001" => lsig_new_vect1 <= sig_strb_input (15 downto 8); ------- 2 bit -------------------------- when "011" => lsig_new_vect1 <= sig_strb_input (23 downto 16); ------- 3 bit -------------------------- when "111" => lsig_new_vect1 <= sig_strb_input (31 downto 24); ------- all zeros or sparse strobes ------ When others => lsig_new_vect1 <= (others => '0'); end case; end process; end generate GEN_32_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64_STRB -- -- If Generate Description: -- 64-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_64_STRB : if (C_STROBE_WIDTH = 64) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_num_total1 : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_new_vect : std_logic_vector (6 downto 0) := (others => '0'); signal lsig_num_new_stbs1 : unsigned(5 downto 0) := (others => '0'); signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0'); signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; -- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); lsig_new_vect <= sig_strb_input(56) & sig_strb_input (48) & sig_strb_input (40) & sig_strb_input(32) & sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8); lsig_num_new_stbs1 <= funct_512bit_stbs_set(lsig_new_vect) ; lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1); lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH); process (lsig_new_vect, sig_strb_input) begin case lsig_new_vect is ------- 1 bit -------------------------- when "0000000" => lsig_new_vect1 <= sig_strb_input (7 downto 0); when "0000001" => lsig_new_vect1 <= sig_strb_input (15 downto 8); ------- 2 bit -------------------------- when "0000011" => lsig_new_vect1 <= sig_strb_input (23 downto 16); ------- 3 bit -------------------------- when "0000111" => lsig_new_vect1 <= sig_strb_input (31 downto 24); when "0001111" => lsig_new_vect1 <= sig_strb_input (39 downto 32); when "0011111" => lsig_new_vect1 <= sig_strb_input (47 downto 40); when "0111111" => lsig_new_vect1 <= sig_strb_input (55 downto 48); when "1111111" => lsig_new_vect1 <= sig_strb_input (63 downto 56); ------- all zeros or sparse strobes ------ When others => lsig_new_vect1 <= (others => '0'); end case; end process; end generate GEN_64_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128_STRB -- -- If Generate Description: -- 128-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_128_STRB : if (C_STROBE_WIDTH = 128) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect9 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect10 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect11 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect12 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect13 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect14 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect15 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect16 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs9 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs10 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs11 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs12 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs13 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs14 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs15 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs16 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_num_total1 : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_new_vect : std_logic_vector (14 downto 0) := (others => '0'); signal lsig_num_new_stbs1 : unsigned(6 downto 0) := (others => '0'); signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0'); signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_strb_vect9 <= sig_strb_input(71 downto 64); -- make and 8-bit vector -- for the function call lsig_strb_vect10 <= sig_strb_input(79 downto 72); -- make and 8-bit vector -- for the function call lsig_strb_vect11 <= sig_strb_input(87 downto 80); -- make and 8-bit vector -- for the function call lsig_strb_vect12 <= sig_strb_input(95 downto 88); -- make and 8-bit vector -- for the function call lsig_strb_vect13 <= sig_strb_input(103 downto 96); -- make and 8-bit vector -- for the function call lsig_strb_vect14 <= sig_strb_input(111 downto 104); -- make and 8-bit vector -- for the function call lsig_strb_vect15 <= sig_strb_input(119 downto 112); -- make and 8-bit vector -- for the function call lsig_strb_vect16 <= sig_strb_input(127 downto 120); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_in_stbs9 <= funct_8bit_stbs_set(lsig_strb_vect9) ; lsig_num_in_stbs10 <= funct_8bit_stbs_set(lsig_strb_vect10) ; lsig_num_in_stbs11 <= funct_8bit_stbs_set(lsig_strb_vect11) ; lsig_num_in_stbs12 <= funct_8bit_stbs_set(lsig_strb_vect12) ; lsig_num_in_stbs13 <= funct_8bit_stbs_set(lsig_strb_vect13) ; lsig_num_in_stbs14 <= funct_8bit_stbs_set(lsig_strb_vect14) ; lsig_num_in_stbs15 <= funct_8bit_stbs_set(lsig_strb_vect15) ; lsig_num_in_stbs16 <= funct_8bit_stbs_set(lsig_strb_vect16) ; -- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs9 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs10 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs11 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs12 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs13 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs14 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs15 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs16 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); lsig_new_vect <= sig_strb_input (120) & sig_strb_input (112) & sig_strb_input(104) & sig_strb_input (96) & sig_strb_input (88) & sig_strb_input(80) & sig_strb_input (72) & sig_strb_input (64) & sig_strb_input(56) & sig_strb_input (48) & sig_strb_input (40) & sig_strb_input(32) & sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8); lsig_num_new_stbs1 <= funct_1024bit_stbs_set(lsig_new_vect) ; lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1); lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH); process (lsig_new_vect, sig_strb_input) begin case lsig_new_vect is ------- 1 bit -------------------------- when "000000000000000" => lsig_new_vect1 <= sig_strb_input (7 downto 0); when "000000000000001" => lsig_new_vect1 <= sig_strb_input (15 downto 8); ------- 2 bit -------------------------- when "000000000000011" => lsig_new_vect1 <= sig_strb_input (23 downto 16); ------- 3 bit -------------------------- when "000000000000111" => lsig_new_vect1 <= sig_strb_input (31 downto 24); when "000000000001111" => lsig_new_vect1 <= sig_strb_input (39 downto 32); when "000000000011111" => lsig_new_vect1 <= sig_strb_input (47 downto 40); when "000000000111111" => lsig_new_vect1 <= sig_strb_input (55 downto 48); when "000000001111111" => lsig_new_vect1 <= sig_strb_input (63 downto 56); when "000000011111111" => lsig_new_vect1 <= sig_strb_input (71 downto 64); when "000000111111111" => lsig_new_vect1 <= sig_strb_input (79 downto 72); when "000001111111111" => lsig_new_vect1 <= sig_strb_input (87 downto 80); when "000011111111111" => lsig_new_vect1 <= sig_strb_input (95 downto 88); when "000111111111111" => lsig_new_vect1 <= sig_strb_input (103 downto 96); when "001111111111111" => lsig_new_vect1 <= sig_strb_input (111 downto 104); when "011111111111111" => lsig_new_vect1 <= sig_strb_input (119 downto 112); when "111111111111111" => lsig_new_vect1 <= sig_strb_input (127 downto 120); ------- all zeros or sparse strobes ------ When others => lsig_new_vect1 <= (others => '0'); end case; end process; end generate GEN_128_STRB; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_stbs_set_nodre.vhd
18
43117
------------------------------------------------------------------------------- -- axi_datamover_stbs_set_nodre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_stbs_set_nodre.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_stbs_set_nodre is generic ( C_STROBE_WIDTH : Integer range 1 to 128 := 8 -- Specifies the width (in bits) of the input strobe bus. ); port ( -- Input Strobe bus ---------------------------------------------------- -- tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- ------------------------------------------------------------------------ -- Asserted Strobes count output --------------------------------------- -- num_stbs_asserted : Out std_logic_vector(7 downto 0) -- -- Indicates the number of asserted tstrb_in bits -- ------------------------------------------------------------------------ ); end entity axi_datamover_stbs_set_nodre; architecture implementation of axi_datamover_stbs_set_nodre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; function funct_256bit_stbs_set (strb_3 : std_logic_vector(2 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 5;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 24 := 0; begin case strb_3 is -- when "0000000" => -- lvar_num_set := 0; ------- 1 bit -------------------------- when "001" => lvar_num_set := 8; ------- 2 bit -------------------------- when "011" => lvar_num_set := 16; ------- 3 bit -------------------------- when "111" => lvar_num_set := 24; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_256bit_stbs_set; function funct_512bit_stbs_set (strb_3 : std_logic_vector(6 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 6;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 56 := 0; begin case strb_3 is -- when "0000000" => -- lvar_num_set := 0; ------- 1 bit -------------------------- when "0000001" => lvar_num_set := 8; ------- 2 bit -------------------------- when "0000011" => lvar_num_set := 16; ------- 3 bit -------------------------- when "0000111" => lvar_num_set := 24; when "0001111" => lvar_num_set := 32; when "0011111" => lvar_num_set := 40; when "0111111" => lvar_num_set := 48; when "1111111" => lvar_num_set := 56; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_512bit_stbs_set; function funct_1024bit_stbs_set (strb_3 : std_logic_vector(14 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 7;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 120 := 0; begin case strb_3 is ------- 1 bit -------------------------- when "000000000000001" => lvar_num_set := 8; ------- 2 bit -------------------------- when "000000000000011" => lvar_num_set := 16; ------- 3 bit -------------------------- when "000000000000111" => lvar_num_set := 24; when "000000000001111" => lvar_num_set := 32; when "000000000011111" => lvar_num_set := 40; when "000000000111111" => lvar_num_set := 48; when "000000001111111" => lvar_num_set := 56; when "000000011111111" => lvar_num_set := 64; when "000000111111111" => lvar_num_set := 72; when "000001111111111" => lvar_num_set := 80; when "000011111111111" => lvar_num_set := 88; when "000111111111111" => lvar_num_set := 96; when "001111111111111" => lvar_num_set := 104; when "011111111111111" => lvar_num_set := 112; when "111111111111111" => lvar_num_set := 120; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_1024bit_stbs_set; -- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is -- -- Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed -- -- -- Variable lvar_num_set : Integer range 0 to 8 := 0; -- -- begin -- -- case strb_8 is -- ---- ------- 1 bit -------------------------- -- when "00000001" | "00000010" | "00000100" | "00001000" | -- "00010000" | "00100000" | "01000000" | "10000000" => -- -- lvar_num_set := 1; -- -- -- ------- 2 bit -------------------------- -- when "00000011" | "00000110" | "00001100" | "00011000" | -- "00110000" | "01100000" | "11000000" => -- -- lvar_num_set := 2; -- -- -- ------- 3 bit -------------------------- -- when "00000111" | "00001110" | "00011100" | "00111000" | -- "01110000" | "11100000" => -- -- lvar_num_set := 3; -- -- -- ------- 4 bit -------------------------- -- when "00001111" | "00011110" | "00111100" | "01111000" | -- "11110000" => -- -- lvar_num_set := 4; -- -- -- ------- 5 bit -------------------------- -- when "00011111" | "00111110" | "01111100" | "11111000" => -- -- lvar_num_set := 5; -- -- -- ------- 6 bit -------------------------- -- when "00111111" | "01111110" | "11111100" => -- -- lvar_num_set := 6; -- -- -- ------- 7 bit -------------------------- -- when "01111111" | "11111110" => -- -- lvar_num_set := 7; -- -- -- ------- 8 bit -------------------------- -- when "11111111" => -- -- lvar_num_set := 8; -- -- -- ------- all zeros or sparse strobes ------ -- When others => -- -- lvar_num_set := 0; -- -- end case; -- -- -- Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); -- -- -- -- end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_new_vect : std_logic_vector (2 downto 0) := (others => '0'); signal lsig_num_new_stbs1 : unsigned(4 downto 0) := (others => '0'); signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0'); signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; -- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); lsig_new_vect <= sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8); lsig_num_new_stbs1 <= funct_256bit_stbs_set(lsig_new_vect) ; lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1); lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH); process (lsig_new_vect, sig_strb_input) begin case lsig_new_vect is ------- 1 bit -------------------------- when "000" => lsig_new_vect1 <= sig_strb_input (7 downto 0); when "001" => lsig_new_vect1 <= sig_strb_input (15 downto 8); ------- 2 bit -------------------------- when "011" => lsig_new_vect1 <= sig_strb_input (23 downto 16); ------- 3 bit -------------------------- when "111" => lsig_new_vect1 <= sig_strb_input (31 downto 24); ------- all zeros or sparse strobes ------ When others => lsig_new_vect1 <= (others => '0'); end case; end process; end generate GEN_32_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64_STRB -- -- If Generate Description: -- 64-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_64_STRB : if (C_STROBE_WIDTH = 64) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_num_total1 : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_new_vect : std_logic_vector (6 downto 0) := (others => '0'); signal lsig_num_new_stbs1 : unsigned(5 downto 0) := (others => '0'); signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0'); signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; -- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); lsig_new_vect <= sig_strb_input(56) & sig_strb_input (48) & sig_strb_input (40) & sig_strb_input(32) & sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8); lsig_num_new_stbs1 <= funct_512bit_stbs_set(lsig_new_vect) ; lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1); lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH); process (lsig_new_vect, sig_strb_input) begin case lsig_new_vect is ------- 1 bit -------------------------- when "0000000" => lsig_new_vect1 <= sig_strb_input (7 downto 0); when "0000001" => lsig_new_vect1 <= sig_strb_input (15 downto 8); ------- 2 bit -------------------------- when "0000011" => lsig_new_vect1 <= sig_strb_input (23 downto 16); ------- 3 bit -------------------------- when "0000111" => lsig_new_vect1 <= sig_strb_input (31 downto 24); when "0001111" => lsig_new_vect1 <= sig_strb_input (39 downto 32); when "0011111" => lsig_new_vect1 <= sig_strb_input (47 downto 40); when "0111111" => lsig_new_vect1 <= sig_strb_input (55 downto 48); when "1111111" => lsig_new_vect1 <= sig_strb_input (63 downto 56); ------- all zeros or sparse strobes ------ When others => lsig_new_vect1 <= (others => '0'); end case; end process; end generate GEN_64_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128_STRB -- -- If Generate Description: -- 128-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_128_STRB : if (C_STROBE_WIDTH = 128) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect9 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect10 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect11 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect12 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect13 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect14 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect15 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect16 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs9 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs10 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs11 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs12 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs13 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs14 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs15 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs16 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_num_total1 : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); signal lsig_new_vect : std_logic_vector (14 downto 0) := (others => '0'); signal lsig_num_new_stbs1 : unsigned(6 downto 0) := (others => '0'); signal lsig_new_vect1 : std_logic_vector (7 downto 0) := (others => '0'); signal lsig_num_new_vect1 : unsigned(3 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_strb_vect9 <= sig_strb_input(71 downto 64); -- make and 8-bit vector -- for the function call lsig_strb_vect10 <= sig_strb_input(79 downto 72); -- make and 8-bit vector -- for the function call lsig_strb_vect11 <= sig_strb_input(87 downto 80); -- make and 8-bit vector -- for the function call lsig_strb_vect12 <= sig_strb_input(95 downto 88); -- make and 8-bit vector -- for the function call lsig_strb_vect13 <= sig_strb_input(103 downto 96); -- make and 8-bit vector -- for the function call lsig_strb_vect14 <= sig_strb_input(111 downto 104); -- make and 8-bit vector -- for the function call lsig_strb_vect15 <= sig_strb_input(119 downto 112); -- make and 8-bit vector -- for the function call lsig_strb_vect16 <= sig_strb_input(127 downto 120); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_in_stbs9 <= funct_8bit_stbs_set(lsig_strb_vect9) ; lsig_num_in_stbs10 <= funct_8bit_stbs_set(lsig_strb_vect10) ; lsig_num_in_stbs11 <= funct_8bit_stbs_set(lsig_strb_vect11) ; lsig_num_in_stbs12 <= funct_8bit_stbs_set(lsig_strb_vect12) ; lsig_num_in_stbs13 <= funct_8bit_stbs_set(lsig_strb_vect13) ; lsig_num_in_stbs14 <= funct_8bit_stbs_set(lsig_strb_vect14) ; lsig_num_in_stbs15 <= funct_8bit_stbs_set(lsig_strb_vect15) ; lsig_num_in_stbs16 <= funct_8bit_stbs_set(lsig_strb_vect16) ; -- lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs9 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs10 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs11 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs12 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs13 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs14 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs15 , RESULT_BIT_WIDTH) + -- RESIZE(lsig_num_in_stbs16 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); lsig_new_vect <= sig_strb_input (120) & sig_strb_input (112) & sig_strb_input(104) & sig_strb_input (96) & sig_strb_input (88) & sig_strb_input(80) & sig_strb_input (72) & sig_strb_input (64) & sig_strb_input(56) & sig_strb_input (48) & sig_strb_input (40) & sig_strb_input(32) & sig_strb_input (24) & sig_strb_input (16) & sig_strb_input (8); lsig_num_new_stbs1 <= funct_1024bit_stbs_set(lsig_new_vect) ; lsig_num_new_vect1 <= funct_8bit_stbs_set(lsig_new_vect1); lsig_num_total <= RESIZE(lsig_num_new_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_new_vect1 , RESULT_BIT_WIDTH); process (lsig_new_vect, sig_strb_input) begin case lsig_new_vect is ------- 1 bit -------------------------- when "000000000000000" => lsig_new_vect1 <= sig_strb_input (7 downto 0); when "000000000000001" => lsig_new_vect1 <= sig_strb_input (15 downto 8); ------- 2 bit -------------------------- when "000000000000011" => lsig_new_vect1 <= sig_strb_input (23 downto 16); ------- 3 bit -------------------------- when "000000000000111" => lsig_new_vect1 <= sig_strb_input (31 downto 24); when "000000000001111" => lsig_new_vect1 <= sig_strb_input (39 downto 32); when "000000000011111" => lsig_new_vect1 <= sig_strb_input (47 downto 40); when "000000000111111" => lsig_new_vect1 <= sig_strb_input (55 downto 48); when "000000001111111" => lsig_new_vect1 <= sig_strb_input (63 downto 56); when "000000011111111" => lsig_new_vect1 <= sig_strb_input (71 downto 64); when "000000111111111" => lsig_new_vect1 <= sig_strb_input (79 downto 72); when "000001111111111" => lsig_new_vect1 <= sig_strb_input (87 downto 80); when "000011111111111" => lsig_new_vect1 <= sig_strb_input (95 downto 88); when "000111111111111" => lsig_new_vect1 <= sig_strb_input (103 downto 96); when "001111111111111" => lsig_new_vect1 <= sig_strb_input (111 downto 104); when "011111111111111" => lsig_new_vect1 <= sig_strb_input (119 downto 112); when "111111111111111" => lsig_new_vect1 <= sig_strb_input (127 downto 120); ------- all zeros or sparse strobes ------ When others => lsig_new_vect1 <= (others => '0'); end case; end process; end generate GEN_128_STRB; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma.vhd
4
284148
------------------------------------------------------------------------------- -- axi_vdma ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma.vhd -- Description: This entity is the top level entity for the AXI VDMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v5_1_11.axi_datamover.vhd (FULL) -- |- axi_vdma_v6_2_8.axi_sg_v4_03.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; --library axi_sg_v4_03; --use axi_sg_v4_03.all; library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.all; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.max2; --use proc_common_v4_0_2.family_support.all; ------------------------------------------------------------------------------- entity axi_vdma is generic( C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9; -- Address width of the AXI Lite Interface C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in usec C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 1; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - all clocks may be asynchronous. ----------------------------------------------------------------------- -- Video Specific Parameters ----------------------------------------------------------------------- C_ENABLE_VIDPRMTR_READS : integer range 0 to 1 := 1; -- Specifies whether video parameters are readable by axi_lite interface -- when configure for Register Direct Mode -- 0 = Disable Video Parameter Reads (Saves FPGA Resources) -- 1 = Enable Video Parameter Reads ----------------------------------------------------------------------- C_DYNAMIC_RESOLUTION : integer range 0 to 1 := 1; -- Run time configuration of HSIZE, STRIDE, FRM_DLY, StartAddress & VSIZE -- 0 = Halt VDMA before writing new set of HSIZE, STRIDE, FRM_DLY, StartAddress & VSIZE -- 1 = Run time register configuration for new set of HSIZE, STRIDE, FRM_DLY, StartAddress & VSIZE. ----------------------------------------------------------------------- C_NUM_FSTORES : integer range 1 to 32 := 3; -- Number of Frame Stores C_USE_FSYNC : integer range 0 to 3 := 1; -- 2013.1 : Spilt into C_USE_MM2S_FSYNC & C_USE_S2MM_FSYNC. C_USE_FSYNC is no longer used. C_USE_MM2S_FSYNC : integer range 0 to 1 := 0; --2013.1 -- Specifies MM2S channel operation synchronized to frame sync input -- 0 = channel is Free running -- 1 = channel uses mm2s_fsync as a frame_sync C_USE_S2MM_FSYNC : integer range 0 to 2 := 2; --2013.1 -- Specifies MM2S channel operation synchronized to frame sync input -- 0 = channel is Free running -- 1 = channel uses s2mm_fsync as a frame_sync -- 2 = channel uses s2mm_tuser(0) as a frame_sync C_FLUSH_ON_FSYNC : integer range 0 to 3 := 1; --Interally enabled i.e. user values are not passed to the core and this parameter is redundant (2013.1/14.5) -- Specifies VDMA will flush on frame sync -- 0 = Disabled - both channel halts on error detection -- 1 = Enabled - both channel does not halt and will flush on next fsync -- 2 = Enabled - ONLY MM2S channel does not halt and will flush on next fsync -- 3 = Enabled - ONLY S2MM channel does not halt and will flush on next fsync C_INCLUDE_INTERNAL_GENLOCK : integer range 0 to 1 := 1; --Interally enabled i.e. user values are not passed to the core and this parameter is redundant (2013.1/14.5) -- Include or exclude the use of internal genlock bus. -- 0 = Exclude internal genlock bus -- 1 = Include internal genlock bus ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Engine -- 0 = Exclude Scatter Gather Engine (Enables Register Direct Mode) -- 1 = Include Scatter Gather Engine C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port ----------------------------------------------------------------------- -- Memory Map to Stream (MM2S) Parameters ----------------------------------------------------------------------- C_INCLUDE_MM2S : integer range 0 to 1 := 1; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_MM2S_GENLOCK_MODE : integer range 0 to 3 := 3; -- Specifies the Gen-Lock mode for the MM2S Channel -- 0 = Master Mode -- 1 = Slave Mode C_MM2S_GENLOCK_NUM_MASTERS : integer range 1 to 16 := 1; -- Specifies the number of Gen-Lock masters a Gen-Lock slave -- can be synchronized with C_MM2S_GENLOCK_REPEAT_EN : integer range 0 to 1 := 0; -- In flush on frame sync mode specifies whether frame number -- will increment on error'ed frame or repeat error'ed frame -- 0 = increment frame -- 1 = repeat frame C_MM2S_SOF_ENABLE : integer range 0 to 1 := 1; --Interally enabled i.e. user values are not passed to the core and this parameter is redundant (2013.1/14.5) -- Enable/Disable start of frame generation on tuser(0). -- 0 = disable SOF -- 1 = enable SOF C_INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; -- Include or exclude MM2S data realignment engine (DRE) -- 0 = Exclude MM2S DRE -- 1 = Include MM2S DRE C_INCLUDE_MM2S_SF : integer range 0 to 1 := 0; -- Include or exclude MM2S Store And Forward Functionality -- 0 = Exclude MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_MM2S_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- Depth of line buffer. Width of the line buffer is derived from Streaming width. C_MM2S_LINEBUFFER_THRESH : integer range 1 to 65536 := 4; --Interally enabled i.e. user values are not passed to the core and this parameter is redundant (2013.1/14.5) -- Almost Empty Threshold. Threshold point at which MM2S line buffer -- almost empty flag asserts high. Must be a resolution of -- C_M_AXIS_MM2S_TDATA_WIDTH/8 -- Minimum valid value is C_M_AXIS_MM2S_TDATA_WIDTH/8 -- Maximum valid value is C_MM2S_LINEBUFFER_DEPTH C_MM2S_MAX_BURST_LENGTH : integer range 2 to 256 := 8; -- Maximum burst size in databeats per burst request on MM2S Read Port C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_M_AXI_MM2S_DATA_WIDTH : integer range 32 to 1024 := 64; -- Master AXI Memory Map Data Width for MM2S Read Port C_M_AXIS_MM2S_TDATA_WIDTH : integer range 8 to 1024 := 32; -- Master AXI Stream Data Width for MM2S Channel C_M_AXIS_MM2S_TUSER_BITS : integer range 1 to 1 := 1; -- Master AXI Stream User Width for MM2S Channel ----------------------------------------------------------------------- -- Stream to Memory Map (S2MM) Parameters ----------------------------------------------------------------------- C_INCLUDE_S2MM : integer range 0 to 1 := 1; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_S2MM_GENLOCK_MODE : integer range 0 to 3 := 2; -- Specifies the Gen-Lock mode for the S2MM Channel -- 0 = Master Mode -- 1 = Slave Mode C_S2MM_GENLOCK_NUM_MASTERS : integer range 1 to 16 := 1; -- Specifies the number of Gen-Lock masters a Gen-Lock slave -- can be synchronized with C_S2MM_GENLOCK_REPEAT_EN : integer range 0 to 1 := 1; -- In flush on frame sync mode specifies whether frame number -- will increment on error'ed frame or repeat error'ed frame -- 0 = increment frame -- 1 = repeat frame C_S2MM_SOF_ENABLE : integer range 0 to 1 := 1; --Interally enabled i.e. user values are not passed to the core and this parameter is redundant (2013.1/14.5) -- Enable/Disable start of frame generation on tuser(0). -- 0 = disable SOF -- 1 = enable SOF C_INCLUDE_S2MM_DRE : integer range 0 to 1 := 0; -- Include or exclude S2MM data realignment engine (DRE) -- 0 = Exclude S2MM DRE -- 1 = Include S2MM DRE C_INCLUDE_S2MM_SF : integer range 0 to 1 := 1; -- Include or exclude MM2S Store And Forward Functionality -- 0 = Exclude S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_S2MM_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- Depth of line buffer. Width of the line buffer is derived from Streaming width. C_S2MM_LINEBUFFER_THRESH : integer range 1 to 65536 := 4; --Interally enabled i.e. user values are not passed to the core and this parameter is redundant (2013.1/14.5) -- Almost Full Threshold. Threshold point at which S2MM line buffer -- almost full flag asserts high. Must be a resolution of -- C_M_AXIS_MM2S_TDATA_WIDTH/8 -- Minimum valid value is C_S_AXIS_S2MM_TDATA_WIDTH/8 -- Maximum valid value is C_S2MM_LINEBUFFER_DEPTH C_S2MM_MAX_BURST_LENGTH : integer range 2 to 256 := 8; -- Maximum burst size in data beats per burst request on S2MM Write Port C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_M_AXI_S2MM_DATA_WIDTH : integer range 32 to 1024 := 64; -- Master AXI Memory Map Data Width for MM2SS2MMWrite Port C_S_AXIS_S2MM_TDATA_WIDTH : integer range 8 to 1024 := 32; -- Slave AXI Stream Data Width for S2MM Channel C_S_AXIS_S2MM_TUSER_BITS : integer range 1 to 1 := 1; -- Slave AXI Stream User Width for S2MM Channel --C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '0'); -- Enable debug information C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 0; -- Setting this make core backward compatible to 2012.4 version in terms of ports and registers C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 0; -- Enable debug information bit 0 C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 0; -- Enable debug information bit 1 C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 0; -- Enable debug information bit 2 C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 0; -- Enable debug information bit 3 C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 0; -- Enable debug information bit 4 C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 0; -- Enable debug information bit 5 C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1; -- Enable debug information bit 6 C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1; -- Enable debug information bit 7 C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 0; -- Enable debug information bit 8 C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 0; -- Enable debug information bit 9 C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 0; -- Enable debug information bit 10 C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 0; -- Enable debug information bit 11 C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 0; -- Enable debug information bit 12 C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 0; -- Enable debug information bit 13 C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1; -- Enable debug information bit 14 C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1; -- Enable debug information bit 15 C_INSTANCE : string := "axi_vdma"; C_SELECT_XPM : integer := 1; C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Control Clocks s_axi_lite_aclk : in std_logic := '0' ; -- m_axi_sg_aclk : in std_logic := '0' ; -- -- MM2S Clocks m_axi_mm2s_aclk : in std_logic := '0' ; -- m_axis_mm2s_aclk : in std_logic := '0' ; -- -- S2MM Clocks m_axi_s2mm_aclk : in std_logic := '0' ; -- s_axis_s2mm_aclk : in std_logic := '0' ; -- axi_resetn : in std_logic := '0' ; -- -- ----------------------------------------------------------------------- -- -- AXI Lite Control Interface -- ----------------------------------------------------------------------- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic := '0' ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic := '0' ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic := '0' ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic := '0' ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic := '0' ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- ----------------------------------------------------------------------- -- -- AXI Video Interface -- ----------------------------------------------------------------------- -- mm2s_fsync : in std_logic := '0' ; -- mm2s_frame_ptr_in : in std_logic_vector -- ((C_MM2S_GENLOCK_NUM_MASTERS*6)-1 downto 0) := (others => '0'); -- mm2s_frame_ptr_out : out std_logic_vector(5 downto 0); -- s2mm_fsync : in std_logic := '0'; -- s2mm_frame_ptr_in : in std_logic_vector -- ((C_S2MM_GENLOCK_NUM_MASTERS*6)-1 downto 0) := (others => '0'); -- s2mm_frame_ptr_out : out std_logic_vector(5 downto 0); -- mm2s_buffer_empty : out std_logic ; -- mm2s_buffer_almost_empty : out std_logic ; -- s2mm_buffer_full : out std_logic ; -- s2mm_buffer_almost_full : out std_logic ; -- -- mm2s_fsync_out : out std_logic ; -- s2mm_fsync_out : out std_logic ; -- mm2s_prmtr_update : out std_logic ; -- s2mm_prmtr_update : out std_logic ; -- -- ----------------------------------------------------------------------- -- -- AXI Scatter Gather Interface -- ----------------------------------------------------------------------- -- -- Scatter Gather Read Address Channel -- m_axi_sg_araddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_arvalid : out std_logic ; -- m_axi_sg_arready : in std_logic := '0'; -- -- -- Memory Map to Stream Scatter Gather Read Data Channel -- m_axi_sg_rdata : in std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) := (others => '0') ; -- m_axi_sg_rlast : in std_logic := '0'; -- m_axi_sg_rvalid : in std_logic := '0'; -- m_axi_sg_rready : out std_logic ; -- -- ----------------------------------------------------------------------- -- -- AXI MM2S Channel -- ----------------------------------------------------------------------- -- -- Memory Map To Stream Read Address Channel -- m_axi_mm2s_araddr : out std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_mm2s_arvalid : out std_logic ; -- m_axi_mm2s_arready : in std_logic := '0'; -- -- -- Memory Map to Stream Read Data Channel -- m_axi_mm2s_rdata : in std_logic_vector -- (C_M_AXI_MM2S_DATA_WIDTH-1 downto 0) := (others => '0'); -- m_axi_mm2s_rresp : in std_logic_vector(1 downto 0) := (others => '0'); -- m_axi_mm2s_rlast : in std_logic := '0'; -- m_axi_mm2s_rvalid : in std_logic := '0'; -- m_axi_mm2s_rready : out std_logic ; -- -- -- Memory Map to Stream Stream Interface -- mm2s_prmry_reset_out_n : out std_logic ; -- m_axis_mm2s_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tuser : out std_logic_vector -- (C_M_AXIS_MM2S_TUSER_BITS-1 downto 0); -- m_axis_mm2s_tvalid : out std_logic ; -- m_axis_mm2s_tready : in std_logic := '0'; -- m_axis_mm2s_tlast : out std_logic ; -- -- ----------------------------------------------------------------------- -- -- AXI S2MM Channel -- ----------------------------------------------------------------------- -- -- Stream to Memory Map Write Address Channel -- m_axi_s2mm_awaddr : out std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_s2mm_awvalid : out std_logic ; -- m_axi_s2mm_awready : in std_logic := '0'; -- -- -- Stream to Memory Map Write Data Channel -- m_axi_s2mm_wdata : out std_logic_vector -- (C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : out std_logic_vector -- ((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : out std_logic ; -- m_axi_s2mm_wvalid : out std_logic ; -- m_axi_s2mm_wready : in std_logic := '0'; -- -- -- Stream to Memory Map Write Response Channel -- m_axi_s2mm_bresp : in std_logic_vector(1 downto 0) := (others => '0'); -- m_axi_s2mm_bvalid : in std_logic := '0'; -- m_axi_s2mm_bready : out std_logic ; -- -- -- Stream to Memory Map Steam Interface -- s2mm_prmry_reset_out_n : out std_logic ; -- s_axis_s2mm_tdata : in std_logic_vector -- (C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0) := (others => '0'); -- s_axis_s2mm_tkeep : in std_logic_vector -- ((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- s_axis_s2mm_tuser : in std_logic_vector -- (C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0'); -- s_axis_s2mm_tvalid : in std_logic := '0'; -- s_axis_s2mm_tready : out std_logic ; -- s_axis_s2mm_tlast : in std_logic := '0'; -- -- -- -- MM2S and S2MM Channel Interrupts -- mm2s_introut : out std_logic ; -- s2mm_introut : out std_logic ; -- axi_vdma_tstvec : out std_logic_vector(63 downto 0) -- ); ----------------------------------------------------------------- -- Start of PSFUtil MPD attributes ----------------------------------------------------------------- attribute IP_GROUP : string; attribute IP_GROUP of axi_vdma : entity is "LOGICORE"; attribute IPTYPE : string; attribute IPTYPE of axi_vdma : entity is "PERIPHERAL"; attribute RUN_NGCBUILD : string; attribute RUN_NGCBUILD of axi_vdma : entity is "TRUE"; ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_vdma; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; --constant C_CORE_GENERATION_INFO : string := C_INSTANCE & ",axi_vdma,{" --& "C_FAMILY= " & C_FAMILY --& ",C_INSTANCE = " & C_INSTANCE --& ",C_DLYTMR_RESOLUTION= " & integer'image(C_DLYTMR_RESOLUTION) --& ",C_PRMRY_IS_ACLK_ASYNC= " & integer'image(C_PRMRY_IS_ACLK_ASYNC) --& ",C_ENABLE_VIDPRMTR_READS= " & integer'image(C_ENABLE_VIDPRMTR_READS) --& ",C_DYNAMIC_RESOLUTION= " & integer'image(C_DYNAMIC_RESOLUTION) --& ",C_NUM_FSTORES= " & integer'image(C_NUM_FSTORES) --& ",C_USE_MM2S_FSYNC= " & integer'image(C_USE_MM2S_FSYNC) --& ",C_USE_S2MM_FSYNC= " & integer'image(C_USE_S2MM_FSYNC) --& ",C_INCLUDE_SG= " & integer'image(C_INCLUDE_SG) --& ",C_INCLUDE_MM2S= " & integer'image(C_INCLUDE_MM2S) --& ",C_MM2S_GENLOCK_MODE= " & integer'image(C_MM2S_GENLOCK_MODE) --& ",C_MM2S_GENLOCK_NUM_MASTERS= " & integer'image(C_MM2S_GENLOCK_NUM_MASTERS) --& ",C_INCLUDE_MM2S_DRE= " & integer'image(C_INCLUDE_MM2S_DRE) --& ",C_MM2S_LINEBUFFER_DEPTH= " & integer'image(C_MM2S_LINEBUFFER_DEPTH) --& ",C_MM2S_MAX_BURST_LENGTH= " & integer'image(C_MM2S_MAX_BURST_LENGTH) --& ",C_M_AXI_MM2S_DATA_WIDTH = " & integer'image(C_M_AXI_MM2S_DATA_WIDTH) --& ",C_M_AXIS_MM2S_TDATA_WIDTH = " & integer'image(C_M_AXIS_MM2S_TDATA_WIDTH) --& ",C_INCLUDE_S2MM= " & integer'image(C_INCLUDE_S2MM) --& ",C_S2MM_GENLOCK_MODE= " & integer'image(C_S2MM_GENLOCK_MODE) --& ",C_S2MM_GENLOCK_NUM_MASTERS= " & integer'image(C_S2MM_GENLOCK_NUM_MASTERS) --& ",C_INCLUDE_S2MM_DRE= " & integer'image(C_INCLUDE_S2MM_DRE) --& ",C_S2MM_LINEBUFFER_DEPTH= " & integer'image(C_S2MM_LINEBUFFER_DEPTH) --& ",C_S2MM_MAX_BURST_LENGTH= " & integer'image(C_S2MM_MAX_BURST_LENGTH) --& ",C_M_AXI_S2MM_DATA_WIDTH= " & integer'image(C_M_AXI_S2MM_DATA_WIDTH) --& ",C_S_AXIS_S2MM_TDATA_WIDTH= " & integer'image(C_S_AXIS_S2MM_TDATA_WIDTH) --& "}"; --attribute CORE_GENERATION_INFO : string; --attribute CORE_GENERATION_INFO of implementation : architecture is C_CORE_GENERATION_INFO; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- function width_calc (value_in : integer) return integer is variable addr_value : integer := 32; begin if (value_in > 32) then addr_value := 64; else addr_value := 32; end if; return(addr_value); end function width_calc; -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Major Version number 0, 1, 2, 3 etc. constant VERSION_MAJOR : std_logic_vector (3 downto 0) := X"6" ; -- Minor Version Number 00, 01, 02, etc. constant VERSION_MINOR : std_logic_vector (7 downto 0) := X"20"; -- Version Revision character (EDK) a,b,c,etc constant VERSION_REVISION : std_logic_vector (3 downto 0) := X"0" ; -- Internal build number constant REVISION_NUMBER : string := "Build Number: P80"; constant C_M_AXI_MM2S_ADDR_WIDTH_NEW : integer := width_calc (C_M_AXI_MM2S_ADDR_WIDTH); constant C_M_AXI_S2MM_ADDR_WIDTH_NEW : integer := width_calc (C_M_AXI_S2MM_ADDR_WIDTH); --***************************************************************************** --** Scatter Gather Engine Configuration --***************************************************************************** constant SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing -- Number of Fetch Descriptors to Queue constant SG_FTCH_DESC2QUEUE : integer := SG_INCLUDE_DESC_QUEUE * 4; -- Number of Update Descriptors to Queue constant SG_UPDT_DESC2QUEUE : integer := SG_INCLUDE_DESC_QUEUE * 4; -- Number of fetch words per descriptor for channel 1 (MM2S) constant SG_CH1_WORDS_TO_FETCH : integer := 7; -- Number of fetch words per descriptor for channel 2 (S2MM) constant SG_CH2_WORDS_TO_FETCH : integer := 7; -- Number of update words per descriptor for channel 1 (MM2S) constant SG_CH1_WORDS_TO_UPDATE : integer := 1; -- No Descriptor update for video -- Number of update words per descriptor for channel 2 (S2MM) constant SG_CH2_WORDS_TO_UPDATE : integer := 1; -- No Descriptor update for video -- First word offset (referenced to descriptor beginning) to update for channel 1 (MM2S) constant SG_CH1_FIRST_UPDATE_WORD : integer := 0; -- No Descriptor update for video -- First word offset (referenced to descriptor beginning) to update for channel 2 (MM2S) constant SG_CH2_FIRST_UPDATE_WORD : integer := 0; -- No Descriptor update for video -- Enable stale descriptor check for channel 1 constant SG_CH1_ENBL_STALE_ERR : integer := 0; -- Enable stale descriptor check for channel 2 constant SG_CH2_ENBL_STALE_ERR : integer := 0; -- Width of descriptor fetch bus constant M_AXIS_SG_TDATA_WIDTH : integer := 32; -- Width of descriptor pointer update bus constant S_AXIS_UPDPTR_TDATA_WIDTH : integer := 32; -- Width of descriptor status update bus constant S_AXIS_UPDSTS_TDATA_WIDTH : integer := 33; -- Include SG Descriptor Updates constant EXCLUDE_DESC_UPDATE : integer := 0; -- No Descriptor update for video -- Include SG Interrupt Logic constant EXCLUDE_INTRPT : integer := 0; -- Interrupt logic external to sg engine -- Include SG Delay Interrupt constant INCLUDE_DLYTMR : integer := 1; constant EXCLUDE_DLYTMR : integer := 0; --***************************************************************************** --** General/Misc Constants --***************************************************************************** --constant C_USE_MM2S_FSYNC : integer :=find_mm2s_fsync(C_USE_FSYNC,C_INCLUDE_MM2S,C_INCLUDE_S2MM); --constant C_USE_S2MM_FSYNC : integer :=find_s2mm_fsync(C_USE_FSYNC,C_INCLUDE_MM2S,C_INCLUDE_S2MM); constant C_USE_S2MM_FSYNC_01 : integer :=find_s2mm_fsync_01(C_USE_S2MM_FSYNC); --constant ENABLE_FLUSH_ON_MM2S_FSYNC : integer :=find_mm2s_flush(C_USE_FSYNC,C_INCLUDE_MM2S,C_INCLUDE_S2MM,C_FLUSH_ON_FSYNC); --constant ENABLE_FLUSH_ON_S2MM_FSYNC : integer :=find_s2mm_flush(C_USE_FSYNC,C_INCLUDE_MM2S,C_INCLUDE_S2MM,C_FLUSH_ON_FSYNC); --constant ENABLE_FLUSH_ON_MM2S_FSYNC : integer :=find_mm2s_flush(C_USE_FSYNC,C_INCLUDE_MM2S,C_INCLUDE_S2MM,C_USE_FSYNC); --constant ENABLE_FLUSH_ON_S2MM_FSYNC : integer :=find_s2mm_flush(C_USE_FSYNC,C_INCLUDE_MM2S,C_INCLUDE_S2MM,C_USE_FSYNC); constant ENABLE_FLUSH_ON_MM2S_FSYNC : integer := C_USE_MM2S_FSYNC; constant ENABLE_FLUSH_ON_S2MM_FSYNC : integer := C_USE_S2MM_FSYNC_01; --***************************************************************************** --** AXI LITE Interface Constants --***************************************************************************** --constant TOTAL_NUM_REGISTER : integer := NUM_REG_TOTAL_REGDIR; constant TOTAL_NUM_REGISTER : integer := get_num_registers(C_INCLUDE_SG,NUM_REG_TOTAL_SG,NUM_REG_TOTAL_REGDIR); constant C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED : integer := calculated_mm2s_tdata_width(C_M_AXIS_MM2S_TDATA_WIDTH); constant C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED : integer := calculated_s2mm_tdata_width(C_S_AXIS_S2MM_TDATA_WIDTH); constant C_MM2S_ENABLE_TKEEP : integer := enable_tkeep_connectivity(C_M_AXIS_MM2S_TDATA_WIDTH,C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED,C_INCLUDE_MM2S_DRE); constant C_S2MM_ENABLE_TKEEP : integer := enable_tkeep_connectivity(C_S_AXIS_S2MM_TDATA_WIDTH,C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED,C_INCLUDE_S2MM_DRE); -- Specifies to register module which channel is which constant CHANNEL_IS_MM2S : integer := 1; constant CHANNEL_IS_S2MM : integer := 0; --***************************************************************************** --** DataMover General Constants --***************************************************************************** -- Primary DataMover Configuration -- DataMover Command / Status FIFO Depth -- Note :Set maximum to the number of update descriptors to queue, to prevent lock up do to -- update data fifo full before constant DM_CMDSTS_FIFO_DEPTH : integer := 4; -- DataMover Include Status FIFO constant DM_INCLUDE_STS_FIFO : integer := 1; -- DataMover outstanding address request fifo depth constant DM_ADDR_PIPE_DEPTH : integer := 4; -- Base status vector width constant BASE_STATUS_WIDTH : integer := 8; -- AXI DataMover Full mode value constant AXI_FULL_MODE : integer := 1; -- Datamover clock always synchronous constant DM_CLOCK_SYNC : integer := 0; -- Always allow datamover address requests constant ALWAYS_ALLOW : std_logic := '1'; constant ZERO_VALUE : std_logic_vector(1023 downto 0) := (others => '0'); --***************************************************************************** --** S2MM DataMover Specific Constants --***************************************************************************** -- AXI DataMover mode for S2MM Channel (0 if channel not included) constant S2MM_AXI_FULL_MODE : integer := C_INCLUDE_S2MM * AXI_FULL_MODE; -- CR591965 - Modified for flush on frame sync -- Enable indeterminate BTT on datamover when S2MM Store And Forward Present -- In this mode, the DataMovers S2MM store and forward buffer will be used -- and underflow and overflow will be detected via receive byte compare -- Enable indeterminate BTT on datamover when S2MM flush on frame sync is -- enabled allowing S2MM AXIS stream absorption and prevent datamover -- halt. Overflow and Underfow error detected external to datamover -- in axi_vdma_cmdsts.vhd constant DM_SUPPORT_INDET_BTT : integer := 1; -- Indterminate BTT Mode additional status vector width constant INDETBTT_ADDED_STS_WIDTH : integer := 24; -- DataMover status width is based on mode of operation constant S2MM_DM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH + (DM_SUPPORT_INDET_BTT * INDETBTT_ADDED_STS_WIDTH); -- Never extend on S2MM constant S2MM_DM_CMD_EXTENDED : integer := 0; -- Minimum value required for length width based on burst size and stream dwidth -- If hsize is too small based on setting of burst size and -- dwidth then this will reset the width to a larger mimimum requirement. constant S2MM_DM_BTT_LENGTH_WIDTH : integer := required_btt_width(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED, C_S2MM_MAX_BURST_LENGTH, HSIZE_DWIDTH); constant C_INCLUDE_S2MM_SF_INT : integer := 1; -- Enable store and forward on datamover if data widths are mismatched (allows upsizers -- to be instantiated) or when enabled by user. constant DM_S2MM_INCLUDE_SF : integer := enable_snf(C_INCLUDE_S2MM_SF_INT, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED); --***************************************************************************** --** MM2S DataMover Specific Constants --***************************************************************************** -- AXI DataMover mode for MM2S Channel (0 if channel not included) constant MM2S_AXI_FULL_MODE : integer := C_INCLUDE_MM2S * AXI_FULL_MODE; -- Never extend on MM2S constant MM2S_DM_CMD_NOT_EXTENDED : integer := 0; -- DataMover status width - fixed to 8 for MM2S constant MM2S_DM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH; -- Minimum value required for length width based on burst size and stream dwidth -- If hsize is too small based on setting of burst size and -- dwidth then this will reset the width to a larger mimimum requirement. constant MM2S_DM_BTT_LENGTH_WIDTH : integer := required_btt_width(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED, C_MM2S_MAX_BURST_LENGTH, HSIZE_DWIDTH); -- Enable store and forward on datamover if data widths are mismatched (allows upsizers -- to be instantiated) or when enabled by user. ----constant DM_MM2S_INCLUDE_SF : integer := enable_snf(C_INCLUDE_MM2S_SF, ---- C_M_AXI_MM2S_DATA_WIDTH, ---- C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED); ---- constant DM_MM2S_INCLUDE_SF : integer := enable_snf(0, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED); --constant DM_MM2S_INCLUDE_SF : integer := 0; --***************************************************************************** --** Line Buffer Constants --***************************************************************************** -- For LineBuffer, track vertical lines to allow de-assertion of tready -- when s2mm finished with frame. MM2S does not need to track lines constant TRACK_NO_LINES : integer := 0; constant TRACK_LINES : integer := 1; -- zero vector of vsize width used to tie off mm2s line tracking ports constant VSIZE_ZERO : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- Linebuffer default Almost Empty Threshold and Almost Full threshold constant LINEBUFFER_AE_THRESH : integer := 1; constant LINEBUFFER_AF_THRESH : integer := max2(1,C_MM2S_LINEBUFFER_DEPTH/2); -- Include and Exclude settings for linebuffer skid buffers constant INCLUDE_MSTR_SKID_BUFFER : integer := 1; constant EXCLUDE_MSTR_SKID_BUFFER : integer := 0; constant INCLUDE_SLV_SKID_BUFFER : integer := 1; constant EXCLUDE_SLV_SKID_BUFFER : integer := 0; -------- Force a depth of 512 minimum if asynchronous clocks enabled and a 128 minimum for synchronous mode -------- Also converts depth in bytes to depth in data beats ------constant MM2S_LINEBUFFER_DEPTH : integer := max2(128,(max2((C_MM2S_LINEBUFFER_DEPTH/(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8)), ------ (C_PRMRY_IS_ACLK_ASYNC*512)))); ------ -------- Force a depth of 512 minimum if asynchronous clocks enabled and a 128 minimum for synchronous mode -------- Also converts depth in bytes to depth in data beats ------constant S2MM_LINEBUFFER_DEPTH : integer := max2(128,(max2((C_S2MM_LINEBUFFER_DEPTH/(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8)), ------ (C_PRMRY_IS_ACLK_ASYNC*512)))); ------ --2013.1 --constant MM2S_LINEBUFFER_DEPTH : integer := C_MM2S_LINEBUFFER_DEPTH; --constant S2MM_LINEBUFFER_DEPTH : integer := C_S2MM_LINEBUFFER_DEPTH; -- Force a depth of 512 minimum if asynchronous clocks enabled and a 128 minimum for synchronous mode constant MM2S_LINEBUFFER_DEPTH : integer := max2(128,(max2(C_MM2S_LINEBUFFER_DEPTH,(C_PRMRY_IS_ACLK_ASYNC*512)))); -- Force a depth of 512 minimum if asynchronous clocks enabled and a 128 minimum for synchronous mode constant S2MM_LINEBUFFER_DEPTH : integer := max2(128,(max2(C_S2MM_LINEBUFFER_DEPTH,(C_PRMRY_IS_ACLK_ASYNC*512)))); -- Enable SOF only for external frame sync and when SOF Enable parameter set ----constant MM2S_SOF_ENABLE : integer := C_USE_MM2S_FSYNC * C_MM2S_SOF_ENABLE; --constant MM2S_SOF_ENABLE : integer := C_MM2S_SOF_ENABLE; constant MM2S_SOF_ENABLE : integer := 1; --constant S2MM_SOF_ENABLE : integer := C_USE_S2MM_FSYNC * C_S2MM_SOF_ENABLE; --constant S2MM_SOF_ENABLE : integer := C_USE_S2MM_FSYNC ; constant S2MM_SOF_ENABLE : integer := C_USE_S2MM_FSYNC_01 ; --***************************************************************************** --** GenLock Constants --***************************************************************************** -- GenLock Data Widths for Clock Domain Crossing Module constant MM2S_GENLOCK_SLVE_PTR_DWIDTH : integer := (C_MM2S_GENLOCK_NUM_MASTERS*NUM_FRM_STORE_WIDTH); constant S2MM_GENLOCK_SLVE_PTR_DWIDTH : integer := (C_S2MM_GENLOCK_NUM_MASTERS*NUM_FRM_STORE_WIDTH); --constant INTERNAL_GENLOCK_ENABLE : integer := enable_internal_genloc(C_INCLUDE_MM2S, C_INCLUDE_S2MM, C_INCLUDE_INTERNAL_GENLOCK, -- C_MM2S_GENLOCK_MODE, -- C_S2MM_GENLOCK_MODE); -- constant INTERNAL_GENLOCK_ENABLE : integer := enable_internal_genloc(C_INCLUDE_MM2S, C_INCLUDE_S2MM, 1, C_MM2S_GENLOCK_MODE, C_S2MM_GENLOCK_MODE); constant C_MM2S_LINEBUFFER_THRESH_INT : integer := calculated_minimum_mm2s_linebuffer_thresh(C_INCLUDE_MM2S, C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED, C_MM2S_LINEBUFFER_DEPTH); constant C_S2MM_LINEBUFFER_THRESH_INT : integer := calculated_minimum_s2mm_linebuffer_thresh(C_INCLUDE_S2MM, C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED, C_S2MM_LINEBUFFER_DEPTH); Constant C_ROOT_FAMILY : string := C_FAMILY; -- function from family_support.vhd constant C_NUM_FSTORES_64 : integer := C_NUM_FSTORES/(C_M_AXI_S2MM_ADDR_WIDTH_NEW/32); constant CMD_WIDTH : integer := C_M_AXI_MM2S_ADDR_WIDTH_NEW+CMD_BASE_WIDTH; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- --type STARTADDR_ARRAY_TYPE_64 is array(natural range <>) -- of std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH - 1 downto 0); signal mm2s_prmry_resetn : std_logic := '1'; -- AXI MM2S Primary Reset signal mm2s_dm_prmry_resetn : std_logic := '1'; -- AXI MM2S DataMover Primary Reset (Raw) signal mm2s_axis_resetn : std_logic := '1'; -- AXIS MM2S Primary Reset signal mm2s_axis_linebuf_reset_out : std_logic := '1'; -- AXIS MM2S Primary Reset signal s2mm_axis_linebuf_reset_out : std_logic := '1'; -- AXIS MM2S Primary Reset signal s2mm_axis_linebuf_reset_out_inv : std_logic := '1'; -- AXIS MM2S Primary Reset signal s2mm_prmry_resetn : std_logic := '1'; -- AXI S2MM Primary Reset signal s2mm_dm_prmry_resetn : std_logic := '1'; -- AXI S2MM DataMover Primary Reset (Raw) signal s2mm_axis_resetn : std_logic := '1'; -- AXIS S2MM Primary Reset signal s_axi_lite_resetn : std_logic := '1'; -- AXI Lite Interface Reset (Hard Only) signal m_axi_sg_resetn : std_logic := '1'; -- AXI Scatter Gather Interface Reset signal m_axi_dm_sg_resetn : std_logic := '1'; -- AXI Scatter Gather Interface Reset (Raw) signal mm2s_hrd_resetn : std_logic := '1'; -- AXI Hard Reset Only for MM2S signal s2mm_hrd_resetn : std_logic := '1'; -- AXI Hard Reset Only for S2MM -- MM2S Register Module Signals signal mm2s_stop : std_logic := '0'; signal mm2s_stop_reg : std_logic := '0'; signal mm2s_halted_clr : std_logic := '0'; signal mm2s_halted_set : std_logic := '0'; signal mm2s_idle_set : std_logic := '0'; signal mm2s_idle_clr : std_logic := '0'; signal mm2s_dma_interr_set : std_logic := '0'; signal mm2s_dma_interr_set_minus_frame_errors : std_logic := '0'; signal mm2s_dma_slverr_set : std_logic := '0'; signal mm2s_dma_decerr_set : std_logic := '0'; signal mm2s_ioc_irq_set : std_logic := '0'; signal mm2s_dly_irq_set : std_logic := '0'; signal mm2s_irqdelay_status : std_logic_vector(7 downto 0) := (others => '0'); signal mm2s_irqthresh_status : std_logic_vector(7 downto 0) := (others => '0'); signal mm2s_new_curdesc_wren : std_logic := '0'; signal mm2s_new_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_tailpntr_updated : std_logic := '0'; signal mm2s_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal mm2s_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_taildesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_num_frame_store : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal mm2s_linebuf_threshold : std_logic_vector(THRESH_MSB_BIT downto 0) := (others => '0'); signal mm2s_packet_sof : std_logic := '0'; signal mm2s_all_idle : std_logic := '0'; signal mm2s_cmdsts_idle : std_logic := '0'; signal mm2s_frame_number : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal mm2s_chnl_current_frame : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal mm2s_genlock_pair_frame : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal mm2s_crnt_vsize : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); signal mm2s_crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); signal mm2s_dlyirq_dsble : std_logic := '0'; signal mm2s_irqthresh_rstdsbl : std_logic := '0'; signal mm2s_valid_video_prmtrs : std_logic := '0'; signal mm2s_all_lines_xfred : std_logic := '0'; signal mm2s_all_lines_xfred_s : std_logic := '0'; signal mm2s_all_lines_xfred_s_dwidth : std_logic := '0'; signal mm2s_fsize_mismatch_err : std_logic := '0'; -- CR591965 signal mm2s_lsize_mismatch_err : std_logic := '0'; -- CR591965 signal mm2s_lsize_more_mismatch_err : std_logic := '0'; -- CR591965 signal mm2s_frame_ptr_out_i : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal mm2s_to_s2mm_fsync : std_logic := '0'; -- MM2S Register Direct Support signal mm2s_regdir_idle : std_logic := '0'; signal mm2s_prmtr_updt_complete : std_logic := '0'; signal mm2s_reg_module_vsize : std_logic_vector(VSIZE_DWIDTH-1 downto 0); signal mm2s_reg_module_hsize : std_logic_vector(HSIZE_DWIDTH-1 downto 0); signal mm2s_reg_module_stride : std_logic_vector(STRIDE_DWIDTH-1 downto 0); signal mm2s_reg_module_frmdly : std_logic_vector(FRMDLY_DWIDTH-1 downto 0); signal mm2s_reg_module_strt_addr : STARTADDR_ARRAY_TYPE(0 to C_NUM_FSTORES - 1); signal mm2s_reg_module_strt_addr_64 : STARTADDR_ARRAY_TYPE_64(0 to C_NUM_FSTORES_64 - 1); -- MM2S Register Interface Signals signal mm2s_axi2ip_wrce : std_logic_vector(TOTAL_NUM_REGISTER-1 downto 0) := (others => '0'); signal mm2s_axi2ip_wrdata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0') ; signal mm2s_axi2ip_rdaddr : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0') ; --signal mm2s_axi2ip_rden : std_logic := '0'; signal mm2s_ip2axi_rddata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0') ; --signal mm2s_ip2axi_rddata_valid : std_logic := '0'; signal mm2s_ip2axi_frame_ptr_ref : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ip2axi_frame_store : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ip2axi_introut : std_logic := '0'; -- MM2S Scatter Gather clock domain crossing signals signal mm2s_cdc2sg_run_stop : std_logic := '0'; signal mm2s_cdc2sg_stop : std_logic := '0'; signal mm2s_cdc2sg_taildesc_wren : std_logic := '0'; signal mm2s_cdc2sg_taildesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_cdc2sg_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_sg2cdc_ftch_idle : std_logic := '0'; signal mm2s_sg2cdc_ftch_interr_set : std_logic := '0'; signal mm2s_sg2cdc_ftch_slverr_set : std_logic := '0'; signal mm2s_sg2cdc_ftch_decerr_set : std_logic := '0'; -- MM2S DMA Controller Signals signal mm2s_ftch_idle : std_logic := '0'; signal mm2s_updt_ioc_irq_set : std_logic := '0'; signal mm2s_irqthresh_wren : std_logic := '0'; signal mm2s_irqdelay_wren : std_logic := '0'; signal mm2s_ftchcmdsts_idle : std_logic := '0'; -- SG MM2S Descriptor Fetch AXI Stream IN signal m_axis_mm2s_ftch_tdata : std_logic_vector(M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_mm2s_ftch_tvalid : std_logic := '0'; signal m_axis_mm2s_ftch_tready : std_logic := '0'; signal m_axis_mm2s_ftch_tlast : std_logic := '0'; -- DataMover MM2S Command Stream Signals signal s_axis_mm2s_cmd_tvalid : std_logic := '0'; signal s_axis_mm2s_cmd_tready : std_logic := '0'; signal s_axis_mm2s_cmd_tdata : std_logic_vector ((C_M_AXI_MM2S_ADDR_WIDTH_NEW+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover MM2S Status Stream Signals signal m_axis_mm2s_sts_tvalid : std_logic := '0'; signal m_axis_mm2s_sts_tready : std_logic := '0'; signal m_axis_mm2s_sts_tdata : std_logic_vector(MM2S_DM_STATUS_WIDTH - 1 downto 0) := (others => '0'); -- CR608521 signal m_axis_mm2s_sts_tkeep : std_logic_vector((MM2S_DM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); -- CR608521 signal mm2s_err : std_logic := '0'; signal mm2s_halt : std_logic := '0'; signal mm2s_halt_reg : std_logic := '0'; signal mm2s_halt_cmplt : std_logic := '0'; -- DataMover To Line Buffer AXI Stream Signals signal dm2linebuf_mm2s_tdata : std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0); signal dm2linebuf_mm2s_tkeep : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8)-1 downto 0); signal dm2linebuf_mm2s_tlast : std_logic := '0'; signal dm2linebuf_mm2s_tvalid : std_logic := '0'; signal linebuf2dm_mm2s_tready : std_logic := '0'; -- MM2S Error Status Control signal mm2s_ftch_interr_set : std_logic := '0'; signal mm2s_ftch_slverr_set : std_logic := '0'; signal mm2s_ftch_decerr_set : std_logic := '0'; -- MM2S Soft Reset support signal mm2s_soft_reset : std_logic := '0'; signal mm2s_soft_reset_clr : std_logic := '0'; -- MM2S SOF generation support signal m_axis_mm2s_tvalid_i : std_logic := '0'; signal m_axis_mm2s_tvalid_i_axis_dw_conv : std_logic := '0'; signal m_axis_mm2s_tlast_i : std_logic := '0'; signal m_axis_mm2s_tlast_i_axis_dw_conv : std_logic := '0'; signal s_axis_s2mm_tdata_i : std_logic_vector -- (C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_tkeep_i : std_logic_vector -- ((C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8)-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_tuser_i : std_logic_vector -- (C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_tvalid_i : std_logic ; -- signal s_axis_s2mm_tvalid_int : std_logic ; -- signal s_axis_s2mm_tlast_i : std_logic ; signal m_axis_mm2s_tdata_i : std_logic_vector -- (C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0) := (others => '0'); -- signal m_axis_mm2s_tkeep_i : std_logic_vector -- ((C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8)-1 downto 0) := (others => '0'); -- signal m_axis_mm2s_tuser_i : std_logic_vector -- (C_M_AXIS_MM2S_TUSER_BITS-1 downto 0) := (others => '0'); -- signal m_axis_mm2s_tready_i : std_logic ; -- -- S2MM Register Module Signals signal s2mm_stop : std_logic := '0'; signal s2mm_halted_clr : std_logic := '0'; signal s2mm_halted_set : std_logic := '0'; signal s2mm_idle_set : std_logic := '0'; signal s2mm_idle_clr : std_logic := '0'; signal s2mm_dma_interr_set : std_logic := '0'; signal s2mm_dma_interr_set_minus_frame_errors : std_logic := '0'; signal s2mm_dma_slverr_set : std_logic := '0'; signal s2mm_dma_decerr_set : std_logic := '0'; signal s2mm_ioc_irq_set : std_logic := '0'; signal s2mm_dly_irq_set : std_logic := '0'; signal s2mm_irqdelay_status : std_logic_vector(7 downto 0) := (others => '0'); signal s2mm_irqthresh_status : std_logic_vector(7 downto 0) := (others => '0'); signal s2mm_new_curdesc_wren : std_logic := '0'; signal s2mm_new_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_tailpntr_updated : std_logic := '0'; signal s2mm_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_taildesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_num_frame_store : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal s2mm_linebuf_threshold : std_logic_vector(THRESH_MSB_BIT downto 0) := (others => '0'); signal s2mm_packet_sof : std_logic := '0'; signal s2mm_all_idle : std_logic := '0'; signal s2mm_cmdsts_idle : std_logic := '0'; signal s2mm_frame_number : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_chnl_current_frame : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_genlock_pair_frame : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_dlyirq_dsble : std_logic := '0'; signal s2mm_irqthresh_rstdsbl : std_logic := '0'; signal s2mm_valid_video_prmtrs : std_logic := '0'; signal s2mm_crnt_vsize : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');-- CR575884 signal s2mm_update_frmstore : std_logic := '0'; --CR582182 signal s2mm_frmstr_err_addr : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); --CR582182 signal s2mm_all_lines_xfred : std_logic := '0'; -- CR591965 signal all_lasts_rcvd : std_logic := '0'; signal s2mm_capture_hsize_at_uf_err_sig : std_logic_vector(15 downto 0) ; signal s2mm_capture_dm_done_vsize_counter_sig : std_logic_vector(12 downto 0) ; signal s2mm_fsize_mismatch_err_flag : std_logic := '0'; -- CR591965 signal s2mm_fsize_mismatch_err : std_logic := '0'; -- CR591965 signal s2mm_lsize_mismatch_err : std_logic := '0'; -- CR591965 signal s2mm_lsize_more_mismatch_err : std_logic := '0'; -- CR591965 signal s2mm_tuser_fsync : std_logic := '0'; signal s2mm_frame_ptr_out_i : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal s2mm_to_mm2s_fsync : std_logic := '0'; -- S2MM Register Direct Support signal s2mm_regdir_idle : std_logic := '0'; signal s2mm_prmtr_updt_complete : std_logic := '0'; signal s2mm_reg_module_vsize : std_logic_vector(VSIZE_DWIDTH-1 downto 0); signal s2mm_reg_module_hsize : std_logic_vector(HSIZE_DWIDTH-1 downto 0); signal s2mm_reg_module_stride : std_logic_vector(STRIDE_DWIDTH-1 downto 0); signal s2mm_reg_module_frmdly : std_logic_vector(FRMDLY_DWIDTH-1 downto 0); signal s2mm_reg_module_strt_addr : STARTADDR_ARRAY_TYPE(0 to C_NUM_FSTORES - 1); signal s2mm_reg_module_strt_addr_64 : STARTADDR_ARRAY_TYPE_64(0 to C_NUM_FSTORES_64 - 1); -- S2MM Register Interface Signals signal s2mm_axi2ip_wrce : std_logic_vector(TOTAL_NUM_REGISTER-1 downto 0) := (others => '0'); signal s2mm_axi2ip_wrdata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_axi2ip_rdaddr : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); --signal s2mm_axi2ip_rden : std_logic := '0'; signal s2mm_ip2axi_rddata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); --signal s2mm_ip2axi_rddata_valid : std_logic := '0'; signal s2mm_ip2axi_frame_ptr_ref : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_ip2axi_frame_store : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_ip2axi_introut : std_logic := '0'; -- S2MM Scatter Gather clock domain crossing signals signal s2mm_cdc2sg_run_stop : std_logic := '0'; signal s2mm_cdc2sg_stop : std_logic := '0'; signal s2mm_cdc2sg_taildesc_wren : std_logic := '0'; signal s2mm_cdc2sg_taildesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_cdc2sg_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_sg2cdc_ftch_idle : std_logic := '0'; signal s2mm_sg2cdc_ftch_interr_set : std_logic := '0'; signal s2mm_sg2cdc_ftch_slverr_set : std_logic := '0'; signal s2mm_sg2cdc_ftch_decerr_set : std_logic := '0'; -- S2MM DMA Controller Signals signal s2mm_desc_flush : std_logic := '0'; signal s2mm_ftch_idle : std_logic := '0'; signal s2mm_irqthresh_wren : std_logic := '0'; signal s2mm_irqdelay_wren : std_logic := '0'; signal s2mm_ftchcmdsts_idle : std_logic := '0'; -- SG S2MM Descriptor Fetch AXI Stream IN signal m_axis_s2mm_ftch_tdata : std_logic_vector(M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_s2mm_ftch_tvalid : std_logic := '0'; signal m_axis_s2mm_ftch_tready : std_logic := '0'; signal m_axis_s2mm_ftch_tlast : std_logic := '0'; -- DataMover S2MM Command Stream Signals signal s_axis_s2mm_cmd_tvalid : std_logic := '0'; signal s_axis_s2mm_cmd_tready : std_logic := '0'; signal s_axis_s2mm_cmd_tdata : std_logic_vector ((C_M_AXI_S2MM_ADDR_WIDTH_NEW+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover S2MM Status Stream Signals signal m_axis_s2mm_sts_tvalid : std_logic := '0'; signal m_axis_s2mm_sts_tready : std_logic := '0'; signal m_axis_s2mm_sts_tdata : std_logic_vector(S2MM_DM_STATUS_WIDTH - 1 downto 0) := (others => '0'); -- CR608521 signal m_axis_s2mm_sts_tkeep : std_logic_vector((S2MM_DM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); -- CR608521 signal s2mm_err : std_logic := '0'; signal s2mm_halt : std_logic := '0'; signal s2mm_halt_cmplt : std_logic := '0'; -- Line Buffer To DataMover AXI Stream Signals signal linebuf2dm_s2mm_tdata : std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0); signal linebuf2dm_s2mm_tkeep : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8)-1 downto 0); signal linebuf2dm_s2mm_tlast : std_logic := '0'; signal linebuf2dm_s2mm_tvalid : std_logic := '0'; signal dm2linebuf_s2mm_tready : std_logic := '0'; -- S2MM Error Status Control signal s2mm_ftch_interr_set : std_logic := '0'; signal s2mm_ftch_slverr_set : std_logic := '0'; signal s2mm_ftch_decerr_set : std_logic := '0'; -- S2MM Soft Reset support signal s2mm_soft_reset : std_logic := '0'; signal s2mm_soft_reset_clr : std_logic := '0'; -- S2MM SOF generation support signal s_axis_s2mm_tready_i : std_logic := '0'; signal s_axis_s2mm_tready_i_axis_dw_conv : std_logic := '0'; -- Video specific signal s2mm_frame_sync : std_logic := '0'; signal mm2s_frame_sync : std_logic := '0'; signal mm2s_parameter_update : std_logic := '0'; signal s2mm_parameter_update : std_logic := '0'; -- Line Buffer Support signal mm2s_allbuffer_empty : std_logic := '0'; signal mm2s_dwidth_fifo_pipe_empty : std_logic := '0'; signal mm2s_dwidth_fifo_pipe_empty_m : std_logic := '0'; -- Video CDC support signal mm2s_cdc2dmac_fsync : std_logic := '0'; signal mm2s_dmac2cdc_fsync_out : std_logic := '0'; signal mm2s_dmac2cdc_prmtr_update : std_logic := '0'; signal mm2s_vid2cdc_packet_sof : std_logic := '0'; signal s2mm_cdc2dmac_fsync : std_logic := '0'; signal s2mm_dmac2cdc_fsync_out : std_logic := '0'; signal s2mm_dmac2cdc_prmtr_update : std_logic := '0'; signal s2mm_vid2cdc_packet_sof : std_logic := '0'; -- fsync qualified by valid parameters for frame count -- decrement signal mm2s_valid_frame_sync : std_logic := '0'; signal s2mm_valid_frame_sync : std_logic := '0'; signal mm2s_valid_frame_sync_cmb : std_logic := '0'; signal s2mm_valid_frame_sync_cmb : std_logic := '0'; --signal for test bench and for output signal s2mm_tstvect_err : std_logic := '0'; signal mm2s_tstvect_err : std_logic := '0'; signal s2mm_tstvect_fsync : std_logic := '0'; signal mm2s_tstvect_fsync : std_logic := '0'; signal s2mm_tstvect_frame : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal mm2s_tstvect_frame : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_fsync_out_i : std_logic := '0'; signal s2mm_fsync_out_m_i : std_logic := '0'; signal mm2s_fsync_out_i : std_logic := '0'; signal mm2s_mask_fsync_out : std_logic := '0'; signal s2mm_mask_fsync_out : std_logic := '0'; signal mm2s_mstrfrm_tstsync_out : std_logic := '0'; signal s2mm_mstrfrm_tstsync_out : std_logic := '0'; -- Genlock pointer signals signal mm2s_mstrfrm_tstsync : std_logic := '0'; signal mm2s_s_frame_ptr_in : std_logic_vector(MM2S_GENLOCK_SLVE_PTR_DWIDTH-1 downto 0) := (others => '0'); signal mm2s_m_frame_ptr_out : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal s2mm_mstrfrm_tstsync : std_logic := '0'; signal s2mm_s_frame_ptr_in : std_logic_vector(S2MM_GENLOCK_SLVE_PTR_DWIDTH-1 downto 0) := (others => '0'); signal s2mm_m_frame_ptr_out : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal mm2s_tstvect_frm_ptr_out : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal s2mm_tstvect_frm_ptr_out : std_logic_vector(FRAME_NUMBER_WIDTH-1 downto 0) := (others => '0'); signal sg2cdc_ftch_err_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sg2cdc_ftch_err : std_logic := '0'; signal mm2s_ftch_err_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal mm2s_ftch_err : std_logic := '0'; signal s2mm_ftch_err_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s2mm_ftch_err : std_logic := '0'; -- Internal GenLock bus support signal s2mm_to_mm2s_frame_ptr_in : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal mm2s_to_s2mm_frame_ptr_in : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); signal mm2s_reg_index : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_reg_index : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal s2mm_crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); signal s2mm_fsync_src_select_s : std_logic_vector(1 downto 0) := (others => '0'); signal hold_dummy_tready_low : std_logic := '0'; signal hold_dummy_tready_low2 : std_logic := '0'; signal drop_fsync_d_pulse_gen_fsize_less_err : std_logic := '0'; signal s2mm_tuser_fsync_top : std_logic := '0'; signal s2mm_fsync_core : std_logic := '0'; signal s2mm_chnl_ready : std_logic := '0'; signal s2mm_strm_not_finished : std_logic := '0'; signal s2mm_strm_all_lines_rcvd : std_logic := '0'; signal s2mm_all_vount_rcvd : std_logic := '0'; signal s2mm_fsize_mismatch_err_s : std_logic := '0'; signal s2mm_fsize_less_err_internal_tvalid_gating : std_logic := '0'; signal s2mm_dummy_tready : std_logic := '0'; signal s2mm_fsize_more_or_sof_late_s : std_logic := '0'; signal s2mm_fsize_more_or_sof_late : std_logic := '0'; signal s_axis_s2mm_tdata_signal : std_logic_vector -- (C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_tkeep_signal : std_logic_vector -- ((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_tuser_signal : std_logic_vector -- (C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_tvalid_signal : std_logic := '0'; -- signal s_axis_s2mm_tready_signal : std_logic := '0'; -- signal s_axis_s2mm_tlast_signal : std_logic := '0'; -- signal mm2s_fsync_core : std_logic := '0'; signal mm2s_fsize_mismatch_err_s : std_logic := '0'; signal mm2s_fsize_mismatch_err_m : std_logic := '0'; signal MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S : std_logic := '0'; signal m_axis_mm2s_tready_i2 : std_logic ; -- signal m_axis_mm2s_tvalid_i2 : std_logic ; -- signal mm2s_fsync_out_m : std_logic := '0'; signal mm2s_fsize_mismatch_err_flag : std_logic := '0'; -- CR591965 signal mm2s_vsize_cntr_clr_flag : std_logic := '0'; -- CR591965 signal mm2s_fsync_d1 : std_logic := '0'; signal mm2s_fsync_d2 : std_logic := '0'; signal mm2s_fsync_fe : std_logic := '0'; signal s2mm_fsync_d1 : std_logic := '0'; signal s2mm_fsync_d2 : std_logic := '0'; signal s2mm_fsync_fe : std_logic := '0'; signal mm2s_buffer_empty_i : std_logic := '0'; signal s2mm_buffer_full_i : std_logic := '0'; signal mm2s_buffer_almost_empty_i : std_logic := '0'; signal s2mm_buffer_almost_full_i : std_logic := '0'; signal mm2s_prmtr_update_i : std_logic := '0'; signal s2mm_prmtr_update_i : std_logic := '0'; signal mm2s_fsync_out_sig : std_logic := '0'; signal s2mm_fsync_out_sig : std_logic := '0'; signal axi_vdma_tstvec_i : std_logic_vector(63 downto 0) := (others => '0'); signal mm2s_prmry_reset_out_n_i : std_logic := '1'; signal s2mm_prmry_reset_out_n_i : std_logic := '1'; signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_NEW-1 downto 0) ; signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_NEW-1 downto 0) ; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ENABLE_MM2S_PRMRY_RESET_OUT_N : if (C_INCLUDE_MM2S = 1 and (C_ENABLE_DEBUG_INFO_0 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin mm2s_prmry_reset_out_n <= mm2s_prmry_reset_out_n_i; end generate ENABLE_MM2S_PRMRY_RESET_OUT_N; DISABLE_MM2S_PRMRY_RESET_OUT_N : if (C_INCLUDE_MM2S = 0 or (C_ENABLE_DEBUG_INFO_0 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin mm2s_prmry_reset_out_n <= '1'; end generate DISABLE_MM2S_PRMRY_RESET_OUT_N; ENABLE_MM2S_BUFFER_EMPTY : if (C_INCLUDE_MM2S = 1 and (C_ENABLE_DEBUG_INFO_1 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin mm2s_buffer_empty <= mm2s_buffer_empty_i; mm2s_buffer_almost_empty <= mm2s_buffer_almost_empty_i; end generate ENABLE_MM2S_BUFFER_EMPTY; DISABLE_MM2S_BUFFER_EMPTY : if (C_INCLUDE_MM2S = 0 or (C_ENABLE_DEBUG_INFO_1 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin mm2s_buffer_empty <= '0'; mm2s_buffer_almost_empty <= '0'; end generate DISABLE_MM2S_BUFFER_EMPTY; ENABLE_MM2S_PRMTR_UPDATE : if (C_INCLUDE_MM2S = 1 and (C_ENABLE_DEBUG_INFO_2 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin mm2s_prmtr_update <= mm2s_prmtr_update_i; end generate ENABLE_MM2S_PRMTR_UPDATE; DISABLE_MM2S_PRMTR_UPDATE : if (C_INCLUDE_MM2S = 0 or (C_ENABLE_DEBUG_INFO_2 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin mm2s_prmtr_update <= '0'; end generate DISABLE_MM2S_PRMTR_UPDATE; ENABLE_MM2S_FSYNC_OUT : if (C_INCLUDE_MM2S = 1 and (C_ENABLE_DEBUG_INFO_3 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin mm2s_fsync_out <= mm2s_fsync_out_sig; end generate ENABLE_MM2S_FSYNC_OUT; DISABLE_MM2S_FSYNC_OUT : if (C_INCLUDE_MM2S = 0 or (C_ENABLE_DEBUG_INFO_3 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin mm2s_fsync_out <= '0'; end generate DISABLE_MM2S_FSYNC_OUT; ENABLE_AXI_VDMA_TSTVEC : if (C_ENABLE_DEBUG_INFO_4 = 1 or C_ENABLE_DEBUG_ALL = 1) generate begin axi_vdma_tstvec <= axi_vdma_tstvec_i; end generate ENABLE_AXI_VDMA_TSTVEC; DISABLE_AXI_VDMA_TSTVEC : if (C_ENABLE_DEBUG_INFO_4 = 0 and C_ENABLE_DEBUG_ALL = 0) generate begin axi_vdma_tstvec <= (others => '0'); end generate DISABLE_AXI_VDMA_TSTVEC; ENABLE_S2MM_PRMRY_RESET_OUT_N : if (C_INCLUDE_S2MM = 1 and (C_ENABLE_DEBUG_INFO_8 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin s2mm_prmry_reset_out_n <= s2mm_prmry_reset_out_n_i; end generate ENABLE_S2MM_PRMRY_RESET_OUT_N; DISABLE_S2MM_PRMRY_RESET_OUT_N : if (C_INCLUDE_S2MM = 0 or (C_ENABLE_DEBUG_INFO_8 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin s2mm_prmry_reset_out_n <= '1'; end generate DISABLE_S2MM_PRMRY_RESET_OUT_N; ENABLE_S2MM_BUFFER_FULL : if (C_INCLUDE_S2MM = 1 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin s2mm_buffer_full <= s2mm_buffer_full_i; s2mm_buffer_almost_full <= s2mm_buffer_almost_full_i; end generate ENABLE_S2MM_BUFFER_FULL; DISABLE_S2MM_BUFFER_FULL : if (C_INCLUDE_S2MM = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin s2mm_buffer_full <= '0'; s2mm_buffer_almost_full <= '0'; end generate DISABLE_S2MM_BUFFER_FULL; ENABLE_S2MM_PRMTR_UPDATE : if (C_INCLUDE_S2MM = 1 and (C_ENABLE_DEBUG_INFO_10 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin s2mm_prmtr_update <= s2mm_prmtr_update_i; end generate ENABLE_S2MM_PRMTR_UPDATE; DISABLE_S2MM_PRMTR_UPDATE : if (C_INCLUDE_S2MM = 0 or (C_ENABLE_DEBUG_INFO_10 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin s2mm_prmtr_update <= '0'; end generate DISABLE_S2MM_PRMTR_UPDATE; ENABLE_S2MM_FSYNC_OUT : if (C_INCLUDE_S2MM = 1 and (C_ENABLE_DEBUG_INFO_11 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate begin s2mm_fsync_out <= s2mm_fsync_out_sig; end generate ENABLE_S2MM_FSYNC_OUT; DISABLE_S2MM_FSYNC_OUT : if (C_INCLUDE_S2MM = 0 or (C_ENABLE_DEBUG_INFO_11 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate begin s2mm_fsync_out <= '0'; end generate DISABLE_S2MM_FSYNC_OUT; -- AXI DMA Test Vector (For Xilinx Internal Use Only) axi_vdma_tstvec_i(63 downto 59) <= s2mm_tstvect_frm_ptr_out; --- axi_vdma_tstvec_i(58 downto 54) <= mm2s_tstvect_frm_ptr_out; --- axi_vdma_tstvec_i(53 downto 49) <= s2mm_tstvect_frame; --- axi_vdma_tstvec_i(48 downto 44) <= mm2s_tstvect_frame; --- axi_vdma_tstvec_i(43 downto 33) <= (others => '0'); axi_vdma_tstvec_i(32) <= s2mm_strm_all_lines_rcvd; -- axi_vdma_tstvec_i(31) <= s2mm_halt; -- DataMover halt tracking axi_vdma_tstvec_i(30) <= mm2s_halt; -- DataMover halt tracking axi_vdma_tstvec_i(29) <= s2mm_tstvect_err; axi_vdma_tstvec_i(28) <= mm2s_tstvect_err; axi_vdma_tstvec_i(27 downto 24) <= s2mm_tstvect_frm_ptr_out(3 downto 0); -- axi_vdma_tstvec_i(23 downto 20) <= mm2s_tstvect_frm_ptr_out(3 downto 0); -- axi_vdma_tstvec_i(19) <= s2mm_mstrfrm_tstsync_out; axi_vdma_tstvec_i(18) <= mm2s_mstrfrm_tstsync_out; axi_vdma_tstvec_i(17) <= s2mm_dmasr(DMASR_HALTED_BIT); axi_vdma_tstvec_i(16) <= mm2s_dmasr(DMASR_HALTED_BIT); axi_vdma_tstvec_i(15 downto 12) <= s2mm_tstvect_frame(3 downto 0); -- axi_vdma_tstvec_i(11 downto 8) <= mm2s_tstvect_frame(3 downto 0); -- axi_vdma_tstvec_i(7) <= s2mm_tstvect_fsync and not s2mm_mask_fsync_out; axi_vdma_tstvec_i(6) <= mm2s_tstvect_fsync and not mm2s_mask_fsync_out; axi_vdma_tstvec_i(5) <= s2mm_tstvect_fsync; axi_vdma_tstvec_i(4) <= mm2s_tstvect_fsync; axi_vdma_tstvec_i(3) <= s2mm_dummy_tready and s_axis_s2mm_tvalid_signal; axi_vdma_tstvec_i(2) <= s2mm_packet_sof; axi_vdma_tstvec_i(1) <= mm2s_all_lines_xfred; axi_vdma_tstvec_i(0) <= mm2s_packet_sof; GEN_MM2S_D1_REG : process(m_axis_mm2s_aclk) begin if(m_axis_mm2s_aclk'EVENT and m_axis_mm2s_aclk = '1')then mm2s_fsync_d1 <= mm2s_fsync; mm2s_fsync_d2 <= mm2s_fsync_d1; end if; end process GEN_MM2S_D1_REG; mm2s_fsync_fe <= mm2s_fsync_d2 and not mm2s_fsync_d1; GEN_S2MM_D1_REG : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then s2mm_fsync_d1 <= s2mm_fsync; s2mm_fsync_d2 <= s2mm_fsync_d1; end if; end process GEN_S2MM_D1_REG; s2mm_fsync_fe <= s2mm_fsync_d2 and not s2mm_fsync_d1; s2mm_fsize_more_or_sof_late_s <= s2mm_dummy_tready and s_axis_s2mm_tvalid_signal and not s2mm_fsize_less_err_internal_tvalid_gating; --s_axis_s2mm_tready <= s_axis_s2mm_tready_i_axis_dw_conv; --m_axis_mm2s_tvalid <= m_axis_mm2s_tvalid_i_axis_dw_conv; m_axis_mm2s_tvalid <= m_axis_mm2s_tvalid_i2; m_axis_mm2s_tlast <= m_axis_mm2s_tlast_i_axis_dw_conv; mm2s_frame_ptr_out <= mm2s_frame_ptr_out_i ; s2mm_frame_ptr_out <= s2mm_frame_ptr_out_i ; --***************************************************************************** --** RESET MODULE ** --***************************************************************************** I_RST_MODULE : entity axi_vdma_v6_2_8.axi_vdma_rst_module generic map( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_INCLUDE_SG => C_INCLUDE_SG , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ) port map( ----------------------------------------------------------------------- -- Clock Sources ----------------------------------------------------------------------- s_axi_lite_aclk => s_axi_lite_aclk , m_axi_sg_aclk => m_axi_sg_aclk , m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axis_mm2s_aclk => m_axis_mm2s_aclk , m_axi_s2mm_aclk => m_axi_s2mm_aclk , s_axis_s2mm_aclk => s_axis_s2mm_aclk , ----------------------------------------------------------------------- -- Hard Reset ----------------------------------------------------------------------- axi_resetn => axi_resetn , ----------------------------------------------------------------------- -- MM2S Soft Reset Support ----------------------------------------------------------------------- mm2s_soft_reset => mm2s_soft_reset , mm2s_soft_reset_clr => mm2s_soft_reset_clr , mm2s_stop => mm2s_stop , mm2s_all_idle => mm2s_ftchcmdsts_idle , mm2s_fsize_mismatch_err => mm2s_fsize_mismatch_err , -- CR591965 mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_run_stop => mm2s_dmacr(DMACR_RS_BIT) , ----------------------------------------------------------------------- -- MM2S Soft Reset Support ----------------------------------------------------------------------- s2mm_soft_reset => s2mm_soft_reset , s2mm_soft_reset_clr => s2mm_soft_reset_clr , s2mm_stop => s2mm_stop , s2mm_all_idle => s2mm_ftchcmdsts_idle , s2mm_fsize_mismatch_err => s2mm_fsize_mismatch_err , -- CR591965 s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_run_stop => s2mm_dmacr(DMACR_RS_BIT) , ----------------------------------------------------------------------- -- SG Status ----------------------------------------------------------------------- ftch_err => sg2cdc_ftch_err , ----------------------------------------------------------------------- -- MM2S Distributed Reset Out ----------------------------------------------------------------------- -- AXI Upsizer and Line Buffer mm2s_prmry_resetn => mm2s_prmry_resetn , -- AXI DataMover Primary Reset (Raw) mm2s_dm_prmry_resetn => mm2s_dm_prmry_resetn , -- AXI Stream Logic Reset mm2s_axis_resetn => mm2s_axis_resetn , -- AXI Stream Reset Outputs mm2s_axis_reset_out_n => mm2s_prmry_reset_out_n_i , ----------------------------------------------------------------------- -- S2MM Distributed Reset Out ----------------------------------------------------------------------- s2mm_prmry_resetn => s2mm_prmry_resetn , -- AXI DataMover Primary Reset (Raw) s2mm_dm_prmry_resetn => s2mm_dm_prmry_resetn , -- AXI Stream Logic Reset s2mm_axis_resetn => s2mm_axis_resetn , -- AXI Stream Reset Outputs s2mm_axis_reset_out_n => s2mm_prmry_reset_out_n_i , ----------------------------------------------------------------------- -- Scatter Gather Distributed Reset Out ----------------------------------------------------------------------- m_axi_sg_resetn => m_axi_sg_resetn , m_axi_dm_sg_resetn => m_axi_dm_sg_resetn , ----------------------------------------------------------------------- -- AXI Lite Interface Reset Out (Hard Only) ----------------------------------------------------------------------- s_axi_lite_resetn => s_axi_lite_resetn , mm2s_hrd_resetn => mm2s_hrd_resetn , s2mm_hrd_resetn => s2mm_hrd_resetn ); --***************************************************************************** --** AXI LITE REGISTER INTERFACE ** --***************************************************************************** ------------------------------------------------------------------------------- -- Provides the s_axi_lite inteface and clock domain crossing between -- axi lite and mm2s/s2mm register modules ------------------------------------------------------------------------------- AXI_LITE_REG_INTERFACE_I : entity axi_vdma_v6_2_8.axi_vdma_reg_if generic map( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_INCLUDE_SG => C_INCLUDE_SG , C_ENABLE_VIDPRMTR_READS => C_ENABLE_VIDPRMTR_READS , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_TOTAL_NUM_REGISTER => TOTAL_NUM_REGISTER , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_VERSION_MAJOR => VERSION_MAJOR , C_VERSION_MINOR => VERSION_MINOR , C_VERSION_REVISION => VERSION_REVISION , C_REVISION_NUMBER => REVISION_NUMBER ) port map( ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- s_axi_lite_aclk => s_axi_lite_aclk , s_axi_lite_reset_n => s_axi_lite_resetn , s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- MM2S Register Interface m_axi_mm2s_aclk => m_axi_mm2s_aclk , mm2s_hrd_resetn => mm2s_hrd_resetn , mm2s_axi2ip_wrce => mm2s_axi2ip_wrce , mm2s_axi2ip_wrdata => mm2s_axi2ip_wrdata , mm2s_axi2ip_rdaddr => mm2s_axi2ip_rdaddr , --mm2s_axi2ip_rden => mm2s_axi2ip_rden , mm2s_ip2axi_rddata => mm2s_ip2axi_rddata , --mm2s_ip2axi_rddata_valid => mm2s_ip2axi_rddata_valid , mm2s_ip2axi_frame_ptr_ref => mm2s_ip2axi_frame_ptr_ref , mm2s_ip2axi_frame_store => mm2s_ip2axi_frame_store , mm2s_chnl_current_frame => mm2s_chnl_current_frame , mm2s_genlock_pair_frame => mm2s_genlock_pair_frame , mm2s_ip2axi_introut => mm2s_ip2axi_introut , mm2s_introut => mm2s_introut , -- S2MM Register Interface m_axi_s2mm_aclk => m_axi_s2mm_aclk , s2mm_hrd_resetn => s2mm_hrd_resetn , s2mm_axi2ip_wrce => s2mm_axi2ip_wrce , s2mm_axi2ip_wrdata => s2mm_axi2ip_wrdata , --s2mm_axi2ip_rden => s2mm_axi2ip_rden , s2mm_axi2ip_rdaddr => s2mm_axi2ip_rdaddr , s2mm_ip2axi_rddata => s2mm_ip2axi_rddata , --s2mm_ip2axi_rddata_valid => s2mm_ip2axi_rddata_valid , s2mm_ip2axi_frame_ptr_ref => s2mm_ip2axi_frame_ptr_ref , s2mm_ip2axi_frame_store => s2mm_ip2axi_frame_store , s2mm_capture_dm_done_vsize_counter => s2mm_capture_dm_done_vsize_counter_sig , s2mm_capture_hsize_at_uf_err => s2mm_capture_hsize_at_uf_err_sig , s2mm_chnl_current_frame => s2mm_chnl_current_frame , s2mm_genlock_pair_frame => s2mm_genlock_pair_frame , s2mm_ip2axi_introut => s2mm_ip2axi_introut , s2mm_introut => s2mm_introut ); --***************************************************************************** --** INTERRUPT CONTROLLER ** --***************************************************************************** I_AXI_DMA_INTRPT : entity axi_vdma_v6_2_8.axi_vdma_intrpt generic map( C_INCLUDE_CH1 => C_INCLUDE_MM2S , C_INCLUDE_CH2 => C_INCLUDE_S2MM , --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_INCLUDE_DLYTMR => INCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION ) port map( m_axi_ch1_aclk => m_axi_mm2s_aclk , m_axi_ch1_aresetn => mm2s_prmry_resetn , m_axi_ch2_aclk => m_axi_s2mm_aclk , m_axi_ch2_aresetn => s2mm_prmry_resetn , ch1_irqthresh_decr => mm2s_tstvect_fsync , ch1_irqthresh_decr_mask => mm2s_fsize_mismatch_err_flag , ch1_irqthresh_rstdsbl => mm2s_irqthresh_rstdsbl , ch1_dlyirq_dsble => mm2s_dlyirq_dsble , ch1_irqdelay_wren => mm2s_irqdelay_wren , ch1_irqdelay => mm2s_dmacr(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) , ch1_irqthresh_wren => mm2s_irqthresh_wren , ch1_irqthresh => mm2s_dmacr(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) , ch1_packet_sof => mm2s_packet_sof , ch1_packet_eof => mm2s_tstvect_fsync , ch1_packet_eof_mask => mm2s_fsize_mismatch_err_flag , ch1_ioc_irq_set => mm2s_ioc_irq_set , ch1_dly_irq_set => mm2s_dly_irq_set , ch1_irqdelay_status => mm2s_irqdelay_status , ch1_irqthresh_status => mm2s_irqthresh_status , ch2_irqthresh_decr => s2mm_tstvect_fsync , ch2_irqthresh_decr_mask => s2mm_fsize_mismatch_err_flag , ch2_irqthresh_rstdsbl => s2mm_irqthresh_rstdsbl , ch2_dlyirq_dsble => s2mm_dlyirq_dsble , ch2_irqdelay_wren => s2mm_irqdelay_wren , ch2_irqdelay => s2mm_dmacr(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) , ch2_irqthresh_wren => s2mm_irqthresh_wren , ch2_irqthresh => s2mm_dmacr(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) , ch2_packet_sof => s2mm_packet_sof , ch2_packet_eof => s2mm_tstvect_fsync , ch2_packet_eof_mask => s2mm_fsize_mismatch_err_flag , ch2_ioc_irq_set => s2mm_ioc_irq_set , ch2_dly_irq_set => s2mm_dly_irq_set , ch2_irqdelay_status => s2mm_irqdelay_status , ch2_irqthresh_status => s2mm_irqthresh_status ); --***************************************************************************** --** SCATTER GATHER ENGINE ** --***************************************************************************** -- If Scatter Gather Engine is included the instantiate axi_sg GEN_SG_ENGINE : if C_INCLUDE_SG = 1 generate ------------------------------------------------------------------------------- -- Scatter Gather Engine ------------------------------------------------------------------------------- I_SG_ENGINE : entity axi_vdma_v6_2_8.axi_sg generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => SG_CH1_WORDS_TO_FETCH , C_SG_CH1_WORDS_TO_UPDATE => SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => SG_CH1_FIRST_UPDATE_WORD , C_SG_CH1_ENBL_STALE_ERROR => SG_CH1_ENBL_STALE_ERR , C_SG_CH2_WORDS_TO_FETCH => SG_CH2_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_UPDATE => SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => SG_CH2_FIRST_UPDATE_WORD , C_SG_CH2_ENBL_STALE_ERROR => SG_CH2_ENBL_STALE_ERR , C_INCLUDE_CH1 => C_INCLUDE_MM2S , C_INCLUDE_CH2 => C_INCLUDE_S2MM , C_INCLUDE_DESC_UPDATE => EXCLUDE_DESC_UPDATE , C_INCLUDE_INTRPT => EXCLUDE_INTRPT , C_INCLUDE_DLYTMR => EXCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION , C_AXIS_IS_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_FAMILY => C_ROOT_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_resetn , dm_resetn => m_axi_dm_sg_resetn , -- Scatter Gather Write Address Channel m_axi_sg_awaddr => open , m_axi_sg_awlen => open , m_axi_sg_awsize => open , m_axi_sg_awburst => open , m_axi_sg_awprot => open , m_axi_sg_awcache => open , m_axi_sg_awvalid => open , m_axi_sg_awready => '0' , -- Scatter Gather Write Data Channel m_axi_sg_wdata => open , m_axi_sg_wstrb => open , m_axi_sg_wlast => open , m_axi_sg_wvalid => open , m_axi_sg_wready => '0' , -- Scatter Gather Write Response Channel m_axi_sg_bresp => "00" , m_axi_sg_bvalid => '0' , m_axi_sg_bready => open , -- Scatter Gather Read Address Channel m_axi_sg_araddr => m_axi_sg_araddr , m_axi_sg_arlen => m_axi_sg_arlen , m_axi_sg_arsize => m_axi_sg_arsize , m_axi_sg_arburst => m_axi_sg_arburst , m_axi_sg_arprot => m_axi_sg_arprot , m_axi_sg_arcache => m_axi_sg_arcache , m_axi_sg_arvalid => m_axi_sg_arvalid , m_axi_sg_arready => m_axi_sg_arready , -- Memory Map to Stream Scatter Gather Read Data Channel m_axi_sg_rdata => m_axi_sg_rdata , m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rlast => m_axi_sg_rlast , m_axi_sg_rvalid => m_axi_sg_rvalid , m_axi_sg_rready => m_axi_sg_rready , -- Channel 1 Control and Status ch1_run_stop => mm2s_cdc2sg_run_stop , ch1_desc_flush => mm2s_cdc2sg_stop , ch1_ftch_idle => mm2s_sg2cdc_ftch_idle , ch1_ftch_interr_set => mm2s_sg2cdc_ftch_interr_set , ch1_ftch_slverr_set => mm2s_sg2cdc_ftch_slverr_set , ch1_ftch_decerr_set => mm2s_sg2cdc_ftch_decerr_set , ch1_ftch_err_early => open , ch1_ftch_stale_desc => open , ch1_updt_idle => open , ch1_updt_ioc_irq_set => open , ch1_updt_interr_set => open , ch1_updt_slverr_set => open , ch1_updt_decerr_set => open , ch1_dma_interr_set => open , ch1_dma_slverr_set => open , ch1_dma_decerr_set => open , ch1_tailpntr_enabled => '1' , ch1_taildesc_wren => mm2s_cdc2sg_taildesc_wren , ch1_taildesc => mm2s_cdc2sg_taildesc , ch1_curdesc => mm2s_cdc2sg_curdesc , -- Channel 1 Interrupt Coalescing Signals ch1_dlyirq_dsble => '0' , ch1_irqthresh_rstdsbl => '0' , ch1_irqdelay_wren => '0' , ch1_irqdelay => ZERO_VALUE(7 downto 0) , ch1_irqthresh_wren => '0' , ch1_irqthresh => ZERO_VALUE(7 downto 0) , ch1_packet_sof => '0' , ch1_packet_eof => '0' , ch1_ioc_irq_set => open , ch1_dly_irq_set => open , ch1_irqdelay_status => open , ch1_irqthresh_status => open , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => m_axi_mm2s_aclk , m_axis_ch1_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_ch1_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_ch1_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_ch1_ftch_tlast => m_axis_mm2s_ftch_tlast , -- Channel 1 AXI Update Stream In s_axis_ch1_updt_aclk => '0' , s_axis_ch1_updtptr_tdata => ZERO_VALUE(S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0), s_axis_ch1_updtptr_tvalid => '0' , s_axis_ch1_updtptr_tready => open , s_axis_ch1_updtptr_tlast => '0' , s_axis_ch1_updtsts_tdata => ZERO_VALUE(S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0), s_axis_ch1_updtsts_tvalid => '0' , s_axis_ch1_updtsts_tready => open , s_axis_ch1_updtsts_tlast => '0' , -- Channel 2 Control and Status ch2_run_stop => s2mm_cdc2sg_run_stop , ch2_desc_flush => s2mm_cdc2sg_stop , ch2_ftch_idle => s2mm_sg2cdc_ftch_idle , ch2_ftch_interr_set => s2mm_sg2cdc_ftch_interr_set , ch2_ftch_slverr_set => s2mm_sg2cdc_ftch_slverr_set , ch2_ftch_decerr_set => s2mm_sg2cdc_ftch_decerr_set , ch2_ftch_err_early => open , ch2_ftch_stale_desc => open , ch2_updt_idle => open , ch2_updt_ioc_irq_set => open , ch2_updt_interr_set => open , ch2_updt_slverr_set => open , ch2_updt_decerr_set => open , ch2_dma_interr_set => open , ch2_dma_slverr_set => open , ch2_dma_decerr_set => open , ch2_tailpntr_enabled => '1' , ch2_taildesc_wren => s2mm_cdc2sg_taildesc_wren , ch2_taildesc => s2mm_cdc2sg_taildesc , ch2_curdesc => s2mm_cdc2sg_curdesc , -- Channel 2 Interrupt Coalescing Signals ch2_dlyirq_dsble => '0' , ch2_irqthresh_rstdsbl => '0' , ch2_irqdelay_wren => '0' , ch2_irqdelay => ZERO_VALUE(7 downto 0) , ch2_irqthresh_wren => '0' , ch2_irqthresh => ZERO_VALUE(7 downto 0) , ch2_packet_sof => '0' , ch2_packet_eof => '0' , ch2_ioc_irq_set => open , ch2_dly_irq_set => open , ch2_irqdelay_status => open , ch2_irqthresh_status => open , -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => m_axi_s2mm_aclk , m_axis_ch2_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_ch2_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_ch2_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_ch2_ftch_tlast => m_axis_s2mm_ftch_tlast , -- Channel 2 AXI Update Stream In s_axis_ch2_updt_aclk => '0' , s_axis_ch2_updtptr_tdata => ZERO_VALUE(S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0), s_axis_ch2_updtptr_tvalid => '0' , s_axis_ch2_updtptr_tready => open , s_axis_ch2_updtptr_tlast => '0' , s_axis_ch2_updtsts_tdata => ZERO_VALUE(S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0), s_axis_ch2_updtsts_tvalid => '0' , s_axis_ch2_updtsts_tready => open , s_axis_ch2_updtsts_tlast => '0' , -- Error addresses ftch_error_addr => sg2cdc_ftch_err_addr , ftch_error => sg2cdc_ftch_err , updt_error => open , updt_error_addr => open ); --********************************************************************* --** MM2S Clock Domain To/From Scatter Gather Clock Domain ** --********************************************************************* MM2S_SG_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_sg_cdc generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( prmry_aclk => m_axi_mm2s_aclk , prmry_resetn => mm2s_prmry_resetn , scndry_aclk => m_axi_sg_aclk , scndry_resetn => m_axi_sg_resetn , -- From Register Module (Primary Clk Domain) reg2cdc_run_stop => mm2s_dmacr(DMACR_RS_BIT) , reg2cdc_stop => mm2s_stop , reg2cdc_taildesc_wren => mm2s_tailpntr_updated , reg2cdc_taildesc => mm2s_taildesc , reg2cdc_curdesc => mm2s_curdesc , -- To Scatter Gather Engine (Secondary Clk Domain) cdc2sg_run_stop => mm2s_cdc2sg_run_stop , cdc2sg_stop => mm2s_cdc2sg_stop , cdc2sg_taildesc_wren => mm2s_cdc2sg_taildesc_wren , cdc2sg_taildesc => mm2s_cdc2sg_taildesc , cdc2sg_curdesc => mm2s_cdc2sg_curdesc , -- From Scatter Gather Engine (Secondary Clk Domain) sg2cdc_ftch_idle => mm2s_sg2cdc_ftch_idle , sg2cdc_ftch_interr_set => mm2s_sg2cdc_ftch_interr_set , sg2cdc_ftch_slverr_set => mm2s_sg2cdc_ftch_slverr_set , sg2cdc_ftch_decerr_set => mm2s_sg2cdc_ftch_decerr_set , sg2cdc_ftch_err_addr => sg2cdc_ftch_err_addr , sg2cdc_ftch_err => sg2cdc_ftch_err , -- To DMA Controller cdc2dmac_ftch_idle => mm2s_ftch_idle , -- To Register Module cdc2reg_ftch_interr_set => mm2s_ftch_interr_set , cdc2reg_ftch_slverr_set => mm2s_ftch_slverr_set , cdc2reg_ftch_decerr_set => mm2s_ftch_decerr_set , cdc2reg_ftch_err_addr => mm2s_ftch_err_addr , cdc2reg_ftch_err => mm2s_ftch_err ); --********************************************************************* --** S2MM Clock Domain To/From Scatter Gather Clock Domain ** --********************************************************************* S2MM_SG_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_sg_cdc generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( prmry_aclk => m_axi_s2mm_aclk , prmry_resetn => s2mm_prmry_resetn , scndry_aclk => m_axi_sg_aclk , scndry_resetn => m_axi_sg_resetn , -- From Register Module (Primary Clk Domain) reg2cdc_run_stop => s2mm_dmacr(DMACR_RS_BIT) , reg2cdc_stop => s2mm_stop , reg2cdc_taildesc_wren => s2mm_tailpntr_updated , reg2cdc_taildesc => s2mm_taildesc , reg2cdc_curdesc => s2mm_curdesc , -- To Scatter Gather Engine (Secondary Clk Domain) cdc2sg_run_stop => s2mm_cdc2sg_run_stop , cdc2sg_stop => s2mm_cdc2sg_stop , cdc2sg_taildesc_wren => s2mm_cdc2sg_taildesc_wren , cdc2sg_taildesc => s2mm_cdc2sg_taildesc , cdc2sg_curdesc => s2mm_cdc2sg_curdesc , -- From Scatter Gather Engine (Secondary Clk Domain) sg2cdc_ftch_idle => s2mm_sg2cdc_ftch_idle , sg2cdc_ftch_interr_set => s2mm_sg2cdc_ftch_interr_set , sg2cdc_ftch_slverr_set => s2mm_sg2cdc_ftch_slverr_set , sg2cdc_ftch_decerr_set => s2mm_sg2cdc_ftch_decerr_set , sg2cdc_ftch_err_addr => sg2cdc_ftch_err_addr , sg2cdc_ftch_err => sg2cdc_ftch_err , -- To DMA Controller cdc2dmac_ftch_idle => s2mm_ftch_idle , -- To Register Module cdc2reg_ftch_interr_set => s2mm_ftch_interr_set , cdc2reg_ftch_slverr_set => s2mm_ftch_slverr_set , cdc2reg_ftch_decerr_set => s2mm_ftch_decerr_set , cdc2reg_ftch_err_addr => s2mm_ftch_err_addr , cdc2reg_ftch_err => s2mm_ftch_err ); end generate GEN_SG_ENGINE; -- No scatter gather engine therefore tie off unused signals GEN_NO_SG_ENGINE : if C_INCLUDE_SG = 0 generate begin m_axi_sg_araddr <= (others => '0'); m_axi_sg_arlen <= (others => '0'); m_axi_sg_arsize <= (others => '0'); m_axi_sg_arburst <= (others => '0'); m_axi_sg_arcache <= (others => '0'); m_axi_sg_arprot <= (others => '0'); m_axi_sg_arvalid <= '0'; m_axi_sg_rready <= '0'; mm2s_ftch_idle <= '1'; mm2s_ftch_interr_set <= '0'; mm2s_ftch_slverr_set <= '0'; mm2s_ftch_decerr_set <= '0'; m_axis_mm2s_ftch_tdata <= (others => '0'); m_axis_mm2s_ftch_tvalid <= '0'; m_axis_mm2s_ftch_tlast <= '0'; s2mm_ftch_idle <= '1'; s2mm_ftch_interr_set <= '0'; s2mm_ftch_slverr_set <= '0'; s2mm_ftch_decerr_set <= '0'; m_axis_s2mm_ftch_tdata <= (others => '0'); m_axis_s2mm_ftch_tvalid <= '0'; m_axis_s2mm_ftch_tlast <= '0'; mm2s_ftch_err_addr <= (others => '0'); mm2s_ftch_err <= '0'; s2mm_ftch_err_addr <= (others => '0'); s2mm_ftch_err <= '0'; sg2cdc_ftch_err <= '0'; end generate GEN_NO_SG_ENGINE; --***************************************************************************** --** MM2S CHANNEL ** --***************************************************************************** -- Generate support logic for MM2S GEN_SPRT_FOR_MM2S : if C_INCLUDE_MM2S = 1 generate begin GEN_FLUSH_SOF_MM2S : if (ENABLE_FLUSH_ON_MM2S_FSYNC = 1 and MM2S_SOF_ENABLE = 1) generate begin --m_axis_mm2s_tvalid_i2 <= m_axis_mm2s_tvalid_i_axis_dw_conv when MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S = '0' -- else '0'; m_axis_mm2s_tvalid_i2 <= '0' when MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S = '1' or mm2s_fsync_core = '1' else m_axis_mm2s_tvalid_i_axis_dw_conv; m_axis_mm2s_tready_i2 <= m_axis_mm2s_tready when MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S = '0' else '1'; end generate GEN_FLUSH_SOF_MM2S; GEN_NO_FLUSH_SOF_MM2S : if (ENABLE_FLUSH_ON_MM2S_FSYNC = 0 or MM2S_SOF_ENABLE = 0) generate begin m_axis_mm2s_tvalid_i2 <= m_axis_mm2s_tvalid_i_axis_dw_conv; m_axis_mm2s_tready_i2 <= m_axis_mm2s_tready; end generate GEN_NO_FLUSH_SOF_MM2S; GEN_AXIS_MM2S_DWIDTH_CONV : if (C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED /= C_M_AXIS_MM2S_TDATA_WIDTH) generate constant C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 : integer := C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8; constant C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 : integer := C_M_AXIS_MM2S_TDATA_WIDTH/8; signal m_axis_mm2s_dwidth_tuser_i : std_logic_vector -- (C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) := (others => '0'); -- signal m_axis_mm2s_dwidth_tuser : std_logic_vector -- (C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0) := (others => '0'); -- begin m_axis_mm2s_dwidth_tuser_i(0) <= m_axis_mm2s_tuser_i(0); MM2S_TUSER_CNCT : for i in 1 to C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 generate begin m_axis_mm2s_dwidth_tuser_i(i) <= '0'; end generate MM2S_TUSER_CNCT; m_axis_mm2s_tuser(C_M_AXIS_MM2S_TUSER_BITS-1 downto 0) <= m_axis_mm2s_dwidth_tuser(C_M_AXIS_MM2S_TUSER_BITS-1 downto 0); AXIS_MM2S_DWIDTH_CONVERTER_I: entity axi_vdma_v6_2_8.axi_vdma_mm2s_axis_dwidth_converter generic map(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED , C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , --C_AXIS_SIGNAL_SET => 255 , C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8 , C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 => C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8 , C_MM2S_SOF_ENABLE => MM2S_SOF_ENABLE , ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_MM2S_FSYNC, C_AXIS_TID_WIDTH => 1 , C_AXIS_TDEST_WIDTH => 1 , C_FAMILY => C_ROOT_FAMILY ) port map( ACLK => m_axis_mm2s_aclk , ARESETN => mm2s_axis_linebuf_reset_out , ACLKEN => '1' , dm_halt_reg => mm2s_halt_reg , stop_reg => mm2s_stop_reg , crnt_vsize_d2 => mm2s_crnt_vsize_d2 , fsync_out => mm2s_fsync_out_i , mm2s_vsize_cntr_clr_flag => mm2s_vsize_cntr_clr_flag , dwidth_fifo_pipe_empty => mm2s_dwidth_fifo_pipe_empty , all_lines_xfred_s_dwidth => mm2s_all_lines_xfred_s_dwidth , S_AXIS_TVALID => m_axis_mm2s_tvalid_i , S_AXIS_TREADY => m_axis_mm2s_tready_i , S_AXIS_TDATA => m_axis_mm2s_tdata_i(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED-1 downto 0) , --S_AXIS_TSTRB => ZERO_VALUE(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) , S_AXIS_TSTRB => m_axis_mm2s_tkeep_i(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) , S_AXIS_TKEEP => m_axis_mm2s_tkeep_i(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED/8-1 downto 0) , S_AXIS_TLAST => m_axis_mm2s_tlast_i , S_AXIS_TID => ZERO_VALUE(0 downto 0) , S_AXIS_TDEST => ZERO_VALUE(0 downto 0) , S_AXIS_TUSER => m_axis_mm2s_dwidth_tuser_i(C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) , M_AXIS_TVALID => m_axis_mm2s_tvalid_i_axis_dw_conv , M_AXIS_TREADY => m_axis_mm2s_tready_i2 , M_AXIS_TDATA => m_axis_mm2s_tdata(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0) , M_AXIS_TSTRB => open , M_AXIS_TKEEP => m_axis_mm2s_tkeep(C_M_AXIS_MM2S_TDATA_WIDTH/8-1 downto 0) , M_AXIS_TLAST => m_axis_mm2s_tlast_i_axis_dw_conv , M_AXIS_TID => open , M_AXIS_TDEST => open , M_AXIS_TUSER => m_axis_mm2s_dwidth_tuser(C_M_AXIS_MM2S_TDATA_WIDTH_div_by_8-1 downto 0) ) ; end generate GEN_AXIS_MM2S_DWIDTH_CONV; GEN_NO_AXIS_MM2S_DWIDTH_CONV : if (C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED = C_M_AXIS_MM2S_TDATA_WIDTH) generate begin m_axis_mm2s_tvalid_i_axis_dw_conv <= m_axis_mm2s_tvalid_i; m_axis_mm2s_tdata <= m_axis_mm2s_tdata_i; m_axis_mm2s_tkeep <= m_axis_mm2s_tkeep_i; m_axis_mm2s_tlast_i_axis_dw_conv <= m_axis_mm2s_tlast_i; m_axis_mm2s_tuser <= m_axis_mm2s_tuser_i; m_axis_mm2s_tready_i <= m_axis_mm2s_tready_i2; mm2s_dwidth_fifo_pipe_empty <= '1'; mm2s_all_lines_xfred_s_dwidth <= '0'; end generate GEN_NO_AXIS_MM2S_DWIDTH_CONV; --************************************************************************* --** MM2S AXI4 Clock Domain - (m_axi_mm2s_aclk) --************************************************************************* --------------------------------------------------------------------------- -- MM2S Register Module --------------------------------------------------------------------------- MM2S_REGISTER_MODULE_I : entity axi_vdma_v6_2_8.axi_vdma_reg_module generic map( C_TOTAL_NUM_REGISTER => TOTAL_NUM_REGISTER , C_INCLUDE_SG => C_INCLUDE_SG , C_CHANNEL_IS_MM2S => CHANNEL_IS_MM2S , C_ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_MM2S_FSYNC , -- CR591965 C_ENABLE_VIDPRMTR_READS => C_ENABLE_VIDPRMTR_READS , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE , C_DYNAMIC_RESOLUTION => C_DYNAMIC_RESOLUTION , --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_LINEBUFFER_THRESH => C_MM2S_LINEBUFFER_THRESH_INT , C_NUM_FSTORES => C_NUM_FSTORES , C_NUM_FSTORES_64 => C_NUM_FSTORES_64 , C_GENLOCK_MODE => C_MM2S_GENLOCK_MODE , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH --C_M_AXI_MM2S_ADDR_WIDTH_NEW ) port map( prmry_aclk => m_axi_mm2s_aclk , prmry_resetn => mm2s_prmry_resetn , -- Register to AXI Lite Interface axi2ip_wrce => mm2s_axi2ip_wrce , axi2ip_wrdata => mm2s_axi2ip_wrdata , axi2ip_rdaddr => mm2s_axi2ip_rdaddr , --axi2ip_rden => mm2s_axi2ip_rden , axi2ip_rden => '0' , ip2axi_rddata => mm2s_ip2axi_rddata , --ip2axi_rddata_valid => mm2s_ip2axi_rddata_valid , ip2axi_rddata_valid => open , ip2axi_frame_ptr_ref => mm2s_ip2axi_frame_ptr_ref , ip2axi_frame_store => mm2s_ip2axi_frame_store , ip2axi_introut => mm2s_ip2axi_introut , -- Soft Reset soft_reset => mm2s_soft_reset , soft_reset_clr => mm2s_soft_reset_clr , -- DMA Control / Status Register Signals halted_clr => mm2s_halted_clr , halted_set => mm2s_halted_set , idle_set => mm2s_idle_set , idle_clr => mm2s_idle_clr , ioc_irq_set => mm2s_ioc_irq_set , dly_irq_set => mm2s_dly_irq_set , irqdelay_status => mm2s_irqdelay_status , irqthresh_status => mm2s_irqthresh_status , frame_sync => mm2s_frame_sync , fsync_mask => mm2s_mask_fsync_out , new_curdesc_wren => mm2s_new_curdesc_wren , new_curdesc => mm2s_new_curdesc , update_frmstore => '1' , -- Always Update new_frmstr => mm2s_frame_number , tstvect_fsync => mm2s_tstvect_fsync , valid_frame_sync => mm2s_valid_frame_sync , irqthresh_rstdsbl => mm2s_irqthresh_rstdsbl , dlyirq_dsble => mm2s_dlyirq_dsble , irqthresh_wren => mm2s_irqthresh_wren , irqdelay_wren => mm2s_irqdelay_wren , tailpntr_updated => mm2s_tailpntr_updated , -- Error Detection Control stop => mm2s_stop , dma_interr_set => mm2s_dma_interr_set , dma_interr_set_minus_frame_errors => mm2s_dma_interr_set_minus_frame_errors , dma_slverr_set => mm2s_dma_slverr_set , dma_decerr_set => mm2s_dma_decerr_set , ftch_slverr_set => mm2s_ftch_slverr_set , ftch_decerr_set => mm2s_ftch_decerr_set , fsize_mismatch_err => mm2s_fsize_mismatch_err , lsize_mismatch_err => mm2s_lsize_mismatch_err , lsize_more_mismatch_err => mm2s_lsize_more_mismatch_err , s2mm_fsize_more_or_sof_late => '0' , -- VDMA Base Registers reg_index => mm2s_reg_index , dmacr => mm2s_dmacr , dmasr => mm2s_dmasr , curdesc => mm2s_curdesc , taildesc => mm2s_taildesc , num_frame_store => mm2s_num_frame_store , linebuf_threshold => mm2s_linebuf_threshold , -- Register Direct Support regdir_idle => mm2s_regdir_idle , prmtr_updt_complete => mm2s_prmtr_updt_complete , reg_module_vsize => mm2s_reg_module_vsize , reg_module_hsize => mm2s_reg_module_hsize , reg_module_stride => mm2s_reg_module_stride , reg_module_frmdly => mm2s_reg_module_frmdly , reg_module_strt_addr => mm2s_reg_module_strt_addr , -- Fetch/Update error addresses frmstr_err_addr => mm2s_frame_number , ftch_err_addr => mm2s_ftch_err_addr ); ADDR32: if C_M_AXI_MM2S_ADDR_WIDTH_NEW = 32 generate begin --------------------------------------------------------------------------- -- MM2S DMA Controller --------------------------------------------------------------------------- I_MM2S_DMA_MNGR : entity axi_vdma_v6_2_8.axi_vdma_mngr generic map( C_PRMY_CMDFIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_INCLUDE_SF => DM_MM2S_INCLUDE_SF , C_USE_FSYNC => C_USE_MM2S_FSYNC , -- CR582182 C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_MM2S_FSYNC , -- CR591965 C_NUM_FSTORES => C_NUM_FSTORES , C_GENLOCK_MODE => C_MM2S_GENLOCK_MODE , C_DYNAMIC_RESOLUTION => C_DYNAMIC_RESOLUTION , C_GENLOCK_NUM_MASTERS => C_MM2S_GENLOCK_NUM_MASTERS , --C_GENLOCK_REPEAT_EN => C_MM2S_GENLOCK_REPEAT_EN , -- CR591965 --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE , C_INCLUDE_SG => C_INCLUDE_SG , -- CR581800 C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_NEW , C_DM_STATUS_WIDTH => MM2S_DM_STATUS_WIDTH , -- CR608521 C_EXTEND_DM_COMMAND => MM2S_DM_CMD_NOT_EXTENDED , C_MM2S_SOF_ENABLE => MM2S_SOF_ENABLE , C_S2MM_SOF_ENABLE => 0 , C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_INCLUDE_S2MM => 0 , C_SELECT_XPM => C_SELECT_XPM, C_FAMILY => C_ROOT_FAMILY ) port map( -- Secondary Clock and Reset prmry_aclk => m_axi_mm2s_aclk , prmry_resetn => mm2s_prmry_resetn , soft_reset => mm2s_soft_reset , scndry_aclk => '0' , scndry_resetn => '1' , -- MM2S Control and Status run_stop => mm2s_dmacr(DMACR_RS_BIT) , dmacr_repeat_en => mm2s_dmacr(DMACR_REPEAT_EN_BIT) , dmasr_halt => mm2s_dmasr(DMASR_HALTED_BIT) , sync_enable => mm2s_dmacr(DMACR_SYNCEN_BIT) , regdir_idle => mm2s_regdir_idle , ftch_idle => mm2s_ftch_idle , halt => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , halted_clr => mm2s_halted_clr , halted_set => mm2s_halted_set , idle_set => mm2s_idle_set , idle_clr => mm2s_idle_clr , stop => mm2s_stop , s2mm_dmasr_lsize_less_err => '0' , s2mm_fsize_more_or_sof_late => '0' , capture_hsize_at_uf_err => open , all_idle => mm2s_all_idle , cmdsts_idle => mm2s_cmdsts_idle , ftchcmdsts_idle => mm2s_ftchcmdsts_idle , s2mm_fsync_out_m => '0' , frame_sync => mm2s_frame_sync , mm2s_fsync_out_m => mm2s_fsync_out_m , -- CR616211 update_frmstore => open , -- Not Needed for MM2S channel frmstr_err_addr => open , -- Not Needed for MM2S channel frame_ptr_ref => mm2s_ip2axi_frame_ptr_ref , frame_ptr_in => mm2s_s_frame_ptr_in , frame_ptr_out => mm2s_m_frame_ptr_out , internal_frame_ptr_in => s2mm_to_mm2s_frame_ptr_in , valid_frame_sync => mm2s_valid_frame_sync , valid_frame_sync_cmb => mm2s_valid_frame_sync_cmb , valid_video_prmtrs => mm2s_valid_video_prmtrs , parameter_update => mm2s_parameter_update , circular_prk_mode => mm2s_dmacr(DMACR_CRCLPRK_BIT) , mstr_pntr_ref => mm2s_dmacr(DMACR_PNTR_NUM_MSB downto DMACR_PNTR_NUM_LSB) , genlock_select => mm2s_dmacr(DMACR_GENLOCK_SEL_BIT), line_buffer_empty => mm2s_allbuffer_empty , dwidth_fifo_pipe_empty => mm2s_dwidth_fifo_pipe_empty_m , crnt_vsize => mm2s_crnt_vsize , -- CR616211 num_frame_store => mm2s_num_frame_store , all_lines_xfred => mm2s_all_lines_xfred , -- CR616211 all_lasts_rcvd => all_lasts_rcvd , -- fsize_mismatch_err_flag => mm2s_fsize_mismatch_err_flag , -- CR591965 s2mm_fsize_mismatch_err_s => open , -- Not Needed for MM2S channel drop_fsync_d_pulse_gen_fsize_less_err => '0' , s2mm_strm_all_lines_rcvd => '0' , -- : out std_logic; s2mm_fsync_core => '0' , mm2s_fsize_mismatch_err_s => mm2s_fsize_mismatch_err_s , -- CR591965 mm2s_fsize_mismatch_err_m => mm2s_fsize_mismatch_err_m , -- CR591965 fsize_mismatch_err => mm2s_fsize_mismatch_err , -- CR591965 lsize_mismatch_err => mm2s_lsize_mismatch_err , -- CR591965 lsize_more_mismatch_err => mm2s_lsize_more_mismatch_err , -- CR591965 -- Register Direct Support prmtr_updt_complete => mm2s_prmtr_updt_complete , reg_module_vsize => mm2s_reg_module_vsize , reg_module_hsize => mm2s_reg_module_hsize , reg_module_stride => mm2s_reg_module_stride , reg_module_frmdly => mm2s_reg_module_frmdly , reg_module_strt_addr => mm2s_reg_module_strt_addr , -- Fsync signals and Genlock for test vector tstvect_err => mm2s_tstvect_err , tstvect_fsync => mm2s_tstvect_fsync , tstvect_frame => mm2s_tstvect_frame , tstvect_frm_ptr_out => mm2s_tstvect_frm_ptr_out , mstrfrm_tstsync_out => mm2s_mstrfrm_tstsync , -- AXI Stream Timing packet_sof => '1' , -- NOT Used for MM2S -- Primary DMA Errors dma_interr_set => mm2s_dma_interr_set , dma_interr_set_minus_frame_errors => mm2s_dma_interr_set_minus_frame_errors , dma_slverr_set => mm2s_dma_slverr_set , dma_decerr_set => mm2s_dma_decerr_set , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_ftch_tlast => m_axis_mm2s_ftch_tlast , -- Currently Being Processed Descriptor/Frame frame_number => mm2s_frame_number , chnl_current_frame => mm2s_chnl_current_frame , genlock_pair_frame => mm2s_genlock_pair_frame , new_curdesc => mm2s_new_curdesc , new_curdesc_wren => mm2s_new_curdesc_wren , tailpntr_updated => mm2s_tailpntr_updated , -- User Command Interface Ports (AXI Stream) s_axis_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_cmd_tdata => s_axis_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_sts_tready => m_axis_mm2s_sts_tready , m_axis_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_sts_tkeep => m_axis_mm2s_sts_tkeep , err => mm2s_err , ftch_err => mm2s_ftch_err ); end generate ADDR32; ADDR64: if C_M_AXI_MM2S_ADDR_WIDTH_NEW > 32 generate begin FSTORES64 : for i in 0 to C_NUM_FSTORES_64-1 generate mm2s_reg_module_strt_addr_64 (i) <= mm2s_reg_module_strt_addr (i*2+1) & mm2s_reg_module_strt_addr (i*2); end generate FSTORES64; --------------------------------------------------------------------------- -- MM2S DMA Controller --------------------------------------------------------------------------- I_MM2S_DMA_MNGR : entity axi_vdma_v6_2_8.axi_vdma_mngr_64 generic map( C_PRMY_CMDFIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_INCLUDE_SF => DM_MM2S_INCLUDE_SF , C_USE_FSYNC => C_USE_MM2S_FSYNC , -- CR582182 C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_MM2S_FSYNC , -- CR591965 C_NUM_FSTORES => C_NUM_FSTORES_64 , C_GENLOCK_MODE => C_MM2S_GENLOCK_MODE , C_DYNAMIC_RESOLUTION => C_DYNAMIC_RESOLUTION , C_GENLOCK_NUM_MASTERS => C_MM2S_GENLOCK_NUM_MASTERS , --C_GENLOCK_REPEAT_EN => C_MM2S_GENLOCK_REPEAT_EN , -- CR591965 --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE , C_INCLUDE_SG => C_INCLUDE_SG , -- CR581800 C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_NEW , C_DM_STATUS_WIDTH => MM2S_DM_STATUS_WIDTH , -- CR608521 C_EXTEND_DM_COMMAND => MM2S_DM_CMD_NOT_EXTENDED , C_MM2S_SOF_ENABLE => MM2S_SOF_ENABLE , C_S2MM_SOF_ENABLE => 0 , C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_INCLUDE_S2MM => 0 , C_SELECT_XPM => C_SELECT_XPM, C_FAMILY => C_ROOT_FAMILY ) port map( -- Secondary Clock and Reset prmry_aclk => m_axi_mm2s_aclk , prmry_resetn => mm2s_prmry_resetn , soft_reset => mm2s_soft_reset , scndry_aclk => '0' , scndry_resetn => '1' , -- MM2S Control and Status run_stop => mm2s_dmacr(DMACR_RS_BIT) , dmacr_repeat_en => mm2s_dmacr(DMACR_REPEAT_EN_BIT) , dmasr_halt => mm2s_dmasr(DMASR_HALTED_BIT) , sync_enable => mm2s_dmacr(DMACR_SYNCEN_BIT) , regdir_idle => mm2s_regdir_idle , ftch_idle => mm2s_ftch_idle , halt => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , halted_clr => mm2s_halted_clr , halted_set => mm2s_halted_set , idle_set => mm2s_idle_set , idle_clr => mm2s_idle_clr , stop => mm2s_stop , s2mm_dmasr_lsize_less_err => '0' , s2mm_fsize_more_or_sof_late => '0' , capture_hsize_at_uf_err => open , all_idle => mm2s_all_idle , cmdsts_idle => mm2s_cmdsts_idle , ftchcmdsts_idle => mm2s_ftchcmdsts_idle , s2mm_fsync_out_m => '0' , frame_sync => mm2s_frame_sync , mm2s_fsync_out_m => mm2s_fsync_out_m , -- CR616211 update_frmstore => open , -- Not Needed for MM2S channel frmstr_err_addr => open , -- Not Needed for MM2S channel frame_ptr_ref => mm2s_ip2axi_frame_ptr_ref , frame_ptr_in => mm2s_s_frame_ptr_in , frame_ptr_out => mm2s_m_frame_ptr_out , internal_frame_ptr_in => s2mm_to_mm2s_frame_ptr_in , valid_frame_sync => mm2s_valid_frame_sync , valid_frame_sync_cmb => mm2s_valid_frame_sync_cmb , valid_video_prmtrs => mm2s_valid_video_prmtrs , parameter_update => mm2s_parameter_update , circular_prk_mode => mm2s_dmacr(DMACR_CRCLPRK_BIT) , mstr_pntr_ref => mm2s_dmacr(DMACR_PNTR_NUM_MSB downto DMACR_PNTR_NUM_LSB) , genlock_select => mm2s_dmacr(DMACR_GENLOCK_SEL_BIT), line_buffer_empty => mm2s_allbuffer_empty , dwidth_fifo_pipe_empty => mm2s_dwidth_fifo_pipe_empty_m , crnt_vsize => mm2s_crnt_vsize , -- CR616211 num_frame_store => mm2s_num_frame_store , all_lines_xfred => mm2s_all_lines_xfred , -- CR616211 all_lasts_rcvd => all_lasts_rcvd , -- fsize_mismatch_err_flag => mm2s_fsize_mismatch_err_flag , -- CR591965 s2mm_fsize_mismatch_err_s => open , -- Not Needed for MM2S channel drop_fsync_d_pulse_gen_fsize_less_err => '0' , s2mm_strm_all_lines_rcvd => '0' , -- : out std_logic; s2mm_fsync_core => '0' , mm2s_fsize_mismatch_err_s => mm2s_fsize_mismatch_err_s , -- CR591965 mm2s_fsize_mismatch_err_m => mm2s_fsize_mismatch_err_m , -- CR591965 fsize_mismatch_err => mm2s_fsize_mismatch_err , -- CR591965 lsize_mismatch_err => mm2s_lsize_mismatch_err , -- CR591965 lsize_more_mismatch_err => mm2s_lsize_more_mismatch_err , -- CR591965 -- Register Direct Support prmtr_updt_complete => mm2s_prmtr_updt_complete , reg_module_vsize => mm2s_reg_module_vsize , reg_module_hsize => mm2s_reg_module_hsize , reg_module_stride => mm2s_reg_module_stride , reg_module_frmdly => mm2s_reg_module_frmdly , reg_module_strt_addr => mm2s_reg_module_strt_addr_64 , -- Fsync signals and Genlock for test vector tstvect_err => mm2s_tstvect_err , tstvect_fsync => mm2s_tstvect_fsync , tstvect_frame => mm2s_tstvect_frame , tstvect_frm_ptr_out => mm2s_tstvect_frm_ptr_out , mstrfrm_tstsync_out => mm2s_mstrfrm_tstsync , -- AXI Stream Timing packet_sof => '1' , -- NOT Used for MM2S -- Primary DMA Errors dma_interr_set => mm2s_dma_interr_set , dma_interr_set_minus_frame_errors => mm2s_dma_interr_set_minus_frame_errors , dma_slverr_set => mm2s_dma_slverr_set , dma_decerr_set => mm2s_dma_decerr_set , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_ftch_tdata => m_axis_mm2s_ftch_tdata , m_axis_ftch_tvalid => m_axis_mm2s_ftch_tvalid , m_axis_ftch_tready => m_axis_mm2s_ftch_tready , m_axis_ftch_tlast => m_axis_mm2s_ftch_tlast , -- Currently Being Processed Descriptor/Frame frame_number => mm2s_frame_number , chnl_current_frame => mm2s_chnl_current_frame , genlock_pair_frame => mm2s_genlock_pair_frame , new_curdesc => mm2s_new_curdesc , new_curdesc_wren => mm2s_new_curdesc_wren , tailpntr_updated => mm2s_tailpntr_updated , -- User Command Interface Ports (AXI Stream) s_axis_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_cmd_tdata => s_axis_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_sts_tready => m_axis_mm2s_sts_tready , m_axis_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_sts_tkeep => m_axis_mm2s_sts_tkeep , err => mm2s_err , ftch_err => mm2s_ftch_err ); end generate ADDR64; --------------------------------------------------------------------------- -- MM2S Frame sync generator --------------------------------------------------------------------------- MM2S_FSYNC_I : entity axi_vdma_v6_2_8.axi_vdma_fsync_gen generic map( C_USE_FSYNC => C_USE_MM2S_FSYNC , ENABLE_FLUSH_ON_S2MM_FSYNC => 0 , ENABLE_FLUSH_ON_MM2S_FSYNC => ENABLE_FLUSH_ON_MM2S_FSYNC , C_INCLUDE_S2MM => 0 , C_INCLUDE_MM2S => 1 , C_SOF_ENABLE => MM2S_SOF_ENABLE -- Always disabled ) port map( prmry_aclk => m_axi_mm2s_aclk , prmry_resetn => mm2s_prmry_resetn , -- Frame Count Enable Support valid_frame_sync_cmb => mm2s_valid_frame_sync_cmb , valid_video_prmtrs => mm2s_valid_video_prmtrs , frmcnt_ioc => mm2s_ioc_irq_set , dmacr_frmcnt_enbl => mm2s_dmacr(DMACR_FRMCNTEN_BIT) , dmasr_frmcnt_status => mm2s_irqthresh_status , mask_fsync_out => mm2s_mask_fsync_out , -- VDMA process status run_stop => mm2s_dmacr(DMACR_RS_BIT) , all_idle => mm2s_all_idle , parameter_update => mm2s_parameter_update , -- VDMA Frame Sync Sources fsync => mm2s_cdc2dmac_fsync , tuser_fsync => '0' , -- Not used by MM2S othrchnl_fsync => s2mm_to_mm2s_fsync , fsync_src_select => mm2s_dmacr(DMACR_FSYNCSEL_MSB downto DMACR_FSYNCSEL_LSB) , -- VDMA frame sync output to core frame_sync => mm2s_frame_sync , -- VDMA frame sync output to ports frame_sync_out => mm2s_dmac2cdc_fsync_out , prmtr_update => mm2s_dmac2cdc_prmtr_update ); -- Clock Domain Crossing between m_axi_mm2s_aclk and m_axis_mm2s_aclk MM2S_VID_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_vid_cdc generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_GENLOCK_MSTR_PTR_DWIDTH => NUM_FRM_STORE_WIDTH , C_GENLOCK_SLVE_PTR_DWIDTH => MM2S_GENLOCK_SLVE_PTR_DWIDTH , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE ) port map( prmry_aclk => m_axi_mm2s_aclk , prmry_resetn => mm2s_prmry_resetn , scndry_aclk => m_axis_mm2s_aclk , scndry_resetn => mm2s_axis_resetn , -- Genlock internal bus cdc othrchnl_aclk => m_axi_s2mm_aclk , othrchnl_resetn => s2mm_prmry_resetn , othrchnl2cdc_frame_ptr_out => s2mm_frame_ptr_out_i , cdc2othrchnl_frame_ptr_in => s2mm_to_mm2s_frame_ptr_in , cdc2othrchnl_fsync => mm2s_to_s2mm_fsync , -- GenLock Clock Domain Crossing dmac2cdc_frame_ptr_out => mm2s_m_frame_ptr_out , cdc2top_frame_ptr_out => mm2s_frame_ptr_out_i , top2cdc_frame_ptr_in => mm2s_frame_ptr_in , cdc2dmac_frame_ptr_in => mm2s_s_frame_ptr_in , dmac2cdc_mstrfrm_tstsync => mm2s_mstrfrm_tstsync , cdc2dmac_mstrfrm_tstsync => mm2s_mstrfrm_tstsync_out , -- SOF Detection Domain Crossing vid2cdc_packet_sof => mm2s_vid2cdc_packet_sof , cdc2dmac_packet_sof => mm2s_packet_sof , -- Frame Sync Generation Domain Crossing vid2cdc_fsync => mm2s_fsync_core , cdc2dmac_fsync => mm2s_cdc2dmac_fsync , dmac2cdc_fsync_out => mm2s_dmac2cdc_fsync_out , dmac2cdc_prmtr_update => mm2s_dmac2cdc_prmtr_update , cdc2vid_fsync_out => mm2s_fsync_out_i , cdc2vid_prmtr_update => mm2s_prmtr_update_i ); mm2s_fsync_out_sig <= mm2s_fsync_out_i; -- Start of Frame Detection - used for interrupt coalescing MM2S_SOF_I : entity axi_vdma_v6_2_8.axi_vdma_sof_gen port map( scndry_aclk => m_axis_mm2s_aclk , scndry_resetn => mm2s_axis_resetn , axis_tready => m_axis_mm2s_tready_i2 , ---axis_tvalid => m_axis_mm2s_tvalid_i , axis_tvalid => m_axis_mm2s_tvalid_i2 , fsync => mm2s_fsync_out_i , -- CR622884 packet_sof => mm2s_vid2cdc_packet_sof ); --------------------------------------------------------------------------- -- Primary MM2S Line Buffer --------------------------------------------------------------------------- MM2S_LINEBUFFER_I : entity axi_vdma_v6_2_8.axi_vdma_mm2s_linebuf generic map( C_DATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED , C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , --C_INCLUDE_MM2S_SF => C_INCLUDE_MM2S_SF , C_INCLUDE_MM2S_SF => 0 , C_INCLUDE_MM2S_DRE => C_MM2S_ENABLE_TKEEP , C_MM2S_SOF_ENABLE => MM2S_SOF_ENABLE , C_M_AXIS_MM2S_TUSER_BITS => C_M_AXIS_MM2S_TUSER_BITS , C_TOPLVL_LINEBUFFER_DEPTH => C_MM2S_LINEBUFFER_DEPTH , -- CR625142 ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_MM2S_FSYNC, --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_LINEBUFFER_DEPTH => MM2S_LINEBUFFER_DEPTH , C_LINEBUFFER_AE_THRESH => C_MM2S_LINEBUFFER_THRESH_INT , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_FAMILY => C_ROOT_FAMILY ) port map( ------------------------------------------------------------------- -- AXI Scatter Gather Interface ------------------------------------------------------------------- -- MM2S AXIS Datamover side s_axis_aclk => m_axi_mm2s_aclk , s_axis_resetn => mm2s_prmry_resetn , -- MM2S AXIS Out side m_axis_aclk => m_axis_mm2s_aclk , m_axis_resetn => mm2s_axis_resetn , mm2s_axis_linebuf_reset_out => mm2s_axis_linebuf_reset_out , run_stop => mm2s_dmacr(DMACR_RS_BIT) , s2mm_axis_resetn => s2mm_axis_resetn , s_axis_s2mm_aclk => s_axis_s2mm_aclk , mm2s_fsync => mm2s_fsync_fe , s2mm_fsync => s2mm_fsync_fe , mm2s_fsync_core => mm2s_fsync_core , mm2s_vsize_cntr_clr_flag => mm2s_vsize_cntr_clr_flag , mm2s_fsize_mismatch_err_flag => mm2s_fsize_mismatch_err_flag , MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S => MM2S_DROP_RESIDUAL_OF_FSIZE_ERR_FRAME_S , mm2s_fsize_mismatch_err_m => mm2s_fsize_mismatch_err_m , mm2s_fsize_mismatch_err_s => mm2s_fsize_mismatch_err_s , fsync_src_select => mm2s_dmacr(DMACR_FSYNCSEL_MSB downto DMACR_FSYNCSEL_LSB) , -- Graceful shut down control cmdsts_idle => mm2s_cmdsts_idle , dm_halt => mm2s_halt , dm_halt_reg_out => mm2s_halt_reg , stop => mm2s_stop , -- CR623291 stop_reg_out => mm2s_stop_reg , -- CR623291 -- Vertical Line Count control crnt_vsize => mm2s_crnt_vsize , -- CR616211 crnt_vsize_d2_out => mm2s_crnt_vsize_d2 , -- CR616211 fsync_out => mm2s_fsync_out_i , -- CR616211 fsync_out_m => mm2s_fsync_out_m , -- CR616211 frame_sync => mm2s_frame_sync , -- CR616211 -- Threshold linebuf_threshold => mm2s_linebuf_threshold , -- Stream In (Datamover to Linebuffer) s_axis_tdata => dm2linebuf_mm2s_tdata , s_axis_tkeep => dm2linebuf_mm2s_tkeep , s_axis_tlast => dm2linebuf_mm2s_tlast , s_axis_tvalid => dm2linebuf_mm2s_tvalid , s_axis_tready => linebuf2dm_mm2s_tready , -- Stream Out (Linebuffer to AXIS Out) m_axis_tdata => m_axis_mm2s_tdata_i , m_axis_tkeep => m_axis_mm2s_tkeep_i , m_axis_tlast => m_axis_mm2s_tlast_i , m_axis_tvalid => m_axis_mm2s_tvalid_i , m_axis_tready => m_axis_mm2s_tready_i , m_axis_tuser => m_axis_mm2s_tuser_i , -- Fifo Status Flags dwidth_fifo_pipe_empty => mm2s_dwidth_fifo_pipe_empty , dwidth_fifo_pipe_empty_m => mm2s_dwidth_fifo_pipe_empty_m , mm2s_fifo_pipe_empty => mm2s_allbuffer_empty , mm2s_fifo_empty => mm2s_buffer_empty_i , mm2s_fifo_almost_empty => mm2s_buffer_almost_empty_i , mm2s_all_lines_xfred_s_dwidth => mm2s_all_lines_xfred_s_dwidth , mm2s_all_lines_xfred_s => mm2s_all_lines_xfred_s , mm2s_all_lines_xfred => mm2s_all_lines_xfred -- CR616211 ); end generate GEN_SPRT_FOR_MM2S; -- Do not generate support logic for MM2S GEN_NO_SPRT_FOR_MM2S : if C_INCLUDE_MM2S = 0 generate begin -- Register Module Tie-Offs mm2s_ip2axi_rddata <= (others => '0'); --mm2s_ip2axi_rddata_valid <= '0'; mm2s_ip2axi_frame_ptr_ref <= (others => '0'); mm2s_ip2axi_frame_store <= (others => '0'); mm2s_ip2axi_introut <= '0'; mm2s_soft_reset <= '0'; mm2s_irqthresh_rstdsbl <= '0'; mm2s_dlyirq_dsble <= '0'; mm2s_irqthresh_wren <= '0'; mm2s_irqdelay_wren <= '0'; mm2s_tailpntr_updated <= '0'; mm2s_dmacr <= (others => '0'); mm2s_dmasr <= (others => '0'); mm2s_curdesc <= (others => '0'); mm2s_taildesc <= (others => '0'); --internal to mm2s generate (dont really need to tie off) mm2s_num_frame_store <= (others => '0'); mm2s_linebuf_threshold <= (others => '0'); mm2s_regdir_idle <= '0'; mm2s_prmtr_updt_complete <= '0'; mm2s_reg_module_vsize <= (others => '0'); mm2s_reg_module_hsize <= (others => '0'); mm2s_reg_module_stride <= (others => '0'); mm2s_reg_module_frmdly <= (others => '0'); -- Must zero each element of an array of vectors to zero -- all vectors. GEN_MM2S_ZERO_STRT : for i in 0 to C_NUM_FSTORES-1 generate begin mm2s_reg_module_strt_addr(i) <= (others => '0'); end generate GEN_MM2S_ZERO_STRT; -- Line Buffer Tie-Offs linebuf2dm_mm2s_tready <= '0'; m_axis_mm2s_tdata <= (others => '0'); m_axis_mm2s_tdata_i <= (others => '0'); m_axis_mm2s_tkeep <= (others => '0'); m_axis_mm2s_tkeep_i <= (others => '0'); m_axis_mm2s_tlast_i <= '0'; m_axis_mm2s_tlast_i_axis_dw_conv <= '0'; m_axis_mm2s_tuser <= (others => '0'); m_axis_mm2s_tuser_i <= (others => '0'); m_axis_mm2s_tvalid_i <= '0'; m_axis_mm2s_tvalid_i2 <= '0'; m_axis_mm2s_tvalid_i_axis_dw_conv <= '0'; mm2s_allbuffer_empty <= '0'; mm2s_dwidth_fifo_pipe_empty <= '0'; mm2s_buffer_empty_i <= '0'; mm2s_buffer_almost_empty_i <= '0'; mm2s_all_lines_xfred <= '0'; -- SOF generator mm2s_packet_sof <= '0'; -- DMA Controller mm2s_halted_clr <= '0'; mm2s_halted_set <= '0'; mm2s_idle_set <= '0'; mm2s_idle_clr <= '0'; mm2s_frame_number <= (others => '0'); mm2s_chnl_current_frame <= (others => '0'); mm2s_genlock_pair_frame <= (others => '0'); mm2s_new_curdesc <= (others => '0'); mm2s_new_curdesc_wren <= '0'; mm2s_stop <= '0'; mm2s_stop_reg <= '0'; mm2s_all_idle <= '1'; mm2s_cmdsts_idle <= '1'; mm2s_ftchcmdsts_idle <= '1'; m_axis_mm2s_ftch_tready <= '0'; s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others => '0'); m_axis_mm2s_sts_tready <= '0'; mm2s_m_frame_ptr_out <= (others => '0'); mm2s_frame_ptr_out_i <= (others => '0'); s2mm_to_mm2s_frame_ptr_in <= (others => '0'); mm2s_valid_frame_sync <= '0'; mm2s_valid_frame_sync_cmb <= '0'; mm2s_valid_video_prmtrs <= '0'; mm2s_parameter_update <= '0'; mm2s_tstvect_err <= '0'; mm2s_tstvect_fsync <= '0'; mm2s_tstvect_frame <= (others => '0'); mm2s_dma_interr_set <= '0'; mm2s_dma_interr_set_minus_frame_errors <= '0'; mm2s_dma_slverr_set <= '0'; mm2s_dma_decerr_set <= '0'; mm2s_crnt_vsize <= (others => '0'); mm2s_crnt_vsize_d2 <= (others => '0'); mm2s_fsize_mismatch_err <= '0'; mm2s_lsize_mismatch_err <= '0'; mm2s_lsize_more_mismatch_err <= '0'; -- Frame Sync generator mm2s_frame_sync <= '0'; mm2s_fsync_out_sig <= '0'; mm2s_prmtr_update_i <= '0'; mm2s_mask_fsync_out <= '0'; mm2s_mstrfrm_tstsync <= '0'; mm2s_mstrfrm_tstsync_out <= '0'; mm2s_tstvect_frm_ptr_out <= (others => '0'); mm2s_to_s2mm_fsync <= '0'; end generate GEN_NO_SPRT_FOR_MM2S; --***************************************************************************** --** S2MM CHANNEL ** --***************************************************************************** -- Generate support logic for S2MM GEN_SPRT_FOR_S2MM : if C_INCLUDE_S2MM = 1 generate signal no_fsync_before_vsize_sel_00_01 : std_logic := '0'; begin ------------------------------------------------------------------------------------------------------------------------------------------------------ s2mm_axis_linebuf_reset_out_inv <= not s2mm_axis_linebuf_reset_out; GEN_S2MM_DRE_ON_SKID : if C_S2MM_ENABLE_TKEEP = 1 generate begin --*********************************************************-- --** S2MM SLAVE SKID BUFFER **-- --*********************************************************-- I_S2MM_SKID_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_skid_buf generic map( C_WDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS ) port map( -- System Ports ACLK => s_axis_s2mm_aclk , ARST => s2mm_axis_linebuf_reset_out_inv , -- Shutdown control (assert for 1 clk pulse) skid_stop => '0' , -- Slave Side (Stream Data Input) S_VALID => s_axis_s2mm_tvalid , S_READY => s_axis_s2mm_tready , S_Data => s_axis_s2mm_tdata , S_STRB => s_axis_s2mm_tkeep , S_Last => s_axis_s2mm_tlast , S_User => s_axis_s2mm_tuser , -- Master Side (Stream Data Output) M_VALID => s_axis_s2mm_tvalid_signal , M_READY => s_axis_s2mm_tready_signal , M_Data => s_axis_s2mm_tdata_signal , M_STRB => s_axis_s2mm_tkeep_signal , M_Last => s_axis_s2mm_tlast_signal , M_User => s_axis_s2mm_tuser_signal ); end generate GEN_S2MM_DRE_ON_SKID; GEN_S2MM_DRE_OFF_SKID : if C_S2MM_ENABLE_TKEEP = 0 generate begin --*********************************************************-- --** S2MM SLAVE SKID BUFFER **-- --*********************************************************-- I_S2MM_SKID_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_skid_buf generic map( C_WDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS ) port map( -- System Ports ACLK => s_axis_s2mm_aclk , ARST => s2mm_axis_linebuf_reset_out_inv , -- Shutdown control (assert for 1 clk pulse) skid_stop => '0' , -- Slave Side (Stream Data Input) S_VALID => s_axis_s2mm_tvalid , S_READY => s_axis_s2mm_tready , S_Data => s_axis_s2mm_tdata , --S_STRB => s_axis_s2mm_tkeep , S_STRB => (others => '1') , S_Last => s_axis_s2mm_tlast , S_User => s_axis_s2mm_tuser , -- Master Side (Stream Data Output) M_VALID => s_axis_s2mm_tvalid_signal , M_READY => s_axis_s2mm_tready_signal , M_Data => s_axis_s2mm_tdata_signal , M_STRB => s_axis_s2mm_tkeep_signal , M_Last => s_axis_s2mm_tlast_signal , M_User => s_axis_s2mm_tuser_signal ); end generate GEN_S2MM_DRE_OFF_SKID; GEN_FLUSH_SOF_TREADY : if ENABLE_FLUSH_ON_S2MM_FSYNC = 1 and S2MM_SOF_ENABLE = 1 generate signal s2mm_fsize_less_err_flag_10 : std_logic := '0'; signal s2mm_fsize_less_err_flag_00_01 : std_logic := '0'; signal s_axis_s2mm_tuser_d1 : std_logic := '0'; signal s2mm_tuser_to_fsync_out : std_logic := '0'; signal d_tready_sof_late : std_logic := '0'; signal d_tready_sof_late_cmb : std_logic := '0'; signal s2mm_sof_late_err : std_logic := '0'; signal s2mm_prmtr_or_tail_ptr_updt_complete : std_logic := '0'; signal s2mm_prmtr_updt_complete_s : std_logic := '0'; signal s2mm_dmasr_halted_s : std_logic := '0'; signal d_tready_before_fsync_clr_flag1 : std_logic := '0'; signal d_tready_before_fsync : std_logic := '0'; signal d_tready_before_fsync_cmb : std_logic := '0'; signal d_tready_after_prmtr_updt : std_logic := '0'; signal d_tready_after_prmtr_updt_clrd_till_reset : std_logic := '0'; signal d_tready_after_prmtr_updt_clrd : std_logic := '0'; signal d_tready_sof_late_prmtr_updt : std_logic := '0'; signal d_tready_after_prmtr_updt_clrd_cmb : std_logic := '0'; signal s2mm_sof_late_err_prmtr_updt : std_logic := '0'; signal s2mm_fsync_src_select_s_d1 : std_logic_vector(1 downto 0) := (others => '0'); signal s2mm_dummy_tready_fsync_src_sel_00_or_01 : std_logic := '0'; signal s2mm_dummy_tready_fsync_src_sel_10 : std_logic := '0'; signal d_tready_before_fsync_clr_flag1_sel_00_01 : std_logic := '0'; signal d_tready_before_fsync_clrd_sel_00_01 : std_logic := '0'; signal d_tready_before_fsync_clr_sel_00_01 : std_logic := '0'; signal d_tready_before_fsync_sel_00_01 : std_logic := '0'; signal d_tready_before_fsync_cmb_sel_00_01 : std_logic := '0'; signal d_tready_after_vcount_sel_00_01 : std_logic := '0'; signal after_vcount_flag_sel_00_01 : std_logic := '0'; signal d_tready_after_fsize_less_err_flag_00_01 : std_logic := '0'; signal d_tready_after_fsize_less_err_00_01 : std_logic := '0'; signal s2mm_fsize_less_err_internal_tvalid_gating_10 : std_logic := '0'; signal s2mm_fsize_less_err_internal_tvalid_gating_00_01 : std_logic := '0'; begin no_fsync_before_vsize_sel_00_01 <= d_tready_before_fsync_clr_flag1_sel_00_01; s_axis_s2mm_tvalid_int <= s_axis_s2mm_tvalid_signal and s2mm_chnl_ready ; s_axis_s2mm_tready_signal <= (s_axis_s2mm_tready_i_axis_dw_conv and s2mm_chnl_ready) or s2mm_dummy_tready; GEN_C_USE_S2MM_FSYNC_1 : if C_USE_S2MM_FSYNC = 1 generate begin s2mm_dummy_tready <= s2mm_dummy_tready_fsync_src_sel_00_or_01; s2mm_fsize_less_err_internal_tvalid_gating <= s2mm_fsize_less_err_internal_tvalid_gating_00_01; end generate GEN_C_USE_S2MM_FSYNC_1; GEN_C_USE_S2MM_FSYNC_2 : if C_USE_S2MM_FSYNC = 2 generate begin s2mm_dummy_tready <= s2mm_dummy_tready_fsync_src_sel_10; s2mm_fsize_less_err_internal_tvalid_gating <= s2mm_fsize_less_err_internal_tvalid_gating_10; end generate GEN_C_USE_S2MM_FSYNC_2; ---- FSYNC_SEL_TREADY_S2MM_S : process(s2mm_fsync_src_select_s_d1, ---- s2mm_dummy_tready, ---- s2mm_dummy_tready_fsync_src_sel_00_or_01, ---- s2mm_fsize_less_err_internal_tvalid_gating_10, ---- s2mm_fsize_less_err_internal_tvalid_gating_00_01, ---- s2mm_fsize_less_err_internal_tvalid_gating, ---- s2mm_dummy_tready_fsync_src_sel_10) ---- begin ---- case s2mm_fsync_src_select_s_d1 is ---- ---- when "00" => -- primary fsync (default) ---- s2mm_dummy_tready <= s2mm_dummy_tready_fsync_src_sel_00_or_01; ---- s2mm_fsize_less_err_internal_tvalid_gating <= s2mm_fsize_less_err_internal_tvalid_gating_00_01; ---- when "01" => -- other channel fsync ---- s2mm_dummy_tready <= s2mm_dummy_tready_fsync_src_sel_00_or_01; ---- s2mm_fsize_less_err_internal_tvalid_gating <= s2mm_fsize_less_err_internal_tvalid_gating_00_01; ---- when "10" => -- s2mm_tuser_fsync_top_d1 fsync ---- s2mm_dummy_tready <= s2mm_dummy_tready_fsync_src_sel_10; ---- s2mm_fsize_less_err_internal_tvalid_gating <= s2mm_fsize_less_err_internal_tvalid_gating_10; ---- when others => ---- s2mm_dummy_tready <= '0'; ---- s2mm_fsize_less_err_internal_tvalid_gating <= '0'; ---- end case; ---- end process FSYNC_SEL_TREADY_S2MM_S; ---- ---- ---- ---- D1_S2MM_FSYNC_SRC_SEL_STRM : process(s_axis_s2mm_aclk) ---- begin ---- if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then ---- if(s2mm_axis_resetn = '0')then ---- s2mm_fsync_src_select_s_d1 <= (others => '0'); ---- else ---- s2mm_fsync_src_select_s_d1 <= s2mm_fsync_src_select_s; ---- end if; ---- end if; ---- end process D1_S2MM_FSYNC_SRC_SEL_STRM; ---- --------------------------------------------------TUSER Start------------------------------------------------------------------------------------------------------------------------- s2mm_dummy_tready_fsync_src_sel_10 <= d_tready_sof_late_cmb or d_tready_before_fsync_cmb ; d_tready_sof_late_cmb <= d_tready_sof_late when s2mm_tuser_fsync_top = '0' and s2mm_tuser_to_fsync_out = '0' and s2mm_chnl_ready = '0' else '0'; TUSER_TO_FSYNC_OUT_FLAG : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_fsync_out_i = '1' )then s2mm_tuser_to_fsync_out <= '0'; elsif(s2mm_tuser_fsync_top = '1' and d_tready_before_fsync_clr_flag1 = '0')then s2mm_tuser_to_fsync_out <= '1'; end if; end if; end process TUSER_TO_FSYNC_OUT_FLAG; s2mm_fsize_less_err_internal_tvalid_gating_10 <= '1' when s2mm_fsize_less_err_flag_10 = '1' and s2mm_tuser_fsync_top = '0' else '0'; FSIZE_LESS_ERR_FLAG_10 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_tuser_fsync_top = '1')then s2mm_fsize_less_err_flag_10 <= '0'; elsif(s2mm_fsize_mismatch_err_s = '1')then s2mm_fsize_less_err_flag_10 <= '1'; end if; end if; end process FSIZE_LESS_ERR_FLAG_10; TOP_TUSER_RE_PROCESS : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0')then s_axis_s2mm_tuser_d1 <= '0'; else s_axis_s2mm_tuser_d1 <= s_axis_s2mm_tuser_signal(0) and s_axis_s2mm_tvalid_signal; end if; end if; end process TOP_TUSER_RE_PROCESS; s2mm_tuser_fsync_top <= s_axis_s2mm_tuser_signal(0) and s_axis_s2mm_tvalid_signal and (not s_axis_s2mm_tuser_d1); SOF_LATE_ERR_PULSE_PROCESS : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_sof_late_err = '1' or s2mm_chnl_ready = '1' or d_tready_before_fsync_clr_flag1 = '1')then s2mm_sof_late_err <= '0'; d_tready_sof_late <= '0'; elsif((s2mm_chnl_ready = '0' or s2mm_fsize_less_err_internal_tvalid_gating_10 = '1') and s_axis_s2mm_tvalid_signal = '1' and s_axis_s2mm_tuser_signal(0) = '0' ) then s2mm_sof_late_err <= '1'; d_tready_sof_late <= '1'; end if; end if; end process SOF_LATE_ERR_PULSE_PROCESS; -------------------------------------------------------------------------------------------------------- d_tready_before_fsync_cmb <= d_tready_before_fsync and d_tready_before_fsync_clr_flag1; GEN_D_TREADY_BEFORE_FSYNC : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(d_tready_before_fsync_clr_flag1 = '0')then d_tready_before_fsync <= '0'; elsif(s2mm_axis_resetn = '1' or s2mm_dmasr_halted_s = '1')then d_tready_before_fsync <= '1'; end if; end if; end process GEN_D_TREADY_BEFORE_FSYNC; VALID_PRM_UPDT_FLAG_10 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_dmasr_halted_s = '1')then d_tready_before_fsync_clr_flag1 <= '1'; elsif(s2mm_prmtr_updt_complete_s = '1')then d_tready_before_fsync_clr_flag1 <= '0'; end if; end if; end process VALID_PRM_UPDT_FLAG_10; --------------------------------------------------TUSER End------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------External Fsync Start----------------------------------------------------------------------------------------------------------------------- s2mm_dummy_tready_fsync_src_sel_00_or_01 <= d_tready_after_fsize_less_err_00_01 or d_tready_after_vcount_sel_00_01 or d_tready_before_fsync_cmb_sel_00_01; -------------------------------------------------------------------------------------------------------------- d_tready_after_fsize_less_err_00_01 <= '1' when d_tready_after_fsize_less_err_flag_00_01 = '1' and s2mm_fsync_core = '0' and hold_dummy_tready_low2 = '0' else '0'; TREADY_AFTER_FSIZE_LESS_ERR_FLAG_00_01 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_fsync_core = '1' or hold_dummy_tready_low2 = '1')then d_tready_after_fsize_less_err_flag_00_01 <= '0'; elsif(s2mm_fsize_mismatch_err_s = '1')then d_tready_after_fsize_less_err_flag_00_01 <= '1'; end if; end if; end process TREADY_AFTER_FSIZE_LESS_ERR_FLAG_00_01; -------------------------------------------------------------------------------------------------------------- d_tready_after_vcount_sel_00_01 <= '1' when s2mm_fsync_core = '0' and after_vcount_flag_sel_00_01 = '1' and hold_dummy_tready_low = '0' else '0'; REG_S2MM_FSYNC_TO_FSYNC_OUT_FLAG_00_01 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_fsync_core = '1' or hold_dummy_tready_low = '1')then after_vcount_flag_sel_00_01 <= '0'; elsif(s2mm_all_vount_rcvd = '1')then after_vcount_flag_sel_00_01 <= '1'; end if; end if; end process REG_S2MM_FSYNC_TO_FSYNC_OUT_FLAG_00_01; -------------------------------------------------------------------------------------------------------------- d_tready_before_fsync_cmb_sel_00_01 <= d_tready_before_fsync_sel_00_01 and d_tready_before_fsync_clr_sel_00_01; GEN_D_TREADY_BEFORE_FSYNC_00_01 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_fsync_core = '1'and d_tready_before_fsync_clr_flag1_sel_00_01 = '1')then d_tready_before_fsync_sel_00_01 <= '0'; elsif(s2mm_axis_resetn = '1')then d_tready_before_fsync_sel_00_01 <= '1'; end if; end if; end process GEN_D_TREADY_BEFORE_FSYNC_00_01; d_tready_before_fsync_clr_sel_00_01 <= '0' when d_tready_before_fsync_clr_flag1_sel_00_01 = '1' and s2mm_fsync_core = '1' else d_tready_before_fsync_clrd_sel_00_01; REG_INITIAL_FRM_FLAG_00_01 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_dmasr_halted_s = '1')then d_tready_before_fsync_clrd_sel_00_01 <= '1'; elsif(s2mm_fsync_core = '1'and d_tready_before_fsync_clr_flag1_sel_00_01 = '1')then d_tready_before_fsync_clrd_sel_00_01 <= '0'; end if; end if; end process REG_INITIAL_FRM_FLAG_00_01; VALID_PRM_UPDT_FLAG_00_01 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_dmasr_halted_s = '1')then d_tready_before_fsync_clr_flag1_sel_00_01 <= '0'; elsif(s2mm_prmtr_updt_complete_s = '1')then d_tready_before_fsync_clr_flag1_sel_00_01 <= '1'; end if; end if; end process VALID_PRM_UPDT_FLAG_00_01; ----------------------------------------------------------------------------------- s2mm_fsize_less_err_internal_tvalid_gating_00_01 <= '1' when s2mm_fsize_less_err_flag_00_01 = '1' and s2mm_fsync_core = '0' else '0'; FSIZE_LESS_ERR_FLAG_00_01 : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then if(s2mm_axis_resetn = '0' or s2mm_fsync_core = '1')then s2mm_fsize_less_err_flag_00_01 <= '0'; elsif(s2mm_fsize_mismatch_err_s = '1')then s2mm_fsize_less_err_flag_00_01 <= '1'; end if; end if; end process FSIZE_LESS_ERR_FLAG_00_01; --------------------------------------------------External Fsync End------------------------------------------------------------------------------------------------------------------------- SG_INCLUDED : if C_INCLUDE_SG = 1 generate s2mm_prmtr_or_tail_ptr_updt_complete <= s2mm_tailpntr_updated; end generate SG_INCLUDED; SG_NOT_INCLUDED : if C_INCLUDE_SG = 0 generate s2mm_prmtr_or_tail_ptr_updt_complete <= s2mm_prmtr_updt_complete; end generate SG_NOT_INCLUDED; GEN_FOR_ASYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin ---- S2MM_PRM_UPDT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axi_s2mm_aclk , ---- prmry_resetn => s2mm_prmry_resetn , ---- scndry_aclk => s_axis_s2mm_aclk , ---- scndry_resetn => s2mm_axis_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => s2mm_prmtr_or_tail_ptr_updt_complete , ---- scndry_out => s2mm_prmtr_updt_complete_s , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- S2MM_PRM_UPDT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 0, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axi_s2mm_aclk, prmry_resetn => s2mm_prmry_resetn, prmry_in => s2mm_prmtr_or_tail_ptr_updt_complete, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_s2mm_aclk, scndry_resetn => s2mm_axis_resetn, scndry_out => s2mm_prmtr_updt_complete_s, scndry_vect_out => open ); ---- S2MM_HALTED_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axi_s2mm_aclk , ---- prmry_resetn => s2mm_prmry_resetn , ---- scndry_aclk => s_axis_s2mm_aclk , ---- scndry_resetn => s2mm_axis_resetn , ---- scndry_in => '0' , -- Not Used ---- prmry_out => open , -- Not Used ---- prmry_in => s2mm_dmasr(DMASR_HALTED_BIT) , ---- scndry_out => s2mm_dmasr_halted_s , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- S2MM_HALTED_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => m_axi_s2mm_aclk, prmry_resetn => s2mm_prmry_resetn, prmry_in => s2mm_dmasr(DMASR_HALTED_BIT), prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => s_axis_s2mm_aclk, scndry_resetn => s2mm_axis_resetn, scndry_out => s2mm_dmasr_halted_s, scndry_vect_out => open ); ---- SOF_LATE_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map ( ---- prmry_aclk => m_axi_s2mm_aclk , ---- prmry_resetn => s2mm_prmry_resetn , ---- scndry_aclk => s_axis_s2mm_aclk , ---- scndry_resetn => s2mm_axis_resetn , ---- scndry_in => s2mm_fsize_more_or_sof_late_s , -- Not Used ---- prmry_out => s2mm_fsize_more_or_sof_late , -- Not Used ---- prmry_in => '0' , ---- scndry_out => open , ---- scndry_vect_s_h => '0' , -- Not Used ---- scndry_vect_in => ZERO_VALUE(0 downto 0) , -- Not Used ---- prmry_vect_out => open , -- Not Used ---- prmry_vect_s_h => '0' , -- Not Used ---- prmry_vect_in => ZERO_VALUE(0 downto 0) , -- Not Used ---- scndry_vect_out => open -- Not Used ---- ); ---- SOF_LATE_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 1, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axis_s2mm_aclk, prmry_resetn => s2mm_axis_resetn, prmry_in => s2mm_fsize_more_or_sof_late_s, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => m_axi_s2mm_aclk, scndry_resetn => s2mm_prmry_resetn, scndry_out => s2mm_fsize_more_or_sof_late, scndry_vect_out => open ); end generate GEN_FOR_ASYNC_FLUSH_SOF; GEN_FOR_SYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin s2mm_dmasr_halted_s <= s2mm_dmasr(DMASR_HALTED_BIT); s2mm_prmtr_updt_complete_s <= s2mm_prmtr_or_tail_ptr_updt_complete; s2mm_fsize_more_or_sof_late <= s2mm_fsize_more_or_sof_late_s; end generate GEN_FOR_SYNC_FLUSH_SOF; --------------------------------------------------------------------------- end generate GEN_FLUSH_SOF_TREADY; ---------------------------------------------------------------------------------------------------------------------------------------------------------- GEN_FLUSH_NO_SOF_TREADY : if ENABLE_FLUSH_ON_S2MM_FSYNC = 1 and S2MM_SOF_ENABLE = 0 generate begin --s_axis_s2mm_tdata_signal <= s_axis_s2mm_tdata; --s_axis_s2mm_tkeep_signal <= s_axis_s2mm_tkeep; --s_axis_s2mm_tuser_signal <= s_axis_s2mm_tuser; --s_axis_s2mm_tlast_signal <= s_axis_s2mm_tlast; --s_axis_s2mm_tvalid_signal <= s_axis_s2mm_tvalid; --s_axis_s2mm_tready <= s_axis_s2mm_tready_signal; s_axis_s2mm_tvalid_int <= s_axis_s2mm_tvalid_signal; s_axis_s2mm_tready_signal <= s_axis_s2mm_tready_i_axis_dw_conv; s2mm_dummy_tready <= '0'; end generate GEN_FLUSH_NO_SOF_TREADY; GEN_NO_FLUSH_TREADY : if ENABLE_FLUSH_ON_S2MM_FSYNC = 0 generate begin --s_axis_s2mm_tdata_signal <= s_axis_s2mm_tdata; --s_axis_s2mm_tkeep_signal <= s_axis_s2mm_tkeep; --s_axis_s2mm_tuser_signal <= s_axis_s2mm_tuser; --s_axis_s2mm_tlast_signal <= s_axis_s2mm_tlast; --s_axis_s2mm_tvalid_signal <= s_axis_s2mm_tvalid; --s_axis_s2mm_tready <= s_axis_s2mm_tready_signal; s_axis_s2mm_tvalid_int <= s_axis_s2mm_tvalid_signal; s_axis_s2mm_tready_signal <= s_axis_s2mm_tready_i_axis_dw_conv; s2mm_dummy_tready <= '0'; end generate GEN_NO_FLUSH_TREADY; GEN_AXIS_S2MM_DWIDTH_CONV : if C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED /= C_S_AXIS_S2MM_TDATA_WIDTH generate constant C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8 : integer := C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8; constant C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8 : integer := C_S_AXIS_S2MM_TDATA_WIDTH/8; signal s_axis_s2mm_dwidth_tuser_i : std_logic_vector -- (C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) := (others => '0'); -- signal s_axis_s2mm_dwidth_tuser : std_logic_vector -- (C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 downto 0) := (others => '0'); -- begin S2MM_TUSER_CNCT : for i in 0 to C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 generate begin s_axis_s2mm_dwidth_tuser(i) <= s_axis_s2mm_tuser_signal(0); end generate S2MM_TUSER_CNCT; s_axis_s2mm_tuser_i(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) <= s_axis_s2mm_dwidth_tuser_i(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0); AXIS_S2MM_DWIDTH_CONVERTER_I: entity axi_vdma_v6_2_8.axi_vdma_s2mm_axis_dwidth_converter generic map(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED , C_S_AXIS_S2MM_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , --C_AXIS_SIGNAL_SET => 255 , C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8 => C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8 , C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8 => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8 , C_S2MM_SOF_ENABLE => S2MM_SOF_ENABLE , ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_S2MM_FSYNC, C_AXIS_TID_WIDTH => 1 , C_AXIS_TDEST_WIDTH => 1 , C_FAMILY => C_ROOT_FAMILY ) port map( ACLK => s_axis_s2mm_aclk , ARESETN => s2mm_axis_linebuf_reset_out , --ARESETN => s2mm_axis_resetn , ACLKEN => '1' , s2mm_fsize_less_err_internal_tvalid_gating => s2mm_fsize_less_err_internal_tvalid_gating , -- : in std_logic ; fsync_out => s2mm_fsync_out_i , -- : in std_logic ; crnt_vsize_d2 => s2mm_crnt_vsize_d2 , -- : in std_logic_vector(VSIZE_DWIDTH-1 downto 0) ; chnl_ready_dwidth => s2mm_chnl_ready , -- : out std_logic; strm_not_finished_dwidth => s2mm_strm_not_finished , -- : out std_logic; strm_all_lines_rcvd_dwidth => s2mm_strm_all_lines_rcvd , -- : out std_logic; all_vount_rcvd_dwidth => s2mm_all_vount_rcvd , -- : out std_logic; S_AXIS_TVALID => s_axis_s2mm_tvalid_int , S_AXIS_TREADY => s_axis_s2mm_tready_i_axis_dw_conv , S_AXIS_TDATA => s_axis_s2mm_tdata_signal(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0) , --S_AXIS_TSTRB => ZERO_VALUE(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TSTRB => s_axis_s2mm_tkeep_signal(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TKEEP => s_axis_s2mm_tkeep_signal(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TLAST => s_axis_s2mm_tlast_signal , S_AXIS_TID => ZERO_VALUE(0 downto 0) , S_AXIS_TDEST => ZERO_VALUE(0 downto 0) , S_AXIS_TUSER => s_axis_s2mm_dwidth_tuser(C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 downto 0) , M_AXIS_TVALID => s_axis_s2mm_tvalid_i , M_AXIS_TREADY => s_axis_s2mm_tready_i , M_AXIS_TDATA => s_axis_s2mm_tdata_i(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0) , M_AXIS_TSTRB => open , M_AXIS_TKEEP => s_axis_s2mm_tkeep_i(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0) , M_AXIS_TLAST => s_axis_s2mm_tlast_i , M_AXIS_TID => open , M_AXIS_TDEST => open , M_AXIS_TUSER => s_axis_s2mm_dwidth_tuser_i(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ) ; end generate GEN_AXIS_S2MM_DWIDTH_CONV; GEN_NO_AXIS_S2MM_DWIDTH_CONV_NO_FLUSH_SOF : if ((C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED = C_S_AXIS_S2MM_TDATA_WIDTH) and (ENABLE_FLUSH_ON_S2MM_FSYNC = 0 or S2MM_SOF_ENABLE = 0) )generate begin s_axis_s2mm_tvalid_i <= s_axis_s2mm_tvalid_int; s_axis_s2mm_tdata_i <= s_axis_s2mm_tdata_signal; s_axis_s2mm_tkeep_i <= s_axis_s2mm_tkeep_signal; s_axis_s2mm_tlast_i <= s_axis_s2mm_tlast_signal; s_axis_s2mm_tuser_i <= s_axis_s2mm_tuser_signal; s_axis_s2mm_tready_i_axis_dw_conv <= s_axis_s2mm_tready_i; s2mm_chnl_ready <= '0' ; s2mm_strm_not_finished <= '0' ; s2mm_strm_all_lines_rcvd <= '0' ; s2mm_all_vount_rcvd <= '0' ; end generate GEN_NO_AXIS_S2MM_DWIDTH_CONV_NO_FLUSH_SOF; GEN_NO_AXIS_S2MM_DWIDTH_CONV : if ((C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED = C_S_AXIS_S2MM_TDATA_WIDTH) and (ENABLE_FLUSH_ON_S2MM_FSYNC = 1 and S2MM_SOF_ENABLE = 1) ) generate constant ZERO_VALUE : std_logic_vector(255 downto 0) := (others => '0'); constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,VSIZE_DWIDTH)); constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); signal chnl_ready_no_dwidth : std_logic := '0'; signal strm_not_finished_no_dwidth : std_logic := '0'; signal strm_all_lines_rcvd_no_dwidth : std_logic := '0'; signal decr_vcount_no_dwidth : std_logic := '0'; signal vsize_counter_no_dwidth : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); signal all_vount_rcvd_no_dwidth : std_logic := '0'; begin s_axis_s2mm_tvalid_i <= s_axis_s2mm_tvalid_int; s_axis_s2mm_tdata_i <= s_axis_s2mm_tdata_signal; s_axis_s2mm_tkeep_i <= s_axis_s2mm_tkeep_signal; s_axis_s2mm_tlast_i <= s_axis_s2mm_tlast_signal; s_axis_s2mm_tuser_i <= s_axis_s2mm_tuser_signal; s_axis_s2mm_tready_i_axis_dw_conv <= s_axis_s2mm_tready_i; -- Decrement vertical count with each accept tlast decr_vcount_no_dwidth <= '1' when s_axis_s2mm_tlast_signal = '1' and s_axis_s2mm_tvalid_int = '1' and s_axis_s2mm_tready_i_axis_dw_conv = '1' else '0'; -- Drive ready at fsync out then de-assert once all lines have -- been accepted. NO_DWIDTH_VERT_COUNTER : process(s_axis_s2mm_aclk) begin if(s_axis_s2mm_aclk'EVENT and s_axis_s2mm_aclk = '1')then --if(s2mm_axis_linebuf_reset_out = '0' and s2mm_fsync_out_i = '0')then --if((s2mm_axis_linebuf_reset_out = '0' and s2mm_fsync_out_i = '0') or s2mm_fsize_less_err_flag = '1')then if((s2mm_axis_linebuf_reset_out = '0' and s2mm_fsync_out_i = '0') or s2mm_fsize_less_err_internal_tvalid_gating = '1')then vsize_counter_no_dwidth <= (others => '0'); chnl_ready_no_dwidth <= '0'; strm_not_finished_no_dwidth <= '0'; strm_all_lines_rcvd_no_dwidth <= '1'; all_vount_rcvd_no_dwidth <= '0'; elsif(s2mm_fsync_out_i = '1')then vsize_counter_no_dwidth <= s2mm_crnt_vsize_d2; chnl_ready_no_dwidth <= '1'; strm_not_finished_no_dwidth <= '1'; strm_all_lines_rcvd_no_dwidth <= '0'; all_vount_rcvd_no_dwidth <= '0'; elsif(decr_vcount_no_dwidth = '1' and vsize_counter_no_dwidth = VSIZE_ONE_VALUE)then vsize_counter_no_dwidth <= (others => '0'); chnl_ready_no_dwidth <= '0'; strm_not_finished_no_dwidth <= '0'; strm_all_lines_rcvd_no_dwidth <= '1'; all_vount_rcvd_no_dwidth <= '1'; elsif(decr_vcount_no_dwidth = '1' and vsize_counter_no_dwidth /= VSIZE_ZERO_VALUE)then vsize_counter_no_dwidth <= std_logic_vector(unsigned(vsize_counter_no_dwidth) - 1); chnl_ready_no_dwidth <= '1'; strm_not_finished_no_dwidth <= '1'; strm_all_lines_rcvd_no_dwidth <= '0'; all_vount_rcvd_no_dwidth <= '0'; else all_vount_rcvd_no_dwidth <= '0'; end if; end if; end process NO_DWIDTH_VERT_COUNTER; s2mm_chnl_ready <= chnl_ready_no_dwidth; s2mm_strm_not_finished <= strm_not_finished_no_dwidth; s2mm_strm_all_lines_rcvd <= strm_all_lines_rcvd_no_dwidth; s2mm_all_vount_rcvd <= all_vount_rcvd_no_dwidth; end generate GEN_NO_AXIS_S2MM_DWIDTH_CONV; --------------------------------------------------------------------------- -- S2MM Register Module --------------------------------------------------------------------------- S2MM_REGISTER_MODULE_I : entity axi_vdma_v6_2_8.axi_vdma_reg_module generic map( C_TOTAL_NUM_REGISTER => TOTAL_NUM_REGISTER , C_INCLUDE_SG => C_INCLUDE_SG , C_CHANNEL_IS_MM2S => CHANNEL_IS_S2MM , C_ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_S2MM_FSYNC , -- CR591965 C_ENABLE_VIDPRMTR_READS => C_ENABLE_VIDPRMTR_READS , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE , C_DYNAMIC_RESOLUTION => C_DYNAMIC_RESOLUTION , C_NUM_FSTORES => C_NUM_FSTORES , C_NUM_FSTORES_64 => C_NUM_FSTORES_64 , --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_LINEBUFFER_THRESH => C_S2MM_LINEBUFFER_THRESH_INT , C_GENLOCK_MODE => C_S2MM_GENLOCK_MODE , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH --C_M_AXI_S2MM_ADDR_WIDTH_NEW ) port map( prmry_aclk => m_axi_s2mm_aclk , prmry_resetn => s2mm_prmry_resetn , -- Register to AXI Lite Interface axi2ip_wrce => s2mm_axi2ip_wrce , axi2ip_wrdata => s2mm_axi2ip_wrdata , axi2ip_rdaddr => s2mm_axi2ip_rdaddr , --axi2ip_rden => s2mm_axi2ip_rden , axi2ip_rden => '0' , ip2axi_rddata => s2mm_ip2axi_rddata , --ip2axi_rddata_valid => s2mm_ip2axi_rddata_valid , ip2axi_rddata_valid => open , ip2axi_frame_ptr_ref => s2mm_ip2axi_frame_ptr_ref , ip2axi_frame_store => s2mm_ip2axi_frame_store , ip2axi_introut => s2mm_ip2axi_introut , -- Soft Reset soft_reset => s2mm_soft_reset , soft_reset_clr => s2mm_soft_reset_clr , -- DMA Control / Status Register Signals halted_clr => s2mm_halted_clr , halted_set => s2mm_halted_set , idle_set => s2mm_idle_set , idle_clr => s2mm_idle_clr , ioc_irq_set => s2mm_ioc_irq_set , dly_irq_set => s2mm_dly_irq_set , irqdelay_status => s2mm_irqdelay_status , irqthresh_status => s2mm_irqthresh_status , frame_sync => s2mm_frame_sync , fsync_mask => s2mm_mask_fsync_out , new_curdesc_wren => s2mm_new_curdesc_wren , new_curdesc => s2mm_new_curdesc , update_frmstore => s2mm_update_frmstore , new_frmstr => s2mm_frame_number , tstvect_fsync => s2mm_tstvect_fsync , valid_frame_sync => s2mm_valid_frame_sync , irqthresh_rstdsbl => s2mm_irqthresh_rstdsbl , dlyirq_dsble => s2mm_dlyirq_dsble , irqthresh_wren => s2mm_irqthresh_wren , irqdelay_wren => s2mm_irqdelay_wren , tailpntr_updated => s2mm_tailpntr_updated , -- Error Detection Control stop => s2mm_stop , dma_interr_set => s2mm_dma_interr_set , dma_interr_set_minus_frame_errors => s2mm_dma_interr_set_minus_frame_errors , dma_slverr_set => s2mm_dma_slverr_set , dma_decerr_set => s2mm_dma_decerr_set , ftch_slverr_set => s2mm_ftch_slverr_set , ftch_decerr_set => s2mm_ftch_decerr_set , fsize_mismatch_err => s2mm_fsize_mismatch_err , lsize_mismatch_err => s2mm_lsize_mismatch_err , lsize_more_mismatch_err => s2mm_lsize_more_mismatch_err , s2mm_fsize_more_or_sof_late => s2mm_fsize_more_or_sof_late , -- VDMA Base Registers reg_index => s2mm_reg_index , dmacr => s2mm_dmacr , dmasr => s2mm_dmasr , curdesc => s2mm_curdesc , taildesc => s2mm_taildesc , num_frame_store => s2mm_num_frame_store , linebuf_threshold => s2mm_linebuf_threshold , -- Register Direct Support regdir_idle => s2mm_regdir_idle , prmtr_updt_complete => s2mm_prmtr_updt_complete , reg_module_vsize => s2mm_reg_module_vsize , reg_module_hsize => s2mm_reg_module_hsize , reg_module_stride => s2mm_reg_module_stride , reg_module_frmdly => s2mm_reg_module_frmdly , reg_module_strt_addr => s2mm_reg_module_strt_addr , -- Fetch/Update error addresses frmstr_err_addr => s2mm_frmstr_err_addr , ftch_err_addr => s2mm_ftch_err_addr ); S2MMADDR32: if C_M_AXI_S2MM_ADDR_WIDTH_NEW = 32 generate begin --------------------------------------------------------------------------- -- S2MM DMA Controller --------------------------------------------------------------------------- I_S2MM_DMA_MNGR : entity axi_vdma_v6_2_8.axi_vdma_mngr generic map( C_PRMY_CMDFIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_SF => DM_S2MM_INCLUDE_SF , C_USE_FSYNC => C_USE_S2MM_FSYNC_01 , -- CR582182 C_ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_S2MM_FSYNC , -- CR591965 C_NUM_FSTORES => C_NUM_FSTORES , C_GENLOCK_MODE => C_S2MM_GENLOCK_MODE , C_GENLOCK_NUM_MASTERS => C_S2MM_GENLOCK_NUM_MASTERS , C_DYNAMIC_RESOLUTION => C_DYNAMIC_RESOLUTION , --C_GENLOCK_REPEAT_EN => C_S2MM_GENLOCK_REPEAT_EN , -- CR591965 --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE , C_INCLUDE_SG => C_INCLUDE_SG , -- CR581800 C_SELECT_XPM => C_SELECT_XPM, C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_M_AXI_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_NEW , C_DM_STATUS_WIDTH => S2MM_DM_STATUS_WIDTH , -- CR608521 C_EXTEND_DM_COMMAND => S2MM_DM_CMD_EXTENDED , C_S2MM_SOF_ENABLE => S2MM_SOF_ENABLE, C_MM2S_SOF_ENABLE => 0 , C_INCLUDE_MM2S => 0 , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_FAMILY => C_ROOT_FAMILY ) port map( -- Secondary Clock and Reset prmry_aclk => m_axi_s2mm_aclk , prmry_resetn => s2mm_prmry_resetn , soft_reset => s2mm_soft_reset , scndry_aclk => s_axis_s2mm_aclk , scndry_resetn => s2mm_axis_resetn , -- MM2S Control and Status run_stop => s2mm_dmacr(DMACR_RS_BIT) , dmacr_repeat_en => s2mm_dmacr(DMACR_REPEAT_EN_BIT) , dmasr_halt => s2mm_dmasr(DMASR_HALTED_BIT) , sync_enable => s2mm_dmacr(DMACR_SYNCEN_BIT) , regdir_idle => s2mm_regdir_idle , ftch_idle => s2mm_ftch_idle , halt => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , halted_clr => s2mm_halted_clr , halted_set => s2mm_halted_set , idle_set => s2mm_idle_set , idle_clr => s2mm_idle_clr , stop => s2mm_stop , s2mm_fsize_more_or_sof_late => s2mm_fsize_more_or_sof_late , s2mm_dmasr_lsize_less_err => s2mm_dmasr(DMASR_LSIZEERR_BIT) , all_idle => s2mm_all_idle , cmdsts_idle => s2mm_cmdsts_idle , ftchcmdsts_idle => s2mm_ftchcmdsts_idle , s2mm_fsync_out_m => s2mm_fsync_out_m_i , mm2s_fsync_out_m => '0' , -- CR616211 frame_sync => s2mm_frame_sync , update_frmstore => s2mm_update_frmstore , -- CR582182 frmstr_err_addr => s2mm_frmstr_err_addr , -- CR582182 frame_ptr_ref => s2mm_ip2axi_frame_ptr_ref , frame_ptr_in => s2mm_s_frame_ptr_in , frame_ptr_out => s2mm_m_frame_ptr_out , internal_frame_ptr_in => mm2s_to_s2mm_frame_ptr_in , valid_frame_sync => s2mm_valid_frame_sync , valid_frame_sync_cmb => s2mm_valid_frame_sync_cmb , valid_video_prmtrs => s2mm_valid_video_prmtrs , parameter_update => s2mm_parameter_update , circular_prk_mode => s2mm_dmacr(DMACR_CRCLPRK_BIT) , mstr_pntr_ref => s2mm_dmacr(DMACR_PNTR_NUM_MSB downto DMACR_PNTR_NUM_LSB) , genlock_select => s2mm_dmacr(DMACR_GENLOCK_SEL_BIT), line_buffer_empty => '1' , -- NOT Used by S2MM therefore tie off dwidth_fifo_pipe_empty => '1' , -- NOT Used by S2MM therefore tie off crnt_vsize => s2mm_crnt_vsize , -- CR575884 num_frame_store => s2mm_num_frame_store , all_lines_xfred => s2mm_all_lines_xfred , -- CR591965 all_lasts_rcvd => all_lasts_rcvd , mm2s_fsize_mismatch_err_m => '0' , -- Not Needed for MM2S channel mm2s_fsize_mismatch_err_s => '0' , -- Not Needed for MM2S channel s2mm_fsize_mismatch_err_s => s2mm_fsize_mismatch_err_s , -- Not Needed for MM2S channel drop_fsync_d_pulse_gen_fsize_less_err => drop_fsync_d_pulse_gen_fsize_less_err , s2mm_strm_all_lines_rcvd => s2mm_strm_all_lines_rcvd , -- : out std_logic; s2mm_fsync_core => s2mm_fsync_core , fsize_mismatch_err_flag => s2mm_fsize_mismatch_err_flag , -- CR591965 fsize_mismatch_err => s2mm_fsize_mismatch_err , -- CR591965 lsize_mismatch_err => s2mm_lsize_mismatch_err , -- CR591965 lsize_more_mismatch_err => s2mm_lsize_more_mismatch_err , -- CR591965 capture_hsize_at_uf_err => s2mm_capture_hsize_at_uf_err_sig , -- Register Direct Support prmtr_updt_complete => s2mm_prmtr_updt_complete , reg_module_vsize => s2mm_reg_module_vsize , reg_module_hsize => s2mm_reg_module_hsize , reg_module_stride => s2mm_reg_module_stride , reg_module_frmdly => s2mm_reg_module_frmdly , reg_module_strt_addr => s2mm_reg_module_strt_addr , -- Test vector signals tstvect_err => s2mm_tstvect_err , tstvect_fsync => s2mm_tstvect_fsync , tstvect_frame => s2mm_tstvect_frame , tstvect_frm_ptr_out => s2mm_tstvect_frm_ptr_out , mstrfrm_tstsync_out => s2mm_mstrfrm_tstsync , -- AXI Stream Timing packet_sof => s2mm_packet_sof , -- Primary DMA Errors dma_interr_set => s2mm_dma_interr_set , dma_interr_set_minus_frame_errors => s2mm_dma_interr_set_minus_frame_errors , dma_slverr_set => s2mm_dma_slverr_set , dma_decerr_set => s2mm_dma_decerr_set , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_ftch_tlast => m_axis_s2mm_ftch_tlast , -- Currently Being Processed Descriptor/Frame frame_number => s2mm_frame_number , chnl_current_frame => s2mm_chnl_current_frame , genlock_pair_frame => s2mm_genlock_pair_frame , new_curdesc => s2mm_new_curdesc , new_curdesc_wren => s2mm_new_curdesc_wren , tailpntr_updated => s2mm_tailpntr_updated , -- User Command Interface Ports (AXI Stream) s_axis_cmd_tvalid => s_axis_s2mm_cmd_tvalid , s_axis_cmd_tready => s_axis_s2mm_cmd_tready , s_axis_cmd_tdata => s_axis_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_sts_tvalid => m_axis_s2mm_sts_tvalid , m_axis_sts_tready => m_axis_s2mm_sts_tready , m_axis_sts_tdata => m_axis_s2mm_sts_tdata , m_axis_sts_tkeep => m_axis_s2mm_sts_tkeep , err => s2mm_err , ftch_err => s2mm_ftch_err ); end generate S2MMADDR32; S2MMADDR64: if C_M_AXI_S2MM_ADDR_WIDTH_NEW > 32 generate begin S2MM_FSTORES64 : for i in 0 to C_NUM_FSTORES_64-1 generate s2mm_reg_module_strt_addr_64 (i) <= s2mm_reg_module_strt_addr (i*2+1) & s2mm_reg_module_strt_addr (i*2); end generate S2MM_FSTORES64; --------------------------------------------------------------------------- -- S2MM DMA Controller --------------------------------------------------------------------------- I_S2MM_DMA_MNGR : entity axi_vdma_v6_2_8.axi_vdma_mngr_64 generic map( C_PRMY_CMDFIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_INCLUDE_SF => DM_S2MM_INCLUDE_SF , C_USE_FSYNC => C_USE_S2MM_FSYNC_01 , -- CR582182 C_ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_S2MM_FSYNC , -- CR591965 C_NUM_FSTORES => C_NUM_FSTORES_64 , C_GENLOCK_MODE => C_S2MM_GENLOCK_MODE , C_GENLOCK_NUM_MASTERS => C_S2MM_GENLOCK_NUM_MASTERS , C_DYNAMIC_RESOLUTION => C_DYNAMIC_RESOLUTION , --C_GENLOCK_REPEAT_EN => C_S2MM_GENLOCK_REPEAT_EN , -- CR591965 --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE , C_INCLUDE_SG => C_INCLUDE_SG , -- CR581800 C_SELECT_XPM => C_SELECT_XPM, C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_M_AXI_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_NEW , C_DM_STATUS_WIDTH => S2MM_DM_STATUS_WIDTH , -- CR608521 C_EXTEND_DM_COMMAND => S2MM_DM_CMD_EXTENDED , C_S2MM_SOF_ENABLE => S2MM_SOF_ENABLE, C_MM2S_SOF_ENABLE => 0 , C_INCLUDE_MM2S => 0 , C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_FAMILY => C_ROOT_FAMILY ) port map( -- Secondary Clock and Reset prmry_aclk => m_axi_s2mm_aclk , prmry_resetn => s2mm_prmry_resetn , soft_reset => s2mm_soft_reset , scndry_aclk => s_axis_s2mm_aclk , scndry_resetn => s2mm_axis_resetn , -- MM2S Control and Status run_stop => s2mm_dmacr(DMACR_RS_BIT) , dmacr_repeat_en => s2mm_dmacr(DMACR_REPEAT_EN_BIT) , dmasr_halt => s2mm_dmasr(DMASR_HALTED_BIT) , sync_enable => s2mm_dmacr(DMACR_SYNCEN_BIT) , regdir_idle => s2mm_regdir_idle , ftch_idle => s2mm_ftch_idle , halt => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , halted_clr => s2mm_halted_clr , halted_set => s2mm_halted_set , idle_set => s2mm_idle_set , idle_clr => s2mm_idle_clr , stop => s2mm_stop , s2mm_fsize_more_or_sof_late => s2mm_fsize_more_or_sof_late , s2mm_dmasr_lsize_less_err => s2mm_dmasr(DMASR_LSIZEERR_BIT) , all_idle => s2mm_all_idle , cmdsts_idle => s2mm_cmdsts_idle , ftchcmdsts_idle => s2mm_ftchcmdsts_idle , s2mm_fsync_out_m => s2mm_fsync_out_m_i , mm2s_fsync_out_m => '0' , -- CR616211 frame_sync => s2mm_frame_sync , update_frmstore => s2mm_update_frmstore , -- CR582182 frmstr_err_addr => s2mm_frmstr_err_addr , -- CR582182 frame_ptr_ref => s2mm_ip2axi_frame_ptr_ref , frame_ptr_in => s2mm_s_frame_ptr_in , frame_ptr_out => s2mm_m_frame_ptr_out , internal_frame_ptr_in => mm2s_to_s2mm_frame_ptr_in , valid_frame_sync => s2mm_valid_frame_sync , valid_frame_sync_cmb => s2mm_valid_frame_sync_cmb , valid_video_prmtrs => s2mm_valid_video_prmtrs , parameter_update => s2mm_parameter_update , circular_prk_mode => s2mm_dmacr(DMACR_CRCLPRK_BIT) , mstr_pntr_ref => s2mm_dmacr(DMACR_PNTR_NUM_MSB downto DMACR_PNTR_NUM_LSB) , genlock_select => s2mm_dmacr(DMACR_GENLOCK_SEL_BIT), line_buffer_empty => '1' , -- NOT Used by S2MM therefore tie off dwidth_fifo_pipe_empty => '1' , -- NOT Used by S2MM therefore tie off crnt_vsize => s2mm_crnt_vsize , -- CR575884 num_frame_store => s2mm_num_frame_store , all_lines_xfred => s2mm_all_lines_xfred , -- CR591965 all_lasts_rcvd => all_lasts_rcvd , mm2s_fsize_mismatch_err_m => '0' , -- Not Needed for MM2S channel mm2s_fsize_mismatch_err_s => '0' , -- Not Needed for MM2S channel s2mm_fsize_mismatch_err_s => s2mm_fsize_mismatch_err_s , -- Not Needed for MM2S channel drop_fsync_d_pulse_gen_fsize_less_err => drop_fsync_d_pulse_gen_fsize_less_err , s2mm_strm_all_lines_rcvd => s2mm_strm_all_lines_rcvd , -- : out std_logic; s2mm_fsync_core => s2mm_fsync_core , fsize_mismatch_err_flag => s2mm_fsize_mismatch_err_flag , -- CR591965 fsize_mismatch_err => s2mm_fsize_mismatch_err , -- CR591965 lsize_mismatch_err => s2mm_lsize_mismatch_err , -- CR591965 lsize_more_mismatch_err => s2mm_lsize_more_mismatch_err , -- CR591965 capture_hsize_at_uf_err => s2mm_capture_hsize_at_uf_err_sig , -- Register Direct Support prmtr_updt_complete => s2mm_prmtr_updt_complete , reg_module_vsize => s2mm_reg_module_vsize , reg_module_hsize => s2mm_reg_module_hsize , reg_module_stride => s2mm_reg_module_stride , reg_module_frmdly => s2mm_reg_module_frmdly , reg_module_strt_addr => s2mm_reg_module_strt_addr_64 , -- Test vector signals tstvect_err => s2mm_tstvect_err , tstvect_fsync => s2mm_tstvect_fsync , tstvect_frame => s2mm_tstvect_frame , tstvect_frm_ptr_out => s2mm_tstvect_frm_ptr_out , mstrfrm_tstsync_out => s2mm_mstrfrm_tstsync , -- AXI Stream Timing packet_sof => s2mm_packet_sof , -- Primary DMA Errors dma_interr_set => s2mm_dma_interr_set , dma_interr_set_minus_frame_errors => s2mm_dma_interr_set_minus_frame_errors , dma_slverr_set => s2mm_dma_slverr_set , dma_decerr_set => s2mm_dma_decerr_set , -- SG MM2S Descriptor Fetch AXI Stream In m_axis_ftch_tdata => m_axis_s2mm_ftch_tdata , m_axis_ftch_tvalid => m_axis_s2mm_ftch_tvalid , m_axis_ftch_tready => m_axis_s2mm_ftch_tready , m_axis_ftch_tlast => m_axis_s2mm_ftch_tlast , -- Currently Being Processed Descriptor/Frame frame_number => s2mm_frame_number , chnl_current_frame => s2mm_chnl_current_frame , genlock_pair_frame => s2mm_genlock_pair_frame , new_curdesc => s2mm_new_curdesc , new_curdesc_wren => s2mm_new_curdesc_wren , tailpntr_updated => s2mm_tailpntr_updated , -- User Command Interface Ports (AXI Stream) s_axis_cmd_tvalid => s_axis_s2mm_cmd_tvalid , s_axis_cmd_tready => s_axis_s2mm_cmd_tready , s_axis_cmd_tdata => s_axis_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_sts_tvalid => m_axis_s2mm_sts_tvalid , m_axis_sts_tready => m_axis_s2mm_sts_tready , m_axis_sts_tdata => m_axis_s2mm_sts_tdata , m_axis_sts_tkeep => m_axis_s2mm_sts_tkeep , err => s2mm_err , ftch_err => s2mm_ftch_err ); end generate S2MMADDR64; --------------------------------------------------------------------------- -- MM2S Frame sync generator --------------------------------------------------------------------------- S2MM_FSYNC_I : entity axi_vdma_v6_2_8.axi_vdma_fsync_gen generic map( C_USE_FSYNC => C_USE_S2MM_FSYNC_01 , ENABLE_FLUSH_ON_S2MM_FSYNC => ENABLE_FLUSH_ON_S2MM_FSYNC , ENABLE_FLUSH_ON_MM2S_FSYNC => 0 , C_INCLUDE_S2MM => 1 , C_INCLUDE_MM2S => 0 , C_SOF_ENABLE => S2MM_SOF_ENABLE ) port map( prmry_aclk => m_axi_s2mm_aclk , prmry_resetn => s2mm_prmry_resetn , -- Frame Count Enable Support valid_frame_sync_cmb => s2mm_valid_frame_sync_cmb , valid_video_prmtrs => s2mm_valid_video_prmtrs , frmcnt_ioc => s2mm_ioc_irq_set , dmacr_frmcnt_enbl => s2mm_dmacr(DMACR_FRMCNTEN_BIT) , dmasr_frmcnt_status => s2mm_irqthresh_status , mask_fsync_out => s2mm_mask_fsync_out , -- VDMA process status run_stop => s2mm_dmacr(DMACR_RS_BIT) , all_idle => s2mm_all_idle , parameter_update => s2mm_parameter_update , -- VDMA Frame Sync sources fsync => s2mm_cdc2dmac_fsync , tuser_fsync => s2mm_tuser_fsync , othrchnl_fsync => mm2s_to_s2mm_fsync , fsync_src_select => s2mm_dmacr(DMACR_FSYNCSEL_MSB downto DMACR_FSYNCSEL_LSB) , -- VDMA frame sync output to core frame_sync => s2mm_frame_sync , -- VDMA Frame Sync Output to ports frame_sync_out => s2mm_dmac2cdc_fsync_out , prmtr_update => s2mm_dmac2cdc_prmtr_update ); -- Clock Domain Crossing between m_axi_s2mm_aclk and s_axis_s2mm_aclk S2MM_VID_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_vid_cdc generic map( C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , C_GENLOCK_MSTR_PTR_DWIDTH => NUM_FRM_STORE_WIDTH , C_GENLOCK_SLVE_PTR_DWIDTH => S2MM_GENLOCK_SLVE_PTR_DWIDTH , C_INTERNAL_GENLOCK_ENABLE => INTERNAL_GENLOCK_ENABLE ) port map( prmry_aclk => m_axi_s2mm_aclk , prmry_resetn => s2mm_prmry_resetn , scndry_aclk => s_axis_s2mm_aclk , scndry_resetn => s2mm_axis_resetn , -- Genlock internal bus cdc othrchnl_aclk => m_axi_mm2s_aclk , othrchnl_resetn => mm2s_prmry_resetn , othrchnl2cdc_frame_ptr_out => mm2s_frame_ptr_out_i , cdc2othrchnl_frame_ptr_in => mm2s_to_s2mm_frame_ptr_in , cdc2othrchnl_fsync => s2mm_to_mm2s_fsync , -- GenLock Clock Domain Crossing dmac2cdc_frame_ptr_out => s2mm_m_frame_ptr_out , cdc2top_frame_ptr_out => s2mm_frame_ptr_out_i , top2cdc_frame_ptr_in => s2mm_frame_ptr_in , cdc2dmac_frame_ptr_in => s2mm_s_frame_ptr_in , dmac2cdc_mstrfrm_tstsync => s2mm_mstrfrm_tstsync , cdc2dmac_mstrfrm_tstsync => s2mm_mstrfrm_tstsync_out , -- SOF Detection Domain Crossing vid2cdc_packet_sof => s2mm_vid2cdc_packet_sof , cdc2dmac_packet_sof => s2mm_packet_sof , -- Frame Sync Generation Domain Crossing vid2cdc_fsync => s2mm_fsync_core , cdc2dmac_fsync => s2mm_cdc2dmac_fsync , dmac2cdc_fsync_out => s2mm_dmac2cdc_fsync_out , dmac2cdc_prmtr_update => s2mm_dmac2cdc_prmtr_update , cdc2vid_fsync_out => s2mm_fsync_out_i , cdc2vid_prmtr_update => s2mm_prmtr_update_i ); s2mm_fsync_out_sig <= s2mm_fsync_out_i; -- Start of Frame Detection - used for interrupt coalescing S2MM_SOF_I : entity axi_vdma_v6_2_8.axi_vdma_sof_gen port map( scndry_aclk => s_axis_s2mm_aclk , scndry_resetn => s2mm_axis_resetn , axis_tready => s_axis_s2mm_tready_i , axis_tvalid => s_axis_s2mm_tvalid_i , fsync => s2mm_fsync_out_i , -- CR622884 packet_sof => s2mm_vid2cdc_packet_sof ); ------------------------------------------------------------------------------- -- Primary S2MM Line Buffer ------------------------------------------------------------------------------- S2MM_LINEBUFFER_I : entity axi_vdma_v6_2_8.axi_vdma_s2mm_linebuf generic map( C_DATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED , C_S2MM_SOF_ENABLE => S2MM_SOF_ENABLE , C_USE_FSYNC => C_USE_S2MM_FSYNC_01 , C_USE_S2MM_FSYNC => C_USE_S2MM_FSYNC , C_S_AXIS_S2MM_TUSER_BITS => C_S_AXIS_S2MM_TUSER_BITS , C_INCLUDE_S2MM_DRE => C_S2MM_ENABLE_TKEEP , C_TOPLVL_LINEBUFFER_DEPTH => C_S2MM_LINEBUFFER_DEPTH , -- CR625142 --C_ENABLE_DEBUG_INFO => C_ENABLE_DEBUG_INFO , C_ENABLE_DEBUG_ALL => C_ENABLE_DEBUG_ALL , C_ENABLE_DEBUG_INFO_0 => C_ENABLE_DEBUG_INFO_0 , C_ENABLE_DEBUG_INFO_1 => C_ENABLE_DEBUG_INFO_1 , C_ENABLE_DEBUG_INFO_2 => C_ENABLE_DEBUG_INFO_2 , C_ENABLE_DEBUG_INFO_3 => C_ENABLE_DEBUG_INFO_3 , C_ENABLE_DEBUG_INFO_4 => C_ENABLE_DEBUG_INFO_4 , C_ENABLE_DEBUG_INFO_5 => C_ENABLE_DEBUG_INFO_5 , C_ENABLE_DEBUG_INFO_6 => C_ENABLE_DEBUG_INFO_6 , C_ENABLE_DEBUG_INFO_7 => C_ENABLE_DEBUG_INFO_7 , C_ENABLE_DEBUG_INFO_8 => C_ENABLE_DEBUG_INFO_8 , C_ENABLE_DEBUG_INFO_9 => C_ENABLE_DEBUG_INFO_9 , C_ENABLE_DEBUG_INFO_10 => C_ENABLE_DEBUG_INFO_10 , C_ENABLE_DEBUG_INFO_11 => C_ENABLE_DEBUG_INFO_11 , C_ENABLE_DEBUG_INFO_12 => C_ENABLE_DEBUG_INFO_12 , C_ENABLE_DEBUG_INFO_13 => C_ENABLE_DEBUG_INFO_13 , C_ENABLE_DEBUG_INFO_14 => C_ENABLE_DEBUG_INFO_14 , C_ENABLE_DEBUG_INFO_15 => C_ENABLE_DEBUG_INFO_15 , C_LINEBUFFER_DEPTH => S2MM_LINEBUFFER_DEPTH , C_LINEBUFFER_AF_THRESH => C_S2MM_LINEBUFFER_THRESH_INT , C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC , ENABLE_FLUSH_ON_FSYNC => ENABLE_FLUSH_ON_S2MM_FSYNC, C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_FAMILY => C_ROOT_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- s_axis_aclk => s_axis_s2mm_aclk , s_axis_resetn => s2mm_axis_resetn , m_axis_aclk => m_axi_s2mm_aclk , m_axis_resetn => s2mm_prmry_resetn , s2mm_axis_linebuf_reset_out => s2mm_axis_linebuf_reset_out , -- Graceful shut down control run_stop => s2mm_dmacr(DMACR_RS_BIT) , dm_halt => s2mm_halt , -- CR591965 dm_halt_cmplt => s2mm_halt_cmplt , -- CR591965 capture_dm_done_vsize_counter => s2mm_capture_dm_done_vsize_counter_sig , s2mm_fsize_mismatch_err_flag => s2mm_fsize_mismatch_err_flag , s2mm_fsize_mismatch_err_s => s2mm_fsize_mismatch_err_s , s2mm_fsize_mismatch_err => s2mm_fsize_mismatch_err , drop_fsync_d_pulse_gen_fsize_less_err => drop_fsync_d_pulse_gen_fsize_less_err , no_fsync_before_vsize_sel_00_01 => no_fsync_before_vsize_sel_00_01 , hold_dummy_tready_low => hold_dummy_tready_low , hold_dummy_tready_low2 => hold_dummy_tready_low2 , mm2s_fsync => mm2s_fsync_fe , m_axis_mm2s_aclk => m_axis_mm2s_aclk , mm2s_axis_resetn => mm2s_axis_resetn , s2mm_fsync_core => s2mm_fsync_core , s2mm_fsync => s2mm_fsync_fe , s2mm_tuser_fsync_top => s2mm_tuser_fsync_top , s2mm_dmasr_fsize_less_err => s2mm_dmasr(DMASR_FSIZEERR_BIT) , fsync_src_select => s2mm_dmacr(DMACR_FSYNCSEL_MSB downto DMACR_FSYNCSEL_LSB) , fsync_src_select_s => s2mm_fsync_src_select_s , chnl_ready_external => s2mm_chnl_ready , strm_not_finished => s2mm_strm_not_finished , crnt_vsize_d2_s => s2mm_crnt_vsize_d2 , -- Line Tracking Control crnt_vsize => s2mm_crnt_vsize , fsync_out_m => s2mm_fsync_out_m_i , fsync_out => s2mm_fsync_out_i , frame_sync => s2mm_frame_sync , -- Threshold linebuf_threshold => s2mm_linebuf_threshold , -- Stream In s_axis_tdata => s_axis_s2mm_tdata_i , s_axis_tkeep => s_axis_s2mm_tkeep_i , s_axis_tlast => s_axis_s2mm_tlast_i , s_axis_tvalid => s_axis_s2mm_tvalid_i , s_axis_tready => s_axis_s2mm_tready_i , s_axis_tuser => s_axis_s2mm_tuser_i , -- Stream Out m_axis_tdata => linebuf2dm_s2mm_tdata , m_axis_tkeep => linebuf2dm_s2mm_tkeep , m_axis_tlast => linebuf2dm_s2mm_tlast , m_axis_tvalid => linebuf2dm_s2mm_tvalid , m_axis_tready => dm2linebuf_s2mm_tready , -- Fifo Status Flags s2mm_fifo_full => s2mm_buffer_full_i , s2mm_fifo_almost_full => s2mm_buffer_almost_full_i , s2mm_all_lines_xfred => s2mm_all_lines_xfred , -- CR591965 all_lasts_rcvd => all_lasts_rcvd , s2mm_tuser_fsync => s2mm_tuser_fsync ); end generate GEN_SPRT_FOR_S2MM; -- Do not generate support logic for S2MM GEN_NO_SPRT_FOR_S2MM : if C_INCLUDE_S2MM = 0 generate begin -- Register Module Tie-Offs s2mm_ip2axi_rddata <= (others => '0'); --s2mm_ip2axi_rddata_valid <= '0'; s2mm_ip2axi_frame_ptr_ref <= (others => '0'); s2mm_ip2axi_frame_store <= (others => '0'); s2mm_ip2axi_introut <= '0'; s2mm_soft_reset <= '0'; s2mm_irqthresh_rstdsbl <= '0'; s2mm_dlyirq_dsble <= '0'; s2mm_irqthresh_wren <= '0'; s2mm_irqdelay_wren <= '0'; s2mm_tailpntr_updated <= '0'; s2mm_dmacr <= (others => '0'); s2mm_dmasr <= (others => '0'); s2mm_curdesc <= (others => '0'); s2mm_taildesc <= (others => '0'); s2mm_num_frame_store <= (others => '0'); s2mm_linebuf_threshold <= (others => '0'); s2mm_regdir_idle <= '0'; s2mm_prmtr_updt_complete <= '0'; s2mm_reg_module_vsize <= (others => '0'); s2mm_reg_module_hsize <= (others => '0'); s2mm_reg_module_stride <= (others => '0'); s2mm_reg_module_frmdly <= (others => '0'); s2mm_dummy_tready <= '0'; -- Must zero each element of an array of vectors to zero -- all vectors. GEN_S2MM_ZERO_STRT : for i in 0 to C_NUM_FSTORES-1 generate begin s2mm_reg_module_strt_addr(i) <= (others => '0'); end generate GEN_S2MM_ZERO_STRT; -- Line buffer Tie-Offs s_axis_s2mm_tready_i_axis_dw_conv <= '0'; s_axis_s2mm_tready_i <= '0'; s_axis_s2mm_tready <= '0'; s2mm_capture_dm_done_vsize_counter_sig <= (others => '0'); s2mm_capture_hsize_at_uf_err_sig <= (others => '0'); linebuf2dm_s2mm_tdata <= (others => '0'); linebuf2dm_s2mm_tkeep <= (others => '0'); linebuf2dm_s2mm_tlast <= '0'; linebuf2dm_s2mm_tvalid <= '0'; s2mm_buffer_full_i <= '0'; s2mm_buffer_almost_full_i <= '0'; s2mm_all_lines_xfred <= '0'; -- CR591965 s2mm_tuser_fsync <= '0'; -- Frame sync generator s2mm_frame_sync <= '0'; -- SOF/EOF generator s2mm_packet_sof <= '0'; -- DMA Controller s2mm_halted_clr <= '0'; s2mm_halted_set <= '1'; s2mm_idle_set <= '0'; s2mm_idle_clr <= '0'; s2mm_frame_number <= (others => '0'); s2mm_chnl_current_frame <= (others => '0'); s2mm_genlock_pair_frame <= (others => '0'); s2mm_new_curdesc_wren <= '0'; s2mm_new_curdesc <= (others => '0'); s2mm_stop <= '0'; s2mm_all_idle <= '1'; s2mm_cmdsts_idle <= '1'; s2mm_ftchcmdsts_idle <= '1'; m_axis_s2mm_ftch_tready <= '0'; s_axis_s2mm_cmd_tvalid <= '0'; s_axis_s2mm_cmd_tdata <= (others => '0'); m_axis_s2mm_sts_tready <= '0'; s2mm_frame_ptr_out_i <= (others => '0'); s2mm_m_frame_ptr_out <= (others => '0'); mm2s_to_s2mm_frame_ptr_in <= (others => '0'); s2mm_valid_frame_sync <= '0'; s2mm_valid_frame_sync_cmb <= '0'; s2mm_valid_video_prmtrs <= '0'; s2mm_parameter_update <= '0'; s2mm_tstvect_err <= '0'; s2mm_tstvect_fsync <= '0'; s2mm_tstvect_frame <= (others => '0'); s2mm_dma_interr_set <= '0'; s2mm_dma_interr_set_minus_frame_errors <= '0'; s2mm_dma_slverr_set <= '0'; s2mm_dma_decerr_set <= '0'; s2mm_fsize_mismatch_err <= '0'; s2mm_lsize_mismatch_err <= '0'; s2mm_lsize_more_mismatch_err <= '0'; -- Frame Sync generator s2mm_fsync_out_sig <= '0'; s2mm_prmtr_update_i <= '0'; s2mm_crnt_vsize <= (others => '0'); -- CR575884 s2mm_mask_fsync_out <= '0'; s2mm_mstrfrm_tstsync <= '0'; s2mm_mstrfrm_tstsync_out <= '0'; s2mm_tstvect_frm_ptr_out <= (others => '0'); s2mm_frmstr_err_addr <= (others => '0'); s2mm_to_mm2s_fsync <= '0'; end generate GEN_NO_SPRT_FOR_S2MM; ------------------------------------------------------------------------------- -- Primary MM2S and S2MM DataMover ------------------------------------------------------------------------------- I_PRMRY_DATAMOVER : entity axi_datamover_v5_1_11.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_AXI_FULL_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_NEW , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_CLOCK_SYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_ENABLE_MM2S_TKEEP => C_MM2S_ENABLE_TKEEP , C_MM2S_BURST_SIZE => C_MM2S_MAX_BURST_LENGTH , C_MM2S_BTT_USED => MM2S_DM_BTT_LENGTH_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => DM_MM2S_INCLUDE_SF , C_ENABLE_SKID_BUF => "11100" , C_INCLUDE_S2MM => S2MM_AXI_FULL_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_NEW , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_CLOCK_SYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_ENABLE_S2MM_TKEEP => C_S2MM_ENABLE_TKEEP , C_S2MM_BURST_SIZE => C_S2MM_MAX_BURST_LENGTH , C_S2MM_BTT_USED => S2MM_DM_BTT_LENGTH_WIDTH , C_S2MM_SUPPORT_INDET_BTT => DM_SUPPORT_INDET_BTT , C_S2MM_ADDR_PIPE_DEPTH => DM_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => DM_S2MM_INCLUDE_SF , C_CMD_WIDTH => CMD_WIDTH , C_FAMILY => C_ROOT_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => m_axi_mm2s_aclk , m_axi_mm2s_aresetn => mm2s_dm_prmry_resetn , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_allow_addr_req => ALWAYS_ALLOW , mm2s_addr_req_posted => open , mm2s_rd_xfer_cmplt => open , -- Memory Map to Stream Command FIFO and Status FIFO I/O -------------- m_axis_mm2s_cmdsts_aclk => m_axi_mm2s_aclk , m_axis_mm2s_cmdsts_aresetn => mm2s_dm_prmry_resetn , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready , m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep , m_axis_mm2s_sts_tlast => open , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_mm2s_araddr_int , m_axi_mm2s_arlen => m_axi_mm2s_arlen , m_axi_mm2s_arsize => m_axi_mm2s_arsize , m_axi_mm2s_arburst => m_axi_mm2s_arburst , m_axi_mm2s_arprot => m_axi_mm2s_arprot , m_axi_mm2s_arcache => m_axi_mm2s_arcache , m_axi_mm2s_arvalid => m_axi_mm2s_arvalid , m_axi_mm2s_arready => m_axi_mm2s_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_mm2s_rdata , m_axi_mm2s_rresp => m_axi_mm2s_rresp , m_axi_mm2s_rlast => m_axi_mm2s_rlast , m_axi_mm2s_rvalid => m_axi_mm2s_rvalid , m_axi_mm2s_rready => m_axi_mm2s_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => dm2linebuf_mm2s_tdata , m_axis_mm2s_tkeep => dm2linebuf_mm2s_tkeep , m_axis_mm2s_tlast => dm2linebuf_mm2s_tlast , m_axis_mm2s_tvalid => dm2linebuf_mm2s_tvalid , m_axis_mm2s_tready => linebuf2dm_mm2s_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- Datamover v4_02_a addional signals not needed for VDMA --sg_ctl => (others => '0') , m_axi_mm2s_aruser => open , m_axi_s2mm_awuser => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => m_axi_s2mm_aclk , m_axi_s2mm_aresetn => s2mm_dm_prmry_resetn , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_allow_addr_req => ALWAYS_ALLOW , s2mm_addr_req_posted => open , s2mm_wr_xfer_cmplt => open , s2mm_ld_nxt_len => open , s2mm_wr_len => open , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => m_axi_s2mm_aclk , m_axis_s2mm_cmdsts_aresetn => s2mm_dm_prmry_resetn , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_s2mm_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_s2mm_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_s2mm_sts_tready , m_axis_s2mm_sts_tdata => m_axis_s2mm_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_s2mm_sts_tkeep , m_axis_s2mm_sts_tlast => open , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_s2mm_awaddr_int , m_axi_s2mm_awlen => m_axi_s2mm_awlen , m_axi_s2mm_awsize => m_axi_s2mm_awsize , m_axi_s2mm_awburst => m_axi_s2mm_awburst , m_axi_s2mm_awprot => m_axi_s2mm_awprot , m_axi_s2mm_awcache => m_axi_s2mm_awcache , m_axi_s2mm_awvalid => m_axi_s2mm_awvalid , m_axi_s2mm_awready => m_axi_s2mm_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_s2mm_wdata , m_axi_s2mm_wstrb => m_axi_s2mm_wstrb , m_axi_s2mm_wlast => m_axi_s2mm_wlast , m_axi_s2mm_wvalid => m_axi_s2mm_wvalid , m_axi_s2mm_wready => m_axi_s2mm_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_s2mm_bresp , m_axi_s2mm_bvalid => m_axi_s2mm_bvalid , m_axi_s2mm_bready => m_axi_s2mm_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => linebuf2dm_s2mm_tdata , s_axis_s2mm_tkeep => linebuf2dm_s2mm_tkeep , s_axis_s2mm_tlast => linebuf2dm_s2mm_tlast , s_axis_s2mm_tvalid => linebuf2dm_s2mm_tvalid , s_axis_s2mm_tready => dm2linebuf_s2mm_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/lpf.vhd
21
17850
------------------------------------------------------------------------------- -- lpf - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: lpf.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/08/01 -- First Release -- -- KC 02/25/2002 -- Added Dcm_locked as an input -- -- Added Power on reset srl_time_out -- -- KC 08/26/2003 -- Added attribute statements for power on -- reset SRL -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library lib_cdc_v1_0_2; --use lib_cdc_v1_0_2.all; library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- -- Definition of Ports: -- Slowest_sync_clk -- Clock -- External_System_Reset -- External Reset Input -- Auxiliary_System_Reset -- Auxiliary Reset Input -- Dcm_locked -- DCM Locked, hold system in reset until 1 -- Lpf_reset -- Low Pass Filtered Output -- ------------------------------------------------------------------------------- entity lpf is generic( C_EXT_RST_WIDTH : Integer; C_AUX_RST_WIDTH : Integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic ); port( MB_Debug_Sys_Rst : in std_logic; Dcm_locked : in std_logic; External_System_Reset : in std_logic; Auxiliary_System_Reset : in std_logic; Slowest_Sync_Clk : in std_logic; Lpf_reset : out std_logic ); end lpf; architecture imp of lpf is component SRL16 is -- synthesis translate_off generic ( INIT : bit_vector ); -- synthesis translate_on port (D : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16; constant CLEAR : std_logic := '0'; signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal exr_and : std_logic := '0'; -- varible input width "and" gate signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate signal asr_and : std_logic := '0'; -- varible input width "and" gate signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate signal lpf_int : std_logic := '0'; -- internal Lpf_reset signal lpf_exr : std_logic := '0'; signal lpf_asr : std_logic := '0'; signal srl_time_out : std_logic; attribute INIT : string; attribute INIT of POR_SRL_I: label is "FFFF"; begin Lpf_reset <= lpf_int; ------------------------------------------------------------------------------- -- Power On Reset Generation ------------------------------------------------------------------------------- -- This generates a reset for the first 16 clocks after a power up ------------------------------------------------------------------------------- POR_SRL_I: SRL16 -- synthesis translate_off generic map ( INIT => X"FFFF") -- synthesis translate_on port map ( D => '0', CLK => Slowest_sync_clk, A0 => '1', A1 => '1', A2 => '1', A3 => '1', Q => srl_time_out); ------------------------------------------------------------------------------- -- LPF_OUTPUT_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- --ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate --begin LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked; end if; end process LPF_OUTPUT_PROCESS; --end generate ACTIVE_HIGH_LPF_EXT; --ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate --begin --LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- lpf_int <= not (lpf_exr or -- lpf_asr or -- srl_time_out)or -- not Dcm_locked; -- end if; -- end process; --end generate ACTIVE_LOW_LPF_EXT; EXR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if exr_and = '1' then lpf_exr <= '1'; elsif (exr_and = '0' and exr_nand = '1') then lpf_exr <= '0'; end if; end if; end process EXR_OUTPUT_PROCESS; ASR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if asr_and = '1' then lpf_asr <= '1'; elsif (asr_and = '0' and asr_nand = '1') then lpf_asr <= '0'; end if; end if; end process ASR_OUTPUT_PROCESS; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for External System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate begin ----------------------------------- exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst; ACT_HI_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ----------------------------------- end generate ACTIVE_HIGH_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for External System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate begin exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst; ------------------------------------- ACT_LO_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate begin asr_d1 <= Auxiliary_System_Reset; ------------------------------------- ACT_HI_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_HIGH_AUX; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate begin ------------------------------------- asr_d1 <= not Auxiliary_System_Reset; ACT_LO_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_AUX; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate begin ---------------------------------------- EXT_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_lpf(i) <= exr_lpf(i-1); end if; end process; ---------------------------------------- end generate EXT_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ EXT_LPF_AND : process (exr_lpf) Variable loop_and : std_logic; Variable loop_nand : std_logic; Begin loop_and := '1'; loop_nand := '1'; for j in 0 to C_EXT_RST_WIDTH - 1 loop loop_and := loop_and and exr_lpf(j); loop_nand := loop_nand and not exr_lpf(j); End loop; exr_and <= loop_and; exr_nand <= loop_nand; end process; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate begin ---------------------------------------- AUX_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_lpf(k) <= asr_lpf(k-1); end if; end process; ---------------------------------------- end generate AUX_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ AUX_LPF_AND : process (asr_lpf) Variable aux_loop_and : std_logic; Variable aux_loop_nand : std_logic; Begin aux_loop_and := '1'; aux_loop_nand := '1'; for m in 0 to C_AUX_RST_WIDTH - 1 loop aux_loop_and := aux_loop_and and asr_lpf(m); aux_loop_nand := aux_loop_nand and not asr_lpf(m); End loop; asr_and <= aux_loop_and; asr_nand <= aux_loop_nand; end process; end imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_sg_if.vhd
4
18354
------------------------------------------------------------------------------- -- axi_vdma_sg_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_sg_if.vhd -- Description: This entity is the Scatter Gather Interface for Descriptor -- Fetches. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_sg_if is generic ( ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Master Stream in for descriptor fetch C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32 -- Master AXI Memory Map Address Width ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- dmasr_halt : in std_logic ; -- ftch_idle : in std_logic ; -- ftch_complete_clr : in std_logic ; -- ftch_complete : out std_logic ; -- -- -- SG Descriptor Fetch AXI Stream In -- m_axis_ftch_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_ftch_tvalid : in std_logic ; -- m_axis_ftch_tready : out std_logic ; -- m_axis_ftch_tlast : in std_logic ; -- -- -- Descriptor Field Output -- new_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- new_curdesc_wren : out std_logic ; -- -- -- desc_data_wren : out std_logic ; -- -- desc_strtaddress : out std_logic_vector -- (C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- desc_vsize : out std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- desc_hsize : out std_logic_vector -- (HSIZE_DWIDTH-1 downto 0) ; -- desc_stride : out std_logic_vector -- (STRIDE_DWIDTH-1 downto 0) ; -- desc_frmdly : out std_logic_vector -- (FRMDLY_DWIDTH-1 downto 0) -- ); end axi_vdma_sg_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_sg_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_shftenbl : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal desc_fetch_done_i : std_logic := '0'; signal desc_reg6 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg5 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_reg0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_curdesc_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_curdesc_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_strtaddr_lsb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_strtaddr_msb : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH - 1 downto 0) := (others => '0'); signal desc_data_wren_i : std_logic := '0'; signal ftch_idle_d1 : std_logic := '0'; signal ftch_idle_re : std_logic := '0'; signal ftch_complete_i : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate rising edge of ftch idle REG_FETCH_IDLE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then ftch_idle_d1 <= '1'; else ftch_idle_d1 <= ftch_idle; end if; end if; end process REG_FETCH_IDLE; ftch_idle_re <= ftch_idle and not ftch_idle_d1; DESC_FTCH_CMPLT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then ftch_complete_i <= '0'; -- SG Engine not going idle and commanded to clear flag elsif(ftch_idle_re = '0' and ftch_complete_clr = '1')then ftch_complete_i <= '0'; -- On SG Engine going idle flag descriptor fetches as complete elsif(ftch_idle_re = '1')then ftch_complete_i <= '1'; end if; end if; end process DESC_FTCH_CMPLT; ftch_complete <= ftch_complete_i; -- Drive fetch request done on tlast desc_fetch_done_i <= m_axis_ftch_tlast and m_axis_ftch_tvalid and ftch_tready; -- Shift in data from SG engine if tvalid and fetch request ftch_shftenbl <= m_axis_ftch_tvalid and ftch_tready and not desc_data_wren_i; -- Passed curdes write out to register module new_curdesc_wren <= desc_data_wren_i; -- Drive ready if NOT writing video xfer paramters -- and if not already fetched set of video paramerters/start addresses ftch_tready <= not desc_data_wren_i and not ftch_complete_i; -- Drive ready out to SG Engine m_axis_ftch_tready <= ftch_tready; ------------------------------------------------------------------------------- -- Large shift register to bring in descriptor fields ------------------------------------------------------------------------------- DESC_WRD_PROCESS : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then desc_reg6 <= (others => '0'); desc_reg5 <= (others => '0'); desc_reg4 <= (others => '0'); desc_reg3 <= (others => '0'); desc_reg2 <= (others => '0'); desc_reg1 <= (others => '0'); desc_reg0 <= (others => '0'); -- Shift if enabled or if doing and overlay elsif(ftch_shftenbl = '1')then desc_reg6 <= m_axis_ftch_tdata; desc_reg5 <= desc_reg6; desc_reg4 <= desc_reg5; desc_reg3 <= desc_reg4; desc_reg2 <= desc_reg3; desc_reg1 <= desc_reg2; desc_reg0 <= desc_reg1; end if; end if; end process DESC_WRD_PROCESS; desc_curdesc_lsb <= desc_reg0; desc_curdesc_msb <= desc_reg1; desc_strtaddr_lsb <= desc_reg2; desc_strtaddr_msb <= desc_reg3; desc_vsize <= desc_reg4(DESC_WRD4_VSIZE_MSB_BIT downto DESC_WRD4_VSIZE_LSB_BIT); desc_hsize <= desc_reg5(DESC_WRD5_HSIZE_MSB_BIT downto DESC_WRD5_HSIZE_LSB_BIT); desc_stride <= desc_reg6(DESC_WRD6_STRIDE_MSB_BIT downto DESC_WRD6_STRIDE_LSB_BIT); desc_frmdly <= desc_reg6(DESC_WRD6_FRMDLY_MSB_BIT downto DESC_WRD6_FRMDLY_LSB_BIT); ------------------------------------------------------------------------------- -- BUFFER ADDRESS ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_BUFADDR : if C_M_AXI_ADDR_WIDTH = 64 generate desc_strtaddress <= desc_strtaddr_msb & desc_strtaddr_lsb; end generate GEN_NEW_64BIT_BUFADDR; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_BUFADDR : if C_M_AXI_ADDR_WIDTH = 32 generate desc_strtaddress <= desc_strtaddr_lsb; end generate GEN_NEW_32BIT_BUFADDR; ------------------------------------------------------------------------------- -- NEW CURRENT DESCRIPTOR ------------------------------------------------------------------------------- -- If 64 bit addressing then concatinate msb to lsb GEN_NEW_64BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate new_curdesc <= desc_curdesc_msb & desc_curdesc_lsb; end generate GEN_NEW_64BIT_CURDESC; -- If 32 bit addressing then simply pass lsb out GEN_NEW_32BIT_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate new_curdesc <= desc_curdesc_lsb; end generate GEN_NEW_32BIT_CURDESC; -- Write new descriptor data out on last REG_DESCDATA_WREN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then desc_data_wren_i <= '0'; -- Write new desc data on fetch done elsif(desc_fetch_done_i = '1')then desc_data_wren_i <= '1'; else desc_data_wren_i <= '0'; end if; end if; end process REG_DESCDATA_WREN; desc_data_wren <= desc_data_wren_i; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_pkg.vhd
4
71734
------------------------------------------------------------------------------- -- axi_vdma_pkg ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_pkg.vhd -- Description: This package contains various constants and functions for -- AXI VDMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; package axi_vdma_pkg is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- function enable_tkeep_connectivity (tdata_dwidth : integer; tdata_width_calculated : integer; DRE_ON : integer) return integer; -- CALCULATE mm2s_tdata_width for axi_vdma function calculated_mm2s_tdata_width (mm2s_tdata_dwidth : integer) return integer; function calculated_s2mm_tdata_width (s2mm_tdata_dwidth : integer) return integer; function calculated_minimum_mm2s_linebuffer_thresh (mm2s_included : integer; mm2s_tdata_dwidth : integer; mm2s_linebuffer_depth: integer) return integer; function calculated_minimum_s2mm_linebuffer_thresh (s2mm_included : integer; s2mm_tdata_dwidth : integer; s2mm_linebuffer_depth: integer) return integer; function find_mm2s_fsync (use_fsync : integer; mm2s_included : integer; s2mm_included : integer) return integer; function find_s2mm_fsync (use_fsync : integer; mm2s_included : integer; s2mm_included : integer) return integer; function find_s2mm_fsync_01 (use_s2mm_fsync : integer) return integer; function find_mm2s_flush (use_fsync : integer; mm2s_included : integer; s2mm_included : integer; flush_on_fsync : integer) return integer; function find_s2mm_flush (use_fsync : integer; mm2s_included : integer; s2mm_included : integer; flush_on_fsync : integer) return integer; -- Find minimum required btt width function required_btt_width (dwidth : integer; burst_size : integer; btt_width : integer) return integer; -- Converts string to interger function string2int(strngbuf: string) return integer; -- Return number of registers function get_num_registers(mode : integer; sg_num : integer; regdir_num : integer) return integer; -- Return correct hertz paramter value function hertz_prmtr_select(included : integer; lite_frequency : integer; sg_frequency : integer) return integer; -- Return SnF enable or disable function enable_snf (sf_enabled : integer; axi_data_width : integer; axis_tdata_width : integer) return integer; -- Return mm2s index or converted s2mm index function convert_base_index(channel_is_mm2s : integer; mm2s_index : integer) return integer; -- Return mm2s index or converted s2mm index function convert_regdir_index(channel_is_mm2s : integer; mm2s_index : integer) return integer; -- Return enable genlock bus function enable_internal_genloc(mm2s_enabled : integer; s2mm_enabled : integer; internal_genlock : integer; mm2s_genlock_mode : integer; s2mm_genlock_mode : integer) return integer; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- AXI Responce Values ------------------------------------------------------------------------------- constant OKAY_RESP : std_logic_vector(1 downto 0) := "00"; constant EXOKAY_RESP : std_logic_vector(1 downto 0) := "01"; constant SLVERR_RESP : std_logic_vector(1 downto 0) := "10"; constant DECERR_RESP : std_logic_vector(1 downto 0) := "11"; ------------------------------------------------------------------------------- -- Misc Constants ------------------------------------------------------------------------------- constant NUM_REG_TOTAL_SG : integer := 62; constant NUM_REG_TOTAL_REGDIR : integer := 62; ----constant NUM_REG_TOTAL_SG : integer := 20; ----constant NUM_REG_TOTAL_REGDIR : integer := 59; --constant NUM_REG_TOTAL_REGDIR : integer := 156; --constant NUM_REG_TOTAL_REGDIR : integer := 123; constant NUM_REG_PER_CHANNEL : integer := 8; constant NUM_DIRECT_REG_PER_CHANNEL : integer := 19; --constant NUM_DIRECT_REG_PER_CHANNEL : integer := 67; --constant REG_MSB_ADDR_BIT : integer := clog2(NUM_REG_TOTAL)-1; constant CMD_BASE_WIDTH : integer := 40; constant BUFFER_LENGTH_WIDTH : integer := 23; -- Constants Used in Desc Updates constant DESC_STS_TYPE : std_logic := '1'; constant DESC_DATA_TYPE : std_logic := '0'; constant DESC_LAST : std_logic := '1'; constant DESC_NOT_LAST : std_logic := '0'; -- Clock Domain Crossing Constants constant CDC_TYPE_PULSE_P_S : integer := 0; constant CDC_TYPE_LEVEL_P_S : integer := 1; constant CDC_TYPE_PULSE_S_P : integer := 2; constant CDC_TYPE_LEVEL_S_P : integer := 3; constant CDC_TYPE_VECTR_P_S : integer := 4; constant CDC_TYPE_VECTR_S_P : integer := 5; constant CDC_TYPE_PULSE_P_S_NO_RST : integer := 6; constant CDC_TYPE_LEVEL_P_S_NO_RST : integer := 7; constant CDC_TYPE_PULSE_S_P_NO_RST : integer := 8; constant CDC_TYPE_LEVEL_S_P_NO_RST : integer := 9; constant CDC_TYPE_PULSE_P_S_LL : integer := 10; constant CDC_TYPE_PULSE_S_P_LL : integer := 11; constant CDC_TYPE_PULSE_P_S_OPEN_ENDED : integer := 12; constant CDC_TYPE_PULSE_S_P_OPEN_ENDED : integer := 13; constant CDC_TYPE_PULSE_P_S_OPEN_ENDED_NO_RST : integer := 14; constant CDC_TYPE_PULSE_S_P_OPEN_ENDED_NO_RST : integer := 15; constant MTBF_STAGES : integer := 4; constant MTBF_STAGES_LITE : integer := 3; -- Interrupt Coalescing constant ZERO_THRESHOLD : std_logic_vector(7 downto 0) := (others => '0'); constant ONE_THRESHOLD : std_logic_vector(7 downto 0) := "00000001"; constant ZERO_DELAY : std_logic_vector(7 downto 0) := (others => '0'); -- Frame Store constant NUM_FRM_STORE_WIDTH : integer := 6; constant FRAME_NUMBER_WIDTH : integer := NUM_FRM_STORE_WIDTH - 1; constant ZERO_FRAMESTORE : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := (others => '0'); constant ONE_FRAMESTORE : std_logic_vector(NUM_FRM_STORE_WIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,NUM_FRM_STORE_WIDTH)); constant MAX_FSTORES : integer := 32; -- Line Buffer constant LINEBUFFER_THRESH_WIDTH : integer := 17; -- Video parameter constants constant VSIZE_DWIDTH : integer := 13; constant HSIZE_DWIDTH : integer := 16; constant STRIDE_DWIDTH : integer := 16; constant FRMDLY_DWIDTH : integer := FRAME_NUMBER_WIDTH; constant FRMDLY_MSB : integer := 28; constant FRMDLY_LSB : integer := 24; constant RSVD_BITS_31TO29 : std_logic_vector(2 downto 0) := (others => '0'); constant RSVD_BITS_23TO16 : std_logic_vector(7 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- AXI Lite AXI DMA Register Offsets ------------------------------------------------------------------------------- constant MM2S_DMACR_INDEX : integer := 0; constant MM2S_DMASR_INDEX : integer := 1; constant MM2S_CURDESC_LSB_INDEX : integer := 2; constant MM2S_CURDESC_MSB_INDEX : integer := 3; constant MM2S_TAILDESC_LSB_INDEX : integer := 4; constant MM2S_TAILDESC_MSB_INDEX : integer := 5; constant MM2S_REG_IND : integer := 5; constant MM2S_FRAME_STORE_INDEX : integer := 6; constant MM2S_THRESHOLD_INDEX : integer := 7; constant RESERVED_20_INDEX : integer := 8; constant VDMA_GLPTR_INDEX : integer := 9; constant VDMA_PARKPTR_INDEX : integer := 10; constant VDMA_VERISON_INDEX : integer := 11; constant S2MM_DMACR_INDEX : integer := 12; constant S2MM_DMASR_INDEX : integer := 13; constant S2MM_CURDESC_LSB_INDEX : integer := 14; constant S2MM_CURDESC_MSB_INDEX : integer := 15; constant S2MM_DMA_IRQ_MASK : integer := 15; constant S2MM_TAILDESC_LSB_INDEX : integer := 16; constant S2MM_TAILDESC_MSB_INDEX : integer := 17; constant S2MM_REG_IND : integer := 17; constant S2MM_FRAME_STORE_INDEX : integer := 18; constant S2MM_THRESHOLD_INDEX : integer := 19; -- Register direct constant MM2S_VSIZE_INDEX : integer := 20; constant MM2S_HSIZE_INDEX : integer := 21; constant MM2S_DLYSTRD_INDEX : integer := 22; constant MM2S_STARTADDR1_INDEX : integer := 23; constant MM2S_STARTADDR2_INDEX : integer := 24; constant MM2S_STARTADDR3_INDEX : integer := 25; constant MM2S_STARTADDR4_INDEX : integer := 26; constant MM2S_STARTADDR5_INDEX : integer := 27; constant MM2S_STARTADDR6_INDEX : integer := 28; constant MM2S_STARTADDR7_INDEX : integer := 29; constant MM2S_STARTADDR8_INDEX : integer := 30; constant MM2S_STARTADDR9_INDEX : integer := 31; constant MM2S_STARTADDR10_INDEX : integer := 32; constant MM2S_STARTADDR11_INDEX : integer := 33; constant MM2S_STARTADDR12_INDEX : integer := 34; constant MM2S_STARTADDR13_INDEX : integer := 35; constant MM2S_STARTADDR14_INDEX : integer := 36; constant MM2S_STARTADDR15_INDEX : integer := 37; constant MM2S_STARTADDR16_INDEX : integer := 38; constant RESERVED_9C_INDEX : integer := 39; constant S2MM_VSIZE_INDEX : integer := 40; constant S2MM_HSIZE_INDEX : integer := 41; constant S2MM_DLYSTRD_INDEX : integer := 42; constant S2MM_STARTADDR1_INDEX : integer := 43; constant S2MM_STARTADDR2_INDEX : integer := 44; constant S2MM_STARTADDR3_INDEX : integer := 45; constant S2MM_STARTADDR4_INDEX : integer := 46; constant S2MM_STARTADDR5_INDEX : integer := 47; constant S2MM_STARTADDR6_INDEX : integer := 48; constant S2MM_STARTADDR7_INDEX : integer := 49; constant S2MM_STARTADDR8_INDEX : integer := 50; constant S2MM_STARTADDR9_INDEX : integer := 51; constant S2MM_STARTADDR10_INDEX : integer := 52; constant S2MM_STARTADDR11_INDEX : integer := 53; constant S2MM_STARTADDR12_INDEX : integer := 54; constant S2MM_STARTADDR13_INDEX : integer := 55; constant S2MM_STARTADDR14_INDEX : integer := 56; constant S2MM_STARTADDR15_INDEX : integer := 57; constant S2MM_STARTADDR16_INDEX : integer := 58; constant RESERVED_EC_INDEX : integer := 59; --constant RESERVED_F0_INDEX : integer := 60; constant HSIZE_AT_LLESS_ERR_F0_INDEX : integer := 60; --constant RESERVED_F4_INDEX : integer := 61; constant VSIZE_AT_FLESS_ERR_F4_INDEX : integer := 61; constant RESERVED_F8_INDEX : integer := 62; constant RESERVED_FC_INDEX : integer := 63; constant RESERVED_100_INDEX : integer := 64; constant RESERVED_104_INDEX : integer := 65; constant RESERVED_108_INDEX : integer := 66; constant RESERVED_10C_INDEX : integer := 67; constant RESERVED_110_INDEX : integer := 68; constant RESERVED_114_INDEX : integer := 69; constant RESERVED_118_INDEX : integer := 70; constant RESERVED_11C_INDEX : integer := 71; constant RESERVED_120_INDEX : integer := 72; constant RESERVED_124_INDEX : integer := 73; constant RESERVED_128_INDEX : integer := 74; constant RESERVED_12C_INDEX : integer := 75; constant RESERVED_130_INDEX : integer := 76; constant RESERVED_134_INDEX : integer := 77; constant RESERVED_138_INDEX : integer := 78; constant RESERVED_13C_INDEX : integer := 79; constant RESERVED_140_INDEX : integer := 80; constant RESERVED_144_INDEX : integer := 81; constant RESERVED_148_INDEX : integer := 82; constant RESERVED_14C_INDEX : integer := 83; constant RESERVED_150_INDEX : integer := 84; constant RESERVED_154_INDEX : integer := 85; constant RESERVED_158_INDEX : integer := 86; constant MM2S_STARTADDR17_INDEX : integer := 87; constant MM2S_STARTADDR18_INDEX : integer := 88; constant MM2S_STARTADDR19_INDEX : integer := 89; constant MM2S_STARTADDR20_INDEX : integer := 90; constant MM2S_STARTADDR21_INDEX : integer := 91; constant MM2S_STARTADDR22_INDEX : integer := 92; constant MM2S_STARTADDR23_INDEX : integer := 93; constant MM2S_STARTADDR24_INDEX : integer := 94; constant MM2S_STARTADDR25_INDEX : integer := 95; constant MM2S_STARTADDR26_INDEX : integer := 96; constant MM2S_STARTADDR27_INDEX : integer := 97; constant MM2S_STARTADDR28_INDEX : integer := 98; constant MM2S_STARTADDR29_INDEX : integer := 99; constant MM2S_STARTADDR30_INDEX : integer := 100; constant MM2S_STARTADDR31_INDEX : integer := 101; constant MM2S_STARTADDR32_INDEX : integer := 102; constant RESERVED_19C_INDEX : integer := 103; constant RESERVED_1A0_INDEX : integer := 104; constant RESERVED_1A4_INDEX : integer := 105; constant RESERVED_1A8_INDEX : integer := 106; constant S2MM_STARTADDR17_INDEX : integer := 107; constant S2MM_STARTADDR18_INDEX : integer := 108; constant S2MM_STARTADDR19_INDEX : integer := 109; constant S2MM_STARTADDR20_INDEX : integer := 110; constant S2MM_STARTADDR21_INDEX : integer := 111; constant S2MM_STARTADDR22_INDEX : integer := 112; constant S2MM_STARTADDR23_INDEX : integer := 113; constant S2MM_STARTADDR24_INDEX : integer := 114; constant S2MM_STARTADDR25_INDEX : integer := 115; constant S2MM_STARTADDR26_INDEX : integer := 116; constant S2MM_STARTADDR27_INDEX : integer := 117; constant S2MM_STARTADDR28_INDEX : integer := 118; constant S2MM_STARTADDR29_INDEX : integer := 119; constant S2MM_STARTADDR30_INDEX : integer := 120; constant S2MM_STARTADDR31_INDEX : integer := 121; constant S2MM_STARTADDR32_INDEX : integer := 122; -- READ MUX Offsets constant MM2S_REG_INDEX_OFFSET_90 : std_logic_vector(8 downto 0) := "000010100"; -- 14 constant S2MM_REG_INDEX_OFFSET_90 : std_logic_vector(8 downto 0) := "001000100"; -- 44 constant MM2S_REG_INDEX_OFFSET_91 : std_logic_vector(8 downto 0) := "100010100"; -- 14 constant S2MM_REG_INDEX_OFFSET_91 : std_logic_vector(8 downto 0) := "101000100"; -- 44 constant MM2S_REG_INDEX_OFFSET_8 : std_logic_vector(7 downto 0) := "00010100"; -- 14 constant S2MM_REG_INDEX_OFFSET_8 : std_logic_vector(7 downto 0) := "01000100"; -- 44 -- } constant MM2S_DMACR_OFFSET_SG : std_logic_vector(7 downto 0) := "00000000"; -- 00 constant MM2S_DMASR_OFFSET_SG : std_logic_vector(7 downto 0) := "00000100"; -- 04 constant MM2S_CURDESC_LSB_OFFSET_SG : std_logic_vector(7 downto 0) := "00001000"; -- 08 constant MM2S_CURDESC_MSB_OFFSET_SG : std_logic_vector(7 downto 0) := "00001100"; -- 0C constant MM2S_TAILDESC_LSB_OFFSET_SG : std_logic_vector(7 downto 0) := "00010000"; -- 10 constant MM2S_TAILDESC_MSB_OFFSET_SG : std_logic_vector(7 downto 0) := "00010100"; -- 14 constant MM2S_FRAME_STORE_OFFSET_SG : std_logic_vector(7 downto 0) := "00011000"; -- 18 constant MM2S_THRESHOLD_OFFSET_SG : std_logic_vector(7 downto 0) := "00011100"; -- 1C constant RESERVED_20_OFFSET_SG : std_logic_vector(7 downto 0) := "00100000"; -- 20 constant RESERVED_24_OFFSET_SG : std_logic_vector(7 downto 0) := "00100100"; -- 24 constant VDMA_PARK_PTRREF_OFFSET : std_logic_vector(7 downto 0) := "00101000"; -- 28 constant VDMA_VERSION_OFFSET : std_logic_vector(7 downto 0) := "00101100"; -- 2C constant VDMA_PARK_PTRREF_OFFSET_SG : std_logic_vector(7 downto 0) := "00101000"; -- 28 constant VDMA_VERSION_OFFSET_SG : std_logic_vector(7 downto 0) := "00101100"; -- 2C constant S2MM_DMACR_OFFSET_SG : std_logic_vector(7 downto 0) := "00110000"; -- 30 constant S2MM_DMASR_OFFSET_SG : std_logic_vector(7 downto 0) := "00110100"; -- 34 constant S2MM_CURDESC_LSB_OFFSET_SG : std_logic_vector(7 downto 0) := "00111000"; -- 38 constant S2MM_CURDESC_MSB_OFFSET_SG : std_logic_vector(7 downto 0) := "00111100"; -- 3C constant S2MM_DMA_IRQ_MASK_SG : std_logic_vector(7 downto 0) := "00111100"; -- 3C constant S2MM_TAILDESC_LSB_OFFSET_SG : std_logic_vector(7 downto 0) := "01000000"; -- 40 constant S2MM_TAILDESC_MSB_OFFSET_SG : std_logic_vector(7 downto 0) := "01000100"; -- 44 constant S2MM_FRAME_STORE_OFFSET_SG : std_logic_vector(7 downto 0) := "01001000"; -- 48 constant S2MM_THRESHOLD_OFFSET_SG : std_logic_vector(7 downto 0) := "01001100"; -- 4C ------ constant MM2S_DMACR_OFFSET_8 : std_logic_vector(7 downto 0) := "00000000"; -- 00 constant MM2S_DMASR_OFFSET_8 : std_logic_vector(7 downto 0) := "00000100"; -- 04 constant MM2S_CURDESC_LSB_OFFSET_8 : std_logic_vector(7 downto 0) := "00001000"; -- 08 constant MM2S_CURDESC_MSB_OFFSET_8 : std_logic_vector(7 downto 0) := "00001100"; -- 0C constant MM2S_TAILDESC_LSB_OFFSET_8 : std_logic_vector(7 downto 0) := "00010000"; -- 10 constant MM2S_TAILDESC_MSB_OFFSET_8 : std_logic_vector(7 downto 0) := "00010100"; -- 14 constant MM2S_FRAME_STORE_OFFSET_8 : std_logic_vector(7 downto 0) := "00011000"; -- 18 constant MM2S_THRESHOLD_OFFSET_8 : std_logic_vector(7 downto 0) := "00011100"; -- 1C constant RESERVED_20_OFFSET_8 : std_logic_vector(7 downto 0) := "00100000"; -- 20 constant RESERVED_24_OFFSET_8 : std_logic_vector(7 downto 0) := "00100100"; -- 24 constant VDMA_PARK_PTRREF_OFFSET_8 : std_logic_vector(7 downto 0) := "00101000"; -- 28 constant VDMA_VERSION_OFFSET_8 : std_logic_vector(7 downto 0) := "00101100"; -- 2C constant S2MM_DMACR_OFFSET_8 : std_logic_vector(7 downto 0) := "00110000"; -- 30 constant S2MM_DMASR_OFFSET_8 : std_logic_vector(7 downto 0) := "00110100"; -- 34 constant S2MM_CURDESC_LSB_OFFSET_8 : std_logic_vector(7 downto 0) := "00111000"; -- 38 constant S2MM_CURDESC_MSB_OFFSET_8 : std_logic_vector(7 downto 0) := "00111100"; -- 3C constant S2MM_DMA_IRQ_MASK_8 : std_logic_vector(7 downto 0) := "00111100"; -- 3C constant S2MM_TAILDESC_LSB_OFFSET_8 : std_logic_vector(7 downto 0) := "01000000"; -- 40 constant S2MM_TAILDESC_MSB_OFFSET_8 : std_logic_vector(7 downto 0) := "01000100"; -- 44 constant S2MM_FRAME_STORE_OFFSET_8 : std_logic_vector(7 downto 0) := "01001000"; -- 48 constant S2MM_THRESHOLD_OFFSET_8 : std_logic_vector(7 downto 0) := "01001100"; -- 4C ------ constant MM2S_DMACR_OFFSET_90 : std_logic_vector(8 downto 0) := "000000000"; -- 000 constant MM2S_DMASR_OFFSET_90 : std_logic_vector(8 downto 0) := "000000100"; -- 004 constant MM2S_CURDESC_LSB_OFFSET_90 : std_logic_vector(8 downto 0) := "000001000"; -- 008 constant MM2S_CURDESC_MSB_OFFSET_90 : std_logic_vector(8 downto 0) := "000001100"; -- 00C constant MM2S_TAILDESC_LSB_OFFSET_90 : std_logic_vector(8 downto 0) := "000010000"; -- 010 constant MM2S_TAILDESC_MSB_OFFSET_90 : std_logic_vector(8 downto 0) := "000010100"; -- 014 constant MM2S_FRAME_STORE_OFFSET_90 : std_logic_vector(8 downto 0) := "000011000"; -- 018 constant MM2S_THRESHOLD_OFFSET_90 : std_logic_vector(8 downto 0) := "000011100"; -- 01C constant RESERVED_20_OFFSET_90 : std_logic_vector(8 downto 0) := "000100000"; -- 020 constant RESERVED_24_OFFSET_90 : std_logic_vector(8 downto 0) := "000100100"; -- 024 constant VDMA_PARK_PTRREF_OFFSET_90 : std_logic_vector(8 downto 0) := "000101000"; -- 028 constant VDMA_VERSION_OFFSET_90 : std_logic_vector(8 downto 0) := "000101100"; -- 02C constant S2MM_DMACR_OFFSET_90 : std_logic_vector(8 downto 0) := "000110000"; -- 030 constant S2MM_DMASR_OFFSET_90 : std_logic_vector(8 downto 0) := "000110100"; -- 034 constant S2MM_CURDESC_LSB_OFFSET_90 : std_logic_vector(8 downto 0) := "000111000"; -- 038 constant S2MM_CURDESC_MSB_OFFSET_90 : std_logic_vector(8 downto 0) := "000111100"; -- 03C constant S2MM_DMA_IRQ_MASK_OFFSET_90 : std_logic_vector(8 downto 0) := "000111100"; -- 03C constant S2MM_TAILDESC_LSB_OFFSET_90 : std_logic_vector(8 downto 0) := "001000000"; -- 040 constant S2MM_TAILDESC_MSB_OFFSET_90 : std_logic_vector(8 downto 0) := "001000100"; -- 044 constant S2MM_FRAME_STORE_OFFSET_90 : std_logic_vector(8 downto 0) := "001001000"; -- 048 constant S2MM_THRESHOLD_OFFSET_90 : std_logic_vector(8 downto 0) := "001001100"; -- 04C ------ constant MM2S_DMACR_OFFSET_91 : std_logic_vector(8 downto 0) := "100000000"; -- 100 constant MM2S_DMASR_OFFSET_91 : std_logic_vector(8 downto 0) := "100000100"; -- 104 constant MM2S_CURDESC_LSB_OFFSET_91 : std_logic_vector(8 downto 0) := "100001000"; -- 108 constant MM2S_CURDESC_MSB_OFFSET_91 : std_logic_vector(8 downto 0) := "100001100"; -- 10C constant MM2S_TAILDESC_LSB_OFFSET_91 : std_logic_vector(8 downto 0) := "100010000"; -- 110 constant MM2S_TAILDESC_MSB_OFFSET_91 : std_logic_vector(8 downto 0) := "100010100"; -- 114 constant MM2S_FRAME_STORE_OFFSET_91 : std_logic_vector(8 downto 0) := "100011000"; -- 118 constant MM2S_THRESHOLD_OFFSET_91 : std_logic_vector(8 downto 0) := "100011100"; -- 11C constant RESERVED_20_OFFSET_91 : std_logic_vector(8 downto 0) := "100100000"; -- 120 constant RESERVED_24_OFFSET_91 : std_logic_vector(8 downto 0) := "100100100"; -- 124 constant VDMA_PARK_PTRREF_OFFSET_91 : std_logic_vector(8 downto 0) := "100101000"; -- 128 constant VDMA_VERSION_OFFSET_91 : std_logic_vector(8 downto 0) := "100101100"; -- 12C constant S2MM_DMACR_OFFSET_91 : std_logic_vector(8 downto 0) := "100110000"; -- 130 constant S2MM_DMASR_OFFSET_91 : std_logic_vector(8 downto 0) := "100110100"; -- 134 constant S2MM_CURDESC_LSB_OFFSET_91 : std_logic_vector(8 downto 0) := "100111000"; -- 138 constant S2MM_CURDESC_MSB_OFFSET_91 : std_logic_vector(8 downto 0) := "100111100"; -- 13C constant S2MM_DMA_IRQ_MASK_OFFSET_91 : std_logic_vector(8 downto 0) := "100111100"; -- 13C constant S2MM_TAILDESC_LSB_OFFSET_91 : std_logic_vector(8 downto 0) := "101000000"; -- 140 constant S2MM_TAILDESC_MSB_OFFSET_91 : std_logic_vector(8 downto 0) := "101000100"; -- 144 constant S2MM_FRAME_STORE_OFFSET_91 : std_logic_vector(8 downto 0) := "101001000"; -- 148 constant S2MM_THRESHOLD_OFFSET_91 : std_logic_vector(8 downto 0) := "101001100"; -- 14C ------ -------- Register direct READ MUX Offsets constant MM2S_VSIZE_OFFSET_8 : std_logic_vector(7 downto 0) := "01010000"; -- 50 constant MM2S_HSIZE_OFFSET_8 : std_logic_vector(7 downto 0) := "01010100"; -- 54 constant MM2S_DLYSTRD_OFFSET_8 : std_logic_vector(7 downto 0) := "01011000"; -- 58 constant MM2S_VSIZE_OFFSET_90 : std_logic_vector(8 downto 0) := "001010000"; -- 050 constant MM2S_HSIZE_OFFSET_90 : std_logic_vector(8 downto 0) := "001010100"; -- 054 constant MM2S_DLYSTRD_OFFSET_90 : std_logic_vector(8 downto 0) := "001011000"; -- 058 constant MM2S_VSIZE_OFFSET_91 : std_logic_vector(8 downto 0) := "101010000"; -- 050 constant MM2S_HSIZE_OFFSET_91 : std_logic_vector(8 downto 0) := "101010100"; -- 054 constant MM2S_DLYSTRD_OFFSET_91 : std_logic_vector(8 downto 0) := "101011000"; -- 058 constant MM2S_STARTADDR1_OFFSET_8 : std_logic_vector(7 downto 0) := "01011100"; -- 5C constant MM2S_STARTADDR2_OFFSET_8 : std_logic_vector(7 downto 0) := "01100000"; -- 60 constant MM2S_STARTADDR3_OFFSET_8 : std_logic_vector(7 downto 0) := "01100100"; -- 64 constant MM2S_STARTADDR4_OFFSET_8 : std_logic_vector(7 downto 0) := "01101000"; -- 68 constant MM2S_STARTADDR5_OFFSET_8 : std_logic_vector(7 downto 0) := "01101100"; -- 6C constant MM2S_STARTADDR6_OFFSET_8 : std_logic_vector(7 downto 0) := "01110000"; -- 70 constant MM2S_STARTADDR7_OFFSET_8 : std_logic_vector(7 downto 0) := "01110100"; -- 74 constant MM2S_STARTADDR8_OFFSET_8 : std_logic_vector(7 downto 0) := "01111000"; -- 78 constant MM2S_STARTADDR9_OFFSET_8 : std_logic_vector(7 downto 0) := "01111100"; -- 7C constant MM2S_STARTADDR10_OFFSET_8 : std_logic_vector(7 downto 0) := "10000000"; -- 80 constant MM2S_STARTADDR11_OFFSET_8 : std_logic_vector(7 downto 0) := "10000100"; -- 84 constant MM2S_STARTADDR12_OFFSET_8 : std_logic_vector(7 downto 0) := "10001000"; -- 88 constant MM2S_STARTADDR13_OFFSET_8 : std_logic_vector(7 downto 0) := "10001100"; -- 8C constant MM2S_STARTADDR14_OFFSET_8 : std_logic_vector(7 downto 0) := "10010000"; -- 90 constant MM2S_STARTADDR15_OFFSET_8 : std_logic_vector(7 downto 0) := "10010100"; -- 94 constant MM2S_STARTADDR16_OFFSET_8 : std_logic_vector(7 downto 0) := "10011000"; -- 98 constant MM2S_STARTADDR1_OFFSET_90 : std_logic_vector(8 downto 0) := "001011100"; -- 05C constant MM2S_STARTADDR2_OFFSET_90 : std_logic_vector(8 downto 0) := "001100000"; -- 060 constant MM2S_STARTADDR3_OFFSET_90 : std_logic_vector(8 downto 0) := "001100100"; -- 064 constant MM2S_STARTADDR4_OFFSET_90 : std_logic_vector(8 downto 0) := "001101000"; -- 068 constant MM2S_STARTADDR5_OFFSET_90 : std_logic_vector(8 downto 0) := "001101100"; -- 06C constant MM2S_STARTADDR6_OFFSET_90 : std_logic_vector(8 downto 0) := "001110000"; -- 070 constant MM2S_STARTADDR7_OFFSET_90 : std_logic_vector(8 downto 0) := "001110100"; -- 074 constant MM2S_STARTADDR8_OFFSET_90 : std_logic_vector(8 downto 0) := "001111000"; -- 078 constant MM2S_STARTADDR9_OFFSET_90 : std_logic_vector(8 downto 0) := "001111100"; -- 07C constant MM2S_STARTADDR10_OFFSET_90 : std_logic_vector(8 downto 0) := "010000000"; -- 080 constant MM2S_STARTADDR11_OFFSET_90 : std_logic_vector(8 downto 0) := "010000100"; -- 084 constant MM2S_STARTADDR12_OFFSET_90 : std_logic_vector(8 downto 0) := "010001000"; -- 088 constant MM2S_STARTADDR13_OFFSET_90 : std_logic_vector(8 downto 0) := "010001100"; -- 08C constant MM2S_STARTADDR14_OFFSET_90 : std_logic_vector(8 downto 0) := "010010000"; -- 090 constant MM2S_STARTADDR15_OFFSET_90 : std_logic_vector(8 downto 0) := "010010100"; -- 094 constant MM2S_STARTADDR16_OFFSET_90 : std_logic_vector(8 downto 0) := "010011000"; -- 098 constant MM2S_STARTADDR1_OFFSET_91 : std_logic_vector(8 downto 0) := "101011100"; -- 15C constant MM2S_STARTADDR2_OFFSET_91 : std_logic_vector(8 downto 0) := "101100000"; -- 160 constant MM2S_STARTADDR3_OFFSET_91 : std_logic_vector(8 downto 0) := "101100100"; -- 164 constant MM2S_STARTADDR4_OFFSET_91 : std_logic_vector(8 downto 0) := "101101000"; -- 168 constant MM2S_STARTADDR5_OFFSET_91 : std_logic_vector(8 downto 0) := "101101100"; -- 16C constant MM2S_STARTADDR6_OFFSET_91 : std_logic_vector(8 downto 0) := "101110000"; -- 170 constant MM2S_STARTADDR7_OFFSET_91 : std_logic_vector(8 downto 0) := "101110100"; -- 174 constant MM2S_STARTADDR8_OFFSET_91 : std_logic_vector(8 downto 0) := "101111000"; -- 178 constant MM2S_STARTADDR9_OFFSET_91 : std_logic_vector(8 downto 0) := "101111100"; -- 17C constant MM2S_STARTADDR10_OFFSET_91 : std_logic_vector(8 downto 0) := "110000000"; -- 180 constant MM2S_STARTADDR11_OFFSET_91 : std_logic_vector(8 downto 0) := "110000100"; -- 184 constant MM2S_STARTADDR12_OFFSET_91 : std_logic_vector(8 downto 0) := "110001000"; -- 188 constant MM2S_STARTADDR13_OFFSET_91 : std_logic_vector(8 downto 0) := "110001100"; -- 18C constant MM2S_STARTADDR14_OFFSET_91 : std_logic_vector(8 downto 0) := "110010000"; -- 190 constant MM2S_STARTADDR15_OFFSET_91 : std_logic_vector(8 downto 0) := "110010100"; -- 194 constant MM2S_STARTADDR16_OFFSET_91 : std_logic_vector(8 downto 0) := "110011000"; -- 198 constant RESERVED_9C_OFFSET_90 : std_logic_vector(8 downto 0) := "010011100"; -- 9C constant S2MM_VSIZE_OFFSET_8 : std_logic_vector(7 downto 0) := "10100000"; -- A0 constant S2MM_HSIZE_OFFSET_8 : std_logic_vector(7 downto 0) := "10100100"; -- A4 constant S2MM_DLYSTRD_OFFSET_8 : std_logic_vector(7 downto 0) := "10101000"; -- A8 constant S2MM_VSIZE_OFFSET_90 : std_logic_vector(8 downto 0) := "010100000"; -- A0 constant S2MM_HSIZE_OFFSET_90 : std_logic_vector(8 downto 0) := "010100100"; -- A4 constant S2MM_DLYSTRD_OFFSET_90 : std_logic_vector(8 downto 0) := "010101000"; -- A8 constant S2MM_VSIZE_OFFSET_91 : std_logic_vector(8 downto 0) := "110100000"; -- A0 constant S2MM_HSIZE_OFFSET_91 : std_logic_vector(8 downto 0) := "110100100"; -- A4 constant S2MM_DLYSTRD_OFFSET_91 : std_logic_vector(8 downto 0) := "110101000"; -- A8 constant S2MM_STARTADDR1_OFFSET_8 : std_logic_vector(7 downto 0) := "10101100"; -- AC constant S2MM_STARTADDR2_OFFSET_8 : std_logic_vector(7 downto 0) := "10110000"; -- B0 constant S2MM_STARTADDR3_OFFSET_8 : std_logic_vector(7 downto 0) := "10110100"; -- B4 constant S2MM_STARTADDR4_OFFSET_8 : std_logic_vector(7 downto 0) := "10111000"; -- B8 constant S2MM_STARTADDR5_OFFSET_8 : std_logic_vector(7 downto 0) := "10111100"; -- BC constant S2MM_STARTADDR6_OFFSET_8 : std_logic_vector(7 downto 0) := "11000000"; -- C0 constant S2MM_STARTADDR7_OFFSET_8 : std_logic_vector(7 downto 0) := "11000100"; -- C4 constant S2MM_STARTADDR8_OFFSET_8 : std_logic_vector(7 downto 0) := "11001000"; -- C8 constant S2MM_STARTADDR9_OFFSET_8 : std_logic_vector(7 downto 0) := "11001100"; -- CC constant S2MM_STARTADDR10_OFFSET_8 : std_logic_vector(7 downto 0) := "11010000"; -- D0 constant S2MM_STARTADDR11_OFFSET_8 : std_logic_vector(7 downto 0) := "11010100"; -- D4 constant S2MM_STARTADDR12_OFFSET_8 : std_logic_vector(7 downto 0) := "11011000"; -- D8 constant S2MM_STARTADDR13_OFFSET_8 : std_logic_vector(7 downto 0) := "11011100"; -- DC constant S2MM_STARTADDR14_OFFSET_8 : std_logic_vector(7 downto 0) := "11100000"; -- E0 constant S2MM_STARTADDR15_OFFSET_8 : std_logic_vector(7 downto 0) := "11100100"; -- E4 constant S2MM_STARTADDR16_OFFSET_8 : std_logic_vector(7 downto 0) := "11101000"; -- E8 constant S2MM_STARTADDR1_OFFSET_90 : std_logic_vector(8 downto 0) := "010101100"; -- 0AC constant S2MM_STARTADDR2_OFFSET_90 : std_logic_vector(8 downto 0) := "010110000"; -- 0B0 constant S2MM_STARTADDR3_OFFSET_90 : std_logic_vector(8 downto 0) := "010110100"; -- 0B4 constant S2MM_STARTADDR4_OFFSET_90 : std_logic_vector(8 downto 0) := "010111000"; -- 0B8 constant S2MM_STARTADDR5_OFFSET_90 : std_logic_vector(8 downto 0) := "010111100"; -- 0BC constant S2MM_STARTADDR6_OFFSET_90 : std_logic_vector(8 downto 0) := "011000000"; -- 0C0 constant S2MM_STARTADDR7_OFFSET_90 : std_logic_vector(8 downto 0) := "011000100"; -- 0C4 constant S2MM_STARTADDR8_OFFSET_90 : std_logic_vector(8 downto 0) := "011001000"; -- 0C8 constant S2MM_STARTADDR9_OFFSET_90 : std_logic_vector(8 downto 0) := "011001100"; -- 0CC constant S2MM_STARTADDR10_OFFSET_90 : std_logic_vector(8 downto 0) := "011010000"; -- 0D0 constant S2MM_STARTADDR11_OFFSET_90 : std_logic_vector(8 downto 0) := "011010100"; -- 0D4 constant S2MM_STARTADDR12_OFFSET_90 : std_logic_vector(8 downto 0) := "011011000"; -- 0D8 constant S2MM_STARTADDR13_OFFSET_90 : std_logic_vector(8 downto 0) := "011011100"; -- 0DC constant S2MM_STARTADDR14_OFFSET_90 : std_logic_vector(8 downto 0) := "011100000"; -- 0E0 constant S2MM_STARTADDR15_OFFSET_90 : std_logic_vector(8 downto 0) := "011100100"; -- 0E4 constant S2MM_STARTADDR16_OFFSET_90 : std_logic_vector(8 downto 0) := "011101000"; -- 0E8 constant RESERVED_EC_OFFSET : std_logic_vector(8 downto 0) := "011101100"; -- 0EC constant RESERVED_F0_OFFSET : std_logic_vector(8 downto 0) := "011110000"; -- 0F0 constant RESERVED_F4_OFFSET : std_logic_vector(8 downto 0) := "011110100"; -- 0F4 constant RESERVED_F8_OFFSET : std_logic_vector(8 downto 0) := "011111000"; -- 0F8 constant RESERVED_FC_OFFSET : std_logic_vector(8 downto 0) := "011111100"; -- 0FC constant RESERVED_100_OFFSET : std_logic_vector(8 downto 0) := "100000000"; -- 100 constant RESERVED_104_OFFSET : std_logic_vector(8 downto 0) := "100000100"; -- 104 constant RESERVED_108_OFFSET : std_logic_vector(8 downto 0) := "100001000"; -- 108 constant RESERVED_10C_OFFSET : std_logic_vector(8 downto 0) := "100001100"; -- 10C constant RESERVED_110_OFFSET : std_logic_vector(8 downto 0) := "100010000"; -- 110 constant RESERVED_114_OFFSET : std_logic_vector(8 downto 0) := "100010100"; -- 114 constant RESERVED_118_OFFSET : std_logic_vector(8 downto 0) := "100011000"; -- 118 constant RESERVED_11C_OFFSET : std_logic_vector(8 downto 0) := "100011100"; -- 11C constant RESERVED_120_OFFSET : std_logic_vector(8 downto 0) := "100100000"; -- 120 constant RESERVED_124_OFFSET : std_logic_vector(8 downto 0) := "100100100"; -- 124 constant RESERVED_128_OFFSET : std_logic_vector(8 downto 0) := "100101000"; -- 128 constant RESERVED_12C_OFFSET : std_logic_vector(8 downto 0) := "100101100"; -- 12C constant RESERVED_130_OFFSET : std_logic_vector(8 downto 0) := "100110000"; -- 130 constant RESERVED_134_OFFSET : std_logic_vector(8 downto 0) := "100110100"; -- 134 constant RESERVED_138_OFFSET : std_logic_vector(8 downto 0) := "100111000"; -- 138 constant RESERVED_13C_OFFSET : std_logic_vector(8 downto 0) := "100111100"; -- 13C constant RESERVED_140_OFFSET : std_logic_vector(8 downto 0) := "101000000"; -- 140 constant RESERVED_144_OFFSET : std_logic_vector(8 downto 0) := "101000100"; -- 144 constant RESERVED_148_OFFSET : std_logic_vector(8 downto 0) := "101001000"; -- 148 constant RESERVED_14C_OFFSET : std_logic_vector(8 downto 0) := "101001100"; -- 14C constant RESERVED_150_OFFSET : std_logic_vector(8 downto 0) := "101010000"; -- 150 constant RESERVED_154_OFFSET : std_logic_vector(8 downto 0) := "101010100"; -- 154 constant RESERVED_158_OFFSET : std_logic_vector(8 downto 0) := "101011000"; -- 158 constant MM2S_STARTADDR17_OFFSET_91 : std_logic_vector(8 downto 0) := "101011100"; -- 15C constant MM2S_STARTADDR18_OFFSET_91 : std_logic_vector(8 downto 0) := "101100000"; -- 160 constant MM2S_STARTADDR19_OFFSET_91 : std_logic_vector(8 downto 0) := "101100100"; -- 164 constant MM2S_STARTADDR20_OFFSET_91 : std_logic_vector(8 downto 0) := "101101000"; -- 168 constant MM2S_STARTADDR21_OFFSET_91 : std_logic_vector(8 downto 0) := "101101100"; -- 16C constant MM2S_STARTADDR22_OFFSET_91 : std_logic_vector(8 downto 0) := "101110000"; -- 170 constant MM2S_STARTADDR23_OFFSET_91 : std_logic_vector(8 downto 0) := "101110100"; -- 174 constant MM2S_STARTADDR24_OFFSET_91 : std_logic_vector(8 downto 0) := "101111000"; -- 178 constant MM2S_STARTADDR25_OFFSET_91 : std_logic_vector(8 downto 0) := "101111100"; -- 17C constant MM2S_STARTADDR26_OFFSET_91 : std_logic_vector(8 downto 0) := "110000000"; -- 180 constant MM2S_STARTADDR27_OFFSET_91 : std_logic_vector(8 downto 0) := "110000100"; -- 184 constant MM2S_STARTADDR28_OFFSET_91 : std_logic_vector(8 downto 0) := "110001000"; -- 188 constant MM2S_STARTADDR29_OFFSET_91 : std_logic_vector(8 downto 0) := "110001100"; -- 18C constant MM2S_STARTADDR30_OFFSET_91 : std_logic_vector(8 downto 0) := "110010000"; -- 190 constant MM2S_STARTADDR31_OFFSET_91 : std_logic_vector(8 downto 0) := "110010100"; -- 194 constant MM2S_STARTADDR32_OFFSET_91 : std_logic_vector(8 downto 0) := "110011000"; -- 198 constant RESERVED_19C_OFFSET : std_logic_vector(8 downto 0) := "110011100"; -- 19C constant RESERVED_1A0_OFFSET : std_logic_vector(8 downto 0) := "110100000"; -- 1A0 constant RESERVED_1A4_OFFSET : std_logic_vector(8 downto 0) := "110100100"; -- 1A4 constant RESERVED_1A8_OFFSET : std_logic_vector(8 downto 0) := "110101000"; -- 1A8 constant S2MM_STARTADDR17_OFFSET_91 : std_logic_vector(8 downto 0) := "110101100"; -- 1AC constant S2MM_STARTADDR18_OFFSET_91 : std_logic_vector(8 downto 0) := "110110000"; -- 1B0 constant S2MM_STARTADDR19_OFFSET_91 : std_logic_vector(8 downto 0) := "110110100"; -- 1B4 constant S2MM_STARTADDR20_OFFSET_91 : std_logic_vector(8 downto 0) := "110111000"; -- 1B8 constant S2MM_STARTADDR21_OFFSET_91 : std_logic_vector(8 downto 0) := "110111100"; -- 1BC constant S2MM_STARTADDR22_OFFSET_91 : std_logic_vector(8 downto 0) := "111000000"; -- 1C0 constant S2MM_STARTADDR23_OFFSET_91 : std_logic_vector(8 downto 0) := "111000100"; -- 1C4 constant S2MM_STARTADDR24_OFFSET_91 : std_logic_vector(8 downto 0) := "111001000"; -- 1C8 constant S2MM_STARTADDR25_OFFSET_91 : std_logic_vector(8 downto 0) := "111001100"; -- 1CC constant S2MM_STARTADDR26_OFFSET_91 : std_logic_vector(8 downto 0) := "111010000"; -- 1D0 constant S2MM_STARTADDR27_OFFSET_91 : std_logic_vector(8 downto 0) := "111010100"; -- 1D4 constant S2MM_STARTADDR28_OFFSET_91 : std_logic_vector(8 downto 0) := "111011000"; -- 1D8 constant S2MM_STARTADDR29_OFFSET_91 : std_logic_vector(8 downto 0) := "111011100"; -- 1DC constant S2MM_STARTADDR30_OFFSET_91 : std_logic_vector(8 downto 0) := "111100000"; -- 1E0 constant S2MM_STARTADDR31_OFFSET_91 : std_logic_vector(8 downto 0) := "111100100"; -- 1E4 constant S2MM_STARTADDR32_OFFSET_91 : std_logic_vector(8 downto 0) := "111101000"; -- 1E8 ------------------------------------------------------------------------------- -- Register Bit Constants ------------------------------------------------------------------------------- -- DMACR constant DMACR_RS_BIT : integer := 0; constant DMACR_CRCLPRK_BIT : integer := 1; constant DMACR_RESET_BIT : integer := 2; constant DMACR_SYNCEN_BIT : integer := 3; constant DMACR_FRMCNTEN_BIT : integer := 4; constant DMACR_FSYNCSEL_LSB : integer := 5; constant DMACR_FSYNCSEL_MSB : integer := 6; constant DMACR_GENLOCK_SEL_BIT : integer := 7; constant DMACR_PNTR_NUM_LSB : integer := 8; constant DMACR_PNTR_NUM_MSB : integer := 11; constant DMACR_IOC_IRQEN_BIT : integer := 12; constant DMACR_DLY_IRQEN_BIT : integer := 13; constant DMACR_ERR_IRQEN_BIT : integer := 14; --constant DMACR_RESERVED15_BIT : integer := 15; constant DMACR_REPEAT_EN_BIT : integer := 15; constant DMACR_IRQTHRESH_LSB_BIT : integer := 16; constant DMACR_IRQTHRESH_MSB_BIT : integer := 23; constant DMACR_IRQDELAY_LSB_BIT : integer := 24; constant DMACR_IRQDELAY_MSB_BIT : integer := 31; -- DMASR constant DMASR_HALTED_BIT : integer := 0; constant DMASR_IDLE_BIT : integer := 1; constant DMASR_RESERVED2_BIT : integer := 2; constant DMASR_ERR_BIT : integer := 3; constant DMASR_DMAINTERR_BIT : integer := 4; constant DMASR_DMASLVERR_BIT : integer := 5; constant DMASR_DMADECERR_BIT : integer := 6; constant DMASR_FSIZEERR_BIT : integer := 7; constant DMASR_LSIZEERR_BIT : integer := 8; constant DMASR_SGSLVERR_BIT : integer := 9; constant DMASR_SGDECERR_BIT : integer := 10; --constant DMASR_RESERVED11_BIT : integer := 11; constant DMASR_FSIZE_MORE_OR_SOF_LATE_ERR_BIT : integer := 11; constant DMASR_IOCIRQ_BIT : integer := 12; constant DMASR_DLYIRQ_BIT : integer := 13; constant DMASR_ERRIRQ_BIT : integer := 14; constant DMASR_LSIZE_MORE_ERR_BIT : integer := 15; constant DMASR_IRQTHRESH_LSB_BIT : integer := 16; constant DMASR_IRQTHRESH_MSB_BIT : integer := 23; constant DMASR_IRQDELAY_LSB_BIT : integer := 24; constant DMASR_IRQDELAY_MSB_BIT : integer := 31; -- CURDESC constant CURDESC_LOWER_MSB_BIT : integer := 31; constant CURDESC_LOWER_LSB_BIT : integer := 5; constant CURDESC_RESERVED_BIT4 : integer := 4; -- TAILDESC constant TAILDESC_LOWER_MSB_BIT : integer := 31; constant TAILDESC_LOWER_LSB_BIT : integer := 5; constant TAILDESC_RESERVED_BIT4 : integer := 4; constant TAILDESC_RESERVED_BIT3 : integer := 3; constant TAILDESC_RESERVED_BIT2 : integer := 2; constant TAILDESC_RESERVED_BIT1 : integer := 1; constant TAILDESC_RESERVED_BIT0 : integer := 0; constant PARKPTR_FRMSTR_RSVD_BIT31 : integer := 31; constant PARKPTR_FRMSTR_S2MM_MSB_BIT : integer := 28; constant PARKPTR_FRMSTR_S2MM_LSB_BIT : integer := 24; constant PARKPTR_FRMSTR_MM2S_MSB_BIT : integer := 20; constant PARKPTR_FRMSTR_MM2S_LSB_BIT : integer := 16; constant PARKPTR_FRMSTR_RSVD_BIT15 : integer := 15; constant PARKPTR_FRMPTR_S2MM_MSB_BIT : integer := 12; constant PARKPTR_FRMPTR_S2MM_LSB_BIT : integer := 8; constant PARKPTR_FRMPTR_MM2S_MSB_BIT : integer := 4; constant PARKPTR_FRMPTR_MM2S_LSB_BIT : integer := 0; -- FRAMESTORE constant FRMSTORE_LSB_BIT : integer := 0; constant FRMSTORE_MSB_BIT : integer := NUM_FRM_STORE_WIDTH-1; -- LineBuffer Threshold constant THRESH_LSB_BIT : integer := 0; constant THRESH_MSB_BIT : integer := LINEBUFFER_THRESH_WIDTH-1; -- DataMover Command / Status Constants constant DATAMOVER_CMDDONE_BIT : integer := 7; constant DATAMOVER_SLVERR_BIT : integer := 6; constant DATAMOVER_DECERR_BIT : integer := 5; constant DATAMOVER_INTERR_BIT : integer := 4; constant DATAMOVER_TAGMSB_BIT : integer := 3; constant DATAMOVER_TAGLSB_BIT : integer := 0; -- Descriptor Word 0 : NXTDESC PTR LS-WORD -- Descriptor Word 1 : NXTDESC PTR MS-WORD -- Descriptor Word 2 : STARTADDR PTR LS-WORD -- Descriptor Word 3 : STARTADDR PTR MS-WORD -- Descriptor Word 4 constant DESC_WRD4_VSIZE_LSB_BIT : integer := 0; constant DESC_WRD4_VSIZE_MSB_BIT : integer := 12; -- Descriptor Word 5 constant DESC_WRD5_HSIZE_LSB_BIT : integer := 0; constant DESC_WRD5_HSIZE_MSB_BIT : integer := 15; -- Descriptor Word 6 constant DESC_WRD6_STRIDE_LSB_BIT : integer := 0; constant DESC_WRD6_STRIDE_MSB_BIT : integer := 15; constant DESC_WRD6_FRMDLY_LSB_BIT : integer := 24; constant DESC_WRD6_FRMDLY_MSB_BIT : integer := 28; -- DataMover Command / Status Constants constant DATAMOVER_STS_CMDDONE_BIT : integer := 7; constant DATAMOVER_STS_SLVERR_BIT : integer := 6; constant DATAMOVER_STS_DECERR_BIT : integer := 5; constant DATAMOVER_STS_INTERR_BIT : integer := 4; constant DATAMOVER_STS_TAGMSB_BIT : integer := 3; constant DATAMOVER_STS_TAGLSB_BIT : integer := 0; constant DATAMOVER_STS_TAGEOF_BIT : integer := 1; constant DATAMOVER_STS_TLAST_BIT : integer := 31; constant DATAMOVER_CMD_BTTLSB_BIT : integer := 0; constant DATAMOVER_CMD_BTTMSB_BIT : integer := 22; constant DATAMOVER_CMD_TYPE_BIT : integer := 23; constant DATAMOVER_CMD_DSALSB_BIT : integer := 24; constant DATAMOVER_CMD_DSAMSB_BIT : integer := 29; constant DATAMOVER_CMD_EOF_BIT : integer := 30; constant DATAMOVER_CMD_DRR_BIT : integer := 31; constant DATAMOVER_CMD_ADDRLSB_BIT : integer := 32; -- Note: Bit offset require adding ADDR WIDTH to get to actual bit index constant DATAMOVER_CMD_ADDRMSB_BOFST: integer := 31; constant DATAMOVER_CMD_TAGLSB_BOFST : integer := 32; constant DATAMOVER_CMD_TAGMSB_BOFST : integer := 35; constant DATAMOVER_CMD_RSVLSB_BOFST : integer := 36; constant DATAMOVER_CMD_RSVMSB_BOFST : integer := 39; -- Gen-Lock constants constant MSTR0 : std_logic_vector(3 downto 0) := "0000"; constant MSTR1 : std_logic_vector(3 downto 0) := "0001"; constant MSTR2 : std_logic_vector(3 downto 0) := "0010"; constant MSTR3 : std_logic_vector(3 downto 0) := "0011"; constant MSTR4 : std_logic_vector(3 downto 0) := "0100"; constant MSTR5 : std_logic_vector(3 downto 0) := "0101"; constant MSTR6 : std_logic_vector(3 downto 0) := "0110"; constant MSTR7 : std_logic_vector(3 downto 0) := "0111"; constant MSTR8 : std_logic_vector(3 downto 0) := "1000"; constant MSTR9 : std_logic_vector(3 downto 0) := "1001"; constant MSTR10 : std_logic_vector(3 downto 0) := "1010"; constant MSTR11 : std_logic_vector(3 downto 0) := "1011"; constant MSTR12 : std_logic_vector(3 downto 0) := "1100"; constant MSTR13 : std_logic_vector(3 downto 0) := "1101"; constant MSTR14 : std_logic_vector(3 downto 0) := "1110"; constant MSTR15 : std_logic_vector(3 downto 0) := "1111"; constant MSTR0_LO_INDEX : integer := 0; constant MSTR0_HI_INDEX : integer := 5; constant MSTR1_LO_INDEX : integer := 6; constant MSTR1_HI_INDEX : integer := 11; constant MSTR2_LO_INDEX : integer := 12; constant MSTR2_HI_INDEX : integer := 17; constant MSTR3_LO_INDEX : integer := 18; constant MSTR3_HI_INDEX : integer := 23; constant MSTR4_LO_INDEX : integer := 24; constant MSTR4_HI_INDEX : integer := 29; constant MSTR5_LO_INDEX : integer := 30; constant MSTR5_HI_INDEX : integer := 35; constant MSTR6_LO_INDEX : integer := 36; constant MSTR6_HI_INDEX : integer := 41; constant MSTR7_LO_INDEX : integer := 42; constant MSTR7_HI_INDEX : integer := 47; constant MSTR8_LO_INDEX : integer := 48; constant MSTR8_HI_INDEX : integer := 53; constant MSTR9_LO_INDEX : integer := 54; constant MSTR9_HI_INDEX : integer := 59; constant MSTR10_LO_INDEX : integer := 60; constant MSTR10_HI_INDEX : integer := 65; constant MSTR11_LO_INDEX : integer := 66; constant MSTR11_HI_INDEX : integer := 71; constant MSTR12_LO_INDEX : integer := 72; constant MSTR12_HI_INDEX : integer := 77; constant MSTR13_LO_INDEX : integer := 78; constant MSTR13_HI_INDEX : integer := 83; constant MSTR14_LO_INDEX : integer := 84; constant MSTR14_HI_INDEX : integer := 89; constant MSTR15_LO_INDEX : integer := 90; constant MSTR15_HI_INDEX : integer := 95; ------------------------------------------------------------------------------- -- Types ------------------------------------------------------------------------------- constant BITS_PER_REG : integer := 32; constant BITS_PER_REG_64 : integer := 64; type STARTADDR_ARRAY_TYPE is array(natural range <>) of std_logic_vector(BITS_PER_REG - 1 downto 0); type STARTADDR_ARRAY_TYPE_64 is array(natural range <>) of std_logic_vector(BITS_PER_REG_64 - 1 downto 0); end axi_vdma_pkg; ------------------------------------------------------------------------------- -- PACKAGE BODY ------------------------------------------------------------------------------- package body axi_vdma_pkg is -- coverage off ------------------------------------------------------------------------------- -- Function to determine minimum bits required for BTT_SIZE field ------------------------------------------------------------------------------- function required_btt_width (dwidth : integer; burst_size : integer; btt_width : integer) return integer is variable min_width : integer; begin min_width := clog2((dwidth/8)*burst_size)+1; if(min_width > btt_width)then return min_width; else return btt_width; end if; end function required_btt_width; ------------------------------------------------------------------------------- -- String to Integer Function ------------------------------------------------------------------------------- function string2int(strngbuf: string) return integer is variable result : integer := 0; begin for i in 1 to strngbuf'length loop case strngbuf(i) is when '0' => result := result*10; when '1' => result := result*10 + 1; when '2' => result := result*10 + 2; when '3' => result := result*10 + 3; when '4' => result := result*10 + 4; when '5' => result := result*10 + 5; when '6' => result := result*10 + 6; when '7' => result := result*10 + 7; when '8' => result := result*10 + 8; when '9' => result := result*10 + 9; -- coverage off when others => null; -- coverage on end case; end loop; return result; end; -------------------------------------------------------------------------------- --Channel Fsync & Flush decoding -------------------------------------------------------------------------------- function find_mm2s_fsync (use_fsync : integer; mm2s_included : integer; s2mm_included : integer) return integer is begin if ((mm2s_included = 0 and s2mm_included = 1) or (mm2s_included = 1 and s2mm_included = 0)) then if (use_fsync = 0 or use_fsync = 1)then return use_fsync; else return 0; end if; elsif(mm2s_included = 1 and s2mm_included = 1) then if (use_fsync = 1 or use_fsync = 2) then return 1; -- coverage off else return 0; -- coverage on end if; elsif(mm2s_included = 0 and s2mm_included = 0) then return 0; -- coverage off else return 0; -- coverage on end if; end function find_mm2s_fsync; function find_s2mm_fsync_01 (use_s2mm_fsync : integer) return integer is begin if (use_s2mm_fsync = 1 or use_s2mm_fsync = 2)then return 1; else return 0; end if; end function find_s2mm_fsync_01; function find_s2mm_fsync (use_fsync : integer; mm2s_included : integer; s2mm_included : integer) return integer is begin if ((mm2s_included = 0 and s2mm_included = 1) or (mm2s_included = 1 and s2mm_included = 0)) then if (use_fsync = 0 or use_fsync = 1)then return use_fsync; else return 0; end if; elsif(mm2s_included = 1 and s2mm_included = 1) then if (use_fsync = 1 or use_fsync = 3) then return 1; -- coverage off else return 0; -- coverage on end if; elsif(mm2s_included = 0 and s2mm_included = 0) then return 0; -- coverage off else return 0; -- coverage on end if; end function find_s2mm_fsync; function find_mm2s_flush (use_fsync : integer; mm2s_included : integer; s2mm_included : integer; flush_on_fsync : integer) return integer is begin if ((mm2s_included = 0 and s2mm_included = 1) or (mm2s_included = 1 and s2mm_included = 0)) then if (use_fsync = 1 and (flush_on_fsync = 0 or flush_on_fsync = 1)) then return flush_on_fsync; else return 0; end if; elsif(mm2s_included = 1 and s2mm_included = 1) then if (use_fsync = 1 and ( flush_on_fsync = 1 or flush_on_fsync = 2))then return 1; elsif (use_fsync = 2 and flush_on_fsync = 2)then return 1; else return 0; end if; elsif(mm2s_included = 0 and s2mm_included = 0) then return 0; -- coverage off else return 0; -- coverage on end if; end function find_mm2s_flush; function find_s2mm_flush (use_fsync : integer; mm2s_included : integer; s2mm_included : integer; flush_on_fsync : integer) return integer is begin if ((mm2s_included = 0 and s2mm_included = 1) or (mm2s_included = 1 and s2mm_included = 0)) then if (use_fsync = 1 and (flush_on_fsync = 0 or flush_on_fsync = 1)) then return flush_on_fsync; else return 0; end if; elsif(mm2s_included = 1 and s2mm_included = 1) then if (use_fsync = 1 and ( flush_on_fsync = 1 or flush_on_fsync = 3))then return 1; elsif (use_fsync = 3 and flush_on_fsync = 3)then return 1; else return 0; end if; elsif(mm2s_included = 0 and s2mm_included = 0) then return 0; -- coverage off else return 0; -- coverage on end if; end function find_s2mm_flush; -- coverage on ---------------------------------------------------------------------------------------------------------- -- Function to calculate minimum threshold value for MM2S Line buffer based on TDATA, and LineBuffer Depth ---------------------------------------------------------------------------------------------------------- function calculated_minimum_mm2s_linebuffer_thresh (mm2s_included : integer; mm2s_tdata_dwidth : integer; mm2s_linebuffer_depth : integer) return integer is begin if(mm2s_included = 0 or mm2s_linebuffer_depth = 0)then return 4; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 8) then return 1; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 16) then return 2; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 32) then return 4; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 64) then return 8; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 128) then return 16; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 256) then return 32; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 512) then return 64; elsif(mm2s_included = 1 and mm2s_linebuffer_depth > 0 and mm2s_tdata_dwidth = 1024) then return 128; -- coverage off else return 128 ; -- coverage on end if; end function calculated_minimum_mm2s_linebuffer_thresh; function calculated_minimum_s2mm_linebuffer_thresh (s2mm_included : integer; s2mm_tdata_dwidth : integer; s2mm_linebuffer_depth : integer) return integer is begin if(s2mm_included = 0 or s2mm_linebuffer_depth = 0)then return 4; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 8) then return 1; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 16) then return 2; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 32) then return 4; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 64) then return 8; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 128) then return 16; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 256) then return 32; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 512) then return 64; elsif(s2mm_included = 1 and s2mm_linebuffer_depth > 0 and s2mm_tdata_dwidth = 1024) then return 128; -- coverage off else return 128 ; -- coverage on end if; end function calculated_minimum_s2mm_linebuffer_thresh; ------------------------------------------------------------------------------- -- Function to calculate C_M_AXIS_MM2S_TDATA_WIDTH_CALCULATED from C_M_AXIS_MM2S_TDATA_WIDTH ------------------------------------------------------------------------------- function calculated_mm2s_tdata_width (mm2s_tdata_dwidth : integer) return integer is begin if(mm2s_tdata_dwidth <= 16)then return mm2s_tdata_dwidth; elsif(mm2s_tdata_dwidth > 16 and mm2s_tdata_dwidth <= 32) then return 32; elsif(mm2s_tdata_dwidth > 32 and mm2s_tdata_dwidth <= 64) then return 64; elsif(mm2s_tdata_dwidth > 64 and mm2s_tdata_dwidth <= 128) then return 128; elsif(mm2s_tdata_dwidth > 128 and mm2s_tdata_dwidth <= 256) then return 256; elsif(mm2s_tdata_dwidth > 256 and mm2s_tdata_dwidth <= 512) then return 512; elsif(mm2s_tdata_dwidth > 512 and mm2s_tdata_dwidth <= 1024) then return 1024; -- coverage off else return 32 ; -- coverage on end if; end function calculated_mm2s_tdata_width; function calculated_s2mm_tdata_width (s2mm_tdata_dwidth : integer) return integer is begin if(s2mm_tdata_dwidth <= 16)then return s2mm_tdata_dwidth; elsif(s2mm_tdata_dwidth > 16 and s2mm_tdata_dwidth <= 32) then return 32; elsif(s2mm_tdata_dwidth > 32 and s2mm_tdata_dwidth <= 64) then return 64; elsif(s2mm_tdata_dwidth > 64 and s2mm_tdata_dwidth <= 128) then return 128; elsif(s2mm_tdata_dwidth > 128 and s2mm_tdata_dwidth <= 256) then return 256; elsif(s2mm_tdata_dwidth > 256 and s2mm_tdata_dwidth <= 512) then return 512; elsif(s2mm_tdata_dwidth > 512 and s2mm_tdata_dwidth <= 1024) then return 1024; -- coverage off else return 32 ; -- coverage on end if; end function calculated_s2mm_tdata_width; function enable_tkeep_connectivity (tdata_dwidth : integer; tdata_width_calculated : integer; DRE_ON : integer) return integer is begin if(DRE_ON = 1 or ( tdata_width_calculated /= tdata_dwidth))then return 1; else return 0 ; end if; end function enable_tkeep_connectivity; ------------------------------------------------------------------------------- -- function to return number of registers depending on mode of operation ------------------------------------------------------------------------------- function get_num_registers(mode : integer; sg_num : integer; regdir_num : integer) return integer is begin -- 1 = Scatter Gather Mode -- 0 = Register Direct Mode if(mode = 1)then return sg_num; else return regdir_num; end if; end; -- coverage off ------------------------------------------------------------------------------- -- function to return Frequency Hertz parameter based on inclusion of sg engine ------------------------------------------------------------------------------- function hertz_prmtr_select(included : integer; lite_frequency : integer; sg_frequency : integer) return integer is begin -- 1 = Scatter Gather Included -- 0 = Scatter Gather Excluded if(included = 1)then return sg_frequency; else return lite_frequency; end if; end; -- coverage on ------------------------------------------------------------------------------- -- function to enable store and forward based on data width mismatch -- or directly enabled ------------------------------------------------------------------------------- function enable_snf (sf_enabled : integer; axi_data_width : integer; axis_tdata_width : integer) return integer is begin -- If store and forward enable or data widths do not -- match then return 1 to enable snf if( (sf_enabled = 1) or (axi_data_width /= axis_tdata_width))then return 1; else return 0; end if; end; ------------------------------------------------------------------------------- -- Convert mm2s index to an s2mm index for the base registers ------------------------------------------------------------------------------- function convert_base_index(channel_is_mm2s : integer; mm2s_index : integer) return integer is variable new_index : integer := 0; begin if(channel_is_mm2s = 1)then return mm2s_index; else new_index := mm2s_index + 12; return new_index; end if; end; ------------------------------------------------------------------------------- -- Convert mm2s index to an s2mm index for the regdir registers ------------------------------------------------------------------------------- function convert_regdir_index(channel_is_mm2s : integer; mm2s_index : integer) return integer is variable new_index : integer := 0; begin if(channel_is_mm2s = 1)then return mm2s_index; else --new_index := mm2s_index + 68; new_index := mm2s_index + 20; return new_index; end if; end; ------------------------------------------------------------------------------- -- enable internal genlock bus based on genlock modes and internal genlock -- parameters. ------------------------------------------------------------------------------- function enable_internal_genloc(mm2s_enabled : integer; s2mm_enabled : integer; internal_genlock : integer; mm2s_genlock_mode : integer; s2mm_genlock_mode : integer) return integer is begin -- internal genlock turned OFF at parameter or if NOT both channel enabled. if(internal_genlock = 0 or mm2s_enabled = 0 or s2mm_enabled = 0)then return 0; -- at least one channel must be a master and one be a slave -- before turning ON the internal genlock bus elsif( (mm2s_genlock_mode = 0 and s2mm_genlock_mode = 1) or (mm2s_genlock_mode = 1 and s2mm_genlock_mode = 0))then return 1; elsif( (mm2s_genlock_mode = 2 and s2mm_genlock_mode = 3) or (mm2s_genlock_mode = 3 and s2mm_genlock_mode = 2))then return 1; -- either both are maters or both are slaves therefore -- turn OFF internal genlock bus else return 0; end if; end; end package body axi_vdma_pkg;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_sfifo.vhd
4
58852
------------------------------------------------------------------------------- -- axi_vdma_sfifo.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_sfifo.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- synchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library fifo_generator_v13_1_1; use fifo_generator_v13_1_1.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; --use proc_common_v4_0_2.coregen_comp_defs.all; --use proc_common_v4_0_2.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- ENTITY axi_vdma_sfifo IS GENERIC ( ------------------------------------------------------------------------- -- Generic Declarations ------------------------------------------------------------------------- C_FAMILY : STRING := "virtex7"; -- C_FULL_FLAGS_RST_VAL : INTEGER := 1; -- 0,1 ; Default 1 UW_DATA_WIDTH : INTEGER := 16; -- 1 - 1024; Default 16 UW_FIFO_DEPTH : INTEGER := 1024 -- 16 - 256K; Default 1K ); PORT ( -- Common signal rst : in std_logic := '0'; sleep : in std_logic := '0'; wr_rst_busy : out std_logic := '0'; rd_rst_busy : out std_logic := '0'; -- Write Domain signals clk : in std_logic := '0'; din : in std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0'); wr_en : in std_logic := '0'; full : out std_logic := '0'; data_count : out std_logic_vector(clog2(uw_fifo_depth)-1 downto 0) := (others => '0'); -- Read Domain signals rd_en : in std_logic := '0'; dout : out std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0'); empty : out std_logic := '1' ); END ENTITY axi_vdma_sfifo; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- ARCHITECTURE xilinx OF axi_vdma_sfifo IS attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes"; --CONSTANT GND : std_logic := '0'; CONSTANT VCC : std_logic := '1'; CONSTANT clog2_uw_fifo_depth : integer := clog2(uw_fifo_depth); CONSTANT clog2_uw_fifo_depth_plus_1 : integer := clog2(uw_fifo_depth) + 1; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal ZERO_pntr : std_logic_vector(clog2_uw_fifo_depth-1 downto 0) := (others => '0'); signal GND : std_logic := '0'; signal ALMOST_FULL : std_logic; signal WR_ACK : std_logic; signal OVERFLOW : std_logic; signal ALMOST_EMPTY : std_logic; signal VALID : std_logic; signal UNDERFLOW : std_logic; signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal RD_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0); signal WR_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0); signal wr_rst_busy_sig : std_logic := '0'; signal rd_rst_busy_sig : std_logic := '0'; signal sig_data_count : std_logic_vector(clog2(uw_fifo_depth) downto 0) := (others => '0'); begin --FAMILY_8 : if ((C_FAMILY = "kintexu") or (C_FAMILY = "virtexu") or (C_FAMILY = "artixu")) generate --begin -- --wr_rst_busy <= wr_rst_busy_sig; --rd_rst_busy <= rd_rst_busy_sig; -- -- --end generate FAMILY_8; -- --FAMILY_NOT_8 : if ((C_FAMILY /= "kintexu") and (C_FAMILY /= "virtexu") and (C_FAMILY /= "artixu")) generate --begin -- --wr_rst_busy <= '0'; --rd_rst_busy <= '0'; -- -- --end generate FAMILY_NOT_8; FAMILY_NOT_7 : if ((C_FAMILY /= "kintex7") and (C_FAMILY /= "virtex7") and (C_FAMILY /= "artix7") and (C_FAMILY /= "zynq")) generate begin wr_rst_busy <= wr_rst_busy_sig; rd_rst_busy <= rd_rst_busy_sig; end generate FAMILY_NOT_7; FAMILY_7 : if ((C_FAMILY = "kintex7") or (C_FAMILY = "virtex7") or (C_FAMILY = "artix7") or (C_FAMILY = "zynq")) generate begin wr_rst_busy <= '0'; rd_rst_busy <= '0'; end generate FAMILY_7; data_count <= sig_data_count(clog2(uw_fifo_depth)-1 downto 0); ZERO_pntr <= (others => '0'); GND <= '0'; fg_inst : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1 GENERIC MAP ( C_COMMON_CLOCK => 1, -- C_COUNT_TYPE => C_COUNT_TYPE, C_COUNT_TYPE => 0, --my -- C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH, C_DATA_COUNT_WIDTH => clog2_uw_fifo_depth_plus_1, --my -- C_DEFAULT_VALUE => C_DEFAULT_VALUE, C_DIN_WIDTH => uw_data_width, -- C_DOUT_RST_VAL => C_DOUT_RST_VAL, C_DOUT_WIDTH => uw_data_width, -- C_ENABLE_RLOCS => C_ENABLE_RLOCS, --C_FAMILY => "virtex7", C_FAMILY => C_FAMILY, --my --C_FULL_FLAGS_RST_VAL => uw_full_flags_rst_val, C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, --my -- C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, -- C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, -- C_HAS_BACKUP => C_HAS_BACKUP, C_HAS_DATA_COUNT => 1, --my -- C_HAS_DATA_COUNT => C_HAS_DATA_COUNT, -- C_HAS_INT_CLK => C_HAS_INT_CLK, -- C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE, -- C_HAS_OVERFLOW => C_HAS_OVERFLOW, C_HAS_RD_DATA_COUNT => 0, --my -- C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT, -- C_HAS_RD_RST => C_HAS_RD_RST, C_EN_SAFETY_CKT => 0, C_HAS_RST => 0, C_HAS_SRST => 1, -- C_HAS_UNDERFLOW => C_HAS_UNDERFLOW, -- C_HAS_VALID => C_HAS_VALID, -- C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => 0, --my -- C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT, -- C_HAS_WR_RST => C_HAS_WR_RST, --C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE, C_IMPLEMENTATION_TYPE => 0, --my --Block RAM -- C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL, --C_MEMORY_TYPE => C_MEMORY_TYPE, C_MEMORY_TYPE => 1, --my --Block RAM -- C_MIF_FILE_NAME => C_MIF_FILE_NAME, -- C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE, -- C_OVERFLOW_LOW => C_OVERFLOW_LOW, --C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, --C_PRELOAD_REGS => C_PRELOAD_REGS, C_PRELOAD_LATENCY => 0, --my C_PRELOAD_REGS => 1, --my --C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE, C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 10, C_PROG_EMPTY_THRESH_NEGATE_VAL => 9, C_PROG_EMPTY_TYPE => 0, --C_PROG_FULL_THRESH_ASSERT_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-150, 14), --my --C_PROG_FULL_THRESH_NEGATE_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-160, 12), --my C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my -- C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH, C_RD_DEPTH => uw_fifo_depth, --C_RD_FREQ => C_RD_FREQ, C_RD_FREQ => 1, --my C_RD_PNTR_WIDTH => clog2_uw_fifo_depth, -- C_UNDERFLOW_LOW => C_UNDERFLOW_LOW, -- C_USE_DOUT_RST => C_USE_DOUT_RST, -- C_USE_ECC => C_USE_ECC, C_USE_EMBEDDED_REG => 1, --my -- C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, -- C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS, C_USE_FWFT_DATA_COUNT => 1, --my -- C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT, -- C_VALID_LOW => C_VALID_LOW, -- C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my -- C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH, C_WR_DEPTH => uw_fifo_depth, --C_WR_FREQ => C_WR_FREQ, C_WR_FREQ => 1, --my C_WR_PNTR_WIDTH => clog2_uw_fifo_depth, -- C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY, -- C_MSGON_VAL => C_MSGON_VAL, -- C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC, -- C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE, C_SYNCHRONIZER_STAGE => MTBF_STAGES, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) PORT MAP ( backup => GND, backup_marker => GND, clk => clk, rst => GND, srst => rst, wr_clk => GND, wr_rst => GND, rd_clk => GND, rd_rst => GND, din => din, wr_en => wr_en, rd_en => rd_en, sleep => sleep, wr_rst_busy => wr_rst_busy_sig, rd_rst_busy => rd_rst_busy_sig, prog_empty_thresh => ZERO_pntr, prog_empty_thresh_assert => ZERO_pntr, prog_empty_thresh_negate => ZERO_pntr, prog_full_thresh => ZERO_pntr, prog_full_thresh_assert => ZERO_pntr, prog_full_thresh_negate => ZERO_pntr, int_clk => GND, injectdbiterr => GND, injectsbiterr => GND, dout => dout, full => full, empty => empty, almost_full => ALMOST_FULL, wr_ack => WR_ACK, overflow => OVERFLOW, almost_empty => ALMOST_EMPTY, valid => VALID, underflow => UNDERFLOW, data_count => sig_data_count, rd_data_count => RD_DATA_COUNT, wr_data_count => WR_DATA_COUNT, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); END ARCHITECTURE xilinx;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/ip_repo/axi_i2s_adi_1.0/hdl/adi_common/dma_fifo.vhd
7
1646
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity dma_fifo is generic ( RAM_ADDR_WIDTH : integer := 3; FIFO_DWIDTH : integer := 32 ); port ( clk : in std_logic; resetn : in std_logic; fifo_reset : in std_logic; -- Write port in_stb : in std_logic; in_ack : out std_logic; in_data : in std_logic_vector(FIFO_DWIDTH-1 downto 0); -- Read port out_stb : out std_logic; out_ack : in std_logic; out_data : out std_logic_vector(FIFO_DWIDTH-1 downto 0) ); end; architecture imp of dma_fifo is constant FIFO_MAX : natural := 2**RAM_ADDR_WIDTH -1; type MEM is array (0 to FIFO_MAX) of std_logic_vector(FIFO_DWIDTH - 1 downto 0); signal data_fifo : MEM; signal wr_addr : natural range 0 to FIFO_MAX; signal rd_addr : natural range 0 to FIFO_MAX; signal full, empty : Boolean; begin in_ack <= '0' when full else '1'; out_stb <= '0' when empty else '1'; out_data <= data_fifo(rd_addr); fifo: process (clk) is variable free_cnt : integer range 0 to FIFO_MAX + 1; begin if rising_edge(clk) then if (resetn = '0') or (fifo_reset = '1') then wr_addr <= 0; rd_addr <= 0; free_cnt := FIFO_MAX + 1; empty <= True; full <= False; else if in_stb = '1' and not full then data_fifo(wr_addr) <= in_data; wr_addr <= (wr_addr + 1) mod (FIFO_MAX + 1); free_cnt := free_cnt - 1; end if; if out_ack = '1' and not empty then rd_addr <= (rd_addr + 1) mod (FIFO_MAX + 1); free_cnt := free_cnt + 1; end if; full <= free_cnt = 0; empty <= free_cnt = FIFO_MAX + 1; end if; end if; end process; end;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/zybo_petalinux_piano.ip_user_files/bd/block_design/ipshared/analogdeviceinc.com/axi_i2s_adi_v1_0/hdl/adi_common/dma_fifo.vhd
7
1646
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity dma_fifo is generic ( RAM_ADDR_WIDTH : integer := 3; FIFO_DWIDTH : integer := 32 ); port ( clk : in std_logic; resetn : in std_logic; fifo_reset : in std_logic; -- Write port in_stb : in std_logic; in_ack : out std_logic; in_data : in std_logic_vector(FIFO_DWIDTH-1 downto 0); -- Read port out_stb : out std_logic; out_ack : in std_logic; out_data : out std_logic_vector(FIFO_DWIDTH-1 downto 0) ); end; architecture imp of dma_fifo is constant FIFO_MAX : natural := 2**RAM_ADDR_WIDTH -1; type MEM is array (0 to FIFO_MAX) of std_logic_vector(FIFO_DWIDTH - 1 downto 0); signal data_fifo : MEM; signal wr_addr : natural range 0 to FIFO_MAX; signal rd_addr : natural range 0 to FIFO_MAX; signal full, empty : Boolean; begin in_ack <= '0' when full else '1'; out_stb <= '0' when empty else '1'; out_data <= data_fifo(rd_addr); fifo: process (clk) is variable free_cnt : integer range 0 to FIFO_MAX + 1; begin if rising_edge(clk) then if (resetn = '0') or (fifo_reset = '1') then wr_addr <= 0; rd_addr <= 0; free_cnt := FIFO_MAX + 1; empty <= True; full <= False; else if in_stb = '1' and not full then data_fifo(wr_addr) <= in_data; wr_addr <= (wr_addr + 1) mod (FIFO_MAX + 1); free_cnt := free_cnt - 1; end if; if out_ack = '1' and not empty then rd_addr <= (rd_addr + 1) mod (FIFO_MAX + 1); free_cnt := free_cnt + 1; end if; full <= free_cnt = 0; empty <= free_cnt = FIFO_MAX + 1; end if; end if; end process; end;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_dre_mux8_1_x_n.vhd
18
6145
------------------------------------------------------------------------------- -- axi_datamover_dre_mux8_1_x_n.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_dre_mux8_1_x_n.vhd -- -- Description: -- -- This VHDL file provides a 8 to 1 xn bit wide mux for the AXI Data Realignment -- Engine (DRE). -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_arith.all; ------------------------------------------------------------------------------- -- Start 8 to 1 xN Mux ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- Entity axi_datamover_dre_mux8_1_x_n is generic ( C_WIDTH : Integer := 8 -- Sets the bit width of the 8x Mux slice ); port ( Sel : In std_logic_vector(2 downto 0); -- Mux select control I0 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 0 input I1 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 1 input I2 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 2 input I3 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 3 input I4 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 4 input I5 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 5 input I6 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 6 input I7 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 7 input Y : Out std_logic_vector(C_WIDTH-1 downto 0) -- Mux output value ); end entity axi_datamover_dre_mux8_1_x_n; -- Architecture implementation of axi_datamover_dre_mux8_1_x_n is begin ------------------------------------------------------------- -- Combinational Process -- -- Label: SELECT8_1 -- -- Process Description: -- This process implements an 8 to 1 mux. -- ------------------------------------------------------------- SELECT8_1 : process (Sel, I0, I1, I2, I3, I4, I5, I6, I7) begin case Sel is when "000" => Y <= I0; when "001" => Y <= I1; when "010" => Y <= I2; when "011" => Y <= I3; when "100" => Y <= I4; when "101" => Y <= I5; when "110" => Y <= I6; when "111" => Y <= I7; when others => Y <= I0; end case; end process SELECT8_1; end implementation; -- axi_datamover_dre_mux8_1_x_n ------------------------------------------------------------------------------- -- End 8 to 1 xN Mux -------------------------------------------------------------------------------
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/zybo_petalinux_piano.srcs/sources_1/bd/block_design/ipshared/xilinx.com/proc_sys_reset_v5_0/hdl/src/vhdl/sequence_psr.vhd
15
22231
------------------------------------------------------------------------------- -- sequence - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- -- upcnt_n.vhd -- -- lpf.vhd -- -- sequence.vhd ------------------------------------------------------------------------------- -- Filename: sequence.vhd -- -- Description: -- This file control the sequencing coming out of a reset. -- The sequencing is as follows: -- Bus_Struct_Reset comes out of reset first. Either when the -- external or auxiliary reset goes inactive or 16 clocks -- after a PPC Chip_Reset_Request, or 30 clocks after a PPC -- System_Reset_Request. -- Peripheral_Reset comes out of reset 16 clocks after -- Bus_Struct_Reset. -- The PPC resetcore, comes out of reset -- 16 clocks after Peripheral_Reset. -- The PPC resetchip and resetsystem come out of reset -- at the same time as Bus_Struct_Reset. ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/12/01 -- First Release -- LC Whittle 10/11/2004 -- Update for NCSim -- rolandp 04/16/2007 -- v2.00a -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0_9; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- -- Definition of Ports: -- Lpf_reset -- Low Pass Filtered in -- System_Reset_Req -- System Reset Request -- Chip_Reset_Req -- Chip Reset Request -- Slowest_Sync_Clk -- Clock -- Bsr_out -- Bus Structure Reset out -- Pr_out -- Peripheral Reset out -- Core_out -- Core reset out -- Chip_out -- Chip reset out -- Sys_out -- System reset out -- MB_out -- MB reset out -- ------------------------------------------------------------------------------- entity sequence_psr is port( Lpf_reset : in std_logic; -- System_Reset_Req : in std_logic; -- Chip_Reset_Req : in std_logic; Slowest_Sync_Clk : in std_logic; Bsr_out : out std_logic; Pr_out : out std_logic; -- Core_out : out std_logic; -- Chip_out : out std_logic; -- Sys_out : out std_logic; MB_out : out std_logic ); end sequence_psr; architecture imp of sequence_psr is constant CLEAR : std_logic := '0'; constant BSR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "001100"; -- 12 constant BSR_END_SYS : std_logic_vector(5 downto 0) := "011001"; -- 25 constant PR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "011100"; -- 28 constant PR_END_SYS : std_logic_vector(5 downto 0) := "101001"; -- 41 constant CORE_END_LPF_CHIP : std_logic_vector(5 downto 0) := "101100"; -- 44 constant CORE_END_SYS : std_logic_vector(5 downto 0) := "111001"; -- 57 constant CHIP_END_LPF_CHIP : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant CHIP_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; constant SYS_END_LPF : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant SYS_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; signal bsr : std_logic := '0'; signal bsr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal pr : std_logic := '0'; signal pr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Core : std_logic := '0'; signal core_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Chip : std_logic := '0'; signal chip_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Sys : std_logic := '0'; signal sys_dec : std_logic_vector(2 downto 0) := (others => '0'); signal chip_Reset_Req_d1 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d2 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d3 : std_logic := '0'; -- delayed Chip_Reset_Req signal system_Reset_Req_d1 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d2 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d3 : std_logic := '0'; -- delayed System_Reset_Req signal seq_cnt : std_logic_vector(5 downto 0); signal seq_cnt_en : std_logic := '0'; signal seq_clr : std_logic := '0'; signal ris_edge : std_logic := '0'; signal sys_edge : std_logic := '0'; signal from_sys : std_logic; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- begin Pr_out <= pr; Bsr_out <= bsr; MB_out <= core; -- Core_out <= core; -- Chip_out <= chip or sys; -- Sys_out <= sys; ------------------------------------------------------------------------------- -- This process remembers that the reset was caused be -- System_Reset_Req ------------------------------------------------------------------------------- SYS_FROM_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if Lpf_reset='1' or system_reset_req_d3='1' then if (Lpf_reset = '1') then from_sys <= '1'; --elsif Chip_Reset_Req_d3='1' then -- from_sys <= '0'; elsif (Core = '0') then from_sys <='0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This instantiates a counter to control the sequencing ------------------------------------------------------------------------------- SEQ_COUNTER : entity proc_sys_reset_v5_0_9.UPCNT_N generic map (C_SIZE => 6) port map( Data => "000000", Cnt_en => seq_cnt_en, Load => '0', Clr => seq_clr, Clk => Slowest_sync_clk, Qout => seq_cnt ); ------------------------------------------------------------------------------- -- SEQ_CNT_EN_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- count until all outputs are inactive ------------------------------------------------------------------------------- SEQ_CNT_EN_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if (Lpf_reset='1' --or --System_Reset_Req_d3='1' or --Chip_Reset_Req_d3='1' or --ris_edge = '1' ) then seq_cnt_en <= '1'; elsif (Core='0') then -- Core always present and always last seq_cnt_en <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- SEQ_CLR_PROCESS ------------------------------------------------------------------------------- -- This generates the reset to the sequence counter -- Clear the counter on a rising edge of chip or system request or low pass -- filter output ------------------------------------------------------------------------------- SEQ_CLR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then seq_clr <= '0'; else seq_clr <= '1'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- PR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then pr <= '1'; elsif (pr_dec(2) = '1') then pr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- PR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = PR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = PR_END_SYS(5 downto 3) and from_sys = '1') ) then pr_dec(0) <= '1'; else pr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = PR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = PR_END_SYS(2 downto 0) and from_sys = '1') )then pr_dec(1) <= '1'; else pr_dec(1) <= '0'; end if; pr_dec(2) <= pr_dec(1) and pr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Bus_Struct_Reset output signal ------------------------------------------------------------------------------- BSR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then bsr <= '1'; elsif (bsr_dec(2) = '1') then bsr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for BSR to use ------------------------------------------------------------------------------- BSR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = BSR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = BSR_END_SYS(5 downto 3) and from_sys = '1') )then bsr_dec(0) <= '1'; else bsr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = BSR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = BSR_END_SYS(2 downto 0) and from_sys = '1') )then bsr_dec(1) <= '1'; else bsr_dec(1) <= '0'; end if; bsr_dec(2) <= bsr_dec(1) and bsr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- CORE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then core <= '1'; elsif (core_dec(2) = '1') then core <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- CORE_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = CORE_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = CORE_END_SYS(5 downto 3) and from_sys = '1') )then core_dec(0) <= '1'; else core_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = CORE_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = CORE_END_SYS(2 downto 0) and from_sys = '1') )then core_dec(1) <= '1'; else core_dec(1) <= '0'; end if; core_dec(2) <= core_dec(1) and core_dec(0); end if; end process; --------------------------------------------------------------------------------- ---- This process defines the Chip output signal --------------------------------------------------------------------------------- -- CHIP_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- -- if ris_edge = '1' or Lpf_reset = '1' then -- if Lpf_reset = '1' then -- chip <= '1'; -- elsif chip_dec(2) = '1' then -- chip <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Chip to use ---- sys is overlapping the chip reset and thus no need to decode this here --------------------------------------------------------------------------------- -- CHIP_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 2) = CHIP_END_LPF_CHIP(5 downto 2)) then -- chip_dec(0) <= '1'; -- else -- chip_dec(0) <= '0'; -- end if; -- if (seq_cnt(1 downto 0) = CHIP_END_LPF_CHIP(1 downto 0)) then -- chip_dec(1) <= '1'; -- else -- chip_dec(1) <= '0'; -- end if; -- chip_dec(2) <= chip_dec(1) and chip_dec(0); -- end if; -- end process; --------------------------------------------------------------------------------- ---- This process defines the Sys output signal --------------------------------------------------------------------------------- -- SYS_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if sys_edge = '1' or Lpf_reset = '1' then -- sys <= '1'; -- elsif sys_dec(2) = '1' then -- sys <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Sys to use --------------------------------------------------------------------------------- -- SYS_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 3) = SYS_END_LPF(5 downto 3) and from_sys = '0') or -- (seq_cnt(5 downto 3) = SYS_END_SYS(5 downto 3) and from_sys = '1') then -- sys_dec(0) <= '1'; -- else -- sys_dec(0) <= '0'; -- end if; -- if (seq_cnt(2 downto 0) = SYS_END_LPF(2 downto 0) and from_sys = '0') or -- (seq_cnt(2 downto 0) = SYS_END_SYS(2 downto 0) and from_sys = '1') then -- sys_dec(1) <= '1'; -- else -- sys_dec(1) <= '0'; -- end if; -- sys_dec(2) <= sys_dec(1) and sys_dec(0); -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used --------------------------------------------------------------------------------- -- DELAY_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- chip_reset_req_d1 <= Chip_Reset_Req ; -- chip_reset_req_d2 <= chip_Reset_Req_d1 ; -- chip_reset_req_d3 <= chip_Reset_Req_d2 ; -- system_reset_req_d1 <= System_Reset_Req; -- system_reset_req_d2 <= system_Reset_Req_d1; -- system_reset_req_d3 <= system_Reset_Req_d2; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of either -- Chip_Reset_Req or System_Reset_Req ------------------------------------------------------------------------------- -- RIS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (chip_reset_req_d3='0' and chip_Reset_Req_d2= '1') -- rising edge -- or (system_reset_req_d3='0' and system_Reset_Req_d2='1') then -- ris_edge <= '1'; -- else -- ris_edge <='0'; -- end if; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of -- System_Reset_Req ------------------------------------------------------------------------------- -- SYS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (system_reset_req_d3='0' and system_reset_req_d2='1') then -- sys_edge <= '1'; -- else -- sys_edge <='0'; -- end if; -- end if; -- end process; end architecture imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_dre.vhd
5
89008
------------------------------------------------------------------------------- -- axi_datamover_s2mm_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the width of the alignment control inputs -- Should be log2(C_DWIDTH) ); port ( -- Clock and Reset Input ---------------------------------------------- -- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------------------------------------- -- Alignment Control (Independent from Stream Input timing) ---------- -- dre_align_ready : Out std_logic; -- dre_align_valid : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Flush Control (Aligned to input Stream timing) -------------------- -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Stream Input Channel ---------------------------------------------- -- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Stream Output Channel --------------------------------------------- -- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_s2mm_dre; architecture implementation of axi_datamover_s2mm_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; Signal sig_cntl_accept : std_logic := '0'; Signal sig_dre_halted : std_logic := '0'; begin --(architecture implementation) -- Misc port assignments dre_align_ready <= sig_dre_halted or sig_flush_db2_complete ; dre_in_tready <= sig_input_accept ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; -- Internal logic sig_cntl_accept <= dre_align_valid and (sig_dre_halted or sig_flush_db2_complete); sig_pipeline_halt <= sig_dre_halted or (sig_dre_tvalid_i and not(dre_out_tready)); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt); sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and not(sig_pipeline_halt) and not(sig_input_flush_stall); sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; ------------------------------------------------------------------------------------ -- DRE Halted logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DRE_HALTED_FLOP -- -- Process Description: -- Implements a flop for the Halted state flag. All DRE -- operation is halted until a new alignment control is -- loaded. The DRE automatically goes into halted state -- at reset and at completion of a flush operation. -- ------------------------------------------------------------- IMP_DRE_HALTED_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or (sig_flush_db2_complete = '1' and dre_align_valid = '0'))then sig_dre_halted <= '1'; -- default to halted state elsif (sig_cntl_accept = '1') then sig_dre_halted <= '0'; else null; -- hold current state end if; end if; end process IMP_DRE_HALTED_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Input Register for the flush command -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate Signal s_case_i_64 : Integer range 0 to 7 := 0; signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate Signal s_case_i_32 : Integer range 0 to 3 := 0; signal sig_cntl_state_32 : std_logic_vector(3 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(3 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate Signal s_case_i_16 : Integer range 0 to 1 := 0; signal sig_cntl_state_16 : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic := '0'; Signal sig_shift_case_reg : std_logic := '0'; Signal sig_final_mux_sel : std_logic_vector(1 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_fsync_gen.vhd
4
36967
------------------------------------------------------------------------------- -- axi_vdma_fsync_gen ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_fsync_gen.vhd -- Description: This entity generates the frame sync for vdma operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_fsync_gen is generic ( C_USE_FSYNC : integer range 0 to 1 := 0; -- Specifies DMA oeration synchronized to frame sync input -- 0 = Free running -- 1 = Fsync synchronous ENABLE_FLUSH_ON_MM2S_FSYNC : integer range 0 to 1 := 0 ; ENABLE_FLUSH_ON_S2MM_FSYNC : integer range 0 to 1 := 0 ; C_INCLUDE_S2MM : integer range 0 to 1 := 0 ; C_INCLUDE_MM2S : integer range 0 to 1 := 0 ; C_SOF_ENABLE : integer range 0 to 1 := 0 -- Enable/Disable start of frame generation on tuser(0). This -- is only valid for external frame sync (C_USE_FSYNC = 1) -- 0 = disable SOF -- 1 = enable SOF ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- -- Frame Count Enable Support -- valid_video_prmtrs : in std_logic ; -- valid_frame_sync_cmb : in std_logic ; -- frmcnt_ioc : in std_logic ; -- dmacr_frmcnt_enbl : in std_logic ; -- dmasr_frmcnt_status : in std_logic_vector(7 downto 0) ; -- mask_fsync_out : out std_logic ; -- -- -- VDMA status for free run (C_USE_FSYNC = 0) -- run_stop : in std_logic ; -- all_idle : in std_logic ; -- parameter_update : in std_logic ; -- -- -- Frame Sync Sources (C_USE_FSYNC = 1) -- fsync : in std_logic ; -- tuser_fsync : in std_logic ; -- othrchnl_fsync : in std_logic ; -- fsync_src_select : in std_logic_vector(1 downto 0) ; -- -- -- Sync out for VDMA logic -- frame_sync : out std_logic ; -- -- -- Sync / Update out top level for Video IP -- frame_sync_out : out std_logic ; -- prmtr_update : out std_logic -- ); end axi_vdma_fsync_gen; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_fsync_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant FRAME_COUNT_ONE : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(1,8)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- No Signals Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Generate Free Run Mode (Internal Frame Sync) ------------------------------------------------------------------------------- GEN_FREE_RUN_MODE : if C_USE_FSYNC = 0 generate -- For internal fsync generation signal all_idle_d1 : std_logic := '0'; signal all_idle_d2 : std_logic := '0'; signal all_idle_re : std_logic := '0'; -- For internal fsync and fsync out signal frame_sync_aligned : std_logic := '0'; signal frame_sync_i : std_logic := '0'; signal mask_fsync_out_i : std_logic := '0'; begin -- Register all idle for use in creating rising edge pulse REG_IDLE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then -- On reset clear flag if(prmry_resetn = '0')then all_idle_d1 <= '0'; all_idle_d2 <= '0'; -- Otherwise pass idle state through to gen re pulse else all_idle_d1 <= all_idle; all_idle_d2 <= all_idle_d1; end if; end if; end process REG_IDLE; all_idle_re <= all_idle_d1 and not all_idle_d2; -- Register frame sync source to shift all processes started -- by fsync 1 clock later in time. This allows initial FrameDelay -- and resulting calculation to be registered before -- being latched by frame_sync. REG_FSYNC_PROCESS : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_i <= '0'; else frame_sync_i <= all_idle_re and run_stop; end if; end if; end process REG_FSYNC_PROCESS; -- Pass out for internal use (secondary clock domain) frame_sync <= frame_sync_i; -- For frame count enable, mask fsync out at end of frame. FRAME_SYNC_MASK : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mask_fsync_out_i <= '0'; -- If masked and ioc occurs then clear mask elsif(mask_fsync_out_i = '1' and frmcnt_ioc = '1')then mask_fsync_out_i <= '0'; -- On frame count enable at end of last frame mask off last fsync out elsif(dmacr_frmcnt_enbl = '1' and dmasr_frmcnt_status = FRAME_COUNT_ONE and valid_frame_sync_cmb = '1')then mask_fsync_out_i <= '1'; end if; end if; end process FRAME_SYNC_MASK; mask_fsync_out <= mask_fsync_out_i or not valid_video_prmtrs; ------------------------------------------------------------------- -- GENERATE FSYNC OUT FOR VIDEO IP ------------------------------------------------------------------- -- Align internal fsync with parameter update. Parameter update -- asserts on next clock after frame_sync therefor by adding 1 -- pipe of delay we align parameter_update input with frame_sync REG_DELAY_FSYNC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then -- clear on reset or s_h clear if(prmry_resetn = '0')then frame_sync_aligned <= '0'; else frame_sync_aligned <= frame_sync_i; end if; end if; end process REG_DELAY_FSYNC; -- Provide output of frame sync to target Video IP. REG_FSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_out <= '0'; else frame_sync_out <= frame_sync_aligned and not mask_fsync_out_i and valid_video_prmtrs; end if; end if; end process REG_FSYNC_OUT; ------------------------------------------------------------------- -- GENERATE PARAMETER UPDATE OUT FOR VIDEO IP ------------------------------------------------------------------- -- Provide output of video parameter update to target Video IP. REG_PRMTRUPDT_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then prmtr_update <= '0'; else prmtr_update <= parameter_update and not mask_fsync_out_i; end if; end if; end process REG_PRMTRUPDT_OUT; end generate GEN_FREE_RUN_MODE; ------------------------------------------------------------------------------- -- Generate Frame Sync Mode (External frame sync) ------------------------------------------------------------------------------- -- Note: Treated async and sync clock modes as async so fsync out behavior is -- identical regardless of mode. GEN_FSYNC_MODE_MM2S_NO_SOF : if (C_USE_FSYNC = 1 and C_INCLUDE_MM2S = 1 and (ENABLE_FLUSH_ON_MM2S_FSYNC = 0 or C_SOF_ENABLE = 0)) generate -- Frame sync for VDMA and for core output signal frame_sync_i : std_logic := '0'; signal frame_sync_aligned : std_logic := '0'; signal mask_fsync_out_i : std_logic := '0'; begin -- Frame sync cross bar FSYNC_CROSSBAR : process(fsync_src_select, run_stop, fsync, othrchnl_fsync) begin case fsync_src_select is when "00" => -- primary fsync (default) frame_sync_i <= fsync and run_stop; when "01" => -- other channel fsync frame_sync_i <= othrchnl_fsync and run_stop; when others => frame_sync_i <= '0'; end case; end process FSYNC_CROSSBAR; ---- end generate GEN_FSYNC_NO_SOF; -- Pass out for VDMA use frame_sync <= frame_sync_i; -- For frame count enable, mask fsync out at end of frame. FRAME_SYNC_MASK : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mask_fsync_out_i <= '0'; -- If masked and ioc occurs then clear mask elsif(mask_fsync_out_i = '1' and frmcnt_ioc = '1')then mask_fsync_out_i <= '0'; -- On frame count enable at end of last frame mask off last fsync out elsif(dmacr_frmcnt_enbl = '1' and dmasr_frmcnt_status = FRAME_COUNT_ONE and valid_frame_sync_cmb = '1')then mask_fsync_out_i <= '1'; end if; end if; end process FRAME_SYNC_MASK; mask_fsync_out <= mask_fsync_out_i or not valid_video_prmtrs; ------------------------------------------------------------------- -- GENERATE FSYNC OUT FOR VIDEO IP ------------------------------------------------------------------- -- Align internal fsync with parameter update. Parameter update -- asserts on next clock after frame_sync therefor by adding 1 -- pipe of delay we align parameter_update input with frame_sync REG_DELAY_FSYNC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then -- clear on reset or s_h clear if(prmry_resetn = '0')then frame_sync_aligned <= '0'; else frame_sync_aligned <= frame_sync_i; end if; end if; end process REG_DELAY_FSYNC; -- Provide output of frame sync to target Video IP. REG_FSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_out <= '0'; else frame_sync_out <= frame_sync_aligned and not mask_fsync_out_i and valid_video_prmtrs; end if; end if; end process REG_FSYNC_OUT; ------------------------------------------------------------------- -- GENERATE PARAMETER UPDATE OUT FOR VIDEO IP ------------------------------------------------------------------- -- Provide output of video parameter update to target Video IP. REG_PRMTRUPDT_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then prmtr_update <= '0'; else prmtr_update <= parameter_update and not mask_fsync_out_i; end if; end if; end process REG_PRMTRUPDT_OUT; end generate GEN_FSYNC_MODE_MM2S_NO_SOF; GEN_FSYNC_MODE_MM2S_SOF : if (C_USE_FSYNC = 1 and C_INCLUDE_MM2S = 1 and ENABLE_FLUSH_ON_MM2S_FSYNC = 1 and C_SOF_ENABLE = 1) generate -- Frame sync for VDMA and for core output signal frame_sync_i : std_logic := '0'; signal frame_sync_aligned : std_logic := '0'; signal mask_fsync_out_i : std_logic := '0'; begin frame_sync_i <= fsync; -- Pass out for VDMA use frame_sync <= frame_sync_i; -- For frame count enable, mask fsync out at end of frame. FRAME_SYNC_MASK : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mask_fsync_out_i <= '0'; -- If masked and ioc occurs then clear mask elsif(mask_fsync_out_i = '1' and frmcnt_ioc = '1')then mask_fsync_out_i <= '0'; -- On frame count enable at end of last frame mask off last fsync out elsif(dmacr_frmcnt_enbl = '1' and dmasr_frmcnt_status = FRAME_COUNT_ONE and valid_frame_sync_cmb = '1')then mask_fsync_out_i <= '1'; end if; end if; end process FRAME_SYNC_MASK; mask_fsync_out <= mask_fsync_out_i or not valid_video_prmtrs; ------------------------------------------------------------------- -- GENERATE FSYNC OUT FOR VIDEO IP ------------------------------------------------------------------- -- Align internal fsync with parameter update. Parameter update -- asserts on next clock after frame_sync therefor by adding 1 -- pipe of delay we align parameter_update input with frame_sync REG_DELAY_FSYNC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then -- clear on reset or s_h clear if(prmry_resetn = '0')then frame_sync_aligned <= '0'; else frame_sync_aligned <= frame_sync_i; end if; end if; end process REG_DELAY_FSYNC; -- Provide output of frame sync to target Video IP. REG_FSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_out <= '0'; else frame_sync_out <= frame_sync_aligned and not mask_fsync_out_i and valid_video_prmtrs; end if; end if; end process REG_FSYNC_OUT; ------------------------------------------------------------------- -- GENERATE PARAMETER UPDATE OUT FOR VIDEO IP ------------------------------------------------------------------- -- Provide output of video parameter update to target Video IP. REG_PRMTRUPDT_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then prmtr_update <= '0'; else prmtr_update <= parameter_update and not mask_fsync_out_i; end if; end if; end process REG_PRMTRUPDT_OUT; end generate GEN_FSYNC_MODE_MM2S_SOF; ------------------------------------------------------------------------------- -- Generate Frame Sync Mode (External frame sync) ------------------------------------------------------------------------------- -- Note: Treated async and sync clock modes as async so fsync out behavior is -- identical regardless of mode. GEN_FSYNC_MODE_S2MM_NON_FLUSH : if (C_USE_FSYNC = 1 and C_INCLUDE_S2MM = 1 and ENABLE_FLUSH_ON_S2MM_FSYNC = 0) generate -- Frame sync for VDMA and for core output signal frame_sync_i : std_logic := '0'; signal frame_sync_aligned : std_logic := '0'; signal mask_fsync_out_i : std_logic := '0'; begin -- generate fsync from tuser GEN_FSYNC_FOR_SOF : if C_SOF_ENABLE = 1 generate begin -- frame_sync_i <= tuser_fsync and run_stop; -- Frame sync cross bar FSYNC_CROSSBAR : process(fsync_src_select, run_stop, fsync, othrchnl_fsync, tuser_fsync) begin case fsync_src_select is when "00" => -- primary fsync (default) frame_sync_i <= fsync and run_stop; when "01" => -- other channel fsync frame_sync_i <= othrchnl_fsync and run_stop; when "10" => -- tuser fsync (used only by s2mm) frame_sync_i <= tuser_fsync and run_stop; when others => frame_sync_i <= '0'; end case; end process FSYNC_CROSSBAR; end generate GEN_FSYNC_FOR_SOF; -- generate fsync from fsync GEN_FSYNC_NO_SOF : if C_SOF_ENABLE = 0 generate begin -- Internal fsync on fe for vdma if running --frame_sync_i <= fsync and run_stop; -- Frame sync cross bar FSYNC_CROSSBAR : process(fsync_src_select, run_stop, fsync, othrchnl_fsync) begin case fsync_src_select is when "00" => -- primary fsync (default) frame_sync_i <= fsync and run_stop; when "01" => -- other channel fsync frame_sync_i <= othrchnl_fsync and run_stop; when others => frame_sync_i <= '0'; end case; end process FSYNC_CROSSBAR; end generate GEN_FSYNC_NO_SOF; -- Pass out for VDMA use frame_sync <= frame_sync_i; -- For frame count enable, mask fsync out at end of frame. FRAME_SYNC_MASK : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mask_fsync_out_i <= '0'; -- If masked and ioc occurs then clear mask elsif(mask_fsync_out_i = '1' and frmcnt_ioc = '1')then mask_fsync_out_i <= '0'; -- On frame count enable at end of last frame mask off last fsync out elsif(dmacr_frmcnt_enbl = '1' and dmasr_frmcnt_status = FRAME_COUNT_ONE and valid_frame_sync_cmb = '1')then mask_fsync_out_i <= '1'; end if; end if; end process FRAME_SYNC_MASK; mask_fsync_out <= mask_fsync_out_i or not valid_video_prmtrs; ------------------------------------------------------------------- -- GENERATE FSYNC OUT FOR VIDEO IP ------------------------------------------------------------------- -- Align internal fsync with parameter update. Parameter update -- asserts on next clock after frame_sync therefor by adding 1 -- pipe of delay we align parameter_update input with frame_sync REG_DELAY_FSYNC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then -- clear on reset or s_h clear if(prmry_resetn = '0')then frame_sync_aligned <= '0'; else frame_sync_aligned <= frame_sync_i; end if; end if; end process REG_DELAY_FSYNC; -- Provide output of frame sync to target Video IP. REG_FSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_out <= '0'; else frame_sync_out <= frame_sync_aligned and not mask_fsync_out_i and valid_video_prmtrs; end if; end if; end process REG_FSYNC_OUT; ------------------------------------------------------------------- -- GENERATE PARAMETER UPDATE OUT FOR VIDEO IP ------------------------------------------------------------------- -- Provide output of video parameter update to target Video IP. REG_PRMTRUPDT_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then prmtr_update <= '0'; else prmtr_update <= parameter_update and not mask_fsync_out_i; end if; end if; end process REG_PRMTRUPDT_OUT; end generate GEN_FSYNC_MODE_S2MM_NON_FLUSH; ------------------------------------------------------------------------------- -- Generate Frame Sync Mode (External frame sync) ------------------------------------------------------------------------------- -- Note: Treated async and sync clock modes as async so fsync out behavior is -- identical regardless of mode. GEN_FSYNC_MODE_S2MM_FLUSH_NON_SOF : if (C_USE_FSYNC = 1 and C_INCLUDE_S2MM = 1 and ENABLE_FLUSH_ON_S2MM_FSYNC = 1 and C_SOF_ENABLE = 0) generate -- Frame sync for VDMA and for core output signal frame_sync_i : std_logic := '0'; signal frame_sync_aligned : std_logic := '0'; signal mask_fsync_out_i : std_logic := '0'; begin -- Frame sync cross bar FSYNC_CROSSBAR : process(fsync_src_select, run_stop, fsync, othrchnl_fsync) begin case fsync_src_select is when "00" => -- primary fsync (default) frame_sync_i <= fsync and run_stop; when "01" => -- other channel fsync frame_sync_i <= othrchnl_fsync and run_stop; when others => frame_sync_i <= '0'; end case; end process FSYNC_CROSSBAR; -- Pass out for VDMA use frame_sync <= frame_sync_i; -- For frame count enable, mask fsync out at end of frame. FRAME_SYNC_MASK : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mask_fsync_out_i <= '0'; -- If masked and ioc occurs then clear mask elsif(mask_fsync_out_i = '1' and frmcnt_ioc = '1')then mask_fsync_out_i <= '0'; -- On frame count enable at end of last frame mask off last fsync out elsif(dmacr_frmcnt_enbl = '1' and dmasr_frmcnt_status = FRAME_COUNT_ONE and valid_frame_sync_cmb = '1')then mask_fsync_out_i <= '1'; end if; end if; end process FRAME_SYNC_MASK; mask_fsync_out <= mask_fsync_out_i or not valid_video_prmtrs; ------------------------------------------------------------------- -- GENERATE FSYNC OUT FOR VIDEO IP ------------------------------------------------------------------- -- Align internal fsync with parameter update. Parameter update -- asserts on next clock after frame_sync therefor by adding 1 -- pipe of delay we align parameter_update input with frame_sync REG_DELAY_FSYNC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then -- clear on reset or s_h clear if(prmry_resetn = '0')then frame_sync_aligned <= '0'; else frame_sync_aligned <= frame_sync_i; end if; end if; end process REG_DELAY_FSYNC; -- Provide output of frame sync to target Video IP. REG_FSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_out <= '0'; else frame_sync_out <= frame_sync_aligned and not mask_fsync_out_i and valid_video_prmtrs; end if; end if; end process REG_FSYNC_OUT; ------------------------------------------------------------------- -- GENERATE PARAMETER UPDATE OUT FOR VIDEO IP ------------------------------------------------------------------- -- Provide output of video parameter update to target Video IP. REG_PRMTRUPDT_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then prmtr_update <= '0'; else prmtr_update <= parameter_update and not mask_fsync_out_i; end if; end if; end process REG_PRMTRUPDT_OUT; end generate GEN_FSYNC_MODE_S2MM_FLUSH_NON_SOF; ------------------------------------------------------------------------------- -- Generate Frame Sync Mode (External frame sync) ------------------------------------------------------------------------------- -- Note: Treated async and sync clock modes as async so fsync out behavior is -- identical regardless of mode. GEN_FSYNC_MODE_S2MM_FLUSH_SOF : if (C_USE_FSYNC = 1 and C_INCLUDE_S2MM = 1 and ENABLE_FLUSH_ON_S2MM_FSYNC = 1 and C_SOF_ENABLE = 1) generate -- Frame sync for VDMA and for core output signal frame_sync_i : std_logic := '0'; signal frame_sync_aligned : std_logic := '0'; signal mask_fsync_out_i : std_logic := '0'; begin frame_sync_i <= fsync; -- Pass out for VDMA use frame_sync <= frame_sync_i; -- For frame count enable, mask fsync out at end of frame. FRAME_SYNC_MASK : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then mask_fsync_out_i <= '0'; -- If masked and ioc occurs then clear mask elsif(mask_fsync_out_i = '1' and frmcnt_ioc = '1')then mask_fsync_out_i <= '0'; -- On frame count enable at end of last frame mask off last fsync out elsif(dmacr_frmcnt_enbl = '1' and dmasr_frmcnt_status = FRAME_COUNT_ONE and valid_frame_sync_cmb = '1')then mask_fsync_out_i <= '1'; end if; end if; end process FRAME_SYNC_MASK; mask_fsync_out <= mask_fsync_out_i or not valid_video_prmtrs; ------------------------------------------------------------------- -- GENERATE FSYNC OUT FOR VIDEO IP ------------------------------------------------------------------- -- Align internal fsync with parameter update. Parameter update -- asserts on next clock after frame_sync therefor by adding 1 -- pipe of delay we align parameter_update input with frame_sync REG_DELAY_FSYNC : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then -- clear on reset or s_h clear if(prmry_resetn = '0')then frame_sync_aligned <= '0'; else frame_sync_aligned <= frame_sync_i; end if; end if; end process REG_DELAY_FSYNC; -- Provide output of frame sync to target Video IP. REG_FSYNC_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then frame_sync_out <= '0'; else frame_sync_out <= frame_sync_aligned and not mask_fsync_out_i and valid_video_prmtrs; end if; end if; end process REG_FSYNC_OUT; ------------------------------------------------------------------- -- GENERATE PARAMETER UPDATE OUT FOR VIDEO IP ------------------------------------------------------------------- -- Provide output of video parameter update to target Video IP. REG_PRMTRUPDT_OUT : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk='1')then if(prmry_resetn = '0')then prmtr_update <= '0'; else prmtr_update <= parameter_update and not mask_fsync_out_i; end if; end if; end process REG_PRMTRUPDT_OUT; end generate GEN_FSYNC_MODE_S2MM_FLUSH_SOF; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_ftch_noqueue.vhd
4
15228
------------------------------------------------------------------------------- -- axi_sg_ftch_noqueue ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_noqueue.vhd -- Description: This entity is the no queue version -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 6/16/10 v4_03 -- ^^^^^^ -- - Initial Release -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_sg_pkg.all; library lib_pkg_v1_0_2; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.sync_fifo_fg; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_noqueue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32 -- Master AXI Stream Data Width ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel Control -- desc_flush : in std_logic ; -- ftch_active : in std_logic ; -- ftch_queue_empty : out std_logic ; -- ftch_queue_full : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing_curdesc_out : out std_logic ; -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- m_axis_mm2s_tready : out std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_ftch_tvalid : out std_logic ; -- m_axis_ftch_tready : in std_logic ; -- m_axis_ftch_tlast : out std_logic -- ); end axi_sg_ftch_noqueue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_noqueue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel 1 internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast : std_logic := '0'; signal ftch_tready : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- WRITE_CURDESC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then curdesc_tdata <= (others => '0'); curdesc_tvalid <= '0'; writing_lsb <= '0'; writing_msb <= '0'; -- Write LSB Address on command write elsif(ftch_cmnd_wr = '1' and ftch_active = '1')then curdesc_tdata <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); curdesc_tvalid <= '1'; writing_lsb <= '1'; writing_msb <= '0'; -- On ready write MSB address elsif(writing_lsb = '1' and ftch_tready = '1')then curdesc_tdata <= msb_curdesc; curdesc_tvalid <= '1'; writing_lsb <= '0'; writing_msb <= '1'; -- On MSB write and ready then clear all elsif(writing_msb = '1' and ftch_tready = '1')then curdesc_tdata <= (others => '0'); curdesc_tvalid <= '0'; writing_lsb <= '0'; writing_msb <= '0'; end if; end if; end process WRITE_CURDESC_PROCESS; --------------------------------------------------------------------------- -- TVALID MUX -- MUX tvalid out channel port --------------------------------------------------------------------------- TVALID_TDATA_MUX : process(writing_curdesc, writing_nxtdesc, ftch_active, curdesc_tvalid, curdesc_tdata, m_axis_mm2s_tvalid, m_axis_mm2s_tdata, m_axis_mm2s_tlast) begin -- Select current descriptor to drive out (Queue or Channel Port) if(writing_curdesc = '1')then ftch_tvalid <= curdesc_tvalid; ftch_tdata <= curdesc_tdata; ftch_tlast <= '0'; -- Deassert tvalid when capturing next descriptor pointer elsif(writing_nxtdesc = '1')then ftch_tvalid <= '0'; ftch_tdata <= (others => '0'); ftch_tlast <= '0'; -- Otherwise drive data from Datamover out (Queue or Channel Port) elsif(ftch_active = '1')then ftch_tvalid <= m_axis_mm2s_tvalid; ftch_tdata <= m_axis_mm2s_tdata; ftch_tlast <= m_axis_mm2s_tlast; else ftch_tvalid <= '0'; ftch_tdata <= (others => '0'); ftch_tlast <= '0'; end if; end process TVALID_TDATA_MUX; --------------------------------------------------------------------------- -- Map internal stream to external --------------------------------------------------------------------------- m_axis_ftch_tdata <= ftch_tdata; m_axis_ftch_tlast <= ftch_tlast; m_axis_ftch_tvalid <= ftch_tvalid; ftch_tready <= m_axis_ftch_tready; m_axis_mm2s_tready <= ftch_tready; --------------------------------------------------------------------------- -- generate psuedo empty flag for Idle generation --------------------------------------------------------------------------- Q_EMPTY_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk='1')then if(m_axi_sg_aresetn = '0' or desc_flush = '1')then ftch_queue_empty <= '1'; -- Else on valid and ready modify empty flag elsif(ftch_tvalid = '1' and m_axis_ftch_tready = '1')then -- On last mark as empty if(ftch_tlast = '1' )then ftch_queue_empty <= '1'; -- Otherwise mark as not empty else ftch_queue_empty <= '0'; end if; end if; end if; end process Q_EMPTY_PROCESS; -- do not need to indicate full to axi_sg_ftch_sm. Only -- needed for queue case to allow other channel to be serviced -- if it had queue room ftch_queue_full <= '0'; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port writing_curdesc_out <= writing_curdesc; -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/bd/block_design/ip/block_design_proc_sys_reset_0_0/sim/block_design_proc_sys_reset_0_0.vhd
8
5884
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_9; USE proc_sys_reset_v5_0_9.proc_sys_reset; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_sof_gen.vhd
6
10626
------------------------------------------------------------------------------- -- axi_vdma_sofeof_mngr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_sof_gen.vhd -- Description: This entity manages SOF detection, EOF detection not used for -- axi_vdma. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; ------------------------------------------------------------------------------- entity axi_vdma_sof_gen is port ( ----------------------------------------------------------------------- -- AXI System Signals ----------------------------------------------------------------------- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- AXI Stream -- axis_tready : in std_logic ; -- axis_tvalid : in std_logic ; -- -- fsync : in std_logic ; -- CR622884 -- Detected SOF -- packet_sof : out std_logic -- ); end axi_vdma_sof_gen; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_sof_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal s_valid : std_logic := '0'; signal s_valid_d1 : std_logic := '0'; signal packet_sof_i : std_logic := '0'; signal hold_sof : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- Generate Packet EOF and SOF --------------------------------------------------------------------------- packet_sof <= packet_sof_i; -- Generate rising edge pulse on valid to use for -- smaple and hold register REG_FOR_RE : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk = '1')then if(scndry_resetn = '0')then s_valid <= '0'; s_valid_d1 <= '0'; else -- CR573962 qualify with tready --s_valid <= axis_tvalid; s_valid <= axis_tvalid and axis_tready; s_valid_d1 <= s_valid; end if; end if; end process REG_FOR_RE; packet_sof_i <= s_valid and not s_valid_d1 and not hold_sof; -- CR622884 -- CR622884 -- Generate only 1 SOF (all that is needed) to prevent lockups -- on CDC module with sof pulses being too close together. SOF_HOLD_REG : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk = '1')then if(scndry_resetn = '0' or fsync = '1')then hold_sof <= '0'; elsif(packet_sof_i = '1')then hold_sof <= '1'; end if; end if; end process SOF_HOLD_REG; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_dre.vhd
5
87982
------------------------------------------------------------------------------- -- axi_datamover_mm2s_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the alignment port widths. The value should be -- log2(C_DWIDTH) ); port ( -- Clock and Reset inputs --------------- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------- -- Alignment Controls ------------------------------------------------ dre_new_align : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Input Stream Interface -------------------------------------------- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Output Stream Interface ------------------------------------------- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_dre; architecture implementation of axi_datamover_mm2s_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; signal sig_enable_input_rdy : std_logic := '0'; signal sig_input_ready : std_logic := '0'; begin --(architecture implementation) -- Misc assignments --dre_in_tready <= sig_input_accept ; dre_in_tready <= sig_input_ready ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt) and sig_enable_input_rdy; sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and sig_input_ready; sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; sig_input_ready <= sig_enable_input_rdy and not(sig_pipeline_halt) and not(sig_input_flush_stall) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_FLOP -- -- Process Description: -- Just a flop for generating an input disable while reset -- is in progress. -- ------------------------------------------------------------- IMP_RESET_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_enable_input_rdy <= '0'; else sig_enable_input_rdy <= '1'; end if; end if; end process IMP_RESET_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Register for the flush signal -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal s_case_i_64 : Integer range 0 to 7 := 0; Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) -- signal sig_cntl_state_64 : std_logic_vector(5 downto 0); -- Signal s_case_i_64 : Integer range 0 to 7; begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0) , I0 => sig_input_data_reg(1) , I1 => sig_input_data_reg(0) , Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate signal sig_cntl_state_32 : std_logic_vector(3 downto 0); Signal s_case_i_32 : Integer range 0 to 3; Signal sig_shift_case_i : std_logic_vector(1 downto 0); Signal sig_shift_case_reg : std_logic_vector(1 downto 0); Signal sig_final_mux_sel : std_logic_vector(3 downto 0); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate signal sig_cntl_state_16 : std_logic_vector(1 downto 0); Signal s_case_i_16 : Integer range 0 to 1; Signal sig_shift_case_i : std_logic; Signal sig_shift_case_reg : std_logic; Signal sig_final_mux_sel : std_logic_vector(1 downto 0); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_11.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd
32
49938
--Generic Help --C_CDC_TYPE : Defines the type of CDC needed -- 0 means pulse synchronizer. Used to transfer one clock pulse -- from prmry domain to scndry domain. -- 1 means level synchronizer. Used to transfer level signal. -- 2 means level synchronizer with ack. Used to transfer level -- signal. Input signal should change only when prmry_ack is detected -- --C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal -- Set to 0 when incoming signal is purely floped signal. -- --C_RESET_STATE : Generally sync flops need not have resets. However, in some cases -- it might be needed. -- 0 means reset not needed for sync flops -- 1 means reset needed for sync flops. i -- In this case prmry_resetn should be in prmry clock, -- while scndry_reset should be in scndry clock. -- --C_SINGLE_BIT : CDC should normally be done for single bit signals only. -- However, based on design buses can also be CDC'ed. -- 0 means it is a bus. In this case input be connected to prmry_vect_in. -- Output is on scndry_vect_out. -- 1 means it is a single bit. In this case input be connected to prmry_in. -- Output is on scndry_out. -- --C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1 -- --C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6. -- Value of 0, 1 is allowed only for level CDC. -- Min value for Pulse CDC is 2 -- --Whenever this file is used following XDC constraint has to be added -- set_false_path -to [get_pins -hier *cdc_to*/D] --IO Ports -- -- prmry_aclk : clock of originating domain (source domain) -- prmry_resetn : sync reset of originating clock domain (source domain) -- prmry_in : input signal bit. This should be a pure flop output without -- any combi logic. This is source. -- prmry_vect_in : bus signal. From Source domain. -- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain. -- Used only when C_CDC_TYPE = 2 -- scndry_aclk : destination clock. -- scndry_resetn : sync reset of destination domain -- scndry_out : sync'ed output in destination domain. Single bit. -- scndry_vect_out : sync'ed output in destination domain. bus. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.FDR; entity cdc_sync is generic ( C_CDC_TYPE : integer range 0 to 2 := 1 ; -- 0 is pulse synch -- 1 is level synch -- 2 is ack based level sync C_RESET_STATE : integer range 0 to 1 := 0 ; -- 0 is reset not needed -- 1 is reset needed C_SINGLE_BIT : integer range 0 to 1 := 1 ; -- 0 is bus input -- 1 is single bit input C_FLOP_INPUT : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer range 0 to 64 := 32 ; C_MTBF_STAGES : integer range 0 to 6 := 2 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- prmry_in : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_ack : out std_logic ; -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Primary to Secondary Clock Crossing -- scndry_out : out std_logic ; -- -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end cdc_sync; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of cdc_sync is attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; --attribute DONT_TOUCH : STRING; --attribute KEEP : STRING; --attribute DONT_TOUCH of implementation : architecture is "yes"; signal prmry_resetn1 : std_logic := '0'; signal scndry_resetn1 : std_logic := '0'; signal prmry_reset2 : std_logic := '0'; signal scndry_reset2 : std_logic := '0'; --attribute KEEP of prmry_resetn1 : signal is "true"; --attribute KEEP of scndry_resetn1 : signal is "true"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin HAS_RESET : if C_RESET_STATE = 1 generate begin prmry_resetn1 <= prmry_resetn; scndry_resetn1 <= scndry_resetn; end generate HAS_RESET; HAS_NO_RESET : if C_RESET_STATE = 0 generate begin prmry_resetn1 <= '1'; scndry_resetn1 <= '1'; end generate HAS_NO_RESET; prmry_reset2 <= not prmry_resetn1; scndry_reset2 <= not scndry_resetn1; -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of s_out_d1_cdc_to : signal is "true"; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_d5 : std_logic := '0'; signal s_out_d6 : std_logic := '0'; signal s_out_d7 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; signal srst_d1 : std_logic := '0'; signal srst_d2 : std_logic := '0'; signal srst_d3 : std_logic := '0'; signal srst_d4 : std_logic := '0'; signal srst_d5 : std_logic := '0'; signal srst_d6 : std_logic := '0'; signal srst_d7 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF REG_P_IN2_cdc_to : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d2 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d3 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d4 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d5 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d6 : label IS "true"; ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d7 : label IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_vect_out <= (others => '0'); prmry_ack <= '0'; prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; --------------------------------------REG_P_IN : process(prmry_aclk) -------------------------------------- begin -------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then -------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then -------------------------------------- p_in_d1_cdc_from <= '0'; -------------------------------------- else -------------------------------------- p_in_d1_cdc_from <= prmry_in_xored; -------------------------------------- end if; -------------------------------------- end if; -------------------------------------- end process REG_P_IN; REG_P_IN_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_in_d1_cdc_from, C => prmry_aclk, D => prmry_in_xored, R => prmry_reset2 ); REG_P_IN2_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_out_d1_cdc_to, C => scndry_aclk, D => p_in_d1_cdc_from, R => scndry_reset2 ); ------------------------------------ P_IN_CROSS2SCNDRY : process(scndry_aclk) ------------------------------------ begin ------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then ------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------------ s_out_d2 <= '0'; ------------------------------------ s_out_d3 <= '0'; ------------------------------------ s_out_d4 <= '0'; ------------------------------------ s_out_d5 <= '0'; ------------------------------------ s_out_d6 <= '0'; ------------------------------------ s_out_d7 <= '0'; ------------------------------------ scndry_out <= '0'; ------------------------------------ else ------------------------------------ s_out_d2 <= s_out_d1_cdc_to; ------------------------------------ s_out_d3 <= s_out_d2; ------------------------------------ s_out_d4 <= s_out_d3; ------------------------------------ s_out_d5 <= s_out_d4; ------------------------------------ s_out_d6 <= s_out_d5; ------------------------------------ s_out_d7 <= s_out_d6; ------------------------------------ scndry_out <= s_out_re; ------------------------------------ end if; ------------------------------------ end if; ------------------------------------ end process P_IN_CROSS2SCNDRY; P_IN_CROSS2SCNDRY_s_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d2, C => scndry_aclk, D => s_out_d1_cdc_to, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d3, C => scndry_aclk, D => s_out_d2, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d4, C => scndry_aclk, D => s_out_d3, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d5, C => scndry_aclk, D => s_out_d4, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d6, C => scndry_aclk, D => s_out_d5, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_s_out_d7 : component FDR generic map(INIT => '0' )port map ( Q => s_out_d7, C => scndry_aclk, D => s_out_d6, R => scndry_reset2 ); P_IN_CROSS2SCNDRY_scndry_out : component FDR generic map(INIT => '0' )port map ( Q => scndry_out, C => scndry_aclk, D => s_out_re, R => scndry_reset2 ); s_rst_d1 : component FDR generic map(INIT => '0' )port map ( Q => srst_d1, C => scndry_aclk, D => '1', R => scndry_reset2 ); s_rst_d2 : component FDR generic map(INIT => '0' )port map ( Q => srst_d2, C => scndry_aclk, D => srst_d1, R => scndry_reset2 ); s_rst_d3 : component FDR generic map(INIT => '0' )port map ( Q => srst_d3, C => scndry_aclk, D => srst_d2, R => scndry_reset2 ); s_rst_d4 : component FDR generic map(INIT => '0' )port map ( Q => srst_d4, C => scndry_aclk, D => srst_d3, R => scndry_reset2 ); s_rst_d5 : component FDR generic map(INIT => '0' )port map ( Q => srst_d5, C => scndry_aclk, D => srst_d4, R => scndry_reset2 ); s_rst_d6 : component FDR generic map(INIT => '0' )port map ( Q => srst_d6, C => scndry_aclk, D => srst_d5, R => scndry_reset2 ); s_rst_d7 : component FDR generic map(INIT => '0' )port map ( Q => srst_d7, C => scndry_aclk, D => srst_d6, R => scndry_reset2 ); MTBF_2 : if C_MTBF_STAGES = 2 generate begin s_out_re <= (s_out_d2 xor s_out_d3) and (srst_d3); end generate MTBF_2; MTBF_3 : if C_MTBF_STAGES = 3 generate begin s_out_re <= (s_out_d3 xor s_out_d4) and (srst_d4); end generate MTBF_3; MTBF_4 : if C_MTBF_STAGES = 4 generate begin s_out_re <= (s_out_d4 xor s_out_d5) and (srst_d5); end generate MTBF_4; MTBF_5 : if C_MTBF_STAGES = 5 generate begin s_out_re <= (s_out_d5 xor s_out_d6) and (srst_d6); end generate MTBF_5; MTBF_6 : if C_MTBF_STAGES = 6 generate begin s_out_re <= (s_out_d6 xor s_out_d7) and (srst_d7); end generate MTBF_6; -- Feed secondary pulse out end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate begin -- Primary to Secondary SINGLE_BIT : if C_SINGLE_BIT = 1 generate signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true"; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); prmry_ack <= '0'; INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin ---------------------------------- REG_PLEVEL_IN : process(prmry_aclk) ---------------------------------- begin ---------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then ---------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ---------------------------------- p_level_in_d1_cdc_from <= '0'; ---------------------------------- else ---------------------------------- p_level_in_d1_cdc_from <= prmry_in; ---------------------------------- end if; ---------------------------------- end if; ---------------------------------- end process REG_PLEVEL_IN; REG_PLEVEL_IN_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_level_in_d1_cdc_from, C => prmry_aclk, D => prmry_in, R => prmry_reset2 ); p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d1_cdc_to, C => scndry_aclk, D => p_level_in_int, R => scndry_reset2 ); ------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) ------------------------------ begin ------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then ------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------ s_level_out_d2 <= '0'; ------------------------------ s_level_out_d3 <= '0'; ------------------------------ s_level_out_d4 <= '0'; ------------------------------ s_level_out_d5 <= '0'; ------------------------------ s_level_out_d6 <= '0'; ------------------------------ else ------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to; ------------------------------ s_level_out_d3 <= s_level_out_d2; ------------------------------ s_level_out_d4 <= s_level_out_d3; ------------------------------ s_level_out_d5 <= s_level_out_d4; ------------------------------ s_level_out_d6 <= s_level_out_d5; ------------------------------ end if; ------------------------------ end if; ------------------------------ end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d2, C => scndry_aclk, D => s_level_out_d1_cdc_to, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d3, C => scndry_aclk, D => s_level_out_d2, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d4, C => scndry_aclk, D => s_level_out_d3, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d5, C => scndry_aclk, D => s_level_out_d4, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d6, C => scndry_aclk, D => s_level_out_d5, R => scndry_reset2 ); MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_out <= s_level_out_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_out <= s_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out <= s_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out <= s_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out <= s_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out <= s_level_out_d6; end generate MTBF_L6; end generate SINGLE_BIT; MULTI_BIT : if C_SINGLE_BIT = 0 generate signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0); signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); --attribute DONT_TOUCH of s_level_out_bus_d1_cdc_to : signal is "true"; signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true"; -----------------ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_out <= '0'; prmry_ack <= '0'; INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate begin ----------------------------------- REG_PLEVEL_IN : process(prmry_aclk) ----------------------------------- begin ----------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then ----------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ----------------------------------- p_level_in_bus_d1_cdc_from <= (others => '0'); ----------------------------------- else ----------------------------------- p_level_in_bus_d1_cdc_from <= prmry_vect_in; ----------------------------------- end if; ----------------------------------- end if; ----------------------------------- end process REG_PLEVEL_IN; FOR_REG_PLEVEL_IN: for i in 0 to (C_VECTOR_WIDTH-1) generate begin REG_PLEVEL_IN_p_level_in_bus_d1_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_level_in_bus_d1_cdc_from (i), C => prmry_aclk, D => prmry_vect_in (i), R => prmry_reset2 ); end generate FOR_REG_PLEVEL_IN; p_level_in_bus_int <= p_level_in_bus_d1_cdc_from; end generate INPUT_FLOP_BUS; NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate begin p_level_in_bus_int <= prmry_vect_in; end generate NO_INPUT_FLOP_BUS; FOR_IN_cdc_to: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d1_cdc_to (i), C => scndry_aclk, D => p_level_in_bus_int (i), R => scndry_reset2 ); end generate FOR_IN_cdc_to; ----------------------------------------- CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) ----------------------------------------- begin ----------------------------------------- if(scndry_aclk'EVENT and scndry_aclk ='1')then ----------------------------------------- if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ----------------------------------------- s_level_out_bus_d2 <= (others => '0'); ----------------------------------------- s_level_out_bus_d3 <= (others => '0'); ----------------------------------------- s_level_out_bus_d4 <= (others => '0'); ----------------------------------------- s_level_out_bus_d5 <= (others => '0'); ----------------------------------------- s_level_out_bus_d6 <= (others => '0'); ----------------------------------------- else ----------------------------------------- s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to; ----------------------------------------- s_level_out_bus_d3 <= s_level_out_bus_d2; ----------------------------------------- s_level_out_bus_d4 <= s_level_out_bus_d3; ----------------------------------------- s_level_out_bus_d5 <= s_level_out_bus_d4; ----------------------------------------- s_level_out_bus_d6 <= s_level_out_bus_d5; ----------------------------------------- end if; ----------------------------------------- end if; ----------------------------------------- end process CROSS_PLEVEL_IN2SCNDRY; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d2 (i), C => scndry_aclk, D => s_level_out_bus_d1_cdc_to (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d3 (i), C => scndry_aclk, D => s_level_out_bus_d2 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d4 (i), C => scndry_aclk, D => s_level_out_bus_d3 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d5 (i), C => scndry_aclk, D => s_level_out_bus_d4 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5; FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6: for i in 0 to (C_VECTOR_WIDTH-1) generate ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : label IS "true"; begin CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_bus_d6 (i), C => scndry_aclk, D => s_level_out_bus_d5 (i), R => scndry_reset2 ); end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_vect_out <= s_level_out_bus_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_vect_out <= s_level_out_bus_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_vect_out <= s_level_out_bus_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_vect_out <= s_level_out_bus_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_vect_out <= s_level_out_bus_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_vect_out <= s_level_out_bus_d6; end generate MTBF_L6; end generate MULTI_BIT; end generate GENERATE_LEVEL_P_S_CDC; GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true"; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; --attribute DONT_TOUCH of p_level_out_d1_cdc_to : signal is "true"; signal p_level_out_d2 : std_logic := '0'; signal p_level_out_d3 : std_logic := '0'; signal p_level_out_d4 : std_logic := '0'; signal p_level_out_d5 : std_logic := '0'; signal p_level_out_d6 : std_logic := '0'; signal p_level_out_d7 : std_logic := '0'; signal scndry_out_int : std_logic := '0'; signal prmry_pulse_ack : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : label IS "true"; ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : label IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin ------------------------------------------ REG_PLEVEL_IN : process(prmry_aclk) ------------------------------------------ begin ------------------------------------------ if(prmry_aclk'EVENT and prmry_aclk ='1')then ------------------------------------------ if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------------------ p_level_in_d1_cdc_from <= '0'; ------------------------------------------ else ------------------------------------------ p_level_in_d1_cdc_from <= prmry_in; ------------------------------------------ end if; ------------------------------------------ end if; ------------------------------------------ end process REG_PLEVEL_IN; REG_PLEVEL_IN_cdc_from : component FDR generic map(INIT => '0' )port map ( Q => p_level_in_d1_cdc_from, C => prmry_aclk, D => prmry_in, R => prmry_reset2 ); p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d1_cdc_to, C => scndry_aclk, D => p_level_in_int, R => scndry_reset2 ); ------------------------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) ------------------------------------------------ begin ------------------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then ------------------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then ------------------------------------------------ s_level_out_d2 <= '0'; ------------------------------------------------ s_level_out_d3 <= '0'; ------------------------------------------------ s_level_out_d4 <= '0'; ------------------------------------------------ s_level_out_d5 <= '0'; ------------------------------------------------ s_level_out_d6 <= '0'; ------------------------------------------------ else ------------------------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to; ------------------------------------------------ s_level_out_d3 <= s_level_out_d2; ------------------------------------------------ s_level_out_d4 <= s_level_out_d3; ------------------------------------------------ s_level_out_d5 <= s_level_out_d4; ------------------------------------------------ s_level_out_d6 <= s_level_out_d5; ------------------------------------------------ end if; ------------------------------------------------ end if; ------------------------------------------------ end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d2, C => scndry_aclk, D => s_level_out_d1_cdc_to, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d3, C => scndry_aclk, D => s_level_out_d2, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d4, C => scndry_aclk, D => s_level_out_d3, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d5, C => scndry_aclk, D => s_level_out_d4, R => scndry_reset2 ); CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => s_level_out_d6, C => scndry_aclk, D => s_level_out_d5, R => scndry_reset2 ); --------------------------------------------------- CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk) --------------------------------------------------- begin --------------------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then --------------------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then --------------------------------------------------- p_level_out_d1_cdc_to <= '0'; --------------------------------------------------- p_level_out_d2 <= '0'; --------------------------------------------------- p_level_out_d3 <= '0'; --------------------------------------------------- p_level_out_d4 <= '0'; --------------------------------------------------- p_level_out_d5 <= '0'; --------------------------------------------------- p_level_out_d6 <= '0'; --------------------------------------------------- p_level_out_d7 <= '0'; --------------------------------------------------- prmry_ack <= '0'; --------------------------------------------------- else --------------------------------------------------- p_level_out_d1_cdc_to <= scndry_out_int; --------------------------------------------------- p_level_out_d2 <= p_level_out_d1_cdc_to; --------------------------------------------------- p_level_out_d3 <= p_level_out_d2; --------------------------------------------------- p_level_out_d4 <= p_level_out_d3; --------------------------------------------------- p_level_out_d5 <= p_level_out_d4; --------------------------------------------------- p_level_out_d6 <= p_level_out_d5; --------------------------------------------------- p_level_out_d7 <= p_level_out_d6; --------------------------------------------------- prmry_ack <= prmry_pulse_ack; --------------------------------------------------- end if; --------------------------------------------------- end if; --------------------------------------------------- end process CROSS_PLEVEL_SCNDRY2PRMRY; CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d1_cdc_to, C => prmry_aclk, D => scndry_out_int, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d2, C => prmry_aclk, D => p_level_out_d1_cdc_to, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d3, C => prmry_aclk, D => p_level_out_d2, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d4, C => prmry_aclk, D => p_level_out_d3, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d5, C => prmry_aclk, D => p_level_out_d4, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d6, C => prmry_aclk, D => p_level_out_d5, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : component FDR generic map(INIT => '0' )port map ( Q => p_level_out_d7, C => prmry_aclk, D => p_level_out_d6, R => prmry_reset2 ); CROSS_PLEVEL_SCNDRY2PRMRY_prmry_ack : component FDR generic map(INIT => '0' )port map ( Q => prmry_ack, C => prmry_aclk, D => prmry_pulse_ack, R => prmry_reset2 ); MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate begin scndry_out_int <= s_level_out_d2; --prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2; prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out_int <= s_level_out_d3; --prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3; prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out_int <= s_level_out_d4; --prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4; prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out_int <= s_level_out_d5; --prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5; prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out_int <= s_level_out_d6; --prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6; prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6; end generate MTBF_L6; scndry_out <= scndry_out_int; end generate GENERATE_LEVEL_ACK_P_S_CDC; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_vregister_64.vhd
4
13365
------------------------------------------------------------------------------- -- axi_vdma_vregister_64 ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_vregister_64.vhd -- -- Description: Top level for video register block. These registers provide -- the video parameters to the DMA controllers. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister_64.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister_64.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_vregister_64 is generic( C_NUM_FSTORES : integer range 1 to 32 := 1 ; -- Number of Frame Stores C_ADDR_WIDTH : integer range 32 to 64 := 32 -- Start Address Width ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- -- Video Register Update control -- video_reg_update : in std_logic ; -- -- dmasr_halt : in std_logic ; -- -- Scatter Gather register Bank -- vsize_sg : in std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- hsize_sg : in std_logic_vector -- (HSIZE_DWIDTH-1 downto 0) ; -- stride_sg : in std_logic_vector -- (STRIDE_DWIDTH-1 downto 0) ; -- frmdly_sg : in std_logic_vector -- (FRMDLY_DWIDTH-1 downto 0) ; -- start_address_sg : in STARTADDR_ARRAY_TYPE_64 -- (0 to C_NUM_FSTORES - 1) ; -- -- Video Register Bank -- vsize_vid : out std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- hsize_vid : out std_logic_vector -- (HSIZE_DWIDTH-1 downto 0) ; -- stride_vid : out std_logic_vector -- (STRIDE_DWIDTH-1 downto 0) ; -- frmdly_vid : out std_logic_vector -- (FRMDLY_DWIDTH-1 downto 0) ; -- start_address_vid : out STARTADDR_ARRAY_TYPE_64 (0 to C_NUM_FSTORES - 1) -- ); end axi_vdma_vregister_64; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_vregister_64 is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- No Signals Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Vertical Size - Video Side REG_VSIZE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then vsize_vid <= (others => '0'); -- update video register elsif(video_reg_update='1') then vsize_vid <= vsize_sg; end if; end if; end process REG_VSIZE; -- Horizontal Size - Video Side REG_HSIZE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then hsize_vid <= (others => '0'); -- update video register elsif(video_reg_update='1') then hsize_vid <= hsize_sg; end if; end if; end process REG_HSIZE; -- Stride - Video Side REG_STRIDE : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then stride_vid <= (others => '0'); -- update video register elsif(video_reg_update='1') then stride_vid <= stride_sg; end if; end if; end process REG_STRIDE; -- Frame Delay - Video Side REG_FRMDLY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0' or dmasr_halt = '1')then frmdly_vid <= (others => '0'); -- update video register elsif(video_reg_update='1') then frmdly_vid <= frmdly_sg; end if; end if; end process REG_FRMDLY; -- Generate C_NUM_FSTORE start address registeres GEN_START_ADDR_REG : for i in 0 to C_NUM_FSTORES-1 generate begin -- Start Address Registers REG_START_ADDR : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk = '1')then if(prmry_resetn = '0')then start_address_vid(i) <= (others => '0'); elsif(video_reg_update = '1')then start_address_vid(i) <= start_address_sg(i); end if; end if; end process REG_START_ADDR; end generate GEN_START_ADDR_REG; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_stbs_set.vhd
18
30582
------------------------------------------------------------------------------- -- axi_datamover_stbs_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_stbs_set.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_stbs_set is generic ( C_STROBE_WIDTH : Integer range 1 to 128 := 8 -- Specifies the width (in bits) of the input strobe bus. ); port ( -- Input Strobe bus ---------------------------------------------------- -- tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- ------------------------------------------------------------------------ -- Asserted Strobes count output --------------------------------------- -- num_stbs_asserted : Out std_logic_vector(7 downto 0) -- -- Indicates the number of asserted tstrb_in bits -- ------------------------------------------------------------------------ ); end entity axi_datamover_stbs_set; architecture implementation of axi_datamover_stbs_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" | "00000010" | "00000100" | "00001000" | "00010000" | "00100000" | "01000000" | "10000000" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" | "00000110" | "00001100" | "00011000" | "00110000" | "01100000" | "11000000" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" | "00001110" | "00011100" | "00111000" | "01110000" | "11100000" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" | "00011110" | "00111100" | "01111000" | "11110000" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" | "00111110" | "01111100" | "11111000" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" | "01111110" | "11111100" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" | "11111110" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_32_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64_STRB -- -- If Generate Description: -- 64-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_64_STRB : if (C_STROBE_WIDTH = 64) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_64_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128_STRB -- -- If Generate Description: -- 128-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_128_STRB : if (C_STROBE_WIDTH = 128) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect5 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect6 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect7 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect8 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect9 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect10 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect11 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect12 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect13 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect14 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect15 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect16 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs5 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs6 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs7 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs8 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs9 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs10 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs11 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs12 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs13 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs14 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs15 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs16 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_strb_vect5 <= sig_strb_input(39 downto 32); -- make and 8-bit vector -- for the function call lsig_strb_vect6 <= sig_strb_input(47 downto 40); -- make and 8-bit vector -- for the function call lsig_strb_vect7 <= sig_strb_input(55 downto 48); -- make and 8-bit vector -- for the function call lsig_strb_vect8 <= sig_strb_input(63 downto 56); -- make and 8-bit vector -- for the function call lsig_strb_vect9 <= sig_strb_input(71 downto 64); -- make and 8-bit vector -- for the function call lsig_strb_vect10 <= sig_strb_input(79 downto 72); -- make and 8-bit vector -- for the function call lsig_strb_vect11 <= sig_strb_input(87 downto 80); -- make and 8-bit vector -- for the function call lsig_strb_vect12 <= sig_strb_input(95 downto 88); -- make and 8-bit vector -- for the function call lsig_strb_vect13 <= sig_strb_input(103 downto 96); -- make and 8-bit vector -- for the function call lsig_strb_vect14 <= sig_strb_input(111 downto 104); -- make and 8-bit vector -- for the function call lsig_strb_vect15 <= sig_strb_input(119 downto 112); -- make and 8-bit vector -- for the function call lsig_strb_vect16 <= sig_strb_input(127 downto 120); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_in_stbs5 <= funct_8bit_stbs_set(lsig_strb_vect5) ; lsig_num_in_stbs6 <= funct_8bit_stbs_set(lsig_strb_vect6) ; lsig_num_in_stbs7 <= funct_8bit_stbs_set(lsig_strb_vect7) ; lsig_num_in_stbs8 <= funct_8bit_stbs_set(lsig_strb_vect8) ; lsig_num_in_stbs9 <= funct_8bit_stbs_set(lsig_strb_vect9) ; lsig_num_in_stbs10 <= funct_8bit_stbs_set(lsig_strb_vect10) ; lsig_num_in_stbs11 <= funct_8bit_stbs_set(lsig_strb_vect11) ; lsig_num_in_stbs12 <= funct_8bit_stbs_set(lsig_strb_vect12) ; lsig_num_in_stbs13 <= funct_8bit_stbs_set(lsig_strb_vect13) ; lsig_num_in_stbs14 <= funct_8bit_stbs_set(lsig_strb_vect14) ; lsig_num_in_stbs15 <= funct_8bit_stbs_set(lsig_strb_vect15) ; lsig_num_in_stbs16 <= funct_8bit_stbs_set(lsig_strb_vect16) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs5 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs6 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs7 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs8 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs9 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs10 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs11 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs12 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs13 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs14 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs15 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs16 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_128_STRB; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/digilent/axi_dispctrl_v1_0/hdl/axi_dispctrl_v1_0_S_AXI.vhd
7
23770
-------------------------------------------------------------------------------- -- -- File: -- axi_dispctrl_v1_0_S_AXI.vhd -- -- Module: -- AXIS Display Controller AXI Slave Interface -- -- Author: -- Tinghui Wang (Steve) -- -- Description: -- AXI-Lite Register Interface for AXI Display Controller -- -- Copyright notice: -- Copyright (C) 2014 Digilent Inc. -- -- Licence: -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity axi_dispctrl_v1_0_S_AXI is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 6 ); port ( -- Users to add ports here CTRL_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); STAT_REG :in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); FRAME_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); HPARAM1_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); HPARAM2_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); VPARAM1_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); VPARAM2_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_O_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_FB_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_FRAC_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_DIV_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_LOCK_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_FLTR_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write address (issued by master, acceped by Slave) S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. This signal indicates the -- privilege and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Write address valid. This signal indicates that the master signaling -- valid write address and control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that the slave is ready -- to accept an address and associated control signals. S_AXI_AWREADY : out std_logic; -- Write data (issued by master, acceped by Slave) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte lanes hold -- valid data. There is one write strobe bit for each eight -- bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response valid. This signal indicates that the channel -- is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address (issued by master, acceped by Slave) S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether the -- transaction is a data access or an instruction access. S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Read address valid. This signal indicates that the channel -- is signaling valid read address and control information. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that the slave is -- ready to accept an address and associated control signals. S_AXI_ARREADY : out std_logic; -- Read data (issued by slave) S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the -- read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is -- signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end axi_dispctrl_v1_0_S_AXI; architecture arch_imp of axi_dispctrl_v1_0_S_AXI is -- AXI4LITE signals signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rvalid : std_logic; -- Example-specific design signals -- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH -- ADDR_LSB is used for addressing 32/64 bit registers/memories -- ADDR_LSB = 2 for 32 bits (n downto 2) -- ADDR_LSB = 3 for 64 bits (n downto 3) constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 3; ------------------------------------------------ ---- Signals for user logic register space example -------------------------------------------------- ---- Number of Slave Registers 13 signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg4 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg5 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg6 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg7 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg8 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg9 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg10 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg11 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg12 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg_rden : std_logic; signal slv_reg_wren : std_logic; signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal byte_index : integer; begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= axi_bresp; S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= axi_rresp; S_AXI_RVALID <= axi_rvalid; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- slave is ready to accept write address when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_awready <= '1'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- Write Address latching axi_awaddr <= S_AXI_AWADDR; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then -- slave is ready to accept write data when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_wready <= '1'; else axi_wready <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and write logic generation -- The write data is accepted and written to memory mapped registers when -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to -- select byte enables of slave registers while writing. -- These registers are cleared when reset (active low) is applied. -- Slave register write enable is asserted when valid address and data are available -- and the slave is ready to accept the write address and write data. slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ; process (S_AXI_ACLK) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then slv_reg0 <= (others => '0'); -- slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); slv_reg4 <= (others => '0'); slv_reg5 <= (others => '0'); slv_reg6 <= (others => '0'); slv_reg7 <= (others => '0'); slv_reg8 <= (others => '0'); slv_reg9 <= (others => '0'); slv_reg10 <= (others => '0'); slv_reg11 <= (others => '0'); slv_reg12 <= (others => '0'); else loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); if (slv_reg_wren = '1') then case loc_addr is when b"0000" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 0 slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; -- when b"0001" => -- for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop -- if ( S_AXI_WSTRB(byte_index) = '1' ) then -- -- Respective byte enables are asserted as per write strobes -- -- slave registor 1 -- slv_reg1(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; when b"0010" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 2 slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"0011" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 3 slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"0100" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 4 slv_reg4(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"0101" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 5 slv_reg5(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"0110" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 6 slv_reg6(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"0111" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 7 slv_reg7(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"1000" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 8 slv_reg8(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"1001" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 9 slv_reg9(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"1010" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 10 slv_reg10(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"1011" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 11 slv_reg11(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"1100" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 12 slv_reg12(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when others => slv_reg0 <= slv_reg0; -- slv_reg1 <= slv_reg1; slv_reg2 <= slv_reg2; slv_reg3 <= slv_reg3; slv_reg4 <= slv_reg4; slv_reg5 <= slv_reg5; slv_reg6 <= slv_reg6; slv_reg7 <= slv_reg7; slv_reg8 <= slv_reg8; slv_reg9 <= slv_reg9; slv_reg10 <= slv_reg10; slv_reg11 <= slv_reg11; slv_reg12 <= slv_reg12; end case; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses else if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high) end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_araddr <= (others => '1'); else if (axi_arready = '0' and S_AXI_ARVALID = '1') then -- indicates that the slave has acceped the valid read address axi_arready <= '1'; -- Read Address latching axi_araddr <= S_AXI_ARADDR; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then -- Valid read data is available at the read data bus axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then -- Read data is accepted by the master axi_rvalid <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and read logic generation -- Slave register read enable is asserted when valid address is available -- and the slave is ready to accept the read address. slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ; process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, axi_araddr, S_AXI_ARESETN, slv_reg_rden) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin if S_AXI_ARESETN = '0' then reg_data_out <= (others => '1'); else -- Address decoding for reading registers loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); case loc_addr is when b"0000" => reg_data_out <= slv_reg0; when b"0001" => reg_data_out <= slv_reg1; when b"0010" => reg_data_out <= slv_reg2; when b"0011" => reg_data_out <= slv_reg3; when b"0100" => reg_data_out <= slv_reg4; when b"0101" => reg_data_out <= slv_reg5; when b"0110" => reg_data_out <= slv_reg6; when b"0111" => reg_data_out <= slv_reg7; when b"1000" => reg_data_out <= slv_reg8; when b"1001" => reg_data_out <= slv_reg9; when b"1010" => reg_data_out <= slv_reg10; when b"1011" => reg_data_out <= slv_reg11; when b"1100" => reg_data_out <= slv_reg12; when others => reg_data_out <= (others => '0'); end case; end if; end process; -- Output register or memory read data process( S_AXI_ACLK ) is begin if (rising_edge (S_AXI_ACLK)) then if ( S_AXI_ARESETN = '0' ) then axi_rdata <= (others => '0'); else if (slv_reg_rden = '1') then -- When there is a valid read address (S_AXI_ARVALID) with -- acceptance of read address by the slave (axi_arready), -- output the read dada -- Read address mux axi_rdata <= reg_data_out; -- register read data end if; end if; end if; end process; -- Add user logic here CTRL_REG <= slv_reg0; FRAME_REG <= slv_reg2; HPARAM1_REG <= slv_reg3; HPARAM2_REG <= slv_reg4; VPARAM1_REG <= slv_reg5; VPARAM2_REG <= slv_reg6; CLK_O_REG <= slv_reg7; CLK_FB_REG <= slv_reg8; CLK_FRAC_REG <= slv_reg9; CLK_DIV_REG <= slv_reg10; CLK_LOCK_REG <= slv_reg11; CLK_FLTR_REG <= slv_reg12; process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then slv_reg1 <= (others => '0'); else slv_reg1 <= STAT_REG; end if; end if; end process; -- User logic ends end arch_imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_updt_sm.vhd
4
41496
------------------------------------------------------------------------------- -- axi_sg_updt_sm ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_sm.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ftch_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- ch2_updt_curdesc_wren : in std_logic ; -- ch2_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- DataMover Command -- updt_cmnd_wr : out std_logic ; -- updt_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- -- DataMover Status -- updt_done : in std_logic ; -- updt_error : in std_logic ; -- updt_interr : in std_logic ; -- updt_slverr : in std_logic ; -- updt_decerr : in std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0'); -- DataMover Command Type constant UPDATE_CMD_TYPE : std_logic := '0'; -- DataMover Cmnd Reserved Bits constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant UPDATE_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- DataMover Cmnd Address Offset for channel 1 constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4; -- DataMover Cmnd Address Offset for channel 2 constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_UPDATE_STATE_TYPE is ( IDLE, GET_UPDATE_PNTR, UPDATE_DESCRIPTOR, UPDATE_STATUS, UPDATE_ERROR ); signal updt_cs : SG_UPDATE_STATE_TYPE; signal updt_ns : SG_UPDATE_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_updt_sm_idle : std_logic := '0'; signal ch2_updt_sm_idle : std_logic := '0'; -- Misc Signals signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; signal update_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal update_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_updt_active <= ch1_active_i; ch2_updt_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_UPDT_MACHINE : process(updt_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ch1_updt_curdesc_wren, ch2_updt_curdesc_wren, updt_error, updt_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_updt_sm_idle <= '0'; ch2_updt_sm_idle <= '0'; updt_ns <= updt_cs; case updt_cs is ------------------------------------------------------------------- when IDLE => ch1_updt_sm_idle <= not service_ch1; ch2_updt_sm_idle <= not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; else updt_ns <= IDLE; end if; when GET_UPDATE_PNTR => if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then updt_ns <= UPDATE_DESCRIPTOR; else updt_ns <= GET_UPDATE_PNTR; end if; ------------------------------------------------------------------- when UPDATE_DESCRIPTOR => -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- write command else ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_STATUS => ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- wait until done with update elsif(updt_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, update descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, update descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; else updt_ns <= IDLE; end if; else updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_ERROR => ch1_updt_sm_idle <= '1'; ch2_updt_sm_idle <= '1'; updt_ns <= UPDATE_ERROR; ------------------------------------------------------------------- when others => updt_ns <= IDLE; end case; end process SG_UPDT_MACHINE; ------------------------------------------------------------------------------- -- Register states of state machine ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cs <= IDLE; else updt_cs <= updt_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_active_i <= '0'; elsif(ch1_active_i = '1' and updt_done = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 1 Interrupt On Complete ------------------------------------------------------------------------------- CH1_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch1_updt_ioc = '1')then ch1_updt_ioc_irq_set <= '1'; else ch1_updt_ioc_irq_set <= '0'; end if; end if; end process CH1_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH1_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch1_dma_interr = '1')then ch1_dma_interr_set <= '1'; end if; end if; end process CH1_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH1_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch1_dma_slverr = '1')then ch1_dma_slverr_set <= '1'; end if; end if; end process CH1_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH1_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch1_dma_decerr = '1')then ch1_dma_decerr_set <= '1'; end if; end if; end process CH1_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_slverr_set <= '0'; elsif(ch1_active_i = '1' and updt_slverr = '1')then ch1_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_interr_set <= '0'; elsif(ch1_active_i = '1' and updt_interr = '1')then ch1_updt_interr_set <= '1'; end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_decerr_set <= '0'; elsif(ch1_active_i = '1' and updt_decerr = '1')then ch1_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch1_updt_idle <= '1'; elsif(service_ch1 = '1')then ch1_updt_idle <= '0'; elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then ch1_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH1_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_done <= '0'; elsif(updt_done = '1' and ch1_active_i = '1')then ch1_updt_done <= '1'; else ch1_updt_done <= '0'; end if; end if; end process REG_CH1_DONE; end generate GEN_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_updt_idle <= '0'; ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set <= '0'; ch1_dma_slverr_set <= '0'; ch1_dma_decerr_set <= '0'; ch1_updt_ioc_irq_set <= '0'; ch1_updt_done <= '0'; end generate GEN_NO_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_active_i <= '0'; elsif(ch2_active_i = '1' and updt_done = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 2 Interrupt On Complete ------------------------------------------------------------------------------- CH2_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch2_updt_ioc = '1')then ch2_updt_ioc_irq_set <= '1'; else ch2_updt_ioc_irq_set <= '0'; end if; end if; end process CH2_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH2_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch2_dma_interr = '1')then ch2_dma_interr_set <= '1'; end if; end if; end process CH2_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH2_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch2_dma_slverr = '1')then ch2_dma_slverr_set <= '1'; end if; end if; end process CH2_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH2_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch2_dma_decerr = '1')then ch2_dma_decerr_set <= '1'; end if; end if; end process CH2_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_slverr_set <= '0'; elsif(ch2_active_i = '1' and updt_slverr = '1')then ch2_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_interr_set <= '0'; elsif(ch2_active_i = '1' and updt_interr = '1')then ch2_updt_interr_set <= '1'; end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_decerr_set <= '0'; elsif(ch2_active_i = '1' and updt_decerr = '1')then ch2_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch2_updt_idle <= '1'; elsif(service_ch2 = '1')then ch2_updt_idle <= '0'; elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then ch2_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH2_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_done <= '0'; elsif(updt_done = '1' and ch2_active_i = '1')then ch2_updt_done <= '1'; else ch2_updt_done <= '0'; end if; end if; end process REG_CH2_DONE; end generate GEN_CH2_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_updt_idle <= '0'; ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set <= '0'; ch2_dma_slverr_set <= '0'; ch2_dma_decerr_set <= '0'; ch2_updt_ioc_irq_set <= '0'; ch2_updt_done <= '0'; end generate GEN_NO_CH2_UPDATE; --------------------------------------------------------------------------- -- Register Current Update Address. Address captured from channel port -- or queue by axi_sg_updt_queue --------------------------------------------------------------------------- REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then update_address <= (others => '0'); -- Channel 1 descriptor update pointer elsif(ch1_updt_curdesc_wren = '1')then update_address <= std_logic_vector(unsigned(ch1_updt_curdesc) + UPDATE_CH1_ADDR_OFFSET); -- Channel 2 descriptor update pointer elsif(ch2_updt_curdesc_wren = '1')then update_address <= std_logic_vector(unsigned(ch2_updt_curdesc) + UPDATE_CH2_ADDR_OFFSET); end if; end if; end process REG_UPDATE_ADDRESS; -- Assigne Bytes to Transfer (BTT) update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1' else UPDATE_CH2_CMD_BTT; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- When command by sm, drive command to updt_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cmnd_wr <= '0'; updt_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then updt_cmnd_wr <= '1'; updt_cmnd_data <= UPDATE_CMD_RSVD & UPDATE_CMD_TAG & update_address & UPDATE_MSB_IGNORED & UPDATE_CMD_TYPE & UPDATE_LSB_IGNORED & update_cmd_btt; else updt_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error_addr <= (others => '0'); elsif(write_cmnd_cmb = '1')then updt_error_addr <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) & "000000"; end if; end if; end process LOG_ERROR_ADDR; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_omit_wrap.vhd
18
16390
------------------------------------------------------------------------------- -- axi_datamover_mm2s_omit_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_omit_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Omit Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_omit_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 0; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 0; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_ENABLE_CACHE_USER : Integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input -------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------- -- MM2S Halt request input control------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------------- -- Error discrete output ---------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- ----------------------------------------------------------- -- Optional MM2S Command and Status clock and Reset ----------- -- Only used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- --------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) -------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ---------------------------------------------------------------- -- Address Posting contols ------------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ---------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ----------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_omit_wrap; architecture implementation of axi_datamover_mm2s_omit_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; begin --(architecture implementation) mm2s_dbg_data <= X"BEEF0000" ; -- 32 bit Constant indicating OMIT type -- Just tie off output ports mm2s_halt_cmplt <= mm2s_halt ; mm2s_err <= '0' ; mm2s_cmd_wready <= '0' ; mm2s_sts_wvalid <= '0' ; mm2s_sts_wdata <= (others => '0'); mm2s_sts_wstrb <= (others => '0'); mm2s_sts_wlast <= '0' ; mm2s_arid <= (others => '0'); mm2s_araddr <= (others => '0'); mm2s_arlen <= (others => '0'); mm2s_arsize <= (others => '0'); mm2s_arburst <= (others => '0'); mm2s_arprot <= (others => '0'); mm2s_arcache <= (others => '0'); mm2s_aruser <= (others => '0'); mm2s_arvalid <= '0' ; mm2s_rready <= '0' ; mm2s_strm_wdata <= (others => '0'); mm2s_strm_wstrb <= (others => '0'); mm2s_strm_wlast <= '0' ; mm2s_strm_wvalid <= '0' ; mm2s_addr_req_posted <= '0' ; mm2s_rd_xfer_cmplt <= '0' ; -- Input ports are ignored end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_updt_cmdsts_if.vhd
4
14161
------------------------------------------------------------------------------- -- axi_sg_updt_cmdsts_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_cmdsts_if.vhd -- Description: This entity is the descriptor update command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd -- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_cmdsts_if is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 -- Master AXI Memory Map Address Width for Scatter Gather R/W Port ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Update command write interface from fetch sm -- updt_cmnd_wr : in std_logic ; -- updt_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_updt_cmd_tvalid : out std_logic ; -- s_axis_updt_cmd_tready : in std_logic ; -- s_axis_updt_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_updt_sts_tvalid : in std_logic ; -- m_axis_updt_sts_tready : out std_logic ; -- m_axis_updt_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_updt_sts_tkeep : in std_logic_vector(0 downto 0) ; -- -- -- Scatter Gather Fetch Status -- s2mm_err : in std_logic ; -- updt_done : out std_logic ; -- updt_error : out std_logic ; -- updt_interr : out std_logic ; -- updt_slverr : out std_logic ; -- updt_decerr : out std_logic -- ); end axi_sg_updt_cmdsts_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_cmdsts_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal updt_slverr_i : std_logic := '0'; signal updt_decerr_i : std_logic := '0'; signal updt_interr_i : std_logic := '0'; signal s2mm_error : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_slverr <= updt_slverr_i; updt_decerr <= updt_decerr_i; updt_interr <= updt_interr_i; ------------------------------------------------------------------------------- -- DataMover Command Interface ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- When command by fetch sm, drive descriptor update command to data mover. -- Hold until data mover indicates ready. ------------------------------------------------------------------------------- GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); elsif(updt_cmnd_wr = '1')then s_axis_updt_cmd_tvalid <= '1'; s_axis_updt_cmd_tdata <= updt_cmnd_data; elsif(s_axis_updt_cmd_tready = '1')then s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); end if; end if; end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- DataMover Status Interface ------------------------------------------------------------------------------- -- Drive ready low during reset to indicate not ready REG_STS_READY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis_updt_sts_tready <= '0'; else m_axis_updt_sts_tready <= '1'; end if; end if; end process REG_STS_READY; ------------------------------------------------------------------------------- -- Log status bits out of data mover. ------------------------------------------------------------------------------- DATAMOVER_STS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_slverr_i <= '0'; updt_decerr_i <= '0'; updt_interr_i <= '0'; -- Status valid, therefore capture status elsif(m_axis_updt_sts_tvalid = '1')then updt_slverr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_SLVERR_BIT); updt_decerr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_DECERR_BIT); updt_interr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_INTERR_BIT); -- Only assert when valid else updt_slverr_i <= '0'; updt_decerr_i <= '0'; updt_interr_i <= '0'; end if; end if; end process DATAMOVER_STS; ------------------------------------------------------------------------------- -- Transfer Done ------------------------------------------------------------------------------- XFER_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_done <= '0'; -- Status valid, therefore capture status elsif(m_axis_updt_sts_tvalid = '1')then updt_done <= m_axis_updt_sts_tdata(DATAMOVER_STS_CMDDONE_BIT) or m_axis_updt_sts_tdata(DATAMOVER_STS_SLVERR_BIT) or m_axis_updt_sts_tdata(DATAMOVER_STS_DECERR_BIT) or m_axis_updt_sts_tdata(DATAMOVER_STS_INTERR_BIT); -- Only assert when valid else updt_done <= '0'; end if; end if; end process XFER_DONE; ------------------------------------------------------------------------------- -- Register global error from data mover. ------------------------------------------------------------------------------- s2mm_error <= updt_slverr_i or updt_decerr_i or updt_interr_i; -- Log errors into a global error output UPDATE_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error <= '0'; elsif(s2mm_error = '1')then updt_error <= '1'; end if; end if; end process UPDATE_ERROR_PROCESS; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_piano/zybo_petalinux_piano.ip_user_files/bd/block_design/ipshared/analogdeviceinc.com/axi_i2s_adi_v1_0/hdl/i2s_controller.vhd
7
8371
-- *************************************************************************** -- *************************************************************************** -- Copyright 2013(c) Analog Devices, Inc. -- Author: Lars-Peter Clausen <[email protected]> -- -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- - Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- - Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in -- the documentation and/or other materials provided with the -- distribution. -- - Neither the name of Analog Devices, Inc. nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- - The use of this software may or may not infringe the patent rights -- of one or more patent holders. This license does not release you -- from the requirement that you obtain separate licenses from these -- patent holders to use this software. -- - Use of the software either in source or binary form, must be run -- on or directly connected to an Analog Devices Inc. component. -- -- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, -- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. -- -- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY -- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF -- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** library ieee; use ieee.std_logic_1164.all; library axi_i2s_adi_v1_00_a; use axi_i2s_adi_v1_00_a.fifo_synchronizer; use axi_i2s_adi_v1_00_a.i2s_clkgen; use axi_i2s_adi_v1_00_a.i2s_tx; use axi_i2s_adi_v1_00_a.i2s_rx; entity i2s_controller is generic( C_SLOT_WIDTH : integer := 24; -- Width of one Slot C_BCLK_POL : integer := 0; -- BCLK Polarity (0 - Falling edge, 1 - Rising edge) C_LRCLK_POL : integer := 0; -- LRCLK Polarity (0 - Falling edge, 1 - Rising edge) C_NUM_CH : integer := 1; C_HAS_TX : integer := 1; C_HAS_RX : integer := 1 ); port( clk : in std_logic; -- System clock resetn : in std_logic; -- System reset data_clk : in std_logic; -- Data clock should be less than clk / 4 BCLK_O : out std_logic_vector(C_NUM_CH - 1 downto 0); -- Bit Clock LRCLK_O : out std_logic_vector(C_NUM_CH - 1 downto 0); -- Frame Clock SDATA_O : out std_logic_vector(C_NUM_CH - 1 downto 0); -- Serial Data Output SDATA_I : in std_logic_vector(C_NUM_CH - 1 downto 0); -- Serial Data Input tx_enable : in Boolean; -- Enable TX tx_ack : out std_logic; -- Request new Slot Data tx_stb : in std_logic; -- Request new Slot Data tx_data : in std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data in rx_enable : in Boolean; -- Enable RX rx_ack : in std_logic; rx_stb : out std_logic; -- Valid Slot Data rx_data : out std_logic_vector(C_SLOT_WIDTH-1 downto 0); -- Slot Data out -- Runtime parameter bclk_div_rate : in natural range 0 to 255; lrclk_div_rate : in natural range 0 to 255 ); end i2s_controller; architecture Behavioral of i2s_controller is constant NUM_TX : integer := C_HAS_TX * C_NUM_CH; constant NUM_RX : integer := C_HAS_RX * C_NUM_CH; signal enable : Boolean; signal tick : std_logic; signal tick_d1 : std_logic; signal tick_d2 : std_logic; signal BCLK_O_int : std_logic; signal LRCLK_O_int : std_logic; signal tx_bclk : std_logic; signal tx_lrclk : std_logic; signal tx_sdata : std_logic_vector(C_NUM_CH - 1 downto 0); signal tx_tick : std_logic; signal tx_channel_sync : std_logic; signal tx_frame_sync : std_logic; signal bclk_tick : std_logic; signal rx_bclk : std_logic; signal rx_lrclk : std_logic; signal rx_sdata : std_logic_vector(NUM_RX - 1 downto 0); signal rx_channel_sync : std_logic; signal rx_frame_sync : std_logic; signal tx_sync_fifo_out : std_logic_vector(3 + NUM_TX downto 0); signal tx_sync_fifo_in : std_logic_vector(3 + NUM_TX downto 0); signal rx_sync_fifo_out : std_logic_vector(3 + NUM_RX downto 0); signal rx_sync_fifo_in : std_logic_vector(3 + NUM_RX downto 0); begin enable <= rx_enable or tx_enable; -- Generate tick signal in the DATA_CLK_I domain process (data_clk) begin if rising_edge(data_clk) then if resetn = '0' then tick <= '0'; else tick <= not tick; end if; end if; end process; process (clk) begin if rising_edge(clk) then if resetn = '0' then tick_d1 <= '0'; tick_d2 <= '0'; else tick_d1 <= tick; tick_d2 <= tick_d1; end if; end if; end process; tx_tick <= tick_d2 xor tick_d1; tx_sync_fifo_in(0) <= tx_channel_sync; tx_sync_fifo_in(1) <= tx_frame_sync; tx_sync_fifo_in(2) <= tx_bclk; tx_sync_fifo_in(3) <= tx_lrclk; tx_sync_fifo_in(3 + NUM_TX downto 4) <= tx_sdata; process (data_clk) begin if rising_edge(data_clk) then if resetn = '0' then BCLK_O <= (others => '1'); LRCLK_O <= (others => '1'); SDATA_O <= (others => '0'); else if C_BCLK_POL = 0 then BCLK_O <= (others => tx_sync_fifo_out(2)); else BCLK_O <= (others => not tx_sync_fifo_out(2)); end if; if C_LRCLK_POL = 0 then LRCLK_O <= (others => tx_sync_fifo_out(3)); else LRCLK_O <= (others => not tx_sync_fifo_out(3)); end if; if C_HAS_TX = 1 then SDATA_O <= tx_sync_fifo_out(3 + NUM_TX downto 4); end if; if C_HAS_RX = 1 then rx_sync_fifo_in(3 downto 0) <= tx_sync_fifo_out(3 downto 0); rx_sync_fifo_in(3 + NUM_RX downto 4) <= SDATA_I; end if; end if; end if; end process; tx_sync: entity fifo_synchronizer generic map ( DEPTH => 4, WIDTH => NUM_TX + 4 ) port map ( resetn => resetn, in_clk => clk, in_data => tx_sync_fifo_in, in_tick => tx_tick, out_clk => data_clk, out_data => tx_sync_fifo_out ); clkgen: entity i2s_clkgen port map( clk => clk, resetn => resetn, enable => enable, tick => tx_tick, bclk_div_rate => bclk_div_rate, lrclk_div_rate => lrclk_div_rate, channel_sync => tx_channel_sync, frame_sync => tx_frame_sync, bclk => tx_bclk, lrclk => tx_lrclk ); tx_gen: if C_HAS_TX = 1 generate tx: entity i2s_tx generic map ( C_SLOT_WIDTH => C_SLOT_WIDTH, C_NUM => NUM_TX ) port map ( clk => clk, resetn => resetn, enable => tx_enable, channel_sync => tx_channel_sync, frame_sync => tx_frame_sync, bclk => tx_bclk, sdata => tx_sdata, ack => tx_ack, stb => tx_stb, data => tx_data ); end generate; rx_gen: if C_HAS_RX = 1 generate rx: entity i2s_rx generic map ( C_SLOT_WIDTH => C_SLOT_WIDTH, C_NUM => NUM_RX ) port map ( clk => clk, resetn => resetn, enable => rx_enable, channel_sync => rx_channel_sync, frame_sync => rx_frame_sync, bclk => rx_bclk, sdata => rx_sdata, ack => rx_ack, stb => rx_stb, data => rx_data ); rx_channel_sync <= rx_sync_fifo_out(0); rx_frame_sync <= rx_sync_fifo_out(1); rx_bclk <= rx_sync_fifo_out(2); rx_lrclk <= rx_sync_fifo_out(3); rx_sdata <= rx_sync_fifo_out(3 + NUM_RX downto 4); rx_sync: entity fifo_synchronizer generic map ( DEPTH => 4, WIDTH => NUM_RX + 4 ) port map ( resetn => resetn, in_clk => data_clk, in_data => rx_sync_fifo_in, in_tick => '1', out_clk => clk, out_data => rx_sync_fifo_out ); end generate; end Behavioral;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_s2mm_axis_dwidth_converter.vhd
4
22216
------------------------------------------------------------------------------- -- axi_vdma_s2mm_axis_dwidth_converter ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_s2mm_axis_dwidth_converter.vhd -- Description: This entity is the descriptor fetch command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; entity axi_vdma_s2mm_axis_dwidth_converter is generic ( C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED : integer := 32; C_S_AXIS_S2MM_TDATA_WIDTH : integer := 32; C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8 : integer := 4; C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8 : integer := 4; C_S2MM_SOF_ENABLE : integer range 0 to 1 := 0; ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ; C_AXIS_TID_WIDTH : integer := 1; C_AXIS_TDEST_WIDTH : integer := 1; --C_AXIS_SIGNAL_SET : integer := 255; C_FAMILY : string := "virtex7" ); port ( ACLK :in std_logic; ARESETN :in std_logic; ACLKEN :in std_logic; s2mm_fsize_less_err_internal_tvalid_gating : in std_logic ; fsync_out : in std_logic ; crnt_vsize_d2 : in std_logic_vector(VSIZE_DWIDTH-1 downto 0) ; chnl_ready_dwidth : out std_logic; strm_not_finished_dwidth : out std_logic; strm_all_lines_rcvd_dwidth : out std_logic; all_vount_rcvd_dwidth : out std_logic; S_AXIS_TVALID :in std_logic; S_AXIS_TREADY :out std_logic; S_AXIS_TDATA :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); S_AXIS_TSTRB :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0); S_AXIS_TKEEP :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0); S_AXIS_TLAST :in std_logic; S_AXIS_TID :in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0); S_AXIS_TDEST :in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0); S_AXIS_TUSER :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 downto 0); M_AXIS_TVALID :out std_logic; M_AXIS_TREADY :in std_logic; M_AXIS_TDATA :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0); M_AXIS_TSTRB :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0); M_AXIS_TKEEP :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0); M_AXIS_TLAST :out std_logic; M_AXIS_TID :out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0); M_AXIS_TDEST :out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0); M_AXIS_TUSER :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ); end axi_vdma_s2mm_axis_dwidth_converter; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_s2mm_axis_dwidth_converter is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant ZERO_VALUE : std_logic_vector(255 downto 0) := (others => '0'); -- Constants for line tracking logic constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,VSIZE_DWIDTH)); constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Verilog module component declarations ------------------------------------------------------------------------------- component axi_vdma_v6_2_8_axis_dwidth_converter_v1_0_axis_dwidth_converter is generic ( C_S_AXIS_TDATA_WIDTH : integer := 32; C_M_AXIS_TDATA_WIDTH : integer := 32; C_AXIS_TID_WIDTH : integer := 1; C_AXIS_TDEST_WIDTH : integer := 1; C_S_AXIS_TUSER_WIDTH : integer := 4; C_M_AXIS_TUSER_WIDTH : integer := 4; --C_AXIS_SIGNAL_SET : integer := 255; C_FAMILY : string := "virtex7" ); port ( ACLK :in std_logic; ARESETN :in std_logic; ACLKEN :in std_logic; S_AXIS_TVALID :in std_logic; S_AXIS_TREADY :out std_logic; S_AXIS_TDATA :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); S_AXIS_TSTRB :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0); S_AXIS_TKEEP :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0); S_AXIS_TLAST :in std_logic; S_AXIS_TID :in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0); S_AXIS_TDEST :in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0); S_AXIS_TUSER :in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 downto 0); M_AXIS_TVALID :out std_logic; M_AXIS_TREADY :in std_logic; M_AXIS_TDATA :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0); M_AXIS_TSTRB :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0); M_AXIS_TKEEP :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0); M_AXIS_TLAST :out std_logic; M_AXIS_TID :out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0); M_AXIS_TDEST :out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0); M_AXIS_TUSER :out std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ); end component; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal decr_vcount_dwidth : std_logic := '0'; signal S_AXIS_TREADY_OUT : std_logic := '0'; signal vsize_counter_dwidth : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin GEN_DWIDTH_NO_FLUSH_SOF : if ENABLE_FLUSH_ON_FSYNC = 0 or C_S2MM_SOF_ENABLE = 0 generate begin chnl_ready_dwidth <= '0' ; strm_not_finished_dwidth <= '0' ; strm_all_lines_rcvd_dwidth <= '0' ; all_vount_rcvd_dwidth <= '0' ; S2MM_AXIS_DWIDTH_CONVERTER_I : axi_vdma_v6_2_8_axis_dwidth_converter_v1_0_axis_dwidth_converter generic map( C_S_AXIS_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_M_AXIS_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED , C_AXIS_TID_WIDTH => C_AXIS_TID_WIDTH , C_S_AXIS_TUSER_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8 , C_M_AXIS_TUSER_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8 , C_AXIS_TDEST_WIDTH => C_AXIS_TDEST_WIDTH , --C_AXIS_SIGNAL_SET => C_AXIS_SIGNAL_SET , C_FAMILY => C_FAMILY ) port map( ACLK => ACLK , ARESETN => ARESETN , ACLKEN => ACLKEN , S_AXIS_TVALID => S_AXIS_TVALID , S_AXIS_TREADY => S_AXIS_TREADY , S_AXIS_TDATA => S_AXIS_TDATA(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0) , S_AXIS_TSTRB => S_AXIS_TSTRB(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TKEEP => S_AXIS_TKEEP(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TLAST => S_AXIS_TLAST , S_AXIS_TID => S_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) , S_AXIS_TDEST => S_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) , S_AXIS_TUSER => S_AXIS_TUSER(C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 downto 0) , M_AXIS_TVALID => M_AXIS_TVALID , M_AXIS_TREADY => M_AXIS_TREADY , M_AXIS_TDATA => M_AXIS_TDATA(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0) , M_AXIS_TSTRB => M_AXIS_TSTRB(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0) , M_AXIS_TKEEP => M_AXIS_TKEEP(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0) , M_AXIS_TLAST => M_AXIS_TLAST , M_AXIS_TID => M_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) , M_AXIS_TDEST => M_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) , M_AXIS_TUSER => M_AXIS_TUSER(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ); end generate GEN_DWIDTH_NO_FLUSH_SOF; GEN_DWIDTH_FLUSH_SOF : if ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 1 generate begin S2MM_AXIS_DWIDTH_CONVERTER_I : axi_vdma_v6_2_8_axis_dwidth_converter_v1_0_axis_dwidth_converter generic map( C_S_AXIS_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_M_AXIS_TDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED , C_AXIS_TID_WIDTH => C_AXIS_TID_WIDTH , C_S_AXIS_TUSER_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8 , C_M_AXIS_TUSER_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8 , C_AXIS_TDEST_WIDTH => C_AXIS_TDEST_WIDTH , --C_AXIS_SIGNAL_SET => C_AXIS_SIGNAL_SET , C_FAMILY => C_FAMILY ) port map( ACLK => ACLK , ARESETN => ARESETN , ACLKEN => ACLKEN , S_AXIS_TVALID => S_AXIS_TVALID , S_AXIS_TREADY => S_AXIS_TREADY_OUT , S_AXIS_TDATA => S_AXIS_TDATA(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0) , S_AXIS_TSTRB => S_AXIS_TSTRB(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TKEEP => S_AXIS_TKEEP(C_S_AXIS_S2MM_TDATA_WIDTH/8-1 downto 0) , S_AXIS_TLAST => S_AXIS_TLAST , S_AXIS_TID => S_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) , S_AXIS_TDEST => S_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) , S_AXIS_TUSER => S_AXIS_TUSER(C_S_AXIS_S2MM_TDATA_WIDTH_div_by_8-1 downto 0) , M_AXIS_TVALID => M_AXIS_TVALID , M_AXIS_TREADY => M_AXIS_TREADY , M_AXIS_TDATA => M_AXIS_TDATA(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED-1 downto 0) , M_AXIS_TSTRB => M_AXIS_TSTRB(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0) , M_AXIS_TKEEP => M_AXIS_TKEEP(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED/8-1 downto 0) , M_AXIS_TLAST => M_AXIS_TLAST , M_AXIS_TID => M_AXIS_TID(C_AXIS_TID_WIDTH-1 downto 0) , M_AXIS_TDEST => M_AXIS_TDEST(C_AXIS_TDEST_WIDTH-1 downto 0) , M_AXIS_TUSER => M_AXIS_TUSER(C_S_AXIS_S2MM_TDATA_WIDTH_CALCULATED_div_by_8-1 downto 0) ); S_AXIS_TREADY <= S_AXIS_TREADY_OUT; -- Decrement vertical count with each accept tlast decr_vcount_dwidth <= '1' when S_AXIS_TLAST = '1' and S_AXIS_TVALID = '1' and S_AXIS_TREADY_OUT = '1' else '0'; -- Drive ready at fsync out then de-assert once all lines have -- been accepted. VERT_COUNTER_DWIDTH : process(ACLK) begin if(ACLK'EVENT and ACLK = '1')then if((ARESETN = '0' and fsync_out = '0') or s2mm_fsize_less_err_internal_tvalid_gating = '1')then vsize_counter_dwidth <= (others => '0'); chnl_ready_dwidth <= '0'; strm_not_finished_dwidth <= '0'; strm_all_lines_rcvd_dwidth <= '1'; all_vount_rcvd_dwidth <= '0'; elsif(fsync_out = '1')then vsize_counter_dwidth <= crnt_vsize_d2; chnl_ready_dwidth <= '1'; strm_not_finished_dwidth <= '1'; strm_all_lines_rcvd_dwidth <= '0'; all_vount_rcvd_dwidth <= '0'; elsif(decr_vcount_dwidth = '1' and vsize_counter_dwidth = VSIZE_ONE_VALUE)then vsize_counter_dwidth <= (others => '0'); chnl_ready_dwidth <= '0'; strm_not_finished_dwidth <= '0'; strm_all_lines_rcvd_dwidth <= '1'; all_vount_rcvd_dwidth <= '1'; elsif(decr_vcount_dwidth = '1' and vsize_counter_dwidth /= VSIZE_ZERO_VALUE)then vsize_counter_dwidth <= std_logic_vector(unsigned(vsize_counter_dwidth) - 1); chnl_ready_dwidth <= '1'; strm_not_finished_dwidth <= '1'; strm_all_lines_rcvd_dwidth <= '0'; all_vount_rcvd_dwidth <= '0'; else all_vount_rcvd_dwidth <= '0'; end if; end if; end process VERT_COUNTER_DWIDTH; end generate GEN_DWIDTH_FLUSH_SOF; end implementation;
gpl-3.0
rad-/65C816_SoftCore
65816_Interface_System.ipdefs/Vivado_Custom_IP_Repo/AXIinterfacefor65816_1.0/hdl/AXIinterfacefor65816_v1_0.vhd
2
4173
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AXIinterfacefor65816_v1_0 is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 7 ); port ( -- Users to add ports here clk : in std_logic; tru_clk: in std_logic; reset_65816_module : in std_logic; -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awprot : in std_logic_vector(2 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arprot : in std_logic_vector(2 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end AXIinterfacefor65816_v1_0; architecture arch_imp of AXIinterfacefor65816_v1_0 is -- component declaration component AXIinterfacefor65816_v1_0_S00_AXI is generic ( C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 7 ); port ( clk : in std_logic; tru_clk: in std_logic; reset_65816_module : in std_logic; S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component AXIinterfacefor65816_v1_0_S00_AXI; begin -- Instantiation of Axi Bus Interface S00_AXI AXIinterfacefor65816_v1_0_S00_AXI_inst : AXIinterfacefor65816_v1_0_S00_AXI generic map ( C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( clk => clk, tru_clk => tru_clk, reset_65816_module => reset_65816_module, S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWPROT => s00_axi_awprot, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARPROT => s00_axi_arprot, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready ); -- Add user logic here -- User logic ends end arch_imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_afifo_autord.vhd
5
17241
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_EN_SAFETY_CKT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF, C_USE_EMBEDDED_REG => 0 -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. -- ored_ack_ff_reset <= fifo_read_enable or -- AFIFO_Ainit_Rd_clk or -- AFIFO_Clr_Rd_Data_Valid; -- -- sig_rddata_valid <= hold_ff_q or -- sig_wrfifo_rdack; -- ored_ack_ff_reset <= '1' when (fifo_read_enable = '1' or AFIFO_Ainit_Rd_clk = '1' or AFIFO_Clr_Rd_Data_Valid = '1') Else '0'; sig_rddata_valid <= '1' when (hold_ff_q = '1' or sig_wrfifo_rdack = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0_2.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_vaddrreg_mux.vhd
4
10810
------------------------------------------------------------------------------- -- axi_vdma_vaddrreg_mux ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_vaddrreg_mux.vhd -- -- Description: This entity contains the mux for driving current video start -- address to DMA Controller. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_vaddrreg_mux is generic( C_NUM_FSTORES : integer range 1 to 32 := 1 ; -- Number of Frame Stores C_ADDR_WIDTH : integer range 32 to 32 := 32 -- Start Address Width ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- -- -- Current Frame Number -- frame_number : in std_logic_vector -- (FRAME_NUMBER_WIDTH-1 downto 0) ; -- -- -- Video Register Bank -- start_address_vid : in STARTADDR_ARRAY_TYPE -- (0 to C_NUM_FSTORES - 1) ; -- -- crnt_start_address : out std_logic_vector -- (C_ADDR_WIDTH - 1 downto 0) -- ); end axi_vdma_vaddrreg_mux; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_vaddrreg_mux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal crnt_start_address_i : std_logic_vector(C_ADDR_WIDTH - 1 downto 0) := (others => '0'); --signal crnt_start_address_d1 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0) := (others => '0'); --signal crnt_start_address_d2 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal frame_number_index : integer := 0; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin frame_number_index <= to_integer(unsigned(frame_number)); crnt_start_address_i <= start_address_vid(frame_number_index); -- Pipe line for fmax (dble to allow for adjustments later if need be) -----REG_ADDR_OUT : process(prmry_aclk) ----- begin ----- if(prmry_aclk'EVENT and prmry_aclk = '1')then ----- if(prmry_resetn = '0')then ----- crnt_start_address_d1 <= (others => '0'); ----- crnt_start_address_d2 <= (others => '0'); ----- else ----- crnt_start_address_d1 <= crnt_start_address_i; ----- crnt_start_address_d2 <= crnt_start_address_d1; ----- end if; ----- end if; ----- end process REG_ADDR_OUT; ----- ------crnt_start_address <= crnt_start_address_d2; crnt_start_address <= crnt_start_address_i; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_realign.vhd
5
59969
------------------------------------------------------------------------------- -- axi_datamover_s2mm_realign.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_realign.vhd -- -- Description: -- This file implements the S2MM Data Realignment module. THe S2MM direction is -- more complex than the MM2S direction since the DRE needs to be upstream from -- the Write Data Controller. This requires the S2MM DRE to be running 2 to -- 3 clocks ahead of the Write Data controller to minimize/eliminate xfer -- bubble insertion. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.axi_datamover_fifo; use axi_datamover_v5_1_11.axi_datamover_s2mm_dre; use axi_datamover_v5_1_11.axi_datamover_s2mm_scatter; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_realign is generic ( C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if the IBTT Indeterminate BTT Module is enabled -- for use (outside of this module) C_INCLUDE_DRE : Integer range 0 to 1 := 1; -- Includes/Omits the S2MM DRE -- 0 = Omit -- 1 = Include C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1; -- Specifies the depth of the internal command queue fifo C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE alignment control ports C_SUPPORT_SCATTER : Integer range 0 to 1 := 1; -- Includes/Omits the Scatter functionality -- 0 = omit -- 1 = include C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_BTT_USED : Integer range 8 to 23 := 16; -- Indicates the width of the input command BTT that is actually -- used C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Input and Output Stream Data ports C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the input command Tag port C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 ; -- Sets the width of the Store and Forward Start offset ports C_FAMILY : String := "virtex7" -- specifies the target FPGA familiy ); port ( -- Clock and Reset Inputs ------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- --------------------------------------------------------------------- -- Write Data Controller or IBTT Indeterminate BTT I/O ------------------------- -- wdc2dre_wready : In std_logic; -- -- Write READY input from WDC or SF -- -- dre2wdc_wvalid : Out std_logic; -- -- Write VALID output to WDC or SF -- -- dre2wdc_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to WDC or SF -- -- dre2wdc_wlast : Out std_logic; -- -- Write LAST output to WDC or SF -- -- dre2wdc_eop : Out std_logic; -- -- End of Packet indicator for the Stream input to WDC or SF -- -------------------------------------------------------------------------------- -- Starting offset output for the Store and Forward Modules ------------------- -- dre2sf_strt_offset : Out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- -------------------------------------------------------------------------------- -- AXI Slave Stream In ---------------------------------------------------------- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY input -- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data output -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB output -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST output -- -------------------------------------------------------------------------------- -- Command Calculator Interface --------------------------------------------------- -- dre2mstr_cmd_ready : Out std_logic ; -- -- Indication from the DRE that the command is being -- -- accepted from the Command Calculator -- -- mstr2dre_cmd_valid : In std_logic; -- -- The next command valid indication to the DRE -- -- from the Command Calculator -- -- mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- -- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); -- -- The bytes to transfer value for the input command -- -- mstr2dre_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2dre_cmd_cmplt : In std_logic; -- -- The last tranfer command of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);-- -- Outputs the starting offset of a transfer. This is used with Store -- -- and Forward Packer/Unpacker logic -- ----------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ----------------------------- -- dre2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the DRE detected -- -- a Early/Late TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------- -- DRE Halted Status ------------------------------------------------ -- dre2all_halted : Out std_logic -- -- When asserted, this indicates the DRE has satisfied -- -- all pending transfers queued by the command calculator -- -- and is halted. -- --------------------------------------------------------------------- ); end entity axi_datamover_s2mm_realign; architecture implementation of axi_datamover_s2mm_realign is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations -------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_size_realign_fifo -- -- Function Description: -- Assures that the Realigner cmd fifo depth is at least 4 deep else it -- is equal to the pipe depth. -- ------------------------------------------------------------------- function funct_size_realign_fifo (pipe_depth : integer) return integer is Variable temp_fifo_depth : Integer := 4; begin If (pipe_depth < 4) Then temp_fifo_depth := 4; Else temp_fifo_depth := pipe_depth; End if; Return (temp_fifo_depth); end function funct_size_realign_fifo; -- Constant Declarations -------------------------------------------- Constant BYTE_WIDTH : integer := 8; -- bits Constant STRM_NUM_BYTE_LANES : integer := C_STREAM_DWIDTH/BYTE_WIDTH; Constant STRM_STRB_WIDTH : integer := STRM_NUM_BYTE_LANES; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH; Constant BTT_WIDTH : integer := C_BTT_USED; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH; Constant BTT_OF_ZERO : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); Constant DRECTL_FIFO_DEPTH : integer := funct_size_realign_fifo(C_DRE_CNTL_FIFO_DEPTH); Constant DRECTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SRC_ALIGN_WIDTH + -- Source align field width DEST_ALIGN_WIDTH + -- Dest align field width BTT_WIDTH + -- BTT field width DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CALC_ERR_WIDTH + -- Calc error flag SF_OFFSET_WIDTH; -- Store and Forward Offset Constant TAG_STRT_INDEX : integer := 0; Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH; Constant BTT_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH; Constant DRR_STRT_INDEX : integer := BTT_STRT_INDEX + BTT_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH; Constant INCLUDE_DRE : boolean := (C_INCLUDE_DRE = 1 and C_STREAM_DWIDTH <= 64 and C_STREAM_DWIDTH >= 16); Constant OMIT_DRE : boolean := not(INCLUDE_DRE); -- Type Declarations -------------------------------------------- type TYPE_CMD_CNTL_SM is ( INIT, LD_DRE_SCATTER_FIRST, CHK_POP_FIRST , LD_DRE_SCATTER_SECOND, CHK_POP_SECOND, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_cmdcntl_sm_state : TYPE_CMD_CNTL_SM := INIT; Signal sig_cmdcntl_sm_state_ns : TYPE_CMD_CNTL_SM := INIT; signal sig_sm_ld_dre_cmd_ns : std_logic := '0'; signal sig_sm_ld_dre_cmd : std_logic := '0'; signal sig_sm_ld_scatter_cmd_ns : std_logic := '0'; signal sig_sm_ld_scatter_cmd : std_logic := '0'; signal sig_sm_pop_cmd_fifo_ns : std_logic := '0'; signal sig_sm_pop_cmd_fifo : std_logic := '0'; signal sig_cmd_fifo_data_in : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_data_out : std_logic_vector(DRECTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_btt_reg : std_logic_vector(BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_curr_drr_reg : std_logic := '0'; signal sig_curr_eof_reg : std_logic := '0'; signal sig_curr_cmd_cmplt_reg : std_logic := '0'; signal sig_curr_calc_error_reg : std_logic := '0'; signal sig_dre_align_ready : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_dre2wdc_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2wdc_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_dre2wdc_tlast : std_logic := '0'; signal sig_dre2wdc_tvalid : std_logic := '0'; signal sig_wdc2dre_tready : std_logic := '0'; signal sig_tlast_err0r : std_logic := '0'; signal sig_dre_halted : std_logic := '0'; signal sig_strm2scatter_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_strm2scatter_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_strm2scatter_tlast : std_logic := '0'; signal sig_strm2scatter_tvalid : std_logic := '0'; signal sig_scatter2strm_tready : std_logic := '0'; signal sig_scatter2dre_tstrb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_scatter2dre_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_scatter2dre_tlast : std_logic := '0'; signal sig_scatter2dre_tvalid : std_logic := '0'; signal sig_dre2scatter_tready : std_logic := '0'; signal sig_scatter2dre_flush : std_logic := '0'; signal sig_scatter2drc_eop : std_logic := '0'; signal sig_scatter2dre_src_align : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_scatter2drc_cmd_ready : std_logic := '0'; signal sig_drc2scatter_push_cmd : std_logic; signal sig_drc2scatter_btt : std_logic_vector(BTT_WIDTH-1 downto 0); signal sig_drc2scatter_eof : std_logic; signal sig_scatter2all_tlast_error : std_logic := '0'; signal sig_need_cmd_flush : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_strt_offset : std_logic := '0'; signal sig_output_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2sf_strt_offset : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Port connections -- Input Stream Attachment s2mm_strm_wready <= sig_scatter2strm_tready ; sig_strm2scatter_tvalid <= s2mm_strm_wvalid ; sig_strm2scatter_tdata <= s2mm_strm_wdata ; sig_strm2scatter_tstrb <= s2mm_strm_wstrb ; sig_strm2scatter_tlast <= s2mm_strm_wlast ; -- Write Data Controller Stream Attachment sig_wdc2dre_tready <= wdc2dre_wready ; dre2wdc_wvalid <= sig_dre2wdc_tvalid ; dre2wdc_wdata <= sig_dre2wdc_tdata ; dre2wdc_wstrb <= sig_dre2wdc_tstrb ; dre2wdc_wlast <= sig_dre2wdc_tlast ; -- Status/Error flags dre2all_tlast_error <= sig_tlast_err0r ; dre2all_halted <= sig_dre_halted ; -- Store and Forward Starting Offset Output dre2sf_strt_offset <= sig_dre2sf_strt_offset ; ------------------------------------------------------------- -- Internal logic sig_dre_halted <= sig_dre_align_ready; ------------------------------------------------------------- -- DRE Handshake signals sig_dre_src_align <= sig_curr_src_align_reg ; sig_dre_dest_align <= sig_curr_dest_align_reg; sig_dre_use_autodest <= '0'; -- not used sig_dre_flush <= '0'; -- not used ------------------------------------------------------------------------- -------- Realigner Command FIFO and controls ------------------------------------------------------------------------- -- Command Calculator Handshake sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ; dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2dre_strt_offset & mstr2dre_calc_error & mstr2dre_cmd_cmplt & mstr2dre_eof & mstr2dre_drr & mstr2dre_btt & mstr2dre_dre_dest_align & mstr2dre_dre_src_align & mstr2dre_tag ; -- Rip the output fifo data word sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto SRC_ALIGN_STRT_INDEX); sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto DEST_ALIGN_STRT_INDEX); sig_curr_btt_reg <= sig_cmd_fifo_data_out((BTT_STRT_INDEX+BTT_WIDTH)-1 downto BTT_STRT_INDEX); sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_curr_cmd_cmplt_reg <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto SF_OFFSET_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DRE_CNTL_FIFO -- -- Description: -- Instance for the DRE Control FIFO -- ------------------------------------------------------------ I_DRE_CNTL_FIFO : entity axi_datamover_v5_1_11.axi_datamover_fifo generic map ( C_DWIDTH => DRECTL_FIFO_WIDTH , C_DEPTH => DRECTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_sm_pop_cmd_fifo , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => open ); ------------------------------------------------------------------------- -------- DRE and Scatter Command Loader State Machine ------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: CMDCNTL_SM_COMBINATIONAL -- -- Process Description: -- Command Controller State Machine combinational implementation -- The design is based on the premise that for every parent -- command loaded into the S2MM, the Realigner can be loaded with -- 1 or 2 commands spawned from it. The first command is used to -- align ensuing transfers (in MMap space) to a max burst address -- boundary. Then, if the parent command's BTT value is not satisfied -- after the first command completes, a second command is generated -- and loaded in the Realigner for the remaining BTT value. The -- command complete bit in the Realigner command indicates if the -- first command the final command or the second command (if needed) -- is the final command, ------------------------------------------------------------- CMDCNTL_SM_COMBINATIONAL : process (sig_cmdcntl_sm_state , sig_fifo_rd_cmd_valid , sig_dre_align_ready , sig_scatter2drc_cmd_ready , sig_need_cmd_flush , sig_curr_cmd_cmplt_reg , sig_curr_calc_error_reg ) begin -- SM Defaults sig_cmdcntl_sm_state_ns <= INIT; sig_sm_ld_dre_cmd_ns <= '0'; sig_sm_ld_scatter_cmd_ns <= '0'; sig_sm_pop_cmd_fifo_ns <= '0'; case sig_cmdcntl_sm_state is -------------------------------------------- when INIT => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; -------------------------------------------- when LD_DRE_SCATTER_FIRST => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; End if; -------------------------------------------- when CHK_POP_FIRST => If (sig_curr_cmd_cmplt_reg = '1') Then sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST; Else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when LD_DRE_SCATTER_SECOND => If (sig_fifo_rd_cmd_valid = '1' and sig_curr_calc_error_reg = '1') Then sig_cmdcntl_sm_state_ns <= ERROR_TRAP; elsif (sig_fifo_rd_cmd_valid = '1' and sig_need_cmd_flush = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_SECOND ; sig_sm_pop_cmd_fifo_ns <= '1'; elsif (sig_fifo_rd_cmd_valid = '1' and sig_dre_align_ready = '1' and sig_scatter2drc_cmd_ready = '1') Then sig_cmdcntl_sm_state_ns <= CHK_POP_FIRST ; sig_sm_ld_dre_cmd_ns <= '1'; sig_sm_ld_scatter_cmd_ns <= '1'; sig_sm_pop_cmd_fifo_ns <= '1'; else sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_SECOND; End if; -------------------------------------------- when CHK_POP_SECOND => sig_cmdcntl_sm_state_ns <= LD_DRE_SCATTER_FIRST ; -------------------------------------------- when ERROR_TRAP => sig_cmdcntl_sm_state_ns <= ERROR_TRAP ; -------------------------------------------- when others => sig_cmdcntl_sm_state_ns <= INIT; end case; end process CMDCNTL_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMDCNTL_SM_REGISTERED -- -- Process Description: -- Command Controller State Machine registered implementation -- ------------------------------------------------------------- CMDCNTL_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_cmdcntl_sm_state <= INIT; sig_sm_ld_dre_cmd <= '0' ; sig_sm_ld_scatter_cmd <= '0' ; sig_sm_pop_cmd_fifo <= '0' ; else sig_cmdcntl_sm_state <= sig_cmdcntl_sm_state_ns ; sig_sm_ld_dre_cmd <= sig_sm_ld_dre_cmd_ns ; sig_sm_ld_scatter_cmd <= sig_sm_ld_scatter_cmd_ns ; sig_sm_pop_cmd_fifo <= sig_sm_pop_cmd_fifo_ns ; end if; end if; end process CMDCNTL_SM_REGISTERED; ------------------------------------------------------------------------- -------- DRE Instance and controls ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_DRE -- -- If Generate Description: -- Includes the instance for the DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_DRE : if (INCLUDE_DRE) generate signal lsig_eop_reg : std_logic := '0'; signal lsig_dre_load_beat : std_logic := '0'; signal lsig_dre_tlast_output_beat : std_logic := '0'; signal lsig_set_eop : std_logic := '0'; signal lsig_tlast_err_reg1 : std_logic := '0'; signal lsig_tlast_err_reg2 : std_logic := '0'; signal lsig_push_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pushreg_full : std_logic := '0'; signal lsig_pushreg_empty : std_logic := '0'; signal lsig_pull_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal lsig_pullreg_full : std_logic := '0'; signal lsig_pullreg_empty : std_logic := '0'; signal lsig_pull_new_offset : std_logic := '0'; signal lsig_push_new_offset : std_logic := '0'; begin ------------------------------------------------------------ -- Instance: I_S2MM_DRE_BLOCK -- -- Description: -- Instance for the S2MM Data Realignment Engine (DRE) -- ------------------------------------------------------------ I_S2MM_DRE_BLOCK : entity axi_datamover_v5_1_11.axi_datamover_s2mm_dre generic map ( C_DWIDTH => C_STREAM_DWIDTH , C_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH ) port map ( -- Clock and Reset dre_clk => primary_aclk , dre_rst => mmap_reset , -- Alignment Control (Independent from Stream Input timing) dre_align_ready => sig_dre_align_ready , dre_align_valid => sig_sm_ld_dre_cmd , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_scatter2dre_src_align , dre_dest_align => sig_dre_dest_align , -- Flush Control (Aligned to input Stream timing) dre_flush => sig_scatter2dre_flush , -- Stream Inputs dre_in_tstrb => sig_scatter2dre_tstrb , dre_in_tdata => sig_scatter2dre_tdata , dre_in_tlast => sig_scatter2dre_tlast , dre_in_tvalid => sig_scatter2dre_tvalid , dre_in_tready => sig_dre2scatter_tready , -- Stream Outputs dre_out_tstrb => sig_dre2wdc_tstrb , dre_out_tdata => sig_dre2wdc_tdata , dre_out_tlast => sig_dre2wdc_tlast , dre_out_tvalid => sig_dre2wdc_tvalid , dre_out_tready => sig_wdc2dre_tready ); lsig_dre_load_beat <= sig_scatter2dre_tvalid and sig_dre2scatter_tready; lsig_set_eop <= sig_scatter2drc_eop and lsig_dre_load_beat ; lsig_dre_tlast_output_beat <= sig_dre2wdc_tvalid and sig_wdc2dre_tready and sig_dre2wdc_tlast; dre2wdc_eop <= lsig_dre_tlast_output_beat and lsig_eop_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_EOP_REG -- -- Process Description: -- Implements a flop for holding the EOP from the Scatter -- Engine until the corresponding packet clears out of the DRE. -- THis is used to transfer the EOP marker to the DRE output -- stream without the need for the DRE to pass it through. -- ------------------------------------------------------------- IMP_EOP_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (lsig_dre_tlast_output_beat = '1' and lsig_set_eop = '0')) then lsig_eop_reg <= '0'; elsif (lsig_set_eop = '1') then lsig_eop_reg <= '1'; else null; -- Hold current state end if; end if; end process IMP_EOP_REG; -- Delay TLAST Error by 2 clocks to compensate for DRE minimum -- delay of 2 clocks for the stream data. sig_tlast_err0r <= lsig_tlast_err_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_DELAY -- -- Process Description: -- Implements a 2 clock delay to better align the TLAST -- error detection with the Stream output data to the WDC -- which has a minimum 2 clock delay through the DRE. -- ------------------------------------------------------------- IMP_TLAST_ERR_DELAY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_tlast_err_reg1 <= '0'; lsig_tlast_err_reg2 <= '0'; else lsig_tlast_err_reg1 <= sig_scatter2all_tlast_error; lsig_tlast_err_reg2 <= lsig_tlast_err_reg1; end if; end if; end process IMP_TLAST_ERR_DELAY; ------------------------------------------------------------------------- -- Store and Forward Start Address Offset Registers Logic -- Push-pull register is used to to time align the starting address -- offset (ripped from the Realigner command via parsing) to DRE -- TLAST output timing. The offset output of the pull register must -- be valid on the first output databeat of the DRE to the Store and -- Forward module. ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= lsig_pull_strt_offset_reg; -- lsig_push_new_offset <= sig_dre_align_ready and -- sig_gated_dre_align_valid ; lsig_push_new_offset <= sig_sm_ld_dre_cmd ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH_STRT_OFFSET_REG -- -- Process Description: -- Implements the input register for holding the starting address -- offset sent to the external Store and Forward functions. -- ------------------------------------------------------------- IMP_PUSH_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; elsif (lsig_push_new_offset = '1') then lsig_push_strt_offset_reg <= sig_curr_strt_offset_reg; lsig_pushreg_full <= '1'; lsig_pushreg_empty <= '0'; elsif (lsig_pull_new_offset = '1') then lsig_push_strt_offset_reg <= (others => '0'); lsig_pushreg_full <= '0'; lsig_pushreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PUSH_STRT_OFFSET_REG; -- Pull the next offset (if one exists) into the pull register -- when the DRE outputs a TLAST. If the pull register is empty -- and the push register has an offset, then push the new value -- into the pull register. lsig_pull_new_offset <= (sig_dre2wdc_tlast and sig_dre2wdc_tvalid and sig_wdc2dre_tready) or (lsig_pushreg_full and lsig_pullreg_empty); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PULL_STRT_OFFSET_REG -- -- Process Description: -- Implements the output register for holding the starting -- address offset sent to the Store and Forward modul's upsizer -- logic. -- ------------------------------------------------------------- IMP_PULL_STRT_OFFSET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '1') then lsig_pull_strt_offset_reg <= lsig_push_strt_offset_reg; lsig_pullreg_full <= '1'; lsig_pullreg_empty <= '0'; elsif (lsig_pull_new_offset = '1' and lsig_pushreg_full = '0') then lsig_pull_strt_offset_reg <= (others => '0'); lsig_pullreg_full <= '0'; lsig_pullreg_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PULL_STRT_OFFSET_REG; end generate GEN_INCLUDE_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_DRE -- -- If Generate Description: -- Omits the DRE from the Re-aligner. -- -- ------------------------------------------------------------ GEN_OMIT_DRE : if (OMIT_DRE) generate begin -- DRE always ready sig_dre_align_ready <= '1'; -- -- Let the Scatter engine control the Realigner command -- -- flow. -- sig_dre_align_ready <= sig_scatter2drc_cmd_ready; -- Pass through signal connections sig_dre2wdc_tstrb <= sig_scatter2dre_tstrb ; sig_dre2wdc_tdata <= sig_scatter2dre_tdata ; sig_dre2wdc_tlast <= sig_scatter2dre_tlast ; sig_dre2wdc_tvalid <= sig_scatter2dre_tvalid ; sig_dre2scatter_tready <= sig_wdc2dre_tready ; dre2wdc_eop <= sig_scatter2drc_eop ; -- Just pass TLAST Error through when no DRE is present sig_tlast_err0r <= sig_scatter2all_tlast_error; ------------------------------------------------------------------------- -------- Store and Forward Start Address Offset Register Logic ------------------------------------------------------------------------- sig_dre2sf_strt_offset <= sig_output_strt_offset_reg; sig_ld_strt_offset <= sig_sm_ld_dre_cmd; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STRT_OFFSET_OUTPUT -- -- Process Description: -- Implements the register for holding the starting address -- offset sent to the S2MM Store and Forward module's upsizer -- logic. -- ------------------------------------------------------------- IMP_STRT_OFFSET_OUTPUT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_output_strt_offset_reg <= (others => '0'); elsif (sig_ld_strt_offset = '1') then sig_output_strt_offset_reg <= sig_curr_strt_offset_reg; else null; -- Hold Current State end if; end if; end process IMP_STRT_OFFSET_OUTPUT; end generate GEN_OMIT_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SCATTER -- -- If Generate Description: -- This IfGen implements the Scatter function which is a pre- -- processor for the S2MM DRE. The scatter function breaks up -- a continous input stream of data into constituant parts -- as described by a set of loaded commands that together -- describe an entire input packet. -- ------------------------------------------------------------ GEN_INCLUDE_SCATTER : if (C_SUPPORT_SCATTER = 1) generate begin -- Load the Scatter Engine command when the DRE command -- is loaded -- sig_drc2scatter_push_cmd <= sig_dre_align_ready and -- sig_gated_dre_align_valid; sig_drc2scatter_push_cmd <= sig_sm_ld_scatter_cmd ; -- Assign the new Bytes to Transfer (BTT) qualifier for the -- Scatter Engine sig_drc2scatter_btt <= sig_curr_btt_reg; -- Assign the new End of Frame (EOF) qualifier for the -- Scatter Engine sig_drc2scatter_eof <= sig_curr_eof_reg; ------------------------------------------------------------ -- Instance: I_S2MM_SCATTER -- -- Description: -- Instance for the Scatter Engine. This block breaks up a -- input stream per commands loaded. -- ------------------------------------------------------------ I_S2MM_SCATTER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_scatter generic map ( C_ENABLE_INDET_BTT => C_ENABLE_INDET_BTT , C_DRE_ALIGN_WIDTH => C_DRE_ALIGN_WIDTH , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_BTT_USED => BTT_WIDTH , C_STREAM_DWIDTH => C_STREAM_DWIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input & Reset input primary_aclk => primary_aclk , mmap_reset => mmap_reset , -- DRE Realign Controller I/O ---------------------------- scatter2drc_cmd_ready => sig_scatter2drc_cmd_ready , drc2scatter_push_cmd => sig_drc2scatter_push_cmd , drc2scatter_btt => sig_drc2scatter_btt , drc2scatter_eof => sig_drc2scatter_eof , -- DRE Source Alignment ----------------------------------- scatter2drc_src_align => sig_scatter2dre_src_align , -- AXI Slave Stream In ----------------------------------- s2mm_strm_tready => sig_scatter2strm_tready , s2mm_strm_tvalid => sig_strm2scatter_tvalid , s2mm_strm_tdata => sig_strm2scatter_tdata , s2mm_strm_tstrb => sig_strm2scatter_tstrb , s2mm_strm_tlast => sig_strm2scatter_tlast , -- Stream Out to S2MM DRE --------------------------------- drc2scatter_tready => sig_dre2scatter_tready , scatter2drc_tvalid => sig_scatter2dre_tvalid , scatter2drc_tdata => sig_scatter2dre_tdata , scatter2drc_tstrb => sig_scatter2dre_tstrb , scatter2drc_tlast => sig_scatter2dre_tlast , scatter2drc_flush => sig_scatter2dre_flush , scatter2drc_eop => sig_scatter2drc_eop , -- Premature TLAST assertion error flag scatter2drc_tlast_error => sig_scatter2all_tlast_error ); end generate GEN_INCLUDE_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SCATTER -- -- If Generate Description: -- This IfGen omits the Scatter pre-processor. -- -- ------------------------------------------------------------ GEN_OMIT_SCATTER : if (C_SUPPORT_SCATTER = 0) generate begin -- Just housekeep the signaling sig_scatter2drc_cmd_ready <= '1' ; sig_scatter2drc_eop <= sig_strm2scatter_tlast ; sig_scatter2dre_src_align <= sig_dre_src_align ; sig_scatter2all_tlast_error <= '0' ; sig_scatter2dre_flush <= sig_dre_flush ; sig_scatter2dre_tstrb <= sig_strm2scatter_tstrb ; sig_scatter2dre_tdata <= sig_strm2scatter_tdata ; sig_scatter2dre_tlast <= sig_strm2scatter_tlast ; sig_scatter2dre_tvalid <= sig_strm2scatter_tvalid ; sig_scatter2strm_tready <= sig_dre2scatter_tready ; end generate GEN_OMIT_SCATTER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omit and special logic for Indeterminate BTT support. -- -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_need_cmd_flush <= '0' ; -- not needed without Indeterminate BTT end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ENABLE_INDET_BTT -- -- If Generate Description: -- Include logic for the case when Indeterminate BTT is -- included as part of the S2MM. In this mode, the actual -- length of input stream packets is not known when the S2MM -- is loaded with a transfer command. -- ------------------------------------------------------------ GEN_ENABLE_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate signal lsig_clr_cmd_flush : std_logic := '0'; signal lsig_set_cmd_flush : std_logic := '0'; signal lsig_cmd_set_fetch_pause : std_logic := '0'; signal lsig_cmd_clr_fetch_pause : std_logic := '0'; signal lsig_cmd_fetch_pause : std_logic := '0'; begin lsig_cmd_set_fetch_pause <= sig_drc2scatter_push_cmd and not(sig_curr_cmd_cmplt_reg) and not(sig_need_cmd_flush); lsig_cmd_clr_fetch_pause <= sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2dre_tlast; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FETCH_PAUSE -- -- Process Description: -- Implements the flop for the flag that causes the command -- queue manager to pause fetching the next command if the -- current command does not have the command complete bit set. -- The pause remains set until the associated TLAST for the -- command is output from the Scatter Engine. If the Tlast is -- also accompanied by a EOP and the pause is set, then the -- ensuing command (which will have the cmd cmplt bit set) must -- be flushed from the queue and not loaded into the Scatter -- Engine or DRE, This is normally associated with indeterminate -- packets that are actually shorter than the intial align to -- max burst child command sent to the Realigner, The next loaded -- child command is to finish the remainder of the indeterminate -- packet up to the full BTT value in the original parent command. -- This child command becomes stranded in the Realigner command fifo -- and has to be flushed. -- ------------------------------------------------------------- IMP_CMD_FETCH_PAUSE : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_cmd_clr_fetch_pause = '1') then lsig_cmd_fetch_pause <= '0'; elsif (lsig_cmd_set_fetch_pause = '1') then lsig_cmd_fetch_pause <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FETCH_PAUSE; -- Clear the flush needed flag when the command with the command -- complete marker is popped off of the command queue. lsig_clr_cmd_flush <= sig_need_cmd_flush and sig_sm_pop_cmd_fifo; -- The command queue has to be flushed if the stream EOP marker -- is transfered out of the Scatter Engine when the corresponding -- command being executed does not have the command complete -- marker set. lsig_set_cmd_flush <= lsig_cmd_fetch_pause and sig_scatter2dre_tvalid and sig_dre2scatter_tready and sig_scatter2drc_eop; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_FLUSH_FLOP -- -- Process Description: -- Implements the flop for holding the command flush flag. -- This is only needed in Indeterminate BTT mode. -- ------------------------------------------------------------- IMP_CMD_FLUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or lsig_clr_cmd_flush = '1') then sig_need_cmd_flush <= '0'; elsif (lsig_set_cmd_flush = '1') then sig_need_cmd_flush <= '1'; else null; -- Hold current state end if; end if; end process IMP_CMD_FLUSH_FLOP; end generate GEN_ENABLE_INDET_BTT; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ip/block_design_axi_vdma_0_0/sim/block_design_axi_vdma_0_0.vhd
4
21746
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_vdma:6.2 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_vdma_v6_2_8; USE axi_vdma_v6_2_8.axi_vdma; ENTITY block_design_axi_vdma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_fsync : IN STD_LOGIC; mm2s_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; mm2s_introut : OUT STD_LOGIC ); END block_design_axi_vdma_0_0; ARCHITECTURE block_design_axi_vdma_0_0_arch OF block_design_axi_vdma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_axi_vdma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_vdma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_VIDPRMTR_READS : INTEGER; C_DYNAMIC_RESOLUTION : INTEGER; C_NUM_FSTORES : INTEGER; C_USE_FSYNC : INTEGER; C_USE_MM2S_FSYNC : INTEGER; C_USE_S2MM_FSYNC : INTEGER; C_FLUSH_ON_FSYNC : INTEGER; C_INCLUDE_INTERNAL_GENLOCK : INTEGER; C_INCLUDE_SG : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_INCLUDE_MM2S : INTEGER; C_MM2S_GENLOCK_MODE : INTEGER; C_MM2S_GENLOCK_NUM_MASTERS : INTEGER; C_MM2S_GENLOCK_REPEAT_EN : INTEGER; C_MM2S_SOF_ENABLE : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_LINEBUFFER_DEPTH : INTEGER; C_MM2S_LINEBUFFER_THRESH : INTEGER; C_MM2S_MAX_BURST_LENGTH : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TUSER_BITS : INTEGER; C_INCLUDE_S2MM : INTEGER; C_S2MM_GENLOCK_MODE : INTEGER; C_S2MM_GENLOCK_NUM_MASTERS : INTEGER; C_S2MM_GENLOCK_REPEAT_EN : INTEGER; C_S2MM_SOF_ENABLE : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_LINEBUFFER_DEPTH : INTEGER; C_S2MM_LINEBUFFER_THRESH : INTEGER; C_S2MM_MAX_BURST_LENGTH : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TUSER_BITS : INTEGER; C_ENABLE_DEBUG_ALL : INTEGER; C_ENABLE_DEBUG_INFO_0 : INTEGER; C_ENABLE_DEBUG_INFO_1 : INTEGER; C_ENABLE_DEBUG_INFO_2 : INTEGER; C_ENABLE_DEBUG_INFO_3 : INTEGER; C_ENABLE_DEBUG_INFO_4 : INTEGER; C_ENABLE_DEBUG_INFO_5 : INTEGER; C_ENABLE_DEBUG_INFO_6 : INTEGER; C_ENABLE_DEBUG_INFO_7 : INTEGER; C_ENABLE_DEBUG_INFO_8 : INTEGER; C_ENABLE_DEBUG_INFO_9 : INTEGER; C_ENABLE_DEBUG_INFO_10 : INTEGER; C_ENABLE_DEBUG_INFO_11 : INTEGER; C_ENABLE_DEBUG_INFO_12 : INTEGER; C_ENABLE_DEBUG_INFO_13 : INTEGER; C_ENABLE_DEBUG_INFO_14 : INTEGER; C_ENABLE_DEBUG_INFO_15 : INTEGER; C_INSTANCE : STRING; C_SELECT_XPM : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; s_axis_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_fsync : IN STD_LOGIC; mm2s_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_fsync : IN STD_LOGIC; s2mm_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_buffer_empty : OUT STD_LOGIC; mm2s_buffer_almost_empty : OUT STD_LOGIC; s2mm_buffer_full : OUT STD_LOGIC; s2mm_buffer_almost_full : OUT STD_LOGIC; mm2s_fsync_out : OUT STD_LOGIC; s2mm_fsync_out : OUT STD_LOGIC; mm2s_prmtr_update : OUT STD_LOGIC; s2mm_prmtr_update : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_vdma_tstvec : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT axi_vdma; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXIS_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_fsync: SIGNAL IS "xilinx.com:signal:video_frame_sync:1.0 MM2S_FSYNC FRAME_SYNC"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_frame_ptr_in: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 MM2S_FRAME_PTR_IN_0 FRAME_PTR"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_frame_ptr_out: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 MM2S_FRAME_PTR_OUT FRAME_PTR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; BEGIN U0 : axi_vdma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 9, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 1, C_ENABLE_VIDPRMTR_READS => 1, C_DYNAMIC_RESOLUTION => 1, C_NUM_FSTORES => 3, C_USE_FSYNC => 1, C_USE_MM2S_FSYNC => 1, C_USE_S2MM_FSYNC => 2, C_FLUSH_ON_FSYNC => 1, C_INCLUDE_INTERNAL_GENLOCK => 1, C_INCLUDE_SG => 0, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_INCLUDE_MM2S => 1, C_MM2S_GENLOCK_MODE => 1, C_MM2S_GENLOCK_NUM_MASTERS => 1, C_MM2S_GENLOCK_REPEAT_EN => 0, C_MM2S_SOF_ENABLE => 1, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_MM2S_SF => 0, C_MM2S_LINEBUFFER_DEPTH => 2048, C_MM2S_LINEBUFFER_THRESH => 4, C_MM2S_MAX_BURST_LENGTH => 8, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_M_AXIS_MM2S_TUSER_BITS => 1, C_INCLUDE_S2MM => 0, C_S2MM_GENLOCK_MODE => 0, C_S2MM_GENLOCK_NUM_MASTERS => 1, C_S2MM_GENLOCK_REPEAT_EN => 1, C_S2MM_SOF_ENABLE => 1, C_INCLUDE_S2MM_DRE => 0, C_INCLUDE_S2MM_SF => 1, C_S2MM_LINEBUFFER_DEPTH => 512, C_S2MM_LINEBUFFER_THRESH => 4, C_S2MM_MAX_BURST_LENGTH => 8, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 64, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_S_AXIS_S2MM_TUSER_BITS => 1, C_ENABLE_DEBUG_ALL => 0, C_ENABLE_DEBUG_INFO_0 => 0, C_ENABLE_DEBUG_INFO_1 => 0, C_ENABLE_DEBUG_INFO_2 => 0, C_ENABLE_DEBUG_INFO_3 => 0, C_ENABLE_DEBUG_INFO_4 => 0, C_ENABLE_DEBUG_INFO_5 => 0, C_ENABLE_DEBUG_INFO_6 => 1, C_ENABLE_DEBUG_INFO_7 => 1, C_ENABLE_DEBUG_INFO_8 => 0, C_ENABLE_DEBUG_INFO_9 => 0, C_ENABLE_DEBUG_INFO_10 => 0, C_ENABLE_DEBUG_INFO_11 => 0, C_ENABLE_DEBUG_INFO_12 => 0, C_ENABLE_DEBUG_INFO_13 => 0, C_ENABLE_DEBUG_INFO_14 => 1, C_ENABLE_DEBUG_INFO_15 => 1, C_INSTANCE => "axi_vdma", C_SELECT_XPM => 0, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axis_mm2s_aclk => m_axis_mm2s_aclk, m_axi_s2mm_aclk => '0', s_axis_s2mm_aclk => '0', axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, mm2s_fsync => mm2s_fsync, mm2s_frame_ptr_in => mm2s_frame_ptr_in, mm2s_frame_ptr_out => mm2s_frame_ptr_out, s2mm_fsync => '0', s2mm_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tuser => m_axis_mm2s_tuser, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axi_s2mm_awready => '0', m_axi_s2mm_wready => '0', m_axi_s2mm_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_s2mm_bvalid => '0', s_axis_s2mm_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_tkeep => X"F", s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_s2mm_tvalid => '0', s_axis_s2mm_tlast => '0', mm2s_introut => mm2s_introut ); END block_design_axi_vdma_0_0_arch;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_afifo_autord.vhd
4
21693
------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- axi_vdma_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; library lib_cdc_v1_0_2; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_vdma_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_vdma_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_vdma_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations constant ZERO_VALUE_VECT : std_logic_vector(128 downto 0) := (others => '0'); -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); -- signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); -- signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-2 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-2 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal afifo_full_i : std_logic := '0'; signal AFIFO_Ainit_reg : std_logic ; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Full <= afifo_full_i; -- AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Wr_count <= afifo_full_i & wr_count_lil_end; -- AFIFO_Rd_count <= 'rd_count_lil_end; AFIFO_Rd_count <= '0' & rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_5.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_SYNCHRONIZER_STAGE => MTBF_STAGES, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, -- C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_COUNT_WIDTH => C_CNT_WIDTH-1, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, -- C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_EN_SAFETY_CKT => 1, C_WR_COUNT_WIDTH => C_CNT_WIDTH-1, C_WR_ERR_LOW => 0 --C_WR_ERR_LOW => 0, --C_USE_EMBEDDED_REG => 1, -- 0 ; --C_PRELOAD_REGS => 0, -- 0 ; --C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, -- Full => AFIFO_Full, Full => afifo_full_i, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. --------------------------------------------------------------------------- -- AFIFO_Ainit synchronization in AFIFO_Rd_clk domain --------------------------------------------------------------------------- ---- AFIFO_Ainit_RESET_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc ---- generic map( ---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S_NO_RST , ---- C_VECTOR_WIDTH => 1 ---- ) ---- port map( ---- prmry_aclk => AFIFO_Wr_clk , ---- prmry_resetn => '1' , ---- ---- scndry_aclk => AFIFO_Rd_clk , ---- scndry_resetn => '1' , ---- ---- -- Secondary to Primary Clock Crossing ---- scndry_in => '0' , ---- prmry_out => open , ---- ---- -- Primary to Secondary Clock Crossing ---- prmry_in => AFIFO_Ainit , ---- scndry_out => AFIFO_Ainit_reg , ---- ---- -- Secondary Vector to Primary Vector Clock Crossing ---- scndry_vect_s_h => '0' , ---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- prmry_vect_out => open , ---- ---- -- Primary Vector to Secondary Vector Clock Crossing ---- prmry_vect_s_h => '0' , ---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0), ---- scndry_vect_out => open ---- ---- ); ---- AFIFO_Ainit_RESET_CDC_I : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_FLOP_INPUT => 1, --valid only for level CDC C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => AFIFO_Wr_clk, prmry_resetn => '1', prmry_in => AFIFO_Ainit, prmry_vect_in => (others => '0'), prmry_ack => open, scndry_aclk => AFIFO_Rd_clk, scndry_resetn => '1', scndry_out => AFIFO_Ainit_reg, scndry_vect_out => open ); ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_reg or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_reg_mux.vhd
4
592541
------------------------------------------------------------------------------- -- axi_vdma_reg_mux ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_reg_mux.vhd -- Description: This entity is AXI VDMA Register Module Top Level -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdmantrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_regf.vhd -- | |- axi_vdma_litef.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sgf.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdstsf.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sgf.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdstsf.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2_8; use axi_vdma_v6_2_8.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_reg_mux is generic ( C_TOTAL_NUM_REGISTER : integer := 8 ; -- Total number of defined registers for AXI VDMA. Used -- to determine wrce and rdce vector widths. C_INCLUDE_SG : integer range 0 to 1 := 1 ; -- Include or Exclude Scatter Gather Engine -- 0 = Exclude Scatter Gather Engine (Enables Register Direct Mode) -- 1 = Include Scatter Gather Engine C_CHANNEL_IS_MM2S : integer range 0 to 1 := 1 ; -- Channel type for Read Mux -- 0 = Channel is S2MM -- 1 = Channel is MM2S C_NUM_FSTORES : integer range 1 to 32 := 3 ; -- Number of Frame Stores C_NUM_FSTORES_64 : integer range 1 to 32 := 3 ; -- Number of Frame Stores C_ENABLE_VIDPRMTR_READS : integer range 0 to 1 := 1 ; -- Specifies whether video parameters are readable by axi_lite interface -- when configure for Register Direct Mode -- 0 = Disable Video Parameter Reads -- 1 = Enable Video Parameter Reads C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ; -- AXI Lite interface address width C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; -- AXI Lite interface data width C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Scatter Gather engine Address Width C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32 -- Master AXI Memory Map Address Width for MM2S Write Port ); port ( ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- axi2ip_rdaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) ; -- axi2ip_rden : in std_logic ; -- ip2axi_rddata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- ip2axi_rddata_valid : out std_logic ; -- reg_index : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- dmacr : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- dmasr : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- dma_irq_mask : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- curdesc_lsb : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- curdesc_msb : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- taildesc_lsb : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- taildesc_msb : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- num_frame_store : in std_logic_vector -- (NUM_FRM_STORE_WIDTH-1 downto 0) ; -- linebuf_threshold : in std_logic_vector -- (THRESH_MSB_BIT downto 0) ; -- -- Register Direct Support -- reg_module_vsize : in std_logic_vector -- (VSIZE_DWIDTH-1 downto 0) ; -- reg_module_hsize : in std_logic_vector -- (HSIZE_DWIDTH-1 downto 0) ; -- reg_module_stride : in std_logic_vector -- (STRIDE_DWIDTH-1 downto 0) ; -- reg_module_frmdly : in std_logic_vector -- (FRMDLY_DWIDTH-1 downto 0) ; -- reg_module_start_address1 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address2 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address3 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address4 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address5 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address6 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address7 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address8 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address9 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address10 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address11 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address12 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address13 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address14 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address15 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address16 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address17 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address18 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address19 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address20 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address21 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address22 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address23 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address24 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address25 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address26 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address27 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address28 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address29 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address30 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address31 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) ; -- reg_module_start_address32 : in std_logic_vector -- (C_M_AXI_ADDR_WIDTH - 1 downto 0) -- ); end axi_vdma_reg_mux; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_reg_mux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE DONT_TOUCH : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant VSIZE_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH-VSIZE_DWIDTH; constant VSIZE_PAD : std_logic_vector(VSIZE_PAD_WIDTH-1 downto 0) := (others => '0'); constant HSIZE_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH-HSIZE_DWIDTH; constant HSIZE_PAD : std_logic_vector(HSIZE_PAD_WIDTH-1 downto 0) := (others => '0'); constant FRMSTORE_ZERO_PAD : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH - 1 downto FRMSTORE_MSB_BIT+1) := (others => '0'); constant THRESH_ZERO_PAD : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH - 1 downto THRESH_MSB_BIT+1) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal read_addr_ri : std_logic_vector(8 downto 0) := (others => '0'); signal read_addr : std_logic_vector(7 downto 0) := (others => '0'); signal read_addr_sg_1 : std_logic_vector(7 downto 0) := (others => '0'); signal ip2axi_rddata_int : std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- ATTRIBUTE DONT_TOUCH OF ip2axi_rddata_int : SIGNAL IS "true"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ip2axi_rddata <= ip2axi_rddata_int; --***************************************************************************** -- AXI LITE READ MUX --***************************************************************************** -- Register module is for MM2S Channel therefore look at -- MM2S Register offsets GEN_READ_MUX_FOR_MM2S : if C_CHANNEL_IS_MM2S = 1 generate begin -- Scatter Gather Mode Read MUX GEN_READ_MUX_SG : if C_INCLUDE_SG = 1 generate begin --read_addr <= axi2ip_rdaddr(9 downto 0); read_addr_sg_1 <= axi2ip_rdaddr(7 downto 0); AXI_LITE_READ_MUX : process(read_addr_sg_1 , axi2ip_rden , dmacr , dmasr , curdesc_lsb , curdesc_msb , taildesc_lsb , taildesc_msb , num_frame_store, linebuf_threshold) begin case read_addr_sg_1 is when MM2S_DMACR_OFFSET_SG => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_SG => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_CURDESC_LSB_OFFSET_SG => ip2axi_rddata_int <= curdesc_lsb; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_CURDESC_MSB_OFFSET_SG => ip2axi_rddata_int <= curdesc_msb; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_TAILDESC_LSB_OFFSET_SG => ip2axi_rddata_int <= taildesc_lsb; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_TAILDESC_MSB_OFFSET_SG => ip2axi_rddata_int <= taildesc_msb; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_SG => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_SG => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_SG; -- Register Direct Mode Read MUX GEN_READ_MUX_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 1 generate begin read_addr <= axi2ip_rdaddr(7 downto 0); read_addr_ri <= reg_index(0) & axi2ip_rdaddr(7 downto 0); -- 1 start addresses GEN_FSTORES_1 : if C_NUM_FSTORES = 1 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_1; -- 2 start addresses GEN_FSTORES_2 : if C_NUM_FSTORES = 2 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_2; -- 3 start addresses GEN_FSTORES_3 : if C_NUM_FSTORES = 3 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_3; -- 4 start addresses GEN_FSTORES_4 : if C_NUM_FSTORES = 4 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_4; -- 5 start addresses GEN_FSTORES_5 : if C_NUM_FSTORES = 5 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_5; -- 6 start addresses GEN_FSTORES_6 : if C_NUM_FSTORES = 6 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_6; -- 7 start addresses GEN_FSTORES_7 : if C_NUM_FSTORES = 7 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_7; -- 8 start addresses GEN_FSTORES_8 : if C_NUM_FSTORES = 8 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_8; -- 9 start addresses GEN_FSTORES_9 : if C_NUM_FSTORES = 9 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_9; -- 10 start addresses GEN_FSTORES_10 : if C_NUM_FSTORES = 10 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_10; -- 11 start addresses GEN_FSTORES_11 : if C_NUM_FSTORES = 11 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_11; -- 12 start addresses GEN_FSTORES_12 : if C_NUM_FSTORES = 12 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_12; -- 13 start addresses GEN_FSTORES_13 : if C_NUM_FSTORES = 13 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_13; -- 14 start addresses GEN_FSTORES_14 : if C_NUM_FSTORES = 14 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_14; -- 15 start addresses GEN_FSTORES_15 : if C_NUM_FSTORES = 15 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_15; -- 16 start addresses GEN_FSTORES_16 : if C_NUM_FSTORES = 16 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_16; -- 17 start addresses GEN_FSTORES_17 : if C_NUM_FSTORES = 17 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_17; -- 18 start addresses GEN_FSTORES_18 : if C_NUM_FSTORES = 18 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_18; -- 19 start addresses GEN_FSTORES_19 : if C_NUM_FSTORES = 19 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_19; -- 20 start addresses GEN_FSTORES_20 : if C_NUM_FSTORES = 20 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_20; -- 21 start addresses GEN_FSTORES_21 : if C_NUM_FSTORES = 21 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_21; -- 22 start addresses GEN_FSTORES_22 : if C_NUM_FSTORES = 22 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_22; -- 23 start addresses GEN_FSTORES_23 : if C_NUM_FSTORES = 23 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_23; -- 24 start addresses GEN_FSTORES_24 : if C_NUM_FSTORES = 24 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_24; -- 25 start addresses GEN_FSTORES_25 : if C_NUM_FSTORES = 25 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_25; -- 26 start addresses GEN_FSTORES_26 : if C_NUM_FSTORES = 26 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_26; -- 27 start addresses GEN_FSTORES_27 : if C_NUM_FSTORES = 27 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_27; -- 28 start addresses GEN_FSTORES_28 : if C_NUM_FSTORES = 28 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_28; -- 29 start addresses GEN_FSTORES_29 : if C_NUM_FSTORES = 29 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_29; -- 30 start addresses GEN_FSTORES_30 : if C_NUM_FSTORES = 30 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29 , reg_module_start_address30) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR30_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address30; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_30; -- 31 start addresses GEN_FSTORES_31 : if C_NUM_FSTORES = 31 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29 , reg_module_start_address30 , reg_module_start_address31) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR30_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address30; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR31_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address31; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_31; -- 32 start addresses GEN_FSTORES_32 : if C_NUM_FSTORES = 32 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29 , reg_module_start_address30 , reg_module_start_address31 , reg_module_start_address32) begin case read_addr_ri is when MM2S_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR30_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address30; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR31_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address31; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_STARTADDR32_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address32; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_32; end generate GEN_READ_MUX_REG_DIRECT; -- Register Direct Mode Read MUX GEN_READ_MUX_LITE_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 0 generate begin read_addr <= axi2ip_rdaddr(7 downto 0); AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , reg_index , dmasr , num_frame_store , linebuf_threshold) begin case read_addr is when MM2S_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_REG_INDEX_OFFSET_8 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when MM2S_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_LITE_REG_DIRECT; end generate GEN_READ_MUX_FOR_MM2S; -- Register module is for S2MM Channel therefore look at -- S2MM Register offsets GEN_READ_MUX_FOR_S2MM : if C_CHANNEL_IS_MM2S = 0 generate begin -- Scatter Gather Mode Read MUX GEN_READ_MUX_SG : if C_INCLUDE_SG = 1 generate begin --read_addr <= axi2ip_rdaddr(9 downto 0); read_addr_sg_1 <= axi2ip_rdaddr(7 downto 0); AXI_LITE_READ_MUX : process(read_addr_sg_1 , axi2ip_rden , dmacr , dmasr , curdesc_lsb , dma_irq_mask , taildesc_lsb , taildesc_msb , num_frame_store, linebuf_threshold) begin case read_addr_sg_1 is when S2MM_DMACR_OFFSET_SG => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_SG => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_CURDESC_LSB_OFFSET_SG => ip2axi_rddata_int <= curdesc_lsb; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_SG => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_TAILDESC_LSB_OFFSET_SG => ip2axi_rddata_int <= taildesc_lsb; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_TAILDESC_MSB_OFFSET_SG => ip2axi_rddata_int <= taildesc_msb; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_SG => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_SG => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_SG; -- Register Direct Mode Read MUX GEN_READ_MUX_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 1 generate begin read_addr <= axi2ip_rdaddr(7 downto 0); read_addr_ri <= reg_index(0) & axi2ip_rdaddr(7 downto 0); -- 17 start addresses -- 1 start addresses GEN_FSTORES_1 : if C_NUM_FSTORES = 1 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_1; -- 2 start addresses GEN_FSTORES_2 : if C_NUM_FSTORES = 2 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_2; -- 3 start addresses GEN_FSTORES_3 : if C_NUM_FSTORES = 3 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_3; -- 4 start addresses GEN_FSTORES_4 : if C_NUM_FSTORES = 4 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_4; -- 5 start addresses GEN_FSTORES_5 : if C_NUM_FSTORES = 5 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_5; -- 6 start addresses GEN_FSTORES_6 : if C_NUM_FSTORES = 6 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_6; -- 7 start addresses GEN_FSTORES_7 : if C_NUM_FSTORES = 7 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_7; -- 8 start addresses GEN_FSTORES_8 : if C_NUM_FSTORES = 8 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_8; -- 9 start addresses GEN_FSTORES_9 : if C_NUM_FSTORES = 9 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_9; -- 10 start addresses GEN_FSTORES_10 : if C_NUM_FSTORES = 10 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_10; -- 11 start addresses GEN_FSTORES_11 : if C_NUM_FSTORES = 11 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_11; -- 12 start addresses GEN_FSTORES_12 : if C_NUM_FSTORES = 12 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_12; -- 13 start addresses GEN_FSTORES_13 : if C_NUM_FSTORES = 13 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_13; -- 14 start addresses GEN_FSTORES_14 : if C_NUM_FSTORES = 14 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_14; -- 15 start addresses GEN_FSTORES_15 : if C_NUM_FSTORES = 15 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_15; -- 16 start addresses GEN_FSTORES_16 : if C_NUM_FSTORES = 16 generate begin AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_8 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_8 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_8 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_8 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_16; -- 17 start addresses GEN_FSTORES_17 : if C_NUM_FSTORES = 17 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_17; -- 18 start addresses GEN_FSTORES_18 : if C_NUM_FSTORES = 18 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_18; -- 19 start addresses GEN_FSTORES_19 : if C_NUM_FSTORES = 19 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_19; -- 20 start addresses GEN_FSTORES_20 : if C_NUM_FSTORES = 20 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_20; -- 21 start addresses GEN_FSTORES_21 : if C_NUM_FSTORES = 21 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_21; -- 22 start addresses GEN_FSTORES_22 : if C_NUM_FSTORES = 22 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_22; -- 23 start addresses GEN_FSTORES_23 : if C_NUM_FSTORES = 23 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_23; -- 24 start addresses GEN_FSTORES_24 : if C_NUM_FSTORES = 24 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_24; -- 25 start addresses GEN_FSTORES_25 : if C_NUM_FSTORES = 25 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_25; -- 26 start addresses GEN_FSTORES_26 : if C_NUM_FSTORES = 26 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_26; -- 27 start addresses GEN_FSTORES_27 : if C_NUM_FSTORES = 27 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_27; -- 28 start addresses GEN_FSTORES_28 : if C_NUM_FSTORES = 28 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_28; -- 29 start addresses GEN_FSTORES_29 : if C_NUM_FSTORES = 29 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_29; -- 30 start addresses GEN_FSTORES_30 : if C_NUM_FSTORES = 30 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29 , reg_module_start_address30) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR30_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address30; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_30; -- 31 start addresses GEN_FSTORES_31 : if C_NUM_FSTORES = 31 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29 , reg_module_start_address30 , reg_module_start_address31) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR30_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address30; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR31_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address31; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_31; -- 32 start addresses GEN_FSTORES_32 : if C_NUM_FSTORES = 32 generate begin AXI_LITE_READ_MUX : process(read_addr_ri , axi2ip_rden , dmacr , dmasr , reg_index , dma_irq_mask , num_frame_store , linebuf_threshold , reg_module_vsize , reg_module_hsize , reg_module_stride , reg_module_frmdly , reg_module_start_address1 , reg_module_start_address2 , reg_module_start_address3 , reg_module_start_address4 , reg_module_start_address5 , reg_module_start_address6 , reg_module_start_address7 , reg_module_start_address8 , reg_module_start_address9 , reg_module_start_address10 , reg_module_start_address11 , reg_module_start_address12 , reg_module_start_address13 , reg_module_start_address14 , reg_module_start_address15 , reg_module_start_address16 , reg_module_start_address17 , reg_module_start_address18 , reg_module_start_address19 , reg_module_start_address20 , reg_module_start_address21 , reg_module_start_address22 , reg_module_start_address23 , reg_module_start_address24 , reg_module_start_address25 , reg_module_start_address26 , reg_module_start_address27 , reg_module_start_address28 , reg_module_start_address29 , reg_module_start_address30 , reg_module_start_address31 , reg_module_start_address32) begin case read_addr_ri is when S2MM_DMACR_OFFSET_90 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_90 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_90 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_90 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_90 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_90 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_90 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_90 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_90 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMACR_OFFSET_91 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_91 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_OFFSET_91 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_91 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_91 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_91 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_VSIZE_OFFSET_91 => ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_HSIZE_OFFSET_91 => ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DLYSTRD_OFFSET_91 => ip2axi_rddata_int <= RSVD_BITS_31TO29 & reg_module_frmdly & RSVD_BITS_23TO16 & reg_module_stride; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR1_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address1; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR2_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address2; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR3_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address3; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR4_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address4; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR5_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address5; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR6_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address6; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR7_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address7; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR8_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address8; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR9_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address9; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR10_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address10; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR11_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address11; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR12_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address12; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR13_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address13; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR14_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address14; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR15_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address15; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR16_OFFSET_90 => ip2axi_rddata_int <= reg_module_start_address16; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR17_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address17; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR18_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address18; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR19_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address19; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR20_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address20; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR21_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address21; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR22_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address22; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR23_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address23; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR24_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address24; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR25_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address25; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR26_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address26; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR27_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address27; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR28_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address28; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR29_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address29; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR30_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address30; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR31_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address31; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_STARTADDR32_OFFSET_91 => ip2axi_rddata_int <= reg_module_start_address32; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_FSTORES_32; end generate GEN_READ_MUX_REG_DIRECT; -- Register Direct Mode Read MUX GEN_READ_MUX_LITE_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 0 generate begin read_addr <= axi2ip_rdaddr(7 downto 0); AXI_LITE_READ_MUX : process(read_addr , axi2ip_rden , dmacr , reg_index , dmasr , dma_irq_mask , num_frame_store , linebuf_threshold) begin case read_addr is when S2MM_DMACR_OFFSET_8 => ip2axi_rddata_int <= dmacr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMASR_OFFSET_8 => ip2axi_rddata_int <= dmasr; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_DMA_IRQ_MASK_8 => ip2axi_rddata_int <= dma_irq_mask; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_REG_INDEX_OFFSET_8 => ip2axi_rddata_int <= reg_index; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_FRAME_STORE_OFFSET_8 => ip2axi_rddata_int <= FRMSTORE_ZERO_PAD & num_frame_store; ip2axi_rddata_valid <= axi2ip_rden; when S2MM_THRESHOLD_OFFSET_8 => ip2axi_rddata_int <= THRESH_ZERO_PAD & linebuf_threshold; ip2axi_rddata_valid <= axi2ip_rden; when others => ip2axi_rddata_int <= (others => '0'); ip2axi_rddata_valid <= '0'; end case; end process AXI_LITE_READ_MUX; end generate GEN_READ_MUX_LITE_REG_DIRECT; end generate GEN_READ_MUX_FOR_S2MM; end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/digilent/axi_dispctrl_v1_0/hdl/axi_dispctrl_v1_0.vhd
7
18671
-------------------------------------------------------------------------------- -- -- File: -- axi_dispctrl_v1_0.vhd -- -- Module: -- AXIS Display Controller -- -- Author: -- Tinghui Wang (Steve) -- Sam Bobrowicz -- -- Description: -- Wrapper for AXI Display Controller -- -- Additional Notes: -- TODO - 1) Add Parameter to select whether to use a PLL or MMCM -- 2) Add Parameter to use external pixel clock (no MMCM or PLL) -- 3) Add Hot-plug detect and EDID control, selectable with parameter -- 4) Add feature detect register, for determining enabled parameters from software -- -- Copyright notice: -- Copyright (C) 2014 Digilent Inc. -- -- License: -- This program is free software; distributed under the terms of -- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. -- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE -- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED -- OF THE POSSIBILITY OF SUCH DAMAGE. -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library UNISIM; use UNISIM.VComponents.all; entity axi_dispctrl_v1_0 is generic ( -- Users to add parameters here C_USE_BUFR_DIV5 : integer := 0; C_RED_WIDTH : integer := 8; C_GREEN_WIDTH : integer := 8; C_BLUE_WIDTH : integer := 8; -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S_AXI C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 6; -- Parameters of Axi Slave Bus Interface S_AXIS_MM2S C_S_AXIS_MM2S_TDATA_WIDTH : integer := 32 ); port ( -- Users to add ports here -- Clock Signals REF_CLK_I : in std_logic; PXL_CLK_O : out std_logic; PXL_CLK_5X_O : out std_logic; LOCKED_O : out std_logic; -- Display Signals FSYNC_O : out std_logic; HSYNC_O : out std_logic; VSYNC_O : out std_logic; DE_O : out std_logic; RED_O : out std_logic_vector(C_RED_WIDTH-1 downto 0); GREEN_O : out std_logic_vector(C_GREEN_WIDTH-1 downto 0); BLUE_O : out std_logic_vector(C_BLUE_WIDTH-1 downto 0); -- Debug Signals DEBUG_O : out std_logic_vector(31 downto 0); -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S_AXI s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_awprot : in std_logic_vector(2 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_arprot : in std_logic_vector(2 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; -- Ports of Axi Slave Bus Interface S_AXIS_MM2S s_axis_mm2s_aclk : in std_logic; s_axis_mm2s_aresetn : in std_logic; s_axis_mm2s_tready : out std_logic; s_axis_mm2s_tdata : in std_logic_vector(C_S_AXIS_MM2S_TDATA_WIDTH-1 downto 0); s_axis_mm2s_tstrb : in std_logic_vector((C_S_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); s_axis_mm2s_tlast : in std_logic; s_axis_mm2s_tvalid : in std_logic ); end axi_dispctrl_v1_0; architecture arch_imp of axi_dispctrl_v1_0 is -- component declaration component axi_dispctrl_v1_0_S_AXI is generic ( C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 6 ); port ( CTRL_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); STAT_REG :in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); FRAME_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); HPARAM1_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); HPARAM2_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); VPARAM1_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); VPARAM2_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_O_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_FB_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_FRAC_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_DIV_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_LOCK_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); CLK_FLTR_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component axi_dispctrl_v1_0_S_AXI; component mmcme2_drp generic ( DIV_F : integer ); port( SEN : in std_logic; SCLK : in std_logic; RST : in std_logic; S1_CLKOUT0 : in std_logic_vector(35 downto 0); S1_CLKFBOUT : in std_logic_vector(35 downto 0); S1_DIVCLK : in std_logic_vector(13 downto 0); S1_LOCK : in std_logic_vector(39 downto 0); S1_DIGITAL_FILT : in std_logic_vector(9 downto 0); REF_CLK : in std_logic; CLKFBOUT_I : in std_logic; CLKFBOUT_O : out std_logic; SRDY : out std_logic; PXL_CLK : out std_logic; LOCKED_O : out std_logic ); end component; component vdma_to_vga generic ( C_RED_WIDTH : integer := 8; C_GREEN_WIDTH : integer := 8; C_BLUE_WIDTH : integer := 8; C_S_AXIS_TDATA_WIDTH : integer := 32 ); port( LOCKED_I : in std_logic; ENABLE_I : in std_logic; S_AXIS_ACLK : in std_logic; S_AXIS_ARESETN : in std_logic; S_AXIS_TREADY : out std_logic; S_AXIS_TDATA : in std_logic_vector(C_S_AXIS_TDATA_WIDTH-1 downto 0); S_AXIS_TSTRB : in std_logic_vector((C_S_AXIS_TDATA_WIDTH/8)-1 downto 0); S_AXIS_TLAST : in std_logic; S_AXIS_TVALID : in std_logic; DEBUG_O : out std_logic_vector(31 downto 0); USR_WIDTH_I : in std_logic_vector(11 downto 0); USR_HEIGHT_I : in std_logic_vector(11 downto 0); USR_HPS_I : in std_logic_vector(11 downto 0); USR_HPE_I : in std_logic_vector(11 downto 0); USR_HPOL_I : in std_logic; USR_HMAX_I : in std_logic_vector(11 downto 0); USR_VPS_I : in std_logic_vector(11 downto 0); USR_VPE_I : in std_logic_vector(11 downto 0); USR_VPOL_I : in std_logic; USR_VMAX_I : in std_logic_vector(11 downto 0); RUNNING_O : out std_logic; FSYNC_O : out std_logic; HSYNC_O : out std_logic; VSYNC_O : out std_logic; DE_O : out std_logic; RED_O : out std_logic_vector(C_RED_WIDTH-1 downto 0); GREEN_O : out std_logic_vector(C_GREEN_WIDTH-1 downto 0); BLUE_O : out std_logic_vector(C_BLUE_WIDTH-1 downto 0) ); end component; signal CTRL_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal STAT_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal FRAME_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal HPARAM1_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal HPARAM2_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal VPARAM1_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal VPARAM2_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal CLK_O_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal CLK_FB_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal CLK_FRAC_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal CLK_DIV_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal CLK_LOCK_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal CLK_FLTR_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); type CLK_STATE_TYPE is (RESET, WAIT_LOCKED, WAIT_EN, WAIT_SRDY, WAIT_RUN, ENABLED, WAIT_FRAME_DONE); signal clk_state : CLK_STATE_TYPE := RESET; signal srdy : std_logic; signal enable_reg : std_logic := '0'; signal sen_reg : std_logic := '0'; signal pxl_clk : std_logic; signal locked : std_logic; signal locked_n : std_logic; signal mmcm_fbclk_in : std_logic; signal mmcm_fbclk_out : std_logic; signal mmcm_clk : std_logic; signal vga_running : std_logic; begin -- Instantiation of Axi Bus Interface S_AXI axi_dispctrl_v1_0_S_AXI_inst : axi_dispctrl_v1_0_S_AXI generic map ( C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH ) port map ( CTRL_REG => CTRL_REG, STAT_REG => STAT_REG, FRAME_REG => FRAME_REG, HPARAM1_REG => HPARAM1_REG, HPARAM2_REG => HPARAM2_REG, VPARAM1_REG => VPARAM1_REG, VPARAM2_REG => VPARAM2_REG, CLK_O_REG => CLK_O_REG, CLK_FB_REG => CLK_FB_REG, CLK_FRAC_REG => CLK_FRAC_REG, CLK_DIV_REG => CLK_DIV_REG, CLK_LOCK_REG => CLK_LOCK_REG, CLK_FLTR_REG => CLK_FLTR_REG, S_AXI_ACLK => s_axi_aclk, S_AXI_ARESETN => s_axi_aresetn, S_AXI_AWADDR => s_axi_awaddr, S_AXI_AWPROT => s_axi_awprot, S_AXI_AWVALID => s_axi_awvalid, S_AXI_AWREADY => s_axi_awready, S_AXI_WDATA => s_axi_wdata, S_AXI_WSTRB => s_axi_wstrb, S_AXI_WVALID => s_axi_wvalid, S_AXI_WREADY => s_axi_wready, S_AXI_BRESP => s_axi_bresp, S_AXI_BVALID => s_axi_bvalid, S_AXI_BREADY => s_axi_bready, S_AXI_ARADDR => s_axi_araddr, S_AXI_ARPROT => s_axi_arprot, S_AXI_ARVALID => s_axi_arvalid, S_AXI_ARREADY => s_axi_arready, S_AXI_RDATA => s_axi_rdata, S_AXI_RRESP => s_axi_rresp, S_AXI_RVALID => s_axi_rvalid, S_AXI_RREADY => s_axi_rready ); USE_BUFR_DIV5 : if C_USE_BUFR_DIV5 = 1 generate BUFIO_inst : BUFIO port map ( O => PXL_CLK_5X_O, -- 1-bit output: Clock output (connect to I/O clock loads). I => mmcm_clk -- 1-bit input: Clock input (connect to an IBUF or BUFMR). ); BUFR_inst : BUFR generic map ( BUFR_DIVIDE => "5", -- Values: "BYPASS, 1, 2, 3, 4, 5, 6, 7, 8" SIM_DEVICE => "7SERIES" -- Must be set to "7SERIES" ) port map ( O => pxl_clk, -- 1-bit output: Clock output port CE => '1', -- 1-bit input: Active high, clock enable (Divided modes only) CLR => locked_n, -- 1-bit input: Active high, asynchronous clear (Divided modes only) I => mmcm_clk -- 1-bit input: Clock buffer input driven by an IBUF, MMCM or local interconnect ); locked_n <= not(locked); Inst_mmcme2_drp: mmcme2_drp GENERIC MAP( DIV_F => 2 ) PORT MAP( SEN => sen_reg, SCLK => s_axi_aclk, RST => not(s_axi_aresetn), SRDY => srdy, S1_CLKOUT0 => CLK_FRAC_REG(3 downto 0) & CLK_O_REG, S1_CLKFBOUT => CLK_FRAC_REG(19 downto 16) & CLK_FB_REG, S1_DIVCLK => CLK_DIV_REG(13 downto 0), S1_LOCK => CLK_FLTR_REG(7 downto 0) & CLK_LOCK_REG, S1_DIGITAL_FILT => CLK_FLTR_REG(25 downto 16), REF_CLK => REF_CLK_I, PXL_CLK => mmcm_clk, CLKFBOUT_O => mmcm_fbclk_out, CLKFBOUT_I => mmcm_fbclk_in, LOCKED_O => locked ); end generate; DONT_USE_BUFR_DIV5 : if C_USE_BUFR_DIV5 /= 1 generate PXL_CLK_5X_O <= '0'; BUFG_inst : BUFG port map ( O => pxl_clk, -- 1-bit output: Clock output I => mmcm_clk -- 1-bit input: Clock input ); Inst_mmcme2_drp: mmcme2_drp GENERIC MAP( DIV_F => 10 ) PORT MAP( SEN => sen_reg, SCLK => s_axi_aclk, RST => not(s_axi_aresetn), SRDY => srdy, S1_CLKOUT0 => CLK_FRAC_REG(3 downto 0) & CLK_O_REG, S1_CLKFBOUT => CLK_FRAC_REG(19 downto 16) & CLK_FB_REG, S1_DIVCLK => CLK_DIV_REG(13 downto 0), S1_LOCK => CLK_FLTR_REG(7 downto 0) & CLK_LOCK_REG, S1_DIGITAL_FILT => CLK_FLTR_REG(25 downto 16), REF_CLK => REF_CLK_I, PXL_CLK => mmcm_clk, CLKFBOUT_O => mmcm_fbclk_out, CLKFBOUT_I => mmcm_fbclk_in, LOCKED_O => locked ); end generate; mmcm_fbclk_in <= mmcm_fbclk_out; --Don't bother compensating for any delay, because we don't need a phase relationship between --REF_CLK and PXL_CLK PXL_CLK_O <= pxl_clk; LOCKED_O <= locked; process (s_axi_aclk) begin if (rising_edge(s_axi_aclk)) then if (s_axi_aresetn = '0') then clk_state <= RESET; else case clk_state is when RESET => clk_state <= WAIT_LOCKED; when WAIT_LOCKED => -- This state ensures that the initial SRDY pulse -- doesnt interfere with the WAIT_SRDY state if (locked = '1') then clk_state <= WAIT_EN; end if; when WAIT_EN => if (CTRL_REG(0) = '1') then clk_state <= WAIT_SRDY; end if; when WAIT_SRDY => if (srdy = '1') then clk_state <= WAIT_RUN; end if; when WAIT_RUN => if (STAT_REG(0) = '1') then clk_state <= ENABLED; end if; when ENABLED => if (CTRL_REG(0) = '0') then clk_state <= WAIT_FRAME_DONE; end if; when WAIT_FRAME_DONE => if (STAT_REG(0) = '0') then clk_state <= WAIT_EN; end if; when others => --Never reached clk_state <= RESET; end case; end if; end if; end process; process (s_axi_aclk) begin if (rising_edge(s_axi_aclk)) then if (s_axi_aresetn = '0') then enable_reg <= '0'; sen_reg <= '0'; else if (clk_state = WAIT_EN and CTRL_REG(0) = '1') then sen_reg <= '1'; else sen_reg <= '0'; end if; if (clk_state = WAIT_RUN or clk_state = ENABLED) then enable_reg <= '1'; else enable_reg <= '0'; end if; end if; end if; end process; Inst_vdma_to_vga: vdma_to_vga generic map ( C_RED_WIDTH => C_RED_WIDTH, C_GREEN_WIDTH => C_GREEN_WIDTH, C_BLUE_WIDTH => C_BLUE_WIDTH, C_S_AXIS_TDATA_WIDTH => C_S_AXIS_MM2S_TDATA_WIDTH ) PORT MAP( LOCKED_I => locked, ENABLE_I => enable_reg, RUNNING_O => vga_running, S_AXIS_ACLK => s_axis_mm2s_aclk, S_AXIS_ARESETN => s_axis_mm2s_aresetn, S_AXIS_TREADY => s_axis_mm2s_tready, S_AXIS_TDATA => s_axis_mm2s_tdata, S_AXIS_TSTRB => s_axis_mm2s_tstrb, S_AXIS_TLAST => s_axis_mm2s_tlast, S_AXIS_TVALID => s_axis_mm2s_tvalid, FSYNC_O => FSYNC_O, HSYNC_O => HSYNC_O, VSYNC_O => VSYNC_O, DEBUG_O => DEBUG_O, DE_O => DE_O, RED_O => RED_O, GREEN_O => GREEN_O, BLUE_O => BLUE_O, USR_WIDTH_I => FRAME_REG(27 downto 16), USR_HEIGHT_I => FRAME_REG(11 downto 0), USR_HPS_I => HPARAM1_REG(27 downto 16), USR_HPE_I => HPARAM1_REG(11 downto 0), USR_HPOL_I => HPARAM2_REG(16), USR_HMAX_I => HPARAM2_REG(11 downto 0), USR_VPS_I => VPARAM1_REG(27 downto 16), USR_VPE_I => VPARAM1_REG(11 downto 0), USR_VPOL_I => VPARAM2_REG(16), USR_VMAX_I => VPARAM2_REG(11 downto 0) ); STAT_REG(C_S_AXI_DATA_WIDTH-1 downto 1) <= (others => '0'); process (s_axi_aclk) begin if (rising_edge(s_axi_aclk)) then if (s_axi_aresetn = '0') then STAT_REG(0) <= '0'; else STAT_REG(0) <= vga_running; end if; end if; end process; -- User logic ends end arch_imp;
gpl-3.0
rad-/65C816_SoftCore
65816_Interface_System.ipdefs/Vivado_Custom_IP_Repo/AXIinterfacefor65816_1.0/src/65C816.vhd
3
66825
---------------------------------------------------------------------------------- -- Company: Open Source -- Engineer: Steven T. Seppala ( rad- ) -- -- Create Date: 01/26/2016 03:26:56 PM -- Design Name: -- Module Name: 65C816 - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- This is a soft-core implementation of a 65C816. There will be initally 92 --of the 256 OpCodes useable. Please refer to DOC 1A for reference. -- -- Dependencies: -- For testing purposes there will be an AXI interface into this module. --This should be provided with all the source HDL. --If this module is being used in conjunction with another module and there is no need --to access or view the inner workings of this module, no other dependancies occour. -- -- Revision: V .1 -- 26 Jan 2016 STS -- -- Revision 0.01 - File Created -- Additional Comments: -- The SNES CPU (65c816) uses little endian. -- Revision 0.5 - Decode Table and Addressing Modes -- The decode process and addressing mode assigning table -- processes have been crated. -- NOTE : Memory accesses will be passed from this -- module to a C_FLAG program for reading/writing. -- Revision 1.0 - 18 Feb 2016 -- Main Modules finished. -- Revision 1.1 - 3 March 2016 -- Tests passed. Main is now implemented. -- ---------------------------------------------------------------------------------- -- ██████ ███████ ███████ ████████ -- ██░░░░██ ██░░░░░██ ░██░░░░██ ░██░░░░░ -- ██ ░░ ██ ░░██░██ ░██ ░██ --░██ ░██ ░██░███████ ░███████ --░██ ░██ ░██░██░░░██ ░██░░░░ --░░██ ██░░██ ██ ░██ ░░██ ░██ -- ░░██████ ░░███████ ░██ ░░██░████████ -- ░░░░░░ ░░░░░░░ ░░ ░░ ░░░░░░░░ -- ████ ████ ███████ ███████ ██ ██ ██ ████████ --░██░██ ██░██ ██░░░░░██ ░██░░░░██ ░██ ░██░██ ░██░░░░░ --░██░░██ ██ ░██ ██ ░░██░██ ░██░██ ░██░██ ░██ --░██ ░░███ ░██░██ ░██░██ ░██░██ ░██░██ ░███████ --░██ ░░█ ░██░██ ░██░██ ░██░██ ░██░██ ░██░░░░ --░██ ░ ░██░░██ ██ ░██ ██ ░██ ░██░██ ░██ --░██ ░██ ░░███████ ░███████ ░░███████ ░████████░████████ --░░ ░░ ░░░░░░░ ░░░░░░░ ░░░░░░░ ░░░░░░░░ ░░░░░░░░ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.ALL; use work.SNES65816func.all; entity Soft_65C816 is Port ( clk :in STD_LOGIC; -- Main CLK for system tru_clk :in std_logic; -- True clk for system reset :in std_logic; -- Reset Signal Addr_Bus :out STD_LOGIC_VECTOR (23 downto 0);-- Address Bus D_BUS :in STD_LOGIC_VECTOR (31 downto 0);-- Data Bus IN D_BUS_out :out STD_LOGIC_VECTOR (23 downto 0);-- Data Bus OUT EMULATION_SELECT :out STD_LOGIC; -- Emulation Bit RDY :out STD_LOGIC; DATA_RDY :in STD_LOGIC; REG_A :out std_logic_vector(15 downto 0); REG_X :out std_logic_vector(15 downto 0); REG_Y :out std_logic_vector(15 downto 0); REG_SP :out std_logic_vector(15 downto 0); REG_PC :out std_logic_vector(15 downto 0); REG_Proc :out std_logic_vector(7 downto 0); REG_DBR :out std_logic_vector(7 downto 0); state_machine :out std_logic_vector(15 downto 0); RW :out std_logic ; -- Read or write bit VPB :out STD_LOGIC); end Soft_65C816; architecture Behavioral of Soft_65C816 is --state machine states type state_machine_states is (s0, s1, s2, s3, s4, s5 , sc, sa, sm, sp, sf, ss, sn, se, si, calculate_memory_pointer, s6); signal state : state_machine_states; --One hot sounter for state machine location/control signal state_machine_onehot : std_logic_vector (15 downto 0); --chunk pull vector signal chunk_pull : std_logic_vector (31 downto 0); --vector with info about the opcode signal instruction_info : std_logic_vector (11 downto 0); signal op_code : std_logic_vector (7 downto 0); -- This will have the instructions arguments assigned to it. signal instrction_args : std_logic_vector (31 downto 0); -- This signal must be run with a 3.58 MHz CLK. -- It is just a counter, but it must count at 3.58 MHz. signal tru_cpu : std_logic_vector (3 downto 0); -- This is essentially a latch enable, -- it will count for as many cycles as the -- operation would take on a true 65816 signal tru_clk_cntr : std_logic_vector(3 downto 0); -- This will hold the instruction size, so the PC can correctly -- be incrimented. signal instruction_size : std_logic_vector (3 downto 0); -- Memory pointer for pulling and pushing values signal memory_pointer : std_logic_vector ( 23 downto 0); -- This signal holds the values from the memory locations -- requested. signal requested_values : std_logic_vector (31 downto 0); -- This will hold type info so the correct -- execution state can be determined. signal type_info : std_logic_vector (3 downto 0); -- -- Process Control Signals -- signal addressing_done, addressing_on, decode_on, decode_done, memory_calculate_done, memory_calculate_on : STD_LOGIC; signal memory_done:std_logic; signal math_done : std_logic; signal pc_done : std_logic; signal flag_done : std_logic; signal store_back: std_logic; signal push_to_stack : std_logic; signal ready_up : std_logic; signal stack_done: std_logic; signal exchange_done: std_logic; signal data_enable : std_logic; -- -- REGISTER DECLARATIONS -- -- Program Counter : PC -- PC Bank Register : PBR signal PC : std_logic_vector (23 downto 0); alias PBR : std_logic_vector (7 downto 0) is PC (23 downto 16); alias ProgramCntr : std_logic_vector (15 downto 0) is PC (15 downto 0); -- Accumulator : A_REG -- Direct Page Register: DP -- Stack Pointer : SP -- X & Y_REG Are Index Registers signal A_REG, DP, StackPointer, X_REG, Y_REG : std_logic_vector ( 15 downto 0); -- StackPointer is vaid from 00:0000 to 00:FFFF -- Processor Status : P -- Data Bank Register : DBR signal P : std_logic_vector ( 7 downto 0); signal DBR : std_logic_vector ( 7 downto 0); alias N : STD_LOGIC is P(7); -- Negative Flag alias V : STD_LOGIC is P(6); -- Overflow Flag alias M : STD_LOGIC is P(5); -- Memory Select alias X_FLAG : STD_LOGIC is P(4); -- Index Register alias D_FLAG : STD_LOGIC is P(3); -- Decimal Mode alias I : STD_LOGIC is P(2); -- IRQ Disable alias Z : STD_LOGIC is P(1); -- Zero Result alias C_FLAG : STD_LOGIC is P(0); -- Carry Flag / Emulation Mode -- -- END REGISTER DECLARATIONS -- -- -- Memory signals -- signal effective_memory_pointer : std_logic_vector (23 downto 0); signal write_back_location : std_logic_vector (23 downto 0); signal write_back_value : std_logic_vector(15 downto 0); signal write_back_bank : std_logic_vector(7 downto 0); signal adr_type : integer range 0 to 25; signal push_val : std_logic_vector(23 downto 0); signal read_out : std_logic_vector(15 downto 0); signal read_out_bank : std_logic_vector(7 downto 0); signal address_out : std_logic_vector(23 downto 0); -- -- -- Constant Signals -- -- constant zeros : std_logic_vector (15 downto 1) := ( B"0000_0000_0000_000"); begin state_machine <= state_machine_onehot; D_BUS_out <= read_out_bank & read_out; Addr_Bus<= address_out; REG_A <= A_REG; REG_X <= X_REG; REG_Y <= Y_REG; REG_SP <= StackPointer; REG_PC <= ProgramCntr; REG_Proc <= P; REG_DBR <= DBR; -- -- This is the true CPU clock for the processor -- It runs at a multiple of 3.58 MHz and allows s6 to continue -- to s1. slow_clock: process(tru_clk, clk, reset) is begin if reset = '1' then tru_clk_cntr <= (others => '0'); ready_up <= '0'; elsif rising_edge(clk) then if (tru_clk_cntr = tru_cpu) and (tru_clk = '1') then ready_up <= '1' ; end if; end if; if falling_edge(clk) then if ready_up ='1' then tru_clk_cntr <= (others => '0'); end if; end if; if rising_edge(tru_clk) then tru_clk_cntr <= std_logic_vector(unsigned(tru_clk_cntr) + 1); end if; end process; -- -- This is a 16 bit microprocessor with variable length instructions, the PC will -- depend on the current instruction size. -- Thus the PC will get -- -- state_machine_process: process (clk, reset) is variable effective_memeory_pointer_temp : std_logic_vector (23 downto 0); variable pointer_calculation_done : std_logic; variable math_temp : std_logic_vector (16 downto 0); variable stack_temp : std_logic_vector (16 downto 0); variable xfr_temp : std_logic_vector (16 downto 0); variable mem_temp : std_logic_vector (16 downto 0); variable flag_temp : std_logic_vector (16 downto 0); variable check_done : std_logic; begin if reset = '1' then --TODO: RESET state <= s0; -- reset to s0 chunk_pull <= (others => 'Z'); -- clear chunk pull op_code <= (others => 'Z'); -- set opcode hi-Z StackPointer <= X"0100"; -- Initialize Stack pointer A_REG <= (others => '0'); X_REG <= (others => '0'); Y_REG <= (others => '0'); PC <= (others => '0'); DBR <= X"00"; DP <= X"0000"; N <= '0'; V <= '0'; M <= '1'; X_FLAG <= '1'; D_FLAG <= '0'; I <= '1'; Z <= '0'; C_FLAG <= '1'; -- This is the emulation flag on reset. elsif rising_edge(clk) then case state is when s0 => if state_machine_onehot = "0000000000000001" then state <= s1; else state <= s0; end if; state_machine_onehot <= "0000000000000001"; write_back_value <=X"0000"; write_back_bank <=X"00"; address_out <=PC; check_done := '0'; rdy <= '1'; RW <= '0'; when s1 => if state_machine_onehot = "0000000000000010" then state <= s2; else state <= s1; end if; data_enable <= '1'; if data_rdy ='0' and chunk_pull /= "ZZZZZZZZZZZZZZZZZ" then chunk_pull <= D_BUS; state_machine_onehot <= "0000000000000010"; rdy <= '0'; end if; when s2 => if ((addressing_done = '1') and (decode_done = '1') and (state_machine_onehot = "0000000000000100")) then state <= s3; else state <= s2; end if; addressing_on <= '1'; decode_on <= '1'; -- This should send the OPCode from chunkpull -- to the opcode_info process, which inturn -- will give us everything we need to know about how -- to execute the instruction. if data_enable = '1' then op_code <= chunk_pull(31 downto 24); state_machine_onehot <= "0000000000000100"; data_enable <= '0'; end if; when s3 => if state_machine_onehot = "0000000000001000" then state <= s4; else state <= s3; end if; data_enable <= '1'; addressing_on <= '0'; decode_on <= '0'; instruction_size <= instruction_info(11 downto 8); tru_cpu <= instruction_info(7 downto 4); type_info <= instruction_info( 3 downto 0); state_machine_onehot <= "0000000000001000"; when s4 => if ((state_machine_onehot = "0000000000010000") and (memory_calculate_done = '1'))then state <= calculate_memory_pointer; else state <= s4; end if; PC <= std_logic_vector(unsigned(PC) + unsigned(instruction_size)); state_machine_onehot <= "0000000000010000"; memory_calculate_on <= '1'; when calculate_memory_pointer => if pointer_calculation_done = '1' then state <= s5; end if; memory_calculate_on <= '0'; case adr_type is when 0 => if ((op_code = X"20") or (op_code = X"4c")) then effective_memory_pointer(23 downto 16) <= PBR; effective_memory_pointer(15 downto 0) <= memory_pointer(15 downto 0); else effective_memory_pointer(23 downto 16) <= DBR; effective_memory_pointer(15 downto 0) <= memory_pointer(15 downto 0); end if; pointer_calculation_done := '1'; when 1 => effective_memory_pointer <= (others => 'Z'); pointer_calculation_done := '1'; when 2 => effective_memeory_pointer_temp(23 downto 16) := DBR; effective_memeory_pointer_temp(15 downto 0) := memory_pointer(15 downto 0); effective_memory_pointer <= std_logic_vector(unsigned(effective_memeory_pointer_temp) + unsigned(X_REG)); pointer_calculation_done := '1'; when 3 => effective_memeory_pointer_temp(23 downto 16) := DBR; effective_memeory_pointer_temp(15 downto 0) := memory_pointer(15 downto 0); effective_memory_pointer <= std_logic_vector(unsigned(effective_memeory_pointer_temp) + unsigned(Y_REG)); pointer_calculation_done := '1'; when 4 => effective_memory_pointer <= memory_pointer; pointer_calculation_done := '1'; when 5 => effective_memory_pointer <= std_logic_vector(unsigned(memory_pointer) + unsigned(X_REG)); pointer_calculation_done := '1'; when 6 => effective_memory_pointer(23 downto 16) <= PBR; effective_memory_pointer(15 downto 0) <= memory_pointer(15 downto 0); pointer_calculation_done := '1'; when 7 => effective_memory_pointer(23 downto 16) <= PBR; effective_memory_pointer(15 downto 0) <= memory_pointer(15 downto 0) + X_REG; pointer_calculation_done := '1'; when 8 => effective_memory_pointer(23 downto 16) <= (others => '0'); effective_memory_pointer(15 downto 0) <= DP + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 9 => effective_memory_pointer(23 downto 16) <= (others => '0'); effective_memory_pointer(15 downto 0) <= StackPointer + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 10=> effective_memory_pointer(23 downto 16) <= (others => '0'); effective_memory_pointer(15 downto 0) <= DP + X_REG + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 11=> effective_memory_pointer(23 downto 16) <= (others => '0'); effective_memory_pointer(15 downto 0) <= DP + Y_REG + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 12=> effective_memory_pointer(23 downto 16) <= DBR; effective_memory_pointer(15 downto 0) <= DP + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 13=> effective_memory_pointer(23 downto 16) <= (others => '0'); effective_memory_pointer(15 downto 0) <= DP + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 14=> effective_memory_pointer(23 downto 16) <= DBR; effective_memory_pointer(15 downto 0) <= StackPointer + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 15=> effective_memory_pointer(23 downto 16) <= DBR; effective_memory_pointer(15 downto 0) <= X_REG + DP + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 16=> effective_memory_pointer(23 downto 16) <= DBR; effective_memory_pointer(15 downto 0) <= DP + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 17=> effective_memory_pointer(23 downto 16) <= (others => '0'); effective_memory_pointer(15 downto 0) <= DP + memory_pointer(7 downto 0); pointer_calculation_done := '1'; when 18=> effective_memory_pointer <= (others => 'Z'); pointer_calculation_done := '1'; when 19=> -- These program counter relative operations are calculated in the -- PC state of execution. effective_memory_pointer <= (others => 'Z'); pointer_calculation_done := '1'; when 20=> -- These program counter relative operations are calculated in the -- PC state of execution. effective_memory_pointer <= (others => 'Z'); pointer_calculation_done := '1'; when 21=> -- Stack Operations are handled in the stack state or where neccicary effective_memory_pointer <= X"00" & StackPointer; pointer_calculation_done := '1'; when 22=> -- Block operations are not implemented yet effective_memory_pointer <= (others => 'Z'); pointer_calculation_done := '1'; when 23 => requested_values <= X"00" & memory_pointer; pointer_calculation_done := '1'; when others => effective_memory_pointer <= (others => 'Z'); pointer_calculation_done := '1'; end case; when s5 => pointer_calculation_done := '0'; state_machine_onehot <= "0000000000100000"; if state_machine_onehot = "0000000000100000" then -- Go to fan out based on type info, -- if type info is not 0 - 8, then count it -- as a NOP -- if type_info = X"0" then state <= sa; elsif type_info = X"1" then state <= sp; elsif type_info = X"2" then state <= sm; elsif type_info = X"3" then state <= sc; elsif type_info = X"4" then state <= sf; elsif type_info = X"5" then state <= ss; elsif type_info = X"6" then state <= sn; elsif type_info = X"7" then state <= se; elsif type_info = X"8" then state <= si; -- if state can not be defined treat it as a NOP else state <= sn; end if; end if; when sc => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= sc; end if; state_machine_onehot <= "0000000001000000"; -- -- Arithmatic State Start -- when sa => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= sa; end if; -- ADC if op_code = X"6D" or op_code = X"7D" or op_code = X"79" or op_code = X"6F" or op_code = X"7F" or op_code = X"17" or op_code = X"65" or op_code = X"63" or op_code = X"75" or op_code = X"72" or op_code = X"67" or op_code = X"73" or op_code = X"61" or op_code = X"71" or op_code = X"77" or op_code = X"69" then math_temp := ('0' & requested_values(15 downto 0)) + A_REG + ( zeros & C_FLAG); if ((math_temp = "00000000000000000" ) or (math_temp = "10000000000000000")) then Z <= '1'; N <= math_temp (15); V <= math_temp (16); C_FLAG <= math_temp (16) xor (not math_temp(16)); A_REG <= math_temp(15 downto 0); math_done <= '1'; elsif math_temp /= "ZZZZZZZZZZZZZZZZZ" then Z <= '0'; N <= math_temp (15); V <= math_temp (16); C_FLAG <= math_temp (16) xor (not math_temp(16)); A_REG <= math_temp(15 downto 0); math_done <= '1'; end if; -- AND elsif op_code = X"2D" or op_code = X"3E" or op_code = X"39" or op_code = X"2F" or op_code = X"3F" or op_code = X"25" or op_code = X"23" or op_code = X"36" or op_code = X"32" or op_code = X"27" or op_code = X"33" or op_code = X"91" or op_code = X"31" or op_code = X"37" or op_code = X"29" then math_temp := '0' & ( A_REG and requested_values(15 downto 0)); if math_temp = "00000000000000000" then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; A_REG <= math_temp(15 downto 0 ); math_done <= '1'; -- ASL elsif op_code = X"0E" or op_code = X"1E" or op_code = X"06" or op_code = X"16" then math_temp := requested_values(15 downto 0) & '0'; C_FLAG <= requested_values(15); store_back <= '1'; if math_temp(15 downto 0) = "0000000000000000" then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; math_done <= '1'; elsif op_code = X"0A" then math_temp := A_REG( 15 downto 0) & '0'; C_FLAG <= A_REG(15); if math_temp(15 downto 0) = ("0000000000000000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; A_REG <= math_temp(15 downto 0); math_done <= '1'; -- CMP elsif op_code = X"CD" or op_code = X"DD" or op_code = X"D9" or op_code = X"CF" or op_code = X"DF" or op_code = X"C5" or op_code = X"C3" or op_code = X"D5" or op_code = X"D2" or op_code = X"C7" or op_code = X"D3" or op_code = X"C1" or op_code = X"D1" or op_code = X"D7" or op_code = X"C9" then math_temp := A_REG - ('0' & requested_values(15 downto 0)); if (A_REG < requested_values) then C_FLAG <= '0'; else C_FLAG <= '1'; end if; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; math_done <= '1'; -- CPX elsif op_code = X"EC" or op_code = X"E4" or op_code = X"E0" then math_temp := X_REG - ('0' & requested_values(15 downto 0)); if (X_REG < requested_values) then C_FLAG <= '0'; else C_FLAG <= '1'; end if; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; math_done <= '1'; -- CPY elsif op_code = X"CC" or op_code = X"C4" or op_code = X"C0" then math_temp := Y_REG - ('0' & requested_values(15 downto 0)); if (Y_REG < requested_values) then C_FLAG <= '0'; else C_FLAG <= '1'; end if; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; math_done <= '1'; -- DEX elsif op_code = X"CA" then math_temp := '0' & X_REG - 1; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; X_REG <= math_temp(15 downto 0); math_done <= '1'; -- DEY elsif op_code = X"88" then math_temp := '0' & Y_REG - 1; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; Y_REG <= math_temp(15 downto 0); math_done <= '1'; -- EOR elsif op_code = X"4D" or op_code = X"5D" or op_code = X"59" or op_code = X"4F" or op_code = X"5F" or op_code = X"5D" or op_code = X"45" or op_code = X"43" or op_code = X"55" or op_code = X"52" or op_code = X"47" or op_code = X"53" or op_code = X"41" or op_code = X"51" or op_code = X"57" or op_code = X"49" then math_temp := '0' & (requested_values(15 downto 0) xor A_REG); if math_temp = ('0'&(X"0000")) then Z <= '1'; else Z <= '0'; end if; N <= math_temp(15); store_back <= '1'; math_done <= '1'; -- INX elsif op_code = X"E8" then math_temp := '0' & X_REG + 1; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; X_REG <= math_temp(15 downto 0); math_done <= '1'; -- INY elsif op_code = X"C8" then math_temp := '0' & Y_REG + 1; if math_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= math_temp(15); else N <= math_temp(15); Z <= '0'; end if; Y_REG <= math_temp(15 downto 0); math_done <= '1'; -- LSR elsif op_code = X"4A" then math_temp := '0' & A_REG; N <= '0'; C_FLAG <= A_REG(0); if math_temp = ('0' & X"0000") then Z <= '0'; else Z <= '1'; end if; A_REG <= math_temp (16 downto 1); math_done <= '1'; elsif op_code = X"4E" or op_code = X"5E" or op_code = X"46" or op_code = X"56" then math_temp := '0' & requested_values(15 downto 0); store_back <= '1'; N <= '0'; C_FLAG <= requested_values(0); if math_temp = ('0' & X"0000") then Z <= '0'; else Z <= '1'; end if; math_done <= '1'; -- ORA elsif op_code = X"0D" or op_code = X"1D" or op_code = X"19" or op_code = X"0F" or op_code = X"1F" or op_code = X"05" or op_code = X"03" or op_code = X"15" or op_code = X"12" or op_code = X"07" or op_code = X"13" or op_code = X"01" or op_code = X"11" or op_code = X"17" or op_code = X"09" then math_temp := '0' & (requested_values(15 downto 0) or A_REG); A_REG <= math_temp(15 downto 0); if math_temp = ('0' & X"0000") then Z <= '1'; else Z <= '0'; end if; N <= math_temp(15); store_back <= '1'; math_done <= '1'; -- ROL elsif op_code = X"2A" then math_temp := A_REG & C_FLAG; C_FLAG <= A_REG (15); N <= math_temp(15); if math_temp(16 downto 1) = X"0000" then Z <= '1'; else Z <= '0'; end if; A_REG <= math_temp (15 downto 0); math_done <= '1'; elsif op_code = X"2E" or op_code = X"3E" or op_code = X"26" or op_code = X"36" then math_temp := requested_values(15 downto 0) & C_FLAG; C_FLAG <= A_REG (15); N <= math_temp(15); store_back <= '1'; if math_temp(16 downto 1) = (X"0000") then Z <= '1'; else Z <= '0'; end if; math_done <= '1'; -- ROR elsif op_code = X"6A" then math_temp := C_FLAG & A_REG; C_FLAG <= A_REG (0); N <= math_temp(15); if math_temp(16 downto 1) = (X"0000") then Z <= '1'; else Z <= '0'; end if; A_REG <= math_temp (16 downto 1); math_done <= '1'; elsif op_code = X"6E" or op_code = X"7E" or op_code = X"66" or op_code = X"76" then math_temp := C_FLAG & requested_values(15 downto 0) ; C_FLAG <= requested_values (0); N <= math_temp(15); store_back <= '1'; if math_temp(16 downto 1) = (X"0000") then Z <= '1'; else Z <= '0'; end if; math_done <= '1'; -- SBC elsif op_code = X"ED" or op_code = X"FD" or op_code = X"F9" or op_code = X"FF" or op_code = X"E5" or op_code = X"E3" or op_code = X"F5" or op_code = X"F2" or op_code = X"E7" or op_code = X"F3" or op_code = X"E1" or op_code = X"F1" or op_code = X"F7" or op_code = X"E9" then math_temp := A_REG - ('0' & requested_values(15 downto 0)) - ( ('0' & zeros) & C_FLAG); if ((math_temp = ('0' & X"0000")) or (math_temp = "10000000000000000")) then Z <= '1'; N <= math_temp (15); V <= math_temp (16); C_FLAG <= math_temp (16) xor (not math_temp(16)); else Z <= '0'; N <= math_temp (15); V <= math_temp (16); C_FLAG <= math_temp (16) xor (not math_temp(16)); end if; A_REG <= math_temp(15 downto 0); math_done <= '1'; end if; if (store_back = '1') and (math_done = '1') then write_back_value <= math_temp (15 downto 0); write_back_location <= effective_memory_pointer; state_machine_onehot <= "0000000001000000"; elsif math_done = '1' then state_machine_onehot <= "0000000001000000"; else state_machine_onehot <= state_machine_onehot; end if; -- -- END OF ARITHMATIC STATE -- -- -- START MEMORY MANIPULATION STATE -- when sm => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= sm; end if; -- DEC if op_code = X"CE" or op_code= X"DE" or op_code= X"C6" or op_code= X"D6" then mem_temp := '0' & requested_values(15 downto 0) - 1 ; if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; write_back_value <= mem_temp(15 downto 0); memory_done <= '1'; store_back <= '1'; elsif op_code = X"3A" then mem_temp := '0' & A_REG - 1 ; if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; A_REG <= mem_temp(15 downto 0); memory_done <= '1'; -- INC elsif op_code= X"1A" then mem_temp := '0' & A_REG + 1 ; if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; A_REG <= mem_temp(15 downto 0); memory_done <= '1'; elsif op_code = X"EE" or op_code= X"FE" or op_code= X"E6" or op_code= X"F6" then mem_temp := '0' & requested_values(15 downto 0) + 1 ; if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; write_back_value <= mem_temp(15 downto 0); memory_done <= '1'; store_back <= '1'; -- LDA elsif op_code = X"AD" or op_code= X"BD" or op_code = X"B9" or op_code = X"AF" or op_code = X"BF" or op_code = X"A5" or op_code = X"A3" or op_code = X"B5" or op_code = X"B2" or op_code = X"A7" or op_code = X"B3" or op_code = X"A1" or op_code = X"B1" or op_code = X"B7" then mem_temp := '0' & requested_values(15 downto 0); if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; A_REG <= mem_temp(15 downto 0); memory_done <= '1'; elsif op_code = X"A9" then mem_temp := '0' & requested_values(15 downto 0); if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; A_REG <= mem_temp(15 downto 0); memory_done <= '1'; -- LDX elsif op_code = X"AE" or op_code= X"BE" or op_code= X"A6" or op_code= X"B6" or op_code= X"A2" then mem_temp := '0' & requested_values(15 downto 0); if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; X_REG <= mem_temp(15 downto 0); memory_done <= '1'; -- LDY elsif op_code = X"AC" or op_code= X"BC" or op_code= X"A4" or op_code= X"B4" or op_code= X"A0" then mem_temp := '0' & requested_values(15 downto 0); if mem_temp (15 downto 0) = (X"0000") then Z <= '1'; N <= mem_temp(15); else N <= mem_temp(15); Z <= '0'; end if; Y_REG <= mem_temp(15 downto 0); memory_done <= '1'; -- STA elsif op_code = X"8D" or op_code= X"9D" or op_code= X"8F" or op_code= X"9F" or op_code= X"85" or op_code= X"83" or op_code= X"95" or op_code= X"92" or op_code= X"87" or op_code= X"93" or op_code= X"81" or op_code= X"91" or op_code= X"97" then mem_temp := '0' & A_REG; write_back_value <= mem_temp(15 downto 0); store_back <= '1'; memory_done <= '1'; -- STX elsif op_code = X"8E" or op_code= X"86" or op_code= X"96" then mem_temp := '0' & X_REG; write_back_value <= mem_temp(15 downto 0); store_back <= '1'; memory_done <= '1'; -- STY elsif op_code = X"8C" or op_code= X"84" or op_code= X"94" then mem_temp :='0' & Y_REG; write_back_value <= mem_temp(15 downto 0); store_back <= '1'; memory_done <= '1'; end if; if (memory_done = '1') and (store_back = '1') then state_machine_onehot <= "0000000001000000"; write_back_location <= effective_memory_pointer; elsif (memory_done = '1') and (store_back = '0') then state_machine_onehot <= "0000000001000000"; store_back <= '0'; else state_machine_onehot <= state_machine_onehot; end if; -- -- END MEMORY MANIPULATION STATE -- -- -- START PC MANIPULATION STATE -- when sp => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= sp; end if; -- BCC if op_code = X"90" then if C_FLAG = '0' then PC <= PC + std_logic_vector((resize(signed(memory_pointer(7 downto 0)), 16))); else PC <= PC; end if; pc_done <= '1'; -- BEQ elsif op_code = X"F0" then if Z = '1' then PC <= PC + std_logic_vector((resize(signed(memory_pointer(7 downto 0)), 16))); else PC <= PC; end if; pc_done <= '1'; -- BMI elsif op_code = X"30" then if N = '1' then PC <= PC + std_logic_vector(resize(signed(memory_pointer(7 downto 0)), 16)); else PC <= PC; end if; pc_done <= '1'; -- BNE elsif op_code = X"D0" then if Z = '0' then PC <= PC + std_logic_vector(resize(signed(memory_pointer(7 downto 0)), 16)); else PC <= PC; end if; pc_done <= '1'; -- BPL elsif op_code = X"10" then if N = '0' then PC <= PC + std_logic_vector(resize(signed(memory_pointer(7 downto 0)), 16)); else PC <= PC; end if; pc_done <= '1'; -- BVC elsif op_code = X"50" then if V = '0' then PC <= PC + std_logic_vector(resize(signed(memory_pointer(7 downto 0)), 16)); else PC <= PC; end if; pc_done <= '1'; -- BVS elsif op_code = X"70" then if V = '1' then PC <= PC + std_logic_vector(resize(signed(memory_pointer(7 downto 0)), 16)); else PC <= PC; end if; pc_done <= '1'; -- JMP elsif op_code = X"4C" then PC <= effective_memory_pointer; pc_done <= '1'; elsif op_code = X"5C" then PC <= requested_values(23 downto 0); pc_done <= '1'; elsif op_code = X"6C" or op_code = X"7C" then PC <= requested_values(23 downto 0); pc_done <= '1'; -- JSR elsif op_code = X"20" or op_code = X"FC" then write_back_value <= ProgramCntr; write_back_bank <= PBR; PC <= requested_values(23 downto 0); push_to_stack <= '1'; pc_done <= '1'; -- RTS elsif op_code = X"60" then ProgramCntr <= requested_values(15 downto 0); StackPointer <= StackPointer - 2; pc_done <= '1'; end if; if (pc_done = '1') then state_machine_onehot <= "0000000001000000"; else state_machine_onehot <= state_machine_onehot; end if; -- -- END PC MANIPULATION STATE -- -- -- START FLAGC MANIPULATION STATE -- when sf => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= sf; end if; -- BIT if op_code = X"2C" or op_code = X"3C" or op_code = X"24" or op_code = X"34" then flag_temp := '0' & (A_REG and requested_values(15 downto 0)); if flag_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= flag_temp(15); V <= flag_temp(14); else V <= flag_temp(14); N <= flag_temp(15); Z <= '0'; end if; flag_done <= '1'; elsif op_code = X"89" then flag_temp := '0' & (A_REG and requested_values(15 downto 0)); if flag_temp(15 downto 0) = (X"0000") then Z <= '1'; else Z <= '0'; end if; flag_done <= '1'; -- CLC elsif op_code = X"18" then C_FLAG <= '0'; flag_done <= '1'; -- CLD elsif op_code = X"D8" then D_FLAG <= '0'; flag_done <= '1'; -- CLI elsif op_code = X"58" then I <= '0'; flag_done <= '1'; -- CLV elsif op_code = X"B8" then V <= '0'; flag_done <= '1'; -- SEC elsif op_code = X"38" then C_FLAG <= '1'; flag_done <= '1'; -- SED elsif op_code = X"F8" then D_FLAG <= '0'; flag_done <= '1'; -- SEI elsif op_code = X"78" then I <= '1'; flag_done <= '1'; end if; if flag_done = '1' then state_machine_onehot <= "0000000001000000"; else state_machine_onehot <= state_machine_onehot; end if; -- -- END FLAG MANIPULATION STATE -- -- -- STACK MANIPULATION STATE -- when ss => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= ss; end if; -- PHA if op_code = X"48" then StackPointer <= StackPointer + 2; push_to_stack <= '1'; write_back_value <= A_REG; stack_done <= '1'; -- PHP elsif op_code = X"08" then StackPointer <= StackPointer + 1; push_to_stack <= '1'; write_back_value <= X"00" & P; stack_done <= '1'; -- PLA elsif op_code = X"68" then StackPointer <= StackPointer - 2; stack_temp := '0' & requested_values(15 downto 0); if stack_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= stack_temp(15); else N <= stack_temp(15); Z <= '0'; end if; A_REG <= stack_temp(15 downto 0); stack_done <= '1'; -- PLP elsif op_code = X"28" then StackPointer <= StackPointer - 1; stack_temp := '0' & requested_values(15 downto 0); if stack_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= stack_temp(15); else N <= stack_temp(15); Z <= '0'; end if; P <= stack_temp(7 downto 0); stack_done <= '1'; end if; if (stack_done = '1') and (store_back = '1') then write_back_location <= effective_memory_pointer; state_machine_onehot <= "0000000001000000"; elsif (stack_done = '1') then state_machine_onehot <= "0000000001000000"; else state_machine_onehot <= state_machine_onehot; end if; -- -- END STACK MANIPULATION STATE -- -- -- START NOP STATE -- when sn => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= sn; end if; state_machine_onehot <= "0000000001000000"; -- -- END NOP STATE -- -- -- START EXCHANGE STATE -- when se => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= se; end if; -- TAX if op_code = X"AA" then xfr_temp := '0' & A_REG; if xfr_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= xfr_temp(15); else N <= xfr_temp(15); Z <= '0'; end if; X_REG <= xfr_temp(15 downto 0); exchange_done <= '1'; -- TAY elsif op_code = X"AB" then xfr_temp := '0' & A_REG; if xfr_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= xfr_temp(15); else N <= xfr_temp(15); Z <= '0'; end if; Y_REG <= xfr_temp(15 downto 0); exchange_done <= '1'; -- TYA elsif op_code = X"98" then xfr_temp := '0' & Y_REG; if xfr_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= xfr_temp(15); else N <= xfr_temp(15); Z <= '0'; end if; A_REG <= xfr_temp(15 downto 0); exchange_done <= '1'; -- TSX elsif op_code = X"BA" then xfr_temp := '0' & StackPointer; if xfr_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= xfr_temp(15); else N <= xfr_temp(15); Z <= '0'; end if; X_REG <= xfr_temp(15 downto 0); exchange_done <= '1'; -- TXA elsif op_code = X"8A" then xfr_temp := '0' & X_REG; if xfr_temp(15 downto 0) = (X"0000") then Z <= '1'; N <= xfr_temp(15); else N <= xfr_temp(15); Z <= '0'; end if; A_REG <= xfr_temp(15 downto 0); exchange_done <= '1'; -- TXS elsif op_code = X"9A" then xfr_temp := '0' & X_REG; StackPointer <= xfr_temp(15 downto 0); exchange_done <= '1'; end if; if (exchange_done = '1') then state_machine_onehot <= "0000000001000000"; else state_machine_onehot <= state_machine_onehot; end if; -- -- END EXCHANGE STATE -- -- -- START INTERUPT STATE -- when si => if state_machine_onehot = "0000000001000000" then state <= s6; else state <= si; end if; -- RTI if op_code = X"40" then ProgramCntr <= requested_values(23 downto 8); P <= requested_values(7 downto 0); end if; state_machine_onehot <= "0000000001000000"; -- -- END INTRRUPT STATE -- when s6 => if (state_machine_onehot = "0000000010000000") and (ready_up = '1') then state <= s0; else state <= state; end if; math_done <= '0'; memory_done <= '0'; pc_done <= '0'; flag_done <= '0'; stack_done <= '0'; exchange_done <= '0'; if (store_back = '1') then READ_OUT <= write_back_value; ADDRESS_OUT <= write_back_location; store_back <= '0'; check_done := '1'; RW <= '1'; state_machine_onehot <= "0000000010000000"; elsif (push_to_stack = '1') then read_out <= write_back_value; read_out_bank <= write_back_bank; address_out <= X"00" & StackPointer; push_to_stack <= '0'; check_done := '1'; RW <= '1'; state_machine_onehot <= "0000000010000000"; else check_done := '1'; state_machine_onehot <= "0000000010000000"; end if; when others => state_machine_onehot <= "0000000000000010"; end case; end if; end process; -- -- This process will look at the opcode -- and determine the addressing mode of -- the specific instruction being requested -- and then pass it onto the adr_type vector. -- Addressing_Mode: process (addressing_on) is begin addressing_done <= '0'; if (addressing_on = '1') then -- Refer to 65000 Programmers Manual for explanation -- of addressing modes. -- -- Symbol Addressing Mode Symbol Addressing Mode -- 0 -> a absolute -- 1 -> A_REG accumulator -- 2 -> a,x absolute indexed with X -- 3 -> a,y absolute indexed with Y_REG -- 4 -> al absolute long -- 5 -> al,x absolute long indexed -- 6 -> (a) absolute indirect -- 7 -> (a,x) absolute indexed indirect -- 8 -> d direct -- 9 -> d,s stack relative -- 10 -> d,x direct indexed with x -- 11 -> d,y direct indexed with y -- 12 -> (d) direct indirect -- 13 -> [d] direct indirect long -- 14 -> (d,s),y stack relative indirect indexed -- 15 -> (d,x) direct indexed indirect -- 16 -> (d),y direct indirect indexed -- 17 -> [d].y direct indirect long indexed -- 18 -> i implied -- 19 -> r program counter relative -- 20 -> rl program counter relative long -- 21 -> s stack -- 22 -> xyc block move -- 23 -> # immediate -- -- case op_code is when X"6d" | X"2d" | X"0e" | X"2c" | X"cd" | X"ec" | X"cc" | X"ce" | X"4d" | X"ee" | X"4c" | X"20" | X"ad" | X"ae" | X"ac" | X"4e" | X"0d" | X"2e" | X"6e" | X"ed" | X"8d" | X"8e" | X"8c" | X"9c" | X"1c" | X"0c" => adr_type <= 0; when X"0a" | X"3A" | X"1a" | X"4a" | X"2a" | X"6a" | X"3b" | X"ba" | X"8a" | X"9a" | X"9b" | X"98" | X"bb" | X"cb" | X"42" | X"eb" | X"fb" => adr_type <= 1; when X"7d" | X"3e" | X"1e" | X"3c" | X"dd" | X"de" | X"5d" | X"fe" | X"bd" | X"bc" | X"5e" | X"1d" | X"7e" | X"fd" | X"9d" | X"9e" => adr_type <= 2; when X"6f" | X"2f" | X"cf" | X"4f" | X"5c" | X"22" | X"af" | X"0f" | X"8f" => adr_type <= 3; when X"79" | X"39" | X"d9" | X"59" | X"b9" | X"be" | X"19" | X"f9" => adr_type <= 4; when X"7f" | X"3f" | X"df" | X"5f" | X"bf" | X"1f" | X"ff" | X"9f" => adr_type <= 5; when X"dc" | X"6c" => adr_type <= 6; when X"7c" | X"fc" => adr_type <= 7; when X"65" | X"25" | X"06" | X"24" | X"c5" | X"e4" | X"c4" | X"c6" | X"45" | X"e6" | X"a5" | X"a6" | X"a4" | X"46" | X"05" | X"26" | X"66" | X"e5" | X"85" | X"86" | X"84" | X"64" | X"14" | X"04" => adr_type <= 8; when X"63" | X"23" | X"c3" | X"43" | X"a3" | X"03" | X"e3" | X"83" => adr_type <= 9; when X"75" | X"36" | X"16" | X"34" | X"d5" | X"d6" | X"55" | X"f6" | X"b5" | X"b4" | X"56" | X"15" | X"76" | X"f5" | X"95" | X"94" | X"74" => adr_type <= 10; when X"b6" | X"96" => adr_type <= 11; when X"72" | X"32" | X"d2" | X"52" | X"b2" | X"12" | X"f2" | X"92" => adr_type <= 12; when X"67" | X"27" | X"c7" | X"47" | X"a7" | X"07" | X"e7" | X"87" => adr_type <= 13; when X"73" | X"33" | X"d3" | X"53" | X"b3" | X"13" | X"f3" | X"93" => adr_type <= 14; when X"61" | X"c1" | X"41" | X"a1" | X"01" | X"e1" | X"81" => adr_type <= 15; when X"71" | X"31" | X"d1" | X"51" | X"b1" | X"11" | X"f1" | X"91" => adr_type <= 16; when X"77" | X"37" | X"d7" | X"57" | X"b7" | X"17" | X"f7" | X"97" => adr_type <= 17; when X"18" | X"d8" | X"58" | X"b8" | X"ca" | X"88" | X"e8" | X"c8" | X"ea" | X"38" | X"f8" | X"78" | X"db" | X"aa" | X"5b" | X"1b" | X"7b" => adr_type <= 18; when X"b0" | X"f0" | X"90" | X"d0" | X"10" | X"80" | X"50" | X"70" => adr_type <= 19; when X"82" => adr_type <= 20; when X"00" | X"02" | X"f4" | X"d4" | X"62" | X"48" | X"8b" | X"0b" | X"4b" | X"08" | X"da" | X"5a" | X"68" | X"ab" | X"2b" | X"28" | X"fa" | X"7a" | X"40" | X"6b" | X"60" => adr_type <= 21; when X"54" | X"44" => adr_type <= 22; when X"69" | X"29" | X"89" | X"c9" | X"e0" | X"c0" | X"49" | X"a9" | X"a2" | X"a0" | X"09" | X"c2" | X"e9" | X"e2" => adr_type <= 23; when others => adr_type <= 0; end case; addressing_done <= '1'; end if; end process; -- -- This process reverses the byte order -- of instruction arguments so that -- a memory pointer or argument can -- be precisely used -- memory_pointer_calculation: process (memory_calculate_on) is begin memory_calculate_done <= '0'; if memory_calculate_on = '1' then case instruction_size is when X"2" => memory_pointer <= X"0000" & chunk_pull (23 downto 16); when X"3" => memory_pointer <= X"00" & chunk_pull (23 downto 16) & chunk_pull(15 downto 8); when X"4" => memory_pointer <= chunk_pull (23 downto 16) & chunk_pull(15 downto 8) & chunk_pull (7 downto 0); when others => memory_pointer <= (others => '0'); end case; memory_calculate_done <= '1'; end if; end process; -- -- This process will decode the OPcode byte. -- It will then assign instruction_info all relevent data. -- opcode_info: process (decode_on) is begin decode_done <= '0'; if reset = '1' then instruction_info <= (others => '0');-- clear instruction info elsif (decode_on = '1') then -- -- -- intstruction_info syntax is -- bytes + cycles + type -- -- Flags (on reset) : -- N V M X D I Z C_FLAG/E -- P = * * 1 1 0 1 * */1 -- * = Not Initialized -- STP and WAI instructions are cleared. -- Type Table: -- 0 -> Arithmatic -- 1 -> PC -- 2 -> Memory -- 3 -> Coprocessor -- 4 -> Flag -- 5 -> Stack -- 6 -> NOP (and non used) -- 7 -> Exchange -- 8 -> Interrupt -- -- -- -- case op_code is -- -- BRK (break) -- pc+2 onto stack, processor status onto stack -- Also set I flag = 1 -- -- !!!!!!!!!!!!! TODO: Implemented as a NOP for now due to issues with interrupts -- !!!!!!!!!!!!!!!!!!!!!!!!!!! X"270" is now X"126" when X"00" => instruction_info <= X"126"; -- ADC -- The following are Add memory to accumulator with cary -- A_REG + M + C_FLAG -> A_REG, C_FLAG -- when X"69" => instruction_info <= X"220"; when X"65" => instruction_info <= X"230"; when X"75" => instruction_info <= X"240"; when X"6D" => instruction_info <= X"340"; when X"7D" => instruction_info <= X"340"; when X"79" => instruction_info <= X"340"; when X"61" => instruction_info <= X"260"; when X"71" => instruction_info <= X"250"; -- AND -- The follwing are logical AND's with accumulator -- A_REG and M -> A_REG -- -- when X"29" => instruction_info <= X"220"; when X"25" => instruction_info <= X"230"; when X"35" => instruction_info <= X"240"; when X"2D" => instruction_info <= X"340"; when X"3D" => instruction_info <= X"340"; when X"39" => instruction_info <= X"340"; when X"21" => instruction_info <= X"260"; when X"31" => instruction_info <= X"250"; -- -- The following are ASL -- left shift by one -- memory or accumulator -- when X"0A" => instruction_info <= X"120"; when X"06" => instruction_info <= X"250"; when X"16" => instruction_info <= X"260"; when X"0E" => instruction_info <= X"360"; when X"1E" => instruction_info <= X"370"; -- BCC -- Branch on carry clear -- when carry flag = 0, branch -- * + 1 cycle if branch happens when X"90" => instruction_info <= X"221"; -- BEQ -- branch on zero flag set -- * + 1 cycle if branch happens when X"F0" => instruction_info <= X"221"; -- BIT -- Accumulator AND'd with memory, -- bit 7 of memory goes to Negative flag -- bit 6 of memoyr goes to overflow flag -- If A_REG and M = 0 then Z = 1 , else 0 when X"24" => instruction_info <= X"234"; when X"2C" => instruction_info <= X"344"; -- BMI -- Branch On Negative Flag Set -- * + 1 Cycle If Can Branch when X"30" => instruction_info <= X"221"; -- BNE -- Branch on zero flag not set -- * + 1 cycle if can do -- when X"D0" => instruction_info <= X"221"; -- BPL -- Brnach when N flag not set. -- * + 1 cycle if can do -- when X"10" => instruction_info <= X"221"; -- BVC -- Branch on V flag = 0 -- * +1 cycle if can do when X"50" => instruction_info <= X"221"; -- BVS -- Branch when V flag set -- * + 1 cycle if can do when X"70" => instruction_info <= X"221"; -- CLC -- Clear the carry flag -- when X"18" => instruction_info <= X"124"; -- CLD -- Clear the decimal flag -- when X"D8" => instruction_info <= X"124"; -- CLI -- Clear interupt bit -- when X"58" => instruction_info <= X"124"; -- CLV -- Clear the overflow flag -- when X"B8" => instruction_info <= X"124"; -- CMP -- Compar memory and accumulator -- Set N, Z, or C_FLAG flag accordingly -- A_REG - M -- when X"c9" => instruction_info <= X"220"; when X"c5" => instruction_info <= X"230"; when X"D5" => instruction_info <= X"240"; when X"DD" => instruction_info <= X"340"; when X"d9" => instruction_info <= X"340"; when X"c1" => instruction_info <= X"260"; when X"d1" => instruction_info <= X"250"; -- CPX -- Compary memory and X reg -- X - M and set N, Z, C_FLAG flags as needed -- when X"E0" => instruction_info <= X"220"; when X"E4" => instruction_info <= X"230"; when X"EC" => instruction_info <= X"340"; -- CPY -- Compary memory and Y_REG reg -- Y_REG - M -- Set N, Z, and C_FLAG flags as needed. -- when X"C0" => instruction_info <= X"220"; when X"C4" => instruction_info <= X"230"; when X"cc" => instruction_info <= X"340"; -- DEC -- Decrimeent memory by 1 -- M - 1 -> M -- Use N & Z flags when X"C6" => instruction_info <= X"252"; when X"D6" => instruction_info <= X"262"; when X"ce" => instruction_info <= X"362"; when X"de" => instruction_info <= X"372"; -- DEX -- Decriment X reg by 1 -- X - 1 -> X -- use N & Z flags when X"CA" => instruction_info <= X"120"; -- DEY -- Decriment Y_REG reg by 1 -- Y_REG - 1 -> Y_REG -- N & Z flags -- when X"88" => instruction_info <= X"120"; -- EOR -- Acc XOR Mem -> Acc -- N and Z flags -- when X"49" => instruction_info <= X"220"; when X"45" => instruction_info <= X"230"; when X"55" => instruction_info <= X"240"; when X"4d" => instruction_info <= X"340"; when X"5d" => instruction_info <= X"340"; when X"59" => instruction_info <= X"340"; when X"41" => instruction_info <= X"260"; when X"51" => instruction_info <= X"250"; -- INC -- Incriment memory by one -- M + 1 -> M -- N & Z flags -- when X"E6" => instruction_info <= X"252"; when X"F6" => instruction_info <= X"262"; when X"ee" => instruction_info <= X"362"; when X"fe" => instruction_info <= X"372"; -- INX -- Incriment X by one -- X + 1 -> X -- N & Z flags -- when X"e8" => instruction_info <= X"120"; -- INY -- Incriment Y_REG by one -- Y_REG + 1 -> Y_REG -- N & Z flags -- when X"c8" => instruction_info <= X"120"; -- -- -- JMP -- JUMP INSTRUCTIONS --======================================================================= -- JMP -- Jump to location -- PC + 1 -> PCL -- PC + 2 -> PCH --JMP absolute --take contents of memory location --1 byte from opcode and store into --PC LOW, then take the very next byte --and store into PC HIGH -- REVERSE BYTE ORDER AND MAKE NEW PC when X"4c" => instruction_info <= X"331"; --JMP indirect -- starts out the same as above, but -- instead of getting the opcode at the new PC, -- a new PC is again fetched in the same way. -- making this a jump to a jump. when X"6c" => instruction_info <= X"351"; --======================================================================= -- -- -- -- -- JSR -- Jump to subroutine -- PC + 2 -> stack -- PC + 1 -> PCL -- PC + 2 -> PCH -- when X"20" => instruction_info <= X"361"; -- LDA -- Load accumulator with memory -- M -> A_REG -- N & Z flags when X"a9" => instruction_info <= X"222"; when X"a5" => instruction_info <= X"232"; when X"b5" => instruction_info <= X"242"; when X"ad" => instruction_info <= X"342"; when X"bd" => instruction_info <= X"342"; when X"b9" => instruction_info <= X"342"; when X"a1" => instruction_info <= X"262"; when X"b1" => instruction_info <= X"252"; -- LDX -- Load X with memory -- M -> X -- N & Z flags -- when X"a2" => instruction_info <= X"222"; when X"a6" => instruction_info <= X"232"; when X"b6" => instruction_info <= X"242"; when X"ae" => instruction_info <= X"342"; when X"be" => instruction_info <= X"342"; -- LDY -- Load Y_REG with memory -- M -> Y_REG -- N & Z Flags -- when X"a0" => instruction_info <= X"222"; when X"a4" => instruction_info <= X"232"; when X"b4" => instruction_info <= X"242"; when X"ac" => instruction_info <= X"342"; when X"bc" => instruction_info <= X"342"; -- LSR -- Right shift one bit -- 0 -> [bits] -> C_FLAG -- C_FLAG & Z flag, N flag zerod -- when X"4a" => instruction_info <= X"120"; when X"46" => instruction_info <= X"250"; when X"56" => instruction_info <= X"260"; when X"4e" => instruction_info <= X"360"; when X"5e" => instruction_info <= X"370"; -- NOP -- No operation -- when X"ea" => instruction_info <= X"126"; -- ORA -- Or with accumulator -- A_REG (or) M -> A_REG -- N & Z flags -- when X"09" => instruction_info <= X"220"; when X"05" => instruction_info <= X"230"; when X"15" => instruction_info <= X"240"; when X"0d" => instruction_info <= X"340"; when X"1d" => instruction_info <= X"340"; when X"19" => instruction_info <= X"340"; when X"01" => instruction_info <= X"260"; when X"11" => instruction_info <= X"250"; -- PHA -- Push accumuator to stack -- A_REG -> STACK -- NO FLAGS when X"48" => instruction_info <= X"135"; -- PHP -- Push processor status on stack -- P -> STACK when X"08" => instruction_info <= X"135"; -- PLA -- Pull accumulator from stack -- STACK -> A_REG -- N & Z flags -- when X"68" => instruction_info <= X"145"; -- PLP -- Pull processor status from stack -- STACK -> P -- ALL FLAGS CAN CHANGE when X"28" => instruction_info <= X"145"; -- ROL -- Rotate one bit left -- [bit 7] -> C_FLAG FLAG -- [ <- bits 6 - 0] [C_FLAG FLAG (becomes 0 bit)] -- N & Z & C_FLAG FLAGS -- when X"2a" => instruction_info <= X"120"; when X"26" => instruction_info <= X"250"; when X"36" => instruction_info <= X"260"; when X"2e" => instruction_info <= X"360"; when X"3e" => instruction_info <= X"270"; -- ROR -- Rotate right one -- [C_FLAG FLAG -> bit 7] [bits 7 - 1 ] [bit 0 becomes C_FLAG flag] -- N & Z & C_FLAG -- when X"6a" => instruction_info <= X"120"; when X"66" => instruction_info <= X"250"; when X"76" => instruction_info <= X"260"; when X"6e" => instruction_info <= X"360"; when X"7e" => instruction_info <= X"370"; -- RTI -- Return from Interrupt -- STACK -> P -- STACK -> PC -- FLAGS FROM STACK -- when X"40" => instruction_info <= X"168"; -- RTS -- Reutrn from subroutine -- STACK -> PC -- PC + 1 -> PC -- NO FLAGS -- when X"60" => instruction_info <= X"161"; -- SBC -- Subtract memory from accumulator w/ borrow -- A_REG - M - (not) C_FLAG -> Accumulator -- N & Z & C_FLAG & V Flags -- when X"e9" => instruction_info <= X"220"; when X"e5" => instruction_info <= X"230"; when X"f5" => instruction_info <= X"240"; when X"ed" => instruction_info <= X"340"; when X"fd" => instruction_info <= X"340"; when X"f9" => instruction_info <= X"340"; when X"e1" => instruction_info <= X"260"; when X"f1" => instruction_info <= X"250"; -- SEC -- Set carry flag -- 1 -> C_FLAG -- C_FLAG Flag -- when X"38" => instruction_info <= X"124"; -- SED -- Set decimal flag -- 1 -> D -- D flag -- when X"f8" => instruction_info <= X"124"; -- SEI -- Set interrupt disable flag -- 1 -> I -- I FLAG when X"78" => instruction_info <= X"124"; -- STA -- Store accumulator in memory -- A_REG -> M -- no flags -- when X"85" => instruction_info <= X"232"; when X"95" => instruction_info <= X"242"; when X"8d" => instruction_info <= X"342"; when X"9d" => instruction_info <= X"352"; when X"99" => instruction_info <= X"352"; when X"81" => instruction_info <= X"262"; when X"91" => instruction_info <= X"262"; -- STX -- Store X in memory -- X -> M -- when X"86" => instruction_info <= X"232"; when X"96" => instruction_info <= X"242"; when X"8e" => instruction_info <= X"342"; -- STY -- Store y in memory -- Y_REG -> M -- when X"84" => instruction_info <= X"232"; when X"94" => instruction_info <= X"242"; when X"8c" => instruction_info <= X"342"; -- TAX -- Transfer A_REG to X -- A_REG -> X -- N & Z -- when X"AA" => instruction_info <= X"127"; -- TAY -- X-fer A_REG to Y_REG -- A_REG -> Y_REG -- N & Z -- when X"a8" => instruction_info <= X"127"; -- TYA -- X-fer Y_REG to A_REG -- Y_REG -> A_REG -- N & Z -- when X"98" => instruction_info <= X"127"; -- TSX -- X-fer stack pointer to X -- S -> X -- N & Z -- when X"ba" => instruction_info <= X"127"; -- TXA -- X-fer X to Accumulator -- X -> A_REG -- N & Z -- when X"8A" => instruction_info <= X"127"; -- TXS -- X-fer X to stack pointer -- X -> S -- when X"9a" => instruction_info <= X"127"; -- XBA -- Exchange B & A_REG Accumulators -- A_REG <-> B -- Remeber that A_REG is the lower 8 bits of C_FLAG -- and that B is the upper 8 bits of C_FLAG -- when X"EB" => instruction_info <= X"137"; -- XCE -- Exchange Carry and Emulation flags -- E Flag <-> C_FLAG Flag -- Even though this manipulates -- flag bits, note that it is -- EXCHANGE type instruction for -- this implementation. -- when X"FB" => instruction_info <= X"127"; -- -- All other instructions are NOP's -- when others => instruction_info <= X"126"; end case; decode_done <= '1'; end if; end process; end architecture;
gpl-3.0
rad-/65C816_SoftCore
65816_Interface_System.srcs/sources_1/bd/Interface_Master_BD/hdl/Interface_Master_BD_wrapper.vhd
1
3918
--Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2014.4 (lin64) Build 1071353 Tue Nov 18 16:47:07 MST 2014 --Date : Mon Apr 11 02:53:44 2016 --Host : Daedalus running 64-bit Ubuntu 14.04.4 LTS --Command : generate_target Interface_Master_BD_wrapper.bd --Design : Interface_Master_BD_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity Interface_Master_BD_wrapper is port ( DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; clk_test : out STD_LOGIC; locked : out STD_LOGIC; reset_65816_module : in STD_LOGIC; resetn : in STD_LOGIC ); end Interface_Master_BD_wrapper; architecture STRUCTURE of Interface_Master_BD_wrapper is component Interface_Master_BD is port ( DDR_cas_n : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; clk_test : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; reset_65816_module : in STD_LOGIC ); end component Interface_Master_BD; begin Interface_Master_BD_i: component Interface_Master_BD port map ( DDR_addr(14 downto 0) => DDR_addr(14 downto 0), DDR_ba(2 downto 0) => DDR_ba(2 downto 0), DDR_cas_n => DDR_cas_n, DDR_ck_n => DDR_ck_n, DDR_ck_p => DDR_ck_p, DDR_cke => DDR_cke, DDR_cs_n => DDR_cs_n, DDR_dm(3 downto 0) => DDR_dm(3 downto 0), DDR_dq(31 downto 0) => DDR_dq(31 downto 0), DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_odt => DDR_odt, DDR_ras_n => DDR_ras_n, DDR_reset_n => DDR_reset_n, DDR_we_n => DDR_we_n, FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp, FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0), FIXED_IO_ps_clk => FIXED_IO_ps_clk, FIXED_IO_ps_porb => FIXED_IO_ps_porb, FIXED_IO_ps_srstb => FIXED_IO_ps_srstb, clk_test => clk_test, locked => locked, reset_65816_module => reset_65816_module, resetn => resetn ); end STRUCTURE;
gpl-3.0
mfrechtling/vhdl_fsm
src/fsm.vhd
1
6592
library ieee; use ieee.std_logic_1164.all; entity fsm is port( -- GLOBAL SIGNALS: clk : in std_logic; reset : in std_logic; -- COMMAND INTERFACE: data_in_vld : in std_logic; data_in : in std_logic_vector(7 downto 0); data_out_vld : out std_logic; data_out : out std_logic_vector(7 downto 0); --REGISTER ACCESS INTERFACE: rd_ack : in std_logic; rd_data : in std_logic_vector(31 downto 0); wr_en : out std_logic; rd_en : out std_logic; addr : out std_logic_vector(15 downto 0); wr_data : out std_logic_vector(31 downto 0)); end entity; architecture behaviour of fsm is type state is (IDLE, CMD, RD, WR, RSP, BRK, ADDR_0, ADDR_1, ADDR_2, ADDR_3, DATA_0, DATA_1, DATA_2, DATA_3, READ_DATA, READ_ACK, RSP_SPC, RSP_CMD); signal fsm_state : state := IDLE; signal prev_fsm_state : state := IDLE; signal current_state : state := IDLE; signal next_state : state := IDLE; signal state_buffer : state := IDLE; signal addr_reg : std_logic_vector(31 downto 0) := (others => '0'); signal data_reg : std_logic_vector(31 downto 0) := (others => '0'); signal fsm_sig : std_logic_vector(7 downto 0); signal prev_fsm_sig : std_logic_vector(7 downto 0); signal current_sig : std_logic_vector(7 downto 0); signal next_sig : std_logic_vector(7 downto 0); signal rd_cntr : integer := 0; begin process(clk) begin if (rising_edge(clk)) then if (reset = '1') then fsm_state <= IDLE; current_state <= IDLE; prev_fsm_state <= IDLE; else case fsm_state is when IDLE => if (data_in_vld = '1' and data_in = x"e7") then fsm_state <= CMD; end if; current_state <= IDLE; when CMD => if (data_in_vld = '1') then case data_in is when x"13" => fsm_state <= RD; current_state <= ADDR_3; when x"23" => fsm_state <= WR; current_state <= ADDR_3; when x"e7" => fsm_state <= prev_fsm_state; current_state <= next_state; when others => fsm_state <= IDLE; current_state <= IDLE; end case; end if; when others => if (data_in_vld = '1' and data_in = x"e7") then prev_fsm_state <= fsm_state; fsm_state <= CMD; current_state <= CMD; elsif (next_state = BRK) then if (fsm_state = BRK) then fsm_state <= IDLE; current_state <= IDLE; else fsm_state <= BRK; current_state <= RSP_SPC; end if; elsif (next_state = READ_DATA) then if (rd_ack = '1') then fsm_state <= RSP; current_state <= READ_ACK; rd_cntr <= 0; elsif (rd_cntr >= 3) then fsm_state <= BRK; current_state <= RSP_SPC; rd_cntr <= 0; else current_state <= next_state; rd_cntr <= rd_cntr + 1; end if; elsif (next_state = fsm_state) then fsm_state <= IDLE; current_state <= next_state; else current_state <= next_state; end if; end case; end if; end if; end process; process(current_state) begin rd_en <= '0'; wr_en <= '0'; addr <= (others => '0'); wr_data <= (others => '0'); data_out_vld <= '0'; data_out <= (others => '0'); case current_state is when IDLE => next_state <= IDLE; when ADDR_3 => if (data_in_vld = '1') then addr_reg(31 downto 24) <= data_in; next_state <= ADDR_2; end if; when ADDR_2 => if (data_in_vld = '1') then addr_reg(23 downto 16) <= data_in; next_state <= ADDR_1; end if; when ADDR_1 => if (data_in_vld = '1') then addr_reg(15 downto 8) <= data_in; next_state <= ADDR_0; end if; when ADDR_0 => if (data_in_vld = '1') then addr_reg(7 downto 0) <= data_in; case fsm_state is when RD => next_state <= READ_DATA; when WR => next_state <= DATA_3; when others => null; end case; end if; when DATA_3 => case fsm_state is when WR => if (data_in_vld = '1') then data_reg(31 downto 24) <= data_in; next_state <= DATA_2; end if; when RSP => data_out_vld <= '1'; data_out <= data_reg(31 downto 24); if (data_reg(31 downto 24) = x"e7") then next_state <= RSP_SPC; state_buffer <= DATA_2; else next_state <= DATA_2; end if; when others => null; end case; when DATA_2 => case fsm_state is when WR => if (data_in_vld = '1') then data_reg(23 downto 16) <= data_in; next_state <= DATA_1; end if; when RSP => data_out_vld <= '1'; data_out <= data_reg(23 downto 16); if (data_reg(23 downto 16) = x"e7") then next_state <= RSP_SPC; state_buffer <= DATA_1; else next_state <= DATA_1; end if; when others => null; end case; when DATA_1 => case fsm_state is when WR => if (data_in_vld = '1') then data_reg(15 downto 8) <= data_in; next_state <= DATA_0; end if; when RSP => data_out_vld <= '1'; data_out <= data_reg(15 downto 8); if (data_reg(15 downto 8) = x"e7") then next_state <= RSP_SPC; state_buffer <= DATA_0; else next_state <= DATA_0; end if; when others => null; end case; when DATA_0 => case fsm_state is when WR => if (data_in_vld = '1') then data_reg(7 downto 0) <= data_in; next_state <= WR; end if; when RSP => data_out_vld <= '1'; data_out <= data_reg(7 downto 0); if (data_reg(7 downto 0) = x"e7") then next_state <= RSP_SPC; state_buffer <= RSP; else next_state <= RSP; end if; when others => null; end case; when READ_DATA => rd_en <= '1'; addr <= addr_reg(15 downto 0); when READ_ACK => data_reg <= rd_data; next_state <= RSP_SPC; when WR => wr_en <= '1'; addr <= addr_reg(15 downto 0); wr_data <= data_reg; next_state <= IDLE; when RSP_SPC => data_out_vld <= '1'; data_out <= x"e7"; if (state_buffer = IDLE) then next_state <= RSP_CMD; else next_state <= state_buffer; end if; state_buffer <= IDLE; when RSP_CMD => data_out_vld <= '1'; case fsm_state is when RSP => data_out <= x"03"; next_state <= DATA_3; when BRK => data_out <= x"55"; next_state <= BRK; when others => null; end case; when others => null; end case; end process; end architecture;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_gpio_sysfs/zybo_petalinux_1.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_lite_ipif_v3_0/hdl/src/vhdl/axi_lite_ipif.vhd
4
14526
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: axi_lite_ipif.vhd -- Version: v2.0 -- Description: This is the top level design file for the axi_lite_ipif -- function. It provides a standardized slave interface -- between the IP and the AXI. This version supports -- single read/write transfers only. It does not provide -- address pipelining or simultaneous read and write -- operations. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 06/09/10 -- v1.01.a -- 1. updated to reduce the utilization -- Closed CR #574507 -- 2. Optimized the state machine code -- 3. Optimized the address decoder logic to generate the CE's with common logic -- 4. Address GAP decoding logic is removed and timeout counter is made active -- for all transactions. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_base_v5_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; --library proc_common_base_v5_0; --use proc_common_base_v5_0.ipif_pkg.all; library axi_lite_ipif_v3_0_4; use axi_lite_ipif_v3_0_4.ipif_pkg.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_S_AXI_DATA_WIDTH -- AXI data bus width -- C_S_AXI_ADDR_WIDTH -- AXI address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_USE_WSTRB -- Use write strobs or not -- C_DPHASE_TIMEOUT -- Data phase time out counter -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- S_AXI_ACLK -- AXI Clock -- S_AXI_ARESETN -- AXI Reset -- S_AXI_AWADDR -- AXI Write address -- S_AXI_AWVALID -- Write address valid -- S_AXI_AWREADY -- Write address ready -- S_AXI_WDATA -- Write data -- S_AXI_WSTRB -- Write strobes -- S_AXI_WVALID -- Write valid -- S_AXI_WREADY -- Write ready -- S_AXI_BRESP -- Write response -- S_AXI_BVALID -- Write response valid -- S_AXI_BREADY -- Response ready -- S_AXI_ARADDR -- Read address -- S_AXI_ARVALID -- Read address valid -- S_AXI_ARREADY -- Read address ready -- S_AXI_RDATA -- Read data -- S_AXI_RRESP -- Read response -- S_AXI_RVALID -- Read valid -- S_AXI_RREADY -- Read ready -- Bus2IP_Clk -- Synchronization clock provided to User IP -- Bus2IP_Reset -- Active high reset for use by the User IP -- Bus2IP_Addr -- Desired address of read or write operation -- Bus2IP_RNW -- Read or write indicator for the transaction -- Bus2IP_BE -- Byte enables for the data bus -- Bus2IP_CS -- Chip select for the transcations -- Bus2IP_RdCE -- Chip enables for the read -- Bus2IP_WrCE -- Chip enables for the write -- Bus2IP_Data -- Write data bus to the User IP -- IP2Bus_Data -- Input Read Data bus from the User IP -- IP2Bus_WrAck -- Active high Write Data qualifier from the IP -- IP2Bus_RdAck -- Active high Read Data qualifier from the IP -- IP2Bus_Error -- Error signal from the IP ------------------------------------------------------------------------------- entity axi_lite_ipif is generic ( C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer range 0 to 512 := 8; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := -- not used ( X"0000_0000_7000_0000", -- IP user0 base address X"0000_0000_7000_00FF", -- IP user0 high address X"0000_0000_7000_0100", -- IP user1 base address X"0000_0000_7000_01FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := -- not used ( 4, -- User0 CE Number 12 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( --System signals S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector ((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- Controls to the IP/IPIF modules Bus2IP_Clk : out std_logic; Bus2IP_Resetn : out std_logic; Bus2IP_Addr : out std_logic_vector ((C_S_AXI_ADDR_WIDTH-1) downto 0); Bus2IP_RNW : out std_logic; Bus2IP_BE : out std_logic_vector (((C_S_AXI_DATA_WIDTH/8)-1) downto 0); Bus2IP_CS : out std_logic_vector (((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1) downto 0); Bus2IP_RdCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0); Bus2IP_WrCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0); Bus2IP_Data : out std_logic_vector ((C_S_AXI_DATA_WIDTH-1) downto 0); IP2Bus_Data : in std_logic_vector ((C_S_AXI_DATA_WIDTH-1) downto 0); IP2Bus_WrAck : in std_logic; IP2Bus_RdAck : in std_logic; IP2Bus_Error : in std_logic ); end axi_lite_ipif; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture imp of axi_lite_ipif is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Slave Attachment ------------------------------------------------------------------------------- I_SLAVE_ATTACHMENT: entity axi_lite_ipif_v3_0_4.slave_attachment generic map( C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_IPIF_ABUS_WIDTH => C_S_AXI_ADDR_WIDTH, C_IPIF_DBUS_WIDTH => C_S_AXI_DATA_WIDTH, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_FAMILY => C_FAMILY ) port map( -- AXI signals S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- IPIC signals Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Resetn => Bus2IP_Resetn, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_RNW => Bus2IP_RNW, Bus2IP_BE => Bus2IP_BE, Bus2IP_CS => Bus2IP_CS, Bus2IP_RdCE => Bus2IP_RdCE, Bus2IP_WrCE => Bus2IP_WrCE, Bus2IP_Data => Bus2IP_Data, IP2Bus_Data => IP2Bus_Data, IP2Bus_WrAck => IP2Bus_WrAck, IP2Bus_RdAck => IP2Bus_RdAck, IP2Bus_Error => IP2Bus_Error ); end imp;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_basic_wrap.vhd
5
50383
------------------------------------------------------------------------------- -- axi_datamover_s2mm_basic_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_basic_wrap.vhd -- -- Description: -- This file implements the DataMover S2MM Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1_11; use axi_datamover_v5_1_11.axi_datamover_reset; use axi_datamover_v5_1_11.axi_datamover_cmd_status; use axi_datamover_v5_1_11.axi_datamover_scc; use axi_datamover_v5_1_11.axi_datamover_addr_cntl; use axi_datamover_v5_1_11.axi_datamover_wrdata_cntl; use axi_datamover_v5_1_11.axi_datamover_wr_status_cntl; Use axi_datamover_v5_1_11.axi_datamover_skid2mm_buf; Use axi_datamover_v5_1_11.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_basic_wrap is generic ( C_INCLUDE_S2MM : Integer range 0 to 2 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_S2MM_AWID : Integer range 0 to 255 := 9; -- Specifies the constant value to output on -- the ARID output port C_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_S2MM_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S2MM_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 2 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_MICRO_DMA : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- S2MM Primary Clock and reset inputs ----------------------------- s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------------- -- S2MM Halt request input control --------------------------------- s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------------- -- S2MM Error discrete output -------------------------------------- s2mm_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------------- -- Optional Command/Status Interface Clock and Reset Inputs ------- -- Only used when C_S2MM_STSCMD_IS_ASYNC = 1 -- -- s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------------ s2mm_cmd_wvalid : in std_logic; -- s2mm_cmd_wready : out std_logic; -- s2mm_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_S2MM_ADDR_WIDTH+36)-1 downto 0); -- --------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ s2mm_sts_wvalid : out std_logic; -- s2mm_sts_wready : in std_logic; -- s2mm_sts_wdata : out std_logic_vector(7 downto 0); -- s2mm_sts_wstrb : out std_logic_vector(0 downto 0); -- s2mm_sts_wlast : out std_logic; -- -------------------------------------------------------------------- -- Address posting controls ---------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- -------------------------------------------------------------------- -- S2MM AXI Address Channel I/O -------------------------------------- s2mm_awid : out std_logic_vector(C_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- s2mm_awaddr : out std_logic_vector(C_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel PROT output -- s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel PROT output -- -- s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- s2mm__awlock : out std_logic_vector(2 downto 0); -- -- s2mm__awcache : out std_logic_vector(4 downto 0); -- -- s2mm__awqos : out std_logic_vector(3 downto 0); -- -- s2mm__awregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O --------------------------------------------- s2mm_wdata : Out std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0); -- s2mm_wstrb : Out std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0); -- s2mm_wlast : Out std_logic; -- s2mm_wvalid : Out std_logic; -- s2mm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ----------------------------------------- s2mm_bresp : In std_logic_vector(1 downto 0); -- s2mm_bvalid : In std_logic; -- s2mm_bready : Out std_logic; -- -------------------------------------------------------------------------------------- -- S2MM AXI Master Stream Channel I/O ----------------------------------------------- s2mm_strm_wdata : In std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0); -- s2mm_strm_wstrb : In std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0); -- s2mm_strm_wlast : In std_logic; -- s2mm_strm_wvalid : In std_logic; -- s2mm_strm_wready : Out std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ----------------------------------------------------------------- ); end entity axi_datamover_s2mm_basic_wrap; architecture implementation of axi_datamover_s2mm_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_wdemux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Write Strobe demux select control. -- ------------------------------------------------------------------- function func_calc_wdemux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; end case; Return (num_addr_bits_needed); end function func_calc_wdemux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant S2MM_AWID_VALUE : integer range 0 to 255 := C_S2MM_AWID; Constant S2MM_AWID_WIDTH : integer range 1 to 8 := C_S2MM_ID_WIDTH; Constant S2MM_ADDR_WIDTH : integer range 32 to 64 := C_S2MM_ADDR_WIDTH; Constant S2MM_MDATA_WIDTH : integer range 32 to 256 := C_S2MM_MDATA_WIDTH; Constant S2MM_SDATA_WIDTH : integer range 8 to 256 := C_S2MM_SDATA_WIDTH; Constant S2MM_CMD_WIDTH : integer := (C_TAG_WIDTH+C_S2MM_ADDR_WIDTH+32); Constant S2MM_STS_WIDTH : integer := 8; -- always 8 for S2MM Basic Version Constant INCLUDE_S2MM_STSFIFO : integer range 0 to 1 := 1; Constant S2MM_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_S2MM_STSCMD_FIFO_DEPTH; Constant S2MM_STSCMD_IS_ASYNC : integer range 0 to 1 := C_S2MM_STSCMD_IS_ASYNC; Constant S2MM_BURST_SIZE : integer range 16 to 256 := 16; Constant WR_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant WR_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant WR_STATUS_CNTL_FIFO_DEPTH : integer range 1 to 32 := WR_DATA_CNTL_FIFO_DEPTH+2;-- 2 added for going -- full thresholding -- in WSC Constant SEL_ADDR_WIDTH : integer := func_calc_wdemux_sel_bits(S2MM_MDATA_WIDTH); Constant INCLUDE_S2MM_DRE : integer range 0 to 1 := 1; Constant OMIT_S2MM_DRE : integer range 0 to 1 := 0; Constant OMIT_INDET_BTT : integer := 0; Constant SF_BYTES_RCVD_WIDTH : integer := 1; Constant ZEROS_8_BIT : std_logic_vector(7 downto 0) := (others => '0'); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_s2mm_cmd_wdata : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(S2MM_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_last : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2addr_data_rdy : std_logic := '0'; signal sig_data2all_tlast_error : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2wsc_calc_error : std_logic := '0'; signal sig_addr2wsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2wsc_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal sig_data2wsc_cmd_empty : std_logic := '0'; signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_wsc2stat_status : std_logic_vector(7 downto 0) := (others => '0'); signal sig_stat2wsc_status_ready : std_logic := '0'; signal sig_wsc2stat_status_valid : std_logic := '0'; signal sig_wsc2mstr_halt_pipe : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_addr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wvalid : std_logic := '0'; signal sig_skid2data_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_skid2axi_wvalid : std_logic := '0'; signal sig_axi2skid_wready : std_logic := '0'; signal sig_skid2axi_wdata : std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_skid2axi_wstrb : std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_skid2axi_wlast : std_logic := '0'; signal sig_data2wsc_sof : std_logic := '0'; signal sig_data2wsc_eof : std_logic := '0'; signal sig_data2wsc_valid : std_logic := '0'; signal sig_wsc2data_ready : std_logic := '0'; signal sig_data2wsc_eop : std_logic := '0'; signal sig_data2wsc_bytes_rcvd : std_logic_vector(SF_BYTES_RCVD_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_wsc2rst_stop_cmplt : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_realign2wdc_eop_error : std_logic := '0'; signal skid2wdc_wvalid : std_logic := '0'; signal wdc2skid_wready : std_logic := '0'; signal skid2wdc_wdata : std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0) := (others => '0'); signal skid2wdc_wstrb : std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal skid2wdc_wlast : std_logic := '0'; signal s2mm_awcache_int : std_logic_vector (3 downto 0); signal sig_cache2mstr_command : std_logic_vector (7 downto 0); begin --(architecture implementation) -- Debug Port Assignments s2mm_dbg_data <= sig_dbg_data_mux_out; -- Note that only the s2mm_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (s2mm_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"CAFE2222" ; -- 32 bit Constant indicating S2MM Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2wsc_status_ready; sig_dbg_data_1(7) <= sig_wsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2wsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_wsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_wsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_wsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_wsc2stat_status(6) ; -- Slave Error --sig_dbg_data_1(19) <= sig_wsc2stat_status(7) ; -- OKAY sig_dbg_data_1(19) <= '0' ; -- OKAY not used by TB sig_dbg_data_1(20) <= sig_stat2wsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_wsc2stat_status_valid ; -- Status Valid Handshake sig_dbg_data_1(29 downto 22) <= sig_mstr2data_len ; -- WDC Cmd FIFO LEN input sig_dbg_data_1(30) <= sig_mstr2data_cmd_valid ; -- WDC Cmd FIFO Valid Inpute sig_dbg_data_1(31) <= sig_data2mstr_cmd_ready ; -- WDC Cmd FIFO Ready Output -- Write Data Channel I/O s2mm_wvalid <= sig_skid2axi_wvalid; sig_axi2skid_wready <= s2mm_wready ; s2mm_wdata <= sig_skid2axi_wdata ; s2mm_wstrb <= sig_skid2axi_wstrb ; s2mm_wlast <= sig_skid2axi_wlast ; GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off s2mm_awcache <= "0011"; -- pre Interface-X guidelines for Masters s2mm_awuser <= "0000"; -- pre Interface-X guidelines for Masters sig_s2mm_cache_data <= (others => '0'); --s2mm_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off s2mm_awcache <= "0011"; --sg_ctl (3 downto 0); -- SG Cache from register s2mm_awuser <= "0000"; --sg_ctl (7 downto 4); -- SG Cache from register sig_s2mm_cache_data <= s2mm_cmd_wdata(79+(C_S2MM_ADDR_WIDTH-32) downto 72+(C_S2MM_ADDR_WIDTH-32)); -- sig_s2mm_cache_data <= s2mm_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Internal error output discrete s2mm_err <= sig_calc2dm_calc_err or sig_data2all_tlast_error; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_s2mm_cmd_wdata <= s2mm_cmd_wdata(S2MM_CMD_WIDTH-1 downto 0); -- No Realigner in S2MM Basic sig_realign2wdc_eop_error <= '0'; ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1_11.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC ) port map ( primary_aclk => s2mm_aclk , primary_aresetn => s2mm_aresetn , secondary_awclk => s2mm_cmdsts_awclk , secondary_aresetn => s2mm_cmdsts_aresetn , halt_req => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , flush_stop_request => sig_rst2all_stop_request, data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => sig_wsc2rst_stop_cmplt , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1_11.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_S2MM_STSFIFO , C_STSCMD_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_STS_WIDTH => S2MM_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , secondary_awclk => s2mm_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => s2mm_cmd_wvalid , cmd_wready => s2mm_cmd_wready , cmd_wdata => sig_s2mm_cmd_wdata , cache_data => sig_s2mm_cache_data , sts_wvalid => s2mm_sts_wvalid , sts_wready => s2mm_sts_wready , sts_wdata => s2mm_sts_wdata , sts_wstrb => s2mm_sts_wstrb , sts_wlast => s2mm_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_wsc2stat_status , stat2mstr_status_ready => sig_stat2wsc_status_ready , mst2stst_status_valid => sig_wsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Write Status Controller Block -- ------------------------------------------------------------ I_WR_STATUS_CNTLR : entity axi_datamover_v5_1_11.axi_datamover_wr_status_cntl generic map ( C_ENABLE_INDET_BTT => OMIT_INDET_BTT , C_SF_BYTES_RCVD_WIDTH => SF_BYTES_RCVD_WIDTH , C_STS_FIFO_DEPTH => WR_STATUS_CNTL_FIFO_DEPTH , C_STS_WIDTH => S2MM_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , rst2wsc_stop_request => sig_rst2all_stop_request , wsc2rst_stop_cmplt => sig_wsc2rst_stop_cmplt , addr2wsc_addr_posted => sig_addr2data_addr_posted , s2mm_bresp => s2mm_bresp , s2mm_bvalid => s2mm_bvalid , s2mm_bready => s2mm_bready , calc2wsc_calc_error => sig_calc2dm_calc_err , addr2wsc_calc_error => sig_addr2wsc_calc_error , addr2wsc_fifo_empty => sig_addr2wsc_cmd_fifo_empty , data2wsc_tag => sig_data2wsc_tag , data2wsc_calc_error => sig_data2wsc_calc_err , data2wsc_last_error => sig_data2wsc_last_err , data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt , data2wsc_valid => sig_data2wsc_valid , wsc2data_ready => sig_wsc2data_ready , data2wsc_eop => sig_data2wsc_eop , data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd , wsc2stat_status => sig_wsc2stat_status , stat2wsc_status_ready => sig_stat2wsc_status_ready , wsc2stat_status_valid => sig_wsc2stat_status_valid , wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_datamover_v5_1_11.axi_datamover_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_MAX_BURST_LEN => C_S2MM_BURST_SIZE , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_MICRO_DMA => C_MICRO_DMA , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1_11.axi_datamover_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => ENABLE_WAIT_FOR_DATA , C_ADDR_FIFO_DEPTH => WR_ADDR_CNTL_FIFO_DEPTH , --C_ADDR_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_ADDR_ID => S2MM_AWID_VALUE , C_ADDR_ID_WIDTH => S2MM_AWID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => s2mm_awid , addr2axi_aaddr => s2mm_awaddr , addr2axi_alen => s2mm_awlen , addr2axi_asize => s2mm_awsize , addr2axi_aburst => s2mm_awburst , addr2axi_aprot => s2mm_awprot , addr2axi_avalid => s2mm_awvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => s2mm_awready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => s2mm_allow_addr_req , addr_req_posted => s2mm_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => sig_data2addr_data_rdy , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2wsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2wsc_cmd_fifo_empty ); ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(4) = '1' generate begin ------------------------------------------------------------ -- Instance: I_S2MM_STRM_SKID_BUF -- -- Description: -- Instance for the S2MM Skid Buffer which provides for -- registerd Slave Stream inputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_S2MM_STRM_SKID_BUF : entity axi_datamover_v5_1_11.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => S2MM_SDATA_WIDTH ) port map ( -- System Ports aclk => s2mm_aclk , arst => sig_mmap_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => s2mm_strm_wvalid , s_ready => s2mm_strm_wready , s_data => s2mm_strm_wdata , s_strb => s2mm_strm_wstrb , s_last => s2mm_strm_wlast , -- Master Side (Stream Data Output m_valid => skid2wdc_wvalid , m_ready => wdc2skid_wready , m_data => skid2wdc_wdata , m_strb => skid2wdc_wstrb , m_last => skid2wdc_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(4) = '0' generate begin skid2wdc_wvalid <= s2mm_strm_wvalid; s2mm_strm_wready <= wdc2skid_wready; skid2wdc_wdata <= s2mm_strm_wdata; skid2wdc_wstrb <= s2mm_strm_wstrb; skid2wdc_wlast <= s2mm_strm_wlast; end generate DISABLE_AXIS_SKID; ------------------------------------------------------------ -- Instance: I_WR_DATA_CNTL -- -- Description: -- Write Data Controller Block -- ------------------------------------------------------------ I_WR_DATA_CNTL : entity axi_datamover_v5_1_11.axi_datamover_wrdata_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => ENABLE_WAIT_FOR_DATA , C_REALIGNER_INCLUDED => OMIT_S2MM_DRE , C_ENABLE_INDET_BTT => OMIT_INDET_BTT , C_SF_BYTES_RCVD_WIDTH => SF_BYTES_RCVD_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => WR_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => S2MM_MDATA_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , data2skid_saddr_lsb => sig_data2skid_addr_lsb , data2skid_wdata => sig_data2skid_wdata , data2skid_wstrb => sig_data2skid_wstrb , data2skid_wlast => sig_data2skid_wlast , data2skid_wvalid => sig_data2skid_wvalid , skid2data_wready => sig_skid2data_wready , s2mm_strm_wvalid => skid2wdc_wvalid , s2mm_strm_wready => wdc2skid_wready , s2mm_strm_wdata => skid2wdc_wdata , s2mm_strm_wstrb => skid2wdc_wstrb , s2mm_strm_wlast => skid2wdc_wlast , s2mm_strm_eop => skid2wdc_wlast , s2mm_stbs_asserted => ZEROS_8_BIT , realign2wdc_eop_error => sig_realign2wdc_eop_error , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => sig_data2addr_data_rdy , data2all_tlast_error => sig_data2all_tlast_error , data2all_dcntlr_halted => sig_data2all_dcntlr_halted , data2skid_halt => sig_data2skid_halt , data2wsc_tag => sig_data2wsc_tag , data2wsc_calc_err => sig_data2wsc_calc_err , data2wsc_last_err => sig_data2wsc_last_err , data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt , wsc2data_ready => sig_wsc2data_ready , data2wsc_valid => sig_data2wsc_valid , data2wsc_eop => sig_data2wsc_eop , data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd , wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_S2MM_MMAP_SKID_BUF -- -- Description: -- Instance for the S2MM Skid Buffer which provides for -- registered outputs and supports bi-dir throttling. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- ------------------------------------------------------------ I_S2MM_MMAP_SKID_BUF : entity axi_datamover_v5_1_11.axi_datamover_skid2mm_buf generic map ( C_MDATA_WIDTH => S2MM_MDATA_WIDTH , C_SDATA_WIDTH => S2MM_SDATA_WIDTH , C_ADDR_LSB_WIDTH => SEL_ADDR_WIDTH ) port map ( -- System Ports ACLK => s2mm_aclk , ARST => sig_stream_rst , -- Slave Side (Wr Data Controller Input Side ) S_ADDR_LSB => sig_data2skid_addr_lsb, S_VALID => sig_data2skid_wvalid , S_READY => sig_skid2data_wready , S_Data => sig_data2skid_wdata , S_STRB => sig_data2skid_wstrb , S_Last => sig_data2skid_wlast , -- Master Side (MMap Write Data Output Side) M_VALID => sig_skid2axi_wvalid , M_READY => sig_axi2skid_wready , M_Data => sig_skid2axi_wdata , M_STRB => sig_skid2axi_wstrb , M_Last => sig_skid2axi_wlast ); end implementation;
gpl-3.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_gpio_sysfs/zybo_petalinux_1.srcs/sources_1/bd/block_design/ip/block_design_proc_sys_reset_0_0/synth/block_design_proc_sys_reset_0_0.vhd
1
6661
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY block_design_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END block_design_proc_sys_reset_0_0; ARCHITECTURE block_design_proc_sys_reset_0_0_arch OF block_design_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2016.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF block_design_proc_sys_reset_0_0_arch : ARCHITECTURE IS "block_design_proc_sys_reset_0_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF block_design_proc_sys_reset_0_0_arch: ARCHITECTURE IS "block_design_proc_sys_reset_0_0,proc_sys_reset,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=9,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END block_design_proc_sys_reset_0_0_arch;
gpl-3.0
marcoep/MusicBoxNano
ip/WaveformROM.vhd
1
5897
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: WaveformROM.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 16.0.0 Build 211 04/27/2016 SJ Lite Edition -- ************************************************************ --Copyright (C) 1991-2016 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus Prime License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY WaveformROM IS PORT ( address : IN STD_LOGIC_VECTOR (11 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END WaveformROM; ARCHITECTURE SYN OF waveformrom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN q <= sub_wire0(7 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_aclr_a => "NONE", clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "../../GITROOT/MusicBoxNano/matlab/dds_mif_generator/WaveTable_4096.mif", intended_device_family => "Cyclone IV E", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 4096, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 12, width_a => 8, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "../../GITROOT/MusicBoxNano/matlab/dds_mif_generator/WaveTable_4096.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4096" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "12" -- Retrieval info: PRIVATE: WidthData NUMERIC "8" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "../../GITROOT/MusicBoxNano/matlab/dds_mif_generator/WaveTable_4096.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 12 0 INPUT NODEFVAL "address[11..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -- Retrieval info: CONNECT: @address_a 0 0 12 0 address 0 0 12 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveformROM_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-3.0
viniciussmello/SistemasDigitais
Trabalho 2/Principal/ps2_keyboard.vhd
2
5116
-------------------------------------------------------------------------------- -- -- FileName: ps2_keyboard.vhd -- Dependencies: debounce.vhd -- Design Software: Quartus II 32-bit Version 12.1 Build 177 SJ Full Version -- -- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY -- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A -- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY -- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL -- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF -- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS -- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF), -- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS. -- -- Version History -- Version 1.0 11/25/2013 Scott Larson -- Initial Public Release -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ps2_keyboard IS GENERIC( clk_freq : INTEGER := 50_000_000; --system clock frequency in Hz debounce_counter_size : INTEGER := 8); --set such that (2^size)/clk_freq = 5us (size = 8 for 50MHz) PORT( clk : IN STD_LOGIC; --system clock ps2_clk : IN STD_LOGIC; --clock signal from PS/2 keyboard ps2_data : IN STD_LOGIC; --data signal from PS/2 keyboard ps2_code_new : OUT STD_LOGIC; --flag that new PS/2 code is available on ps2_code bus ps2_code : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --code received from PS/2 END ps2_keyboard; ARCHITECTURE logic OF ps2_keyboard IS SIGNAL sync_ffs : STD_LOGIC_VECTOR(1 DOWNTO 0); --synchronizer flip-flops for PS/2 signals SIGNAL ps2_clk_int : STD_LOGIC; --debounced clock signal from PS/2 keyboard SIGNAL ps2_data_int : STD_LOGIC; --debounced data signal from PS/2 keyboard SIGNAL ps2_word : STD_LOGIC_VECTOR(10 DOWNTO 0); --stores the ps2 data word SIGNAL error : STD_LOGIC; --validate parity, start, and stop bits SIGNAL count_idle : INTEGER RANGE 0 TO clk_freq/18_000; --counter to determine PS/2 is idle --declare debounce component for debouncing PS2 input signals COMPONENT debounce IS GENERIC( counter_size : INTEGER); --debounce period (in seconds) = 2^counter_size/(clk freq in Hz) PORT( clk : IN STD_LOGIC; --input clock button : IN STD_LOGIC; --input signal to be debounced result : OUT STD_LOGIC); --debounced signal END COMPONENT; BEGIN --synchronizer flip-flops PROCESS(clk) BEGIN IF(clk'EVENT AND clk = '1') THEN --rising edge of system clock sync_ffs(0) <= ps2_clk; --synchronize PS/2 clock signal sync_ffs(1) <= ps2_data; --synchronize PS/2 data signal END IF; END PROCESS; --debounce PS2 input signals debounce_ps2_clk: debounce GENERIC MAP(counter_size => debounce_counter_size) PORT MAP(clk => clk, button => sync_ffs(0), result => ps2_clk_int); debounce_ps2_data: debounce GENERIC MAP(counter_size => debounce_counter_size) PORT MAP(clk => clk, button => sync_ffs(1), result => ps2_data_int); --input PS2 data PROCESS(ps2_clk_int) BEGIN IF(ps2_clk_int'EVENT AND ps2_clk_int = '0') THEN --falling edge of PS2 clock ps2_word <= ps2_data_int & ps2_word(10 DOWNTO 1); --shift in PS2 data bit END IF; END PROCESS; --verify that parity, start, and stop bits are all correct error <= NOT (NOT ps2_word(0) AND ps2_word(10) AND (ps2_word(9) XOR ps2_word(8) XOR ps2_word(7) XOR ps2_word(6) XOR ps2_word(5) XOR ps2_word(4) XOR ps2_word(3) XOR ps2_word(2) XOR ps2_word(1))); --determine if PS2 port is idle (i.e. last transaction is finished) and output result PROCESS(clk) BEGIN IF(clk'EVENT AND clk = '1') THEN --rising edge of system clock IF(ps2_clk_int = '0') THEN --low PS2 clock, PS/2 is active count_idle <= 0; --reset idle counter ELSIF(count_idle /= clk_freq/18_000) THEN --PS2 clock has been high less than a half clock period (<55us) count_idle <= count_idle + 1; --continue counting END IF; IF(count_idle = clk_freq/18_000 AND error = '0') THEN --idle threshold reached and no errors detected ps2_code_new <= '1'; --set flag that new PS/2 code is available ps2_code <= ps2_word(8 DOWNTO 1); --output new PS/2 code ELSE --PS/2 port active or error detected ps2_code_new <= '0'; --set flag that PS/2 transaction is in progress END IF; END IF; END PROCESS; END logic;
gpl-3.0
stefanct/aua
hw/io/sc_de2_switches/src/sc_de2_switches.vhd
1
1192
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity sc_de2_switches is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t; -- pins switch_pins : in std_logic_vector(15 downto 0); led_pins : out std_logic_vector(15 downto 0) ); end sc_de2_switches; architecture sat1 of sc_de2_switches is signal switch_reg : std_logic_vector(15 downto 0); signal led_reg : std_logic_vector(15 downto 0); begin rdy_cnt <= (others => '0'); -- no wait states rd_data <= ((rd_data'length-1 downto switch_reg'length => '0')&switch_reg); led_pins <= led_reg; process(clk, reset) begin if (reset='1') then switch_reg <= (others => '0'); led_reg <= (others => '0'); -- high active LEDs elsif rising_edge(clk) then if rd = '1' then switch_reg <= switch_pins; end if; if wr = '1' then led_reg <= not wr_data(15 downto 0); end if; end if; end process; end sat1;
gpl-3.0
viniciussmello/SistemasDigitais
Trabalho 1/AND_BitABit.vhd
1
721
---------------------------------------------------------------------------------- -- Create Date: 21:44:50 04/10/2017 -- Module Name: AND_BitABit - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity AND_BitABit is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Z : out STD_LOGIC_VECTOR (3 downto 0)); end AND_BitABit; architecture Behavioral of AND_BitABit is signal Zout : STD_LOGIC_VECTOR(3 downto 0); begin Zout(0) <= A(0) AND B(0); Zout(1) <= A(1) AND B(1); Zout(2) <= A(2) AND B(2); Zout(3) <= A(3) AND B(3); Z <= Zout; end Behavioral;
gpl-3.0
stefanct/aua
hw/io/sc_dummy/src/sc_dummy.vhd
1
2062
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity sc_test_slave is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t ); end sc_test_slave; architecture rtl of sc_test_slave is signal cycle_cnt, cycle_cnt_nxt : unsigned(3 downto 0); signal ready, ready_nxt : unsigned(3 downto 0); signal reg, reg_nxt : sc_data_t; signal sc_out, sc_out_nxt : sc_data_t; type state_type is (st_done, st_wait); signal state : state_type; signal state_nxt : state_type; begin rd_data <= sc_out; nxt: process(reset, state, cycle_cnt, reg, wr_data, address, wr, rd, ready, sc_out) begin cycle_cnt_nxt <= cycle_cnt; reg_nxt <= reg; sc_out_nxt <= sc_out; rdy_cnt <= (others => '0'); ready_nxt <= ready; state_nxt <= st_done; if state=st_done then if address(0)='0' then if(wr='1') then cycle_cnt_nxt <= unsigned(wr_data(3 downto 0)); end if; if rd='1' then sc_out_nxt <= (sc_out_nxt'length-1 downto cycle_cnt'length => '0')&std_logic_vector(cycle_cnt); end if; else if(wr='1') then reg_nxt <= wr_data; state_nxt <= st_wait; ready_nxt <= cycle_cnt; end if; if(rd='1') then sc_out_nxt <= reg; state_nxt <= st_wait; ready_nxt <= cycle_cnt; end if; end if; else ready_nxt <= ready-1; sc_out_nxt <= reg; if ready > 3 then rdy_cnt <= "11"; else rdy_cnt <= ready(1 downto 0); end if; if ready /= 0 then state_nxt <= st_wait; end if; end if; end process; sync: process(clk, reset) begin if (reset='1') then sc_out <= (others => '0'); cycle_cnt <= (others => '0'); reg <= (others => '0'); ready <= (others => '0'); state <= st_done; elsif rising_edge(clk) then sc_out <= sc_out_nxt; cycle_cnt <= cycle_cnt_nxt; reg <= reg_nxt; ready <= ready_nxt; state <= state_nxt; end if; end process; end rtl;
gpl-3.0
viniciussmello/SistemasDigitais
Trabalho 1/ULA/OR.vhd
1
719
---------------------------------------------------------------------------------- -- Create Date: 21:40:57 04/10/2017 -- Module Name: OR_BitABit - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity OR_BitABit is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Z : out STD_LOGIC_VECTOR (3 downto 0)); -- Saida end OR_BitABit; architecture Behavioral of OR_BitABit is signal Zout : STD_LOGIC_VECTOR(3 downto 0); begin Zout(0) <= A(0) OR B(0); Zout(1) <= A(1) OR B(1); Zout(2) <= A(2) OR B(2); Zout(3) <= A(3) OR B(3); Z <= Zout; end Behavioral;
gpl-3.0
stefanct/aua
hw/alu/src/alu_opt.vhd
1
10502
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; architecture opt of alu is component Mux32to1 is port( i01: in std_logic_vector(15 downto 0); i02: in std_logic_vector(15 downto 0); i03: in std_logic_vector(15 downto 0); i04: in std_logic_vector(15 downto 0); i05: in std_logic_vector(15 downto 0); i06: in std_logic_vector(15 downto 0); i07: in std_logic_vector(15 downto 0); i08: in std_logic_vector(15 downto 0); i09: in std_logic_vector(15 downto 0); i10: in std_logic_vector(15 downto 0); i11: in std_logic_vector(15 downto 0); i12: in std_logic_vector(15 downto 0); i13: in std_logic_vector(15 downto 0); i14: in std_logic_vector(15 downto 0); i15: in std_logic_vector(15 downto 0); i16: in std_logic_vector(15 downto 0); i17: in std_logic_vector(15 downto 0); i18: in std_logic_vector(15 downto 0); i19: in std_logic_vector(15 downto 0); i20: in std_logic_vector(15 downto 0); i21: in std_logic_vector(15 downto 0); i22: in std_logic_vector(15 downto 0); i23: in std_logic_vector(15 downto 0); i24: in std_logic_vector(15 downto 0); i25: in std_logic_vector(15 downto 0); i26: in std_logic_vector(15 downto 0); i27: in std_logic_vector(15 downto 0); i28: in std_logic_vector(15 downto 0); i29: in std_logic_vector(15 downto 0); i30: in std_logic_vector(15 downto 0); i31: in std_logic_vector(15 downto 0); i32: in std_logic_vector(15 downto 0); sel: in std_logic_vector(4 downto 0); mux_out: out std_logic_vector(15 downto 0) ); end component; signal carry: std_logic; signal carry_nxt: std_logic; signal mux_sel: std_logic_vector(4 downto 0); signal mux1_o: word_t; signal res_ldi: word_t; signal res_addi: word_t; signal res_muli: word_t; signal res_add: word_t; signal res_addc: word_t; signal res_sub: word_t; signal res_subc: word_t; signal res_mul: word_t; signal res_mulu: word_t; signal res_mulh: word_t; signal res_mulhu: word_t; signal res_or: word_t; signal res_and: word_t; signal res_xor: word_t; signal res_not: word_t; signal res_neg: word_t; signal res_asr: word_t; signal res_lsl: word_t; signal res_lsr: word_t; signal res_lsli: word_t; signal res_lsri: word_t; signal res_scb: word_t; signal res_roti: word_t; signal res_cmplt: word_t; signal res_cmpltu: word_t; signal res_cmplte: word_t; signal res_cmplteu: word_t; signal res_cmpe: word_t; signal res_cmpei: word_t; signal res_mov: word_t; signal res_ignore: word_t; begin mux1: Mux32to1 port map ( res_ldi, res_add, res_mul, res_add, res_addc, res_sub, res_subc, res_mul, res_mulu, res_mulh, res_mulhu, res_or, res_and, res_xor, res_not, res_neg, res_asr, res_lsl, res_lsr, res_lsli, res_lsri, res_scb, res_roti, res_cmplt, res_cmpltu, res_cmplte, res_cmplteu, res_cmpe, res_cmpei, res_mov, res_ignore, res_ignore, mux_sel, mux1_o ); sync_carry: process (clk, reset) begin if reset = '1' then carry <= '0'; elsif rising_edge(clk) then carry <= carry_nxt; end if; end process; process(opcode, opa, opb, carry, mux1_o) variable tmp_sel: std_logic_vector(4 downto 0); variable tmp_opa: std_logic_vector(16 downto 0); variable tmp_opb: std_logic_vector(16 downto 0); variable tmp_addc: std_logic_vector(16 downto 0); variable tmp_subc: std_logic_vector(16 downto 0); variable tmp_carry: std_logic_vector(16 downto 0); variable carry_addc:std_logic; variable carry_subc:std_logic; variable tmp_muls: std_logic_vector(31 downto 0); variable tmp_mulu: std_logic_vector(31 downto 0); variable tmp_scb: word_t; variable tmp_sll: word_t; variable tmp_srl: word_t; begin res_ldi <= opa(15 downto 8) & opb(7 downto 0); -------------------------------------------------------------------------------------------------- tmp_opa := std_logic_vector(('0' & opa)); tmp_opb := std_logic_vector(('0' & opb)); if (opcode = "100001") or (opcode = "100011") then tmp_carry := (x"0000"&carry); else tmp_carry := (16 downto 0 => '0'); end if; tmp_addc := std_logic_vector(unsigned(tmp_opa) + unsigned(tmp_opb) + unsigned(tmp_carry)); tmp_subc := std_logic_vector(unsigned(tmp_opa) - unsigned(tmp_opb) - unsigned(tmp_carry)); carry_addc := tmp_addc(16); carry_subc := tmp_subc(16); res_add <= tmp_addc(15 downto 0); res_addc <= tmp_addc(15 downto 0); res_sub <= tmp_subc(15 downto 0); res_subc <= tmp_subc(15 downto 0); if opcode = "100001" or opcode = "011000" or opcode = "100000" then carry_nxt <= carry_addc; elsif opcode = "100011" or opcode = "100010" then carry_nxt <= carry_subc; else carry_nxt <= '0'; end if; -------------------------------------------------------------------------------------------------- -- tmp_add := std_logic_vector(('0' & unsigned(opa)) + ('0' & unsigned(opb))); -- tmp_addc := std_logic_vector(('0' & unsigned(opa)) + ('0' & unsigned(opb)) + (x"0000"&carry)); -- carry_add := tmp_add(16); -- carry_addc := tmp_addc(16); -- -- res_add <= tmp_add(15 downto 0); -- res_addc <= tmp_addc(15 downto 0); -- -- tmp_sub := std_logic_vector(('0' & unsigned(opa)) - ('0' & unsigned(opb))); -- tmp_subc := std_logic_vector(('0' & unsigned(opa)) - ('0' & unsigned(opb)) - (x"0000"&carry)); -- carry_sub := tmp_sub(16); -- carry_subc := tmp_subc(16); -- -- res_sub <= tmp_sub(15 downto 0); -- res_subc <= tmp_subc(15 downto 0); -------------------------------------------------------------------------------------------------- tmp_muls := std_logic_vector(signed(opa) * signed(opb)); tmp_mulu := std_logic_vector(unsigned(opa) * unsigned(opb)); res_mul <= tmp_muls(15 downto 0); res_mulu <= tmp_mulu(15 downto 0); res_mulh <= tmp_muls(31 downto 16); res_mulhu <= tmp_mulu(31 downto 16); res_or <= opa or opb; res_and <= opa and opb; res_xor <= opa xor opb; res_not <= not opb; res_neg <= std_logic_vector(unsigned(not opb) + 1); res_asr <= to_stdlogicvector(to_bitvector(opb) sra 1); res_lsl <= std_logic_vector(unsigned(opb) sll 1); res_lsr <= std_logic_vector(unsigned(opb) srl 1); res_lsli <= std_logic_vector(unsigned(opa) sll to_integer(unsigned(opb(3 downto 0)))); res_lsri <= std_logic_vector(unsigned(opa) srl to_integer(unsigned(opb(3 downto 0)))); tmp_scb := opa; tmp_scb(to_integer(unsigned(opb(3 downto 0)))) := opb(4); res_scb <= tmp_scb; if opb(4) = '0' then -- rotl res_roti <= std_logic_vector(unsigned(opa) rol to_integer(unsigned(opb(3 downto 0)))); else -- rotr res_roti <= std_logic_vector(unsigned(opa) ror to_integer(unsigned(opb(3 downto 0)))); end if; if signed(opa) < signed(opb) then res_cmplt <= x"0001"; else res_cmplt <= x"0000"; end if; if unsigned(opa) < unsigned(opb) then res_cmpltu <= x"0001"; else res_cmpltu <= x"0000"; end if; if signed(opa) <= signed(opb) then res_cmplte <= x"0001"; else res_cmplte <= x"0000"; end if; if unsigned(opa) <= unsigned(opb) then res_cmplteu <= x"0001"; else res_cmplteu <= x"0000"; end if; if opa = opb then res_cmpe <= x"0001"; else res_cmpe <= x"0000"; end if; if opa = ((15 downto 5 => '0')&opb(4 downto 0)) then res_cmpei <= x"0001"; else res_cmpei <= x"0000"; end if; res_mov <= opb; res_ignore <= x"0000"; case opcode(5 downto 3) is when "000" => tmp_sel := "00000"; --ldi --when "001" => tmp_sel := "11110"; branches--ignore --when "010" => tmp_sel := "11110"; --ignore when "011" => case opcode(2 downto 0) is when "000" => tmp_sel := "00001"; --addi when "001" => tmp_sel := "00001"; --addi when "010" => tmp_sel := "00001"; --addi when "011" => tmp_sel := "00001"; --addi when "100" => tmp_sel := "00010"; --muli when "101" => tmp_sel := "00010"; --muli when "110" => tmp_sel := "00010"; --muli when "111" => tmp_sel := "00010"; --muli when others => tmp_sel := "11110"; --ignore end case; when "100" => case opcode(2 downto 0) is when "000" => tmp_sel := "00011"; --add when "001" => tmp_sel := "00100"; --addc when "010" => tmp_sel := "00101"; --sub when "011" => tmp_sel := "00110"; --subc when "100" => tmp_sel := "00111"; --mul when "101" => tmp_sel := "01000"; --mulu when "110" => tmp_sel := "01001"; --mulh when "111" => tmp_sel := "01010"; --mulhu when others => tmp_sel := "11110"; --ignore end case; when "101" => case opcode(2 downto 0) is when "000" => tmp_sel := "01011"; --or when "001" => tmp_sel := "01100"; --and when "010" => tmp_sel := "01101"; --xor when "011" => tmp_sel := "01110"; --not when "100" => tmp_sel := "01111"; --neg when "101" => tmp_sel := "10000"; --asr when "110" => tmp_sel := "10001"; --lsl when "111" => tmp_sel := "10010"; --lsr when others => tmp_sel := "11110"; --ignore end case; when "110" => case opcode(2 downto 0) is when "000" => tmp_sel := "10011"; --lsli when "001" => tmp_sel := "10100"; --lsri when "010" => tmp_sel := "10101"; --scb when "011" => tmp_sel := "10110"; --roti when "100" => tmp_sel := "10111"; --cmplt when "101" => tmp_sel := "11000"; --cmpltu when "110" => tmp_sel := "11001"; --cmplte when "111" => tmp_sel := "11010"; --cmplteu when others => tmp_sel := "11110"; --ignore end case; when "111" => case opcode(2 downto 0) is when "000" => tmp_sel := "11011"; --cmpe when "001" => tmp_sel := "11100"; --cmpei when "010" => tmp_sel := "11110"; --ignore when "011" => tmp_sel := "11101"; --mov when "100" => tmp_sel := "11110"; --ld, ignore when "101" => tmp_sel := "11110"; --ldb, ignore when "110" => tmp_sel := "11110"; --st, ignore when "111" => tmp_sel := "11110"; --stb, ignore when others => tmp_sel := "11110"; --ignore end case; when others => tmp_sel := "11110"; --ignore end case; mux_sel <= tmp_sel; result <= mux1_o; end process; end opt;
gpl-3.0
stefanct/aua
hw/if/sim/tb.vhd
1
1933
library ieee; use ieee.std_logic_1164.all; use work.aua_types.all; entity if_tb is end if_tb; architecture if_test of if_tb is component ent_if is port ( clk : in std_logic; reset : in std_logic; -- pipeline register outputs opcode : out opcode_t; dest : out reg_t; pc_out : out word_t; rega : out reg_t; regb : out reg_t; imm : out std_logic_vector(7 downto 0); -- asynchron register outputs async_rega : out reg_t; async_regb : out reg_t; -- branches (from ID) pc_in : in word_t; branch : in std_logic; -- mmu instr_addr : out word_t; instr_data : in word_t ); end component; signal clk : std_logic; signal reset : std_logic; -- pipeline register outputs signal opcode : opcode_t; signal dest : reg_t; signal pc_out : word_t; signal rega : reg_t; signal regb : reg_t; signal imm : std_logic_vector(7 downto 0); signal async_rega : reg_t; signal async_regb : reg_t; -- branches (from ID) signal pc_in : word_t; signal branch : std_logic; -- mmu signal instr_addr : word_t; signal instr_data : word_t; begin if1: ent_if port map(clk, reset, opcode, dest, pc_out, rega, regb, imm, async_rega, async_regb, pc_in, branch, instr_addr, instr_data); CLKGEN: process begin clk <= '1'; wait for 5 ns; clk <= '0'; wait for 5 ns; end process CLKGEN; TEST: process procedure icwait(cycles : natural) is begin for i in 1 to cycles loop wait until clk = '0' and clk'event; end loop; end; begin reset <= '1'; pc_in <= "1111111111111111"; branch <= '0'; instr_data <= "1100110010101010"; icwait(2); -- reset <= '0'; icwait(1); -- branch <= '1'; icwait(1); -- branch <= '0'; instr_data <= "0000110010101010"; icwait(100); -- end process TEST; end if_test;
gpl-3.0
viniciussmello/SistemasDigitais
Trabalho 1/ULA/incrementador.vhd
1
845
---------------------------------------------------------------------------------- -- Create Date: 15:31:16 04/11/2017 -- Module Name: incrementador - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity incrementador is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); O : out STD_LOGIC_VECTOR (3 downto 0)); end incrementador; architecture Behavioral of incrementador is component Somador4bits Port ( X : in STD_LOGIC_VECTOR (3 downto 0); Y : in STD_LOGIC_VECTOR (3 downto 0); Cin : in STD_LOGIC; Cout : out STD_LOGIC; Ov : out STD_LOGIC; Z : out STD_LOGIC_VECTOR (3 downto 0)); end component; begin O: Somador4bits port map( A, "0000", '1'); end Behavioral;
gpl-3.0
David-Estevez/spaceinvaders
src/screenFormat.vhd
1
12453
---------------------------------------------------------------------------------- -- -- Lab session #4: screenFormat -- -- Send the screen elements to the VGA controller -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity screenFormat is port ( VGAx : in std_logic_vector (9 downto 0); VGAy : in std_logic_vector (9 downto 0); test : in std_logic; invArray: in std_logic_vector (39 downto 0); invLine : in std_logic_vector (3 downto 0); shipX1 : in std_logic_vector (4 downto 0); bullX1 : in std_logic_vector (4 downto 0); bullY1 : in std_logic_vector (3 downto 0); bulletFlying1: in std_logic; player2shown : in std_logic; shipX2 : in std_logic_vector (4 downto 0); bullX2 : in std_logic_vector (4 downto 0); bullY2 : in std_logic_vector (3 downto 0); bulletFlying2: in std_logic; specialScreen: in std_logic_vector( 2 downto 0); p1Score: in std_logic_vector(7 downto 0); p2Score: in std_logic_vector(7 downto 0); rgb : out std_logic_vector(2 downto 0) ); end screenFormat; architecture behavioral of screenFormat is -- macropixels signal x : std_logic_vector (4 downto 0); -- 0 to 19 signal y : std_logic_vector (3 downto 0); -- 0 to 14 -- game sprites: type sprite is array( 0 to 31, 0 to 31) of std_logic; CONSTANT alien1: sprite := ( "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011000000000011000000000", "00000000011000000000011000000000", "00000000000110000001100000000000", "00000000000110000001100000000000", "00000000011111111111111000000000", "00000000011111111111111000000000", "00000001111001111110011110000000", "00000001111001111110011110000000", "00000111111111111111111111100000", "00000111111111111111111111100000", "00000110011111111111111001100000", "00000110011111111111111001100000", "00000110011000000000011001100000", "00000110011000000000011001100000", "00000000000111100111100000000000", "00000000000111100111100000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000" ); CONSTANT ship_sprite: sprite := ( "00000000000000011000000000000000", "00000000000000011000000000000000", "00000000000000011000000000000000", "00000000000000011000000000000000", "00000000000001111110000000000000", "00000000000001111110000000000000", "00000000000001111110000000000000", "00000000000001111110000000000000", "00000001100001111110000110000000", "00000001100001111110000110000000", "00000001100001111110000110000000", "00000001100001111110000110000000", "00000001100111111111100110000000", "00000001100111111111100110000000", "00000001111111100111111110000000", "00000001111111100111111110000000", "01100001111110000001111110000110", "01100001111110000001111110000110", "01100001111110011001111110000110", "01100001111110011001111110000110", "01100001111111111111111110000110", "01100001111111111111111110000110", "01100111111111111111111111100110", "01100111111111111111111111100110", "01111111111111111111111111111110", "01111111111111111111111111111110", "01111110011111111111111001111110", "01111110011111111111111001111110", "01111000011110011001111000011110", "01111000011110011001111000011110", "01100000000000011000000000000110", "01100000000000011000000000000110" ); CONSTANT funny_bullet: sprite := ( "00000000000000111100000000000000", "00000000000001011110000000000000", "00000000000010101111000000000000", "00000000000110001111100000000000", "00000000001111111111110000000000", "00000000001111110011110000000000", "00000000011111110001111000000000", "00000000011111100001111000000000", "00000000011111110001111000000000", "00000000011111100001111000000000", "00000000011111100011111000000000", "00000000011111110011111000000000", "00000000011111111111111000000000", "00000000000000000000000000000000", "00000000010111111111111000000000", "00000000010111111111111000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000" ); -- Define colors as constants: CONSTANT BLACK : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "000"; CONSTANT BLUE : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "001"; CONSTANT GREEN : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "010"; CONSTANT CYAN : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "011"; CONSTANT RED : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "100"; CONSTANT MAGENTA : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "101"; CONSTANT YELLOW : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "110"; CONSTANT WHITE : STD_LOGIC_VECTOR( 2 DOWNTO 0) := "111"; begin -- Conversion to macropixels (32x32 blocks) x <= VGAx(9 downto 5); y <= VGAy(8 downto 5); -- Process to draw the screen process (x,y,test,specialScreen,invArray,bulletFlying1,bullX1,bullY1,player2shown,bulletFlying2,bullX2,bullY2,shipX1,shipX2,invLine) variable currentPixel: std_logic; -- Stores temporarily the current pixel of the sprite variable currentInvader: std_logic_vector( 1 downto 0); -- Stores the type of the current invader variable indX, indY: integer range 0 to 31; -- Indices inside the 32x32 block variable indInv: integer range 0 to 39; -- Index inside the invaders array begin if test = '1' then -- Show test checkerboard pattern ---------------------------------------- if (x(0) xor y(0)) = '1' then rgb <= BLACK; else rgb <= WHITE; end if; else -- Select screen case specialScreen is when "000" => -- No special screen (show game) ------------------------------------ -- Get coordinates inside de 32x32 macropixel indX := to_integer(unsigned(VGAx(4 downto 0))); indY := to_integer(unsigned(VGAy(4 downto 0))); -- Convert the 'macropixel' x coordinate to the invArray coordinates (2*x+1, 2*x) indInv := to_integer(unsigned(x)) * 2; currentInvader := invArray( indInv+1 downto indInv); -- Show player 1 bullet in red if bulletFlying1 = '1' and (x = bullX1) and (y = bullY1) then if funny_bullet( indY, indX) = '1' then rgb <= CYAN; else rgb <= BLACK; end if; -- Show player 2 bullet in magenta elsif player2shown = '1' and bulletFlying2 = '1' and (x = bullX2) and (y = bullY2) then if funny_bullet( indY, indX) = '1' then rgb <= MAGENTA; else rgb <= BLACK; end if; -- Show ship 1 in blue elsif (x = shipX1) and (y = std_logic_vector(to_unsigned(14,4))) then if ship_sprite( indY, indX) = '1' then rgb <= BLUE; else rgb <= BLACK; end if; -- Show ship 2 in cyan elsif player2shown = '1' and (x = shipX2) and (y = std_logic_vector(to_unsigned(14,4))) then if ship_sprite( indY, indX) = '1' then rgb <= RED; else rgb <= BLACK; end if; -- Show invaders in green elsif ( currentInvader /= "00") and (y = invLine) then currentPixel := alien1( indY, indX); if currentPixel = '1' then case currentInvader is when "01" => -- Easy alien rgb <= GREEN; when "10" => -- Medium alien rgb <= YELLOW; when "11" => -- Hard alien rgb <= WHITE; when others => rgb <= "XXX"; end case; else rgb <= BLACK; end if; else -- Pixel is black otherwise: rgb <= BLACK; end if ; when "001" => -- You win screen ------------------------------------- -- Get coordinates inside de 32x32 macropixel indX := to_integer(unsigned(VGAx(4 downto 0))); indY := to_integer(unsigned(VGAy(4 downto 0))); -- Convert the 'macropixel' x coordinate to the invArray coordinates (2*x+1, 2*x) indInv := to_integer(unsigned(x)) * 2; currentInvader := invArray( indInv+1 downto indInv); if (y = std_logic_vector(to_unsigned(5,4))) then -- We are in the correct line if ((x > std_logic_vector(to_unsigned(0,5))) and (x < std_logic_vector(to_unsigned(9,5)))) then -- We are in the correct box if (p1Score(7-to_integer(unsigned(x))) = '1') then -- Show an alien currentPixel := alien1( indY, indX); if currentPixel = '1' then rgb <= BLACK; else rgb <= BLUE; end if; else rgb <= BLUE; end if; else rgb <= BLACK; end if; elsif (y = std_logic_vector(to_unsigned(7,4))) then -- We are in the correct line if ((x > std_logic_vector(to_unsigned(0,5))) and (x < std_logic_vector(to_unsigned(9,5)))) then -- We are in the correct box if (p2Score(7-to_integer(unsigned(x))) = '1') then -- Show an alien currentPixel := alien1( indY, indX); if currentPixel = '1' then rgb <= BLACK; else rgb <= RED; end if; else rgb <= RED; end if; else rgb <= BLACK; end if; else rgb <= BLACK; end if; when "010" => -- You lose screen ------------------------------------- if (x(0) xor y(0)) = '1' then rgb <= BLACK; else rgb <= BLUE; end if; -- Temporarily blue checkerboard pattern when "011" => -- Game won screen ------------------------------------- if (x(0) xor y(0)) = '1' then rgb <= BLACK; else rgb <= YELLOW; end if; -- Temporarily blue checkerboard pattern when others => rgb <= "XXX"; -- Indicate error end case; end if ; end process; end behavioral;
gpl-3.0
stefanct/aua
hw/id/src/id.vhd
1
5648
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity id is port ( clk : in std_logic; reset : in std_logic; -- pipeline register inputs opcode_in : in opcode_t; dest_in : in reg_t; pc_in : in pc_t; pcnxt_in : in pc_t; rega_in : in reg_t; regb_in : in reg_t; imm_in : in std_logic_vector(7 downto 0); -- asynchron register inputs async_rega : in reg_t; async_regb : in reg_t; -- results from wb to reg file regr : in reg_t; valr : in word_t; -- pipeline register outputs opcode_out : out opcode_t; dest_out : out reg_t; opa_out : out word_t; opb_out : out word_t; -- needed for EX forwarding rega_out : out reg_t; regb_out : out reg_t; opb_isfrom_regb : out boolean; -- branch decision pc_out : out pc_t; branch_out : out std_logic; -- interlock lock : in std_logic; id_locks : out std_logic ); end id; architecture sat1 of id is component reg is port ( clk : in std_logic; reset : in std_logic; async_rega : in reg_t; async_regb : in reg_t; rega : in reg_t; regb : in reg_t; async_regr : in reg_t; async_valr : in word_t; vala : out word_t; valb : out word_t ); end component; signal opcode_nxt : opcode_t; signal dest_nxt : reg_t; signal opa_nxt : word_t; signal opb_nxt : word_t; signal dest : reg_t; signal opa : word_t; signal opb : word_t; signal vala : word_t; signal valb : word_t; signal rega_nxt : reg_t; signal regb_nxt : reg_t; signal opb_isfrom_regb_nxt : boolean; signal jmpl_op : std_logic; -- set if instr is jmpl. used to propagate $ra to EX signal opa_to_nop : std_logic; -- set if we need opa to be all 0s for idle EX signal br_data_hz_nxt : std_logic; signal br_data_hz : std_logic; begin cmp_reg : reg port map(clk, reset, async_rega, async_regb, rega_in, regb_in, regr, valr, vala, valb); dest_out <= dest; rega_nxt <= rega_in; regb_nxt <= regb_in; id_locks <= br_data_hz_nxt; branch: process (opcode_in, pc_in, vala, valb, dest_in, dest, opb_nxt, rega_in, regb_in, br_data_hz) variable inv : std_logic; -- set if op is a "not branch" variable brinstr : std_logic; -- set if op changes PC begin inv := '0'; brinstr := '0'; br_data_hz_nxt <= '0'; opa_to_nop <= '0'; jmpl_op <= '0'; pc_out <= resize(unsigned(to_integer(pc_in) + signed(resize(unsigned(opb_nxt(ADDR_SIZE-1 downto 1)&'0'), ADDR_SIZE+1))), ADDR_SIZE); --~ pc_out <= unsigned(resize(unsigned(opb_nxt(ADDR_SIZE-1 downto 1)&'0'), ADDR_SIZE+1)); -- numeric_std warnings in modelsim opcode_nxt <= opcode_in; if opcode_in(5 downto 3)="010" then -- branch imm inv := opcode_in(2); brinstr := '1'; -- schedule nop opcode_nxt <= (others => '0'); opa_to_nop <= '1'; dest_nxt <= (others => '0'); elsif opcode_in(5 downto 1) ="00111" then inv := opcode_in(0); brinstr := '1'; pc_out <= pc_t(opb_nxt); -- absolute branch -- schedule nop opcode_nxt <= (others => '0'); opa_to_nop <= '1'; dest_nxt <= (others => '0'); elsif opcode_in = "001101" then inv := '0'; brinstr := '1'; -- jmpl, schedule mov r31, pc! opcode_nxt <= "111011"; dest_nxt <= "11111"; jmpl_op <= '1'; pc_out <= pc_t(valb); -- jump is absolute, and we need to take the reg value directly! elsif opcode_in(5 downto 2) = "1101" or opcode_in(5 downto 1) = "11100" then dest_nxt <= "11011"; else dest_nxt <= dest_in; end if; branch_out <= '0'; if brinstr='1' then if (dest=rega_in or dest=regb_in) and br_data_hz='0' then br_data_hz_nxt <= '1'; elsif (x"0000"=vala xor inv='1') then branch_out <= '1'; end if; end if; end process; -- inserts a nop (for branches etc.) insert_nop: process (vala, opa_to_nop) begin if opa_to_nop = '1' then opa_nxt <= (others => '0'); else opa_nxt <= vala; end if; end process; -- sign extend, expand and mux with regb extend_n_mux: process (opcode_in, imm_in, valb, jmpl_op, pcnxt_in) begin opb_isfrom_regb_nxt <= false; if opcode_in(5 downto 3)="000" then opb_nxt <= (15 downto 8 => '0') & imm_in(7 downto 0); elsif opcode_in(5 downto 2) ="1100" or opcode_in(5 downto 0) ="111010" then --expand whole imm (alu has to take care if thats "too much") opb_nxt <= (15 downto 7 => '0') & imm_in(6 downto 0); elsif opcode_in(5 downto 4)="01" then --sign extend imm(6 downto 0) if opcode_in(3) = '0' then -- imm branch; word addressing -> shift opb_nxt <= (15 downto 8 => imm_in(6)) & imm_in(6 downto 0) & '0'; else opb_nxt <= (15 downto 7 => imm_in(6)) & imm_in(6 downto 0); end if; elsif jmpl_op='1' then opb_nxt <= word_t(pcnxt_in); -- EX needs the return address --~ opb_nxt <= valb; else opb_isfrom_regb_nxt <= true; opb_nxt <= valb; end if; end process; sync: process (clk, reset) begin if reset = '1' then opcode_out <= (others => '0'); --~ dest_out <= (others => '0'); dest <= (others => '0'); opa_out <= (others => '0'); opb_out <= (others => '0'); rega_out <= (others => '0'); regb_out <= (others => '0'); br_data_hz <= '0'; opb_isfrom_regb <= false; elsif rising_edge(clk) then if lock/='1' then opcode_out <= opcode_nxt; --~ dest_out <= dest_nxt; dest <= dest_nxt; opa_out <= opa_nxt; opb_out <= opb_nxt; --~ else --~ opcode <= opcode; --~ dest <= dest; --~ opa <= opa; --~ opb <= opb; rega_out <= rega_nxt; regb_out <= regb_nxt; opb_isfrom_regb <= opb_isfrom_regb_nxt; br_data_hz <= br_data_hz_nxt; end if; end if; end process; end sat1;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@m@i@s@c_@r@d@m@u@x/_primary.vhd
3
2273
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_MISC_RDMUX is port( ADC0_CALIBRATE : in vl_logic; ADC1_CALIBRATE : in vl_logic; ADC2_CALIBRATE : in vl_logic; ADC0_SAMPLE : in vl_logic; ADC1_SAMPLE : in vl_logic; ADC2_SAMPLE : in vl_logic; ADC0_BUSY : in vl_logic; ADC1_BUSY : in vl_logic; ADC2_BUSY : in vl_logic; ADC0_DATAVALID : in vl_logic; ADC1_DATAVALID : in vl_logic; ADC2_DATAVALID : in vl_logic; ADC0_RESULT : in vl_logic_vector(11 downto 0); ADC1_RESULT : in vl_logic_vector(11 downto 0); ADC2_RESULT : in vl_logic_vector(11 downto 0); COMPARATOR : in vl_logic_vector(11 downto 0); SSE_IRQ_EN : in vl_logic_vector(20 downto 0); SSE_IRQ : in vl_logic_vector(20 downto 0); COMP_IRQ_EN : in vl_logic_vector(23 downto 0); COMP_IRQ : in vl_logic_vector(23 downto 0); PPE_FIFO_IRQ : in vl_logic_vector(8 downto 0); PPE_FIFO_IRQ_EN : in vl_logic_vector(8 downto 0); PPE_FLAGS0_IRQ : in vl_logic_vector(31 downto 0); PPE_FLAGS0_IRQ_EN: in vl_logic_vector(31 downto 0); PPE_FLAGS1_IRQ : in vl_logic_vector(31 downto 0); PPE_FLAGS1_IRQ_EN: in vl_logic_vector(31 downto 0); PPE_FLAGS2_IRQ : in vl_logic_vector(31 downto 0); PPE_FLAGS2_IRQ_EN: in vl_logic_vector(31 downto 0); PPE_FLAGS3_IRQ : in vl_logic_vector(31 downto 0); PPE_FLAGS3_IRQ_EN: in vl_logic_vector(31 downto 0); PPE_SFFLAGS_IRQ : in vl_logic_vector(31 downto 0); PPE_SFFLAGS_IRQ_EN: in vl_logic_vector(31 downto 0); FPGA_FLAGS_SEL : in vl_logic_vector(9 downto 0); PPE_PDMA_CTRL : in vl_logic_vector(31 downto 0); PDMA_STATUS : in vl_logic_vector(31 downto 0); PPE_PDMA_DATAOUT: in vl_logic_vector(31 downto 0); PADDR : in vl_logic_vector(12 downto 0); PRDATA_MISC : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_MISC_RDMUX;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/read_analog_input/_primary.vhd
3
259
library verilog; use verilog.vl_types.all; entity read_analog_input is port( serial_in : in vl_logic; read_enb : in vl_logic; parallel_out : out vl_logic_vector(63 downto 0) ); end read_analog_input;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@s@s@e_@e@n@g@i@n@e/_primary.vhd
3
3833
library verilog; use verilog.vl_types.all; entity F2DSS_SSE_ENGINE is generic( RAM_DEBUG : integer := 0 ); port( PRESETN : in vl_logic; PCLK : in vl_logic; TDM_CNT : in vl_logic_vector(2 downto 0); SSE_TS_CTRL0 : out vl_logic; SSE_RWB : in vl_logic; SSE_ADDR : in vl_logic_vector(9 downto 0); SSE_WDATA : in vl_logic_vector(15 downto 0); SSE_RDATA_o : out vl_logic_vector(15 downto 0); PPE_FIFO_FULL : in vl_logic; PC0_FLAGS_o : out vl_logic_vector(3 downto 0); PC1_FLAGS_o : out vl_logic_vector(3 downto 0); PC2_FLAGS_o : out vl_logic_vector(3 downto 0); ADC0_CALIBRATE_rise: out vl_logic; ADC1_CALIBRATE_rise: out vl_logic; ADC2_CALIBRATE_rise: out vl_logic; ADC0_CALIBRATE_fall: out vl_logic; ADC1_CALIBRATE_fall: out vl_logic; ADC2_CALIBRATE_fall: out vl_logic; ADC0_DATAVALID_rise: out vl_logic; ADC1_DATAVALID_rise: out vl_logic; ADC2_DATAVALID_rise: out vl_logic; ADC0_BUSY : in vl_logic; ADC1_BUSY : in vl_logic; ADC2_BUSY : in vl_logic; ADC0_CALIBRATE : in vl_logic; ADC1_CALIBRATE : in vl_logic; ADC2_CALIBRATE : in vl_logic; ADC0_DATAVALID : in vl_logic; ADC1_DATAVALID : in vl_logic; ADC2_DATAVALID : in vl_logic; ADC0_SAMPLE : in vl_logic; ADC1_SAMPLE : in vl_logic; ADC2_SAMPLE : in vl_logic; ADC0_TVC_o : out vl_logic_vector(7 downto 0); ADC1_TVC_o : out vl_logic_vector(7 downto 0); ADC2_TVC_o : out vl_logic_vector(7 downto 0); ADC0_STC_o : out vl_logic_vector(7 downto 0); ADC1_STC_o : out vl_logic_vector(7 downto 0); ADC2_STC_o : out vl_logic_vector(7 downto 0); ADC0_MODE_o : out vl_logic_vector(3 downto 0); ADC1_MODE_o : out vl_logic_vector(3 downto 0); ADC2_MODE_o : out vl_logic_vector(3 downto 0); ADC_VAREFSEL_o : out vl_logic; ABPOWERON_o : out vl_logic; ADC0_CHNUMBER_o : out vl_logic_vector(4 downto 0); ADC1_CHNUMBER_o : out vl_logic_vector(4 downto 0); ADC2_CHNUMBER_o : out vl_logic_vector(4 downto 0); ADC0_ADCSTART_o : out vl_logic; ADC1_ADCSTART_o : out vl_logic; ADC2_ADCSTART_o : out vl_logic; ADC0_PWRDWN_o : out vl_logic; ADC1_PWRDWN_o : out vl_logic; ADC2_PWRDWN_o : out vl_logic; ADC0_ADCRESET_o : out vl_logic; ADC1_ADCRESET_o : out vl_logic; ADC2_ADCRESET_o : out vl_logic; ACB_RDATA : in vl_logic_vector(7 downto 0); ACB_ADDR : out vl_logic_vector(7 downto 0); ACB_WRE : out vl_logic; ACB_WDATA : out vl_logic_vector(7 downto 0); ACB_RESETN : out vl_logic; DAC0_DATA_o : out vl_logic_vector(23 downto 0); DAC1_DATA_o : out vl_logic_vector(23 downto 0); DAC2_DATA_o : out vl_logic_vector(23 downto 0); DAC0_CTRL_o : out vl_logic_vector(7 downto 0); DAC1_CTRL_o : out vl_logic_vector(7 downto 0); DAC2_CTRL_o : out vl_logic_vector(7 downto 0); PDMA_decode : in vl_logic; INREADY_o : out vl_logic; SSE_ADC0_RESULTS_o: out vl_logic; SSE_ADC1_RESULTS_o: out vl_logic; SSE_ADC2_RESULTS_o: out vl_logic ); end F2DSS_SSE_ENGINE;
gpl-3.0
kristofferkoch/ethersound
tb_timer.vhd
1
1551
library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.numeric_std.ALL; ENTITY tb_timer IS END tb_timer; ARCHITECTURE behavior OF tb_timer IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT timer PORT( reset : IN std_logic; sysclk : IN std_logic; load : IN unsigned(63 downto 0); load_en : IN std_logic; time_o : OUT unsigned(63 downto 0); ppm : IN signed(9 downto 0) ); END COMPONENT; --Inputs signal reset : std_logic := '0'; signal sysclk : std_logic := '0'; signal load : unsigned(63 downto 0) := (others => '0'); signal load_en : std_logic := '0'; signal ppm : signed(9 downto 0) := (others => '0'); --Outputs signal time_o : unsigned(63 downto 0); -- Clock period definitions constant sysclk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: timer PORT MAP ( reset => reset, sysclk => sysclk, load => load, load_en => load_en, time_o => time_o, ppm => ppm ); -- Clock process definitions sysclk_process :process begin sysclk <= '0'; wait for sysclk_period/2; sysclk <= '1'; wait for sysclk_period/2; end process; -- Stimulus process stim_proc: process begin reset <= '1'; ppm <= to_signed(-1, 10); load_en <= '0'; wait for 100 ns; reset <= '0'; wait for sysclk_period*10; -- insert stimulus here wait; end process; END;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@p@p@e_@r@d@m@u@x/_primary.vhd
3
2940
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_PPE_RDMUX is port( RAM_RD_B_apbrd_pre: in vl_logic; RAM_DO_B : in vl_logic_vector(31 downto 0); ADC0_FIFO_CTRL : in vl_logic_vector(31 downto 0); ADC0_FIFO_STATUS: in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA : in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA_PEEK: in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA0 : in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA1 : in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA2 : in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA3 : in vl_logic_vector(31 downto 0); ADC1_FIFO_CTRL : in vl_logic_vector(31 downto 0); ADC1_FIFO_STATUS: in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA : in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA_PEEK: in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA0 : in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA1 : in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA2 : in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA3 : in vl_logic_vector(31 downto 0); ADC2_FIFO_CTRL : in vl_logic_vector(31 downto 0); ADC2_FIFO_STATUS: in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA : in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA_PEEK: in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA0 : in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA1 : in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA2 : in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA3 : in vl_logic_vector(31 downto 0); PPE_CTRL : in vl_logic_vector(31 downto 0); PPE_PC_ETC : in vl_logic_vector(31 downto 0); PPE_SCRATCH : in vl_logic_vector(31 downto 0); PPE_SF : in vl_logic_vector(31 downto 0); ALU_CTRL : in vl_logic_vector(31 downto 0); ALU_STATUS : in vl_logic_vector(31 downto 0); ALU_A : in vl_logic_vector(31 downto 0); ALU_B : in vl_logic_vector(31 downto 0); ALU_C : in vl_logic_vector(31 downto 0); ALU_D : in vl_logic_vector(15 downto 0); ALU_E : in vl_logic_vector(15 downto 0); PPE_FPTR : in vl_logic_vector(31 downto 0); PPE_FLAGS0 : in vl_logic_vector(31 downto 0); PPE_FLAGS1 : in vl_logic_vector(31 downto 0); PPE_FLAGS2 : in vl_logic_vector(31 downto 0); PPE_FLAGS3 : in vl_logic_vector(31 downto 0); PPE_SFFLAGS : in vl_logic_vector(31 downto 0); PADDR : in vl_logic_vector(12 downto 0); PRDATA_PPE : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_PPE_RDMUX;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/drive_differential_inputs/_primary.vhd
3
338
library verilog; use verilog.vl_types.all; entity drive_differential_inputs is port( volt_vect : in vl_logic_vector(63 downto 0); delta_vect : in vl_logic_vector(63 downto 0); av : out vl_logic; ac : out vl_logic ); end drive_differential_inputs;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@m@i@s@c_@f@d@e@t/_primary.vhd
3
286
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_MISC_FDET is port( PCLK : in vl_logic; PRESETN : in vl_logic; D : in vl_logic; FALL : out vl_logic ); end F2DSS_ACE_MISC_FDET;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@a@b_@f060/_primary.vhd
3
4185
library verilog; use verilog.vl_types.all; entity F2AB_F060 is generic( WIDTH : integer := 32; DAC_RESOLUTION : vl_logic_vector(5 downto 0) := (Hi0, Hi0, Hi0, Hi0, Hi0, Hi0); WARNING_MSGS_ON : integer := 1; FAST_ADC_CONV_SIM: integer := 0; ANALOG_QUAD_NUM : integer := 6; ADC_NUM : integer := 1; NUM_ADC_IN : integer := 5; VAREF_INT : real := 2.560000 ); port( AV1 : in vl_logic_vector(5 downto 0); AV2 : in vl_logic_vector(5 downto 0); AC : in vl_logic_vector(5 downto 0); AT : in vl_logic_vector(5 downto 0); ATGND_01 : in vl_logic; ATGND_23 : in vl_logic; ATGND_45 : in vl_logic; VAREF : in vl_logic_vector(2 downto 0); ADCGNDREF : in vl_logic; ADC_VAREFSEL : in vl_logic; ADC0 : in vl_logic_vector(3 downto 0); ADC1 : in vl_logic_vector(3 downto 0); ADC2 : in vl_logic_vector(3 downto 0); ADC_F060 : in vl_logic_vector(13 downto 0); DEN_ADC : in vl_logic_vector(11 downto 0); ADC0_PWRDWN : in vl_logic; ADC0_ADCRESET : in vl_logic; ADC0_SYSCLK : in vl_logic; ADC0_CHNUMBER : in vl_logic_vector(4 downto 0); ADC0_MODE : in vl_logic_vector(3 downto 0); ADC0_TVC : in vl_logic_vector(7 downto 0); ADC0_STC : in vl_logic_vector(7 downto 0); ADC0_ADCSTART : in vl_logic; ADC1_PWRDWN : in vl_logic; ADC1_ADCRESET : in vl_logic; ADC1_SYSCLK : in vl_logic; ADC1_CHNUMBER : in vl_logic_vector(4 downto 0); ADC1_MODE : in vl_logic_vector(3 downto 0); ADC1_TVC : in vl_logic_vector(7 downto 0); ADC1_STC : in vl_logic_vector(7 downto 0); ADC1_ADCSTART : in vl_logic; ADC2_PWRDWN : in vl_logic; ADC2_ADCRESET : in vl_logic; ADC2_SYSCLK : in vl_logic; ADC2_CHNUMBER : in vl_logic_vector(4 downto 0); ADC2_MODE : in vl_logic_vector(3 downto 0); ADC2_TVC : in vl_logic_vector(7 downto 0); ADC2_STC : in vl_logic_vector(7 downto 0); ADC2_ADCSTART : in vl_logic; ACB_RST : in vl_logic; ACB_WEN : in vl_logic; ACB_ADDR : in vl_logic_vector(7 downto 0); ACB_WDATA : in vl_logic_vector(7 downto 0); ADC_VAREFOUT : out vl_logic; ACB_RDATA : out vl_logic_vector(7 downto 0); ADC0_BUSY : out vl_logic; ADC0_CALIBRATE : out vl_logic; ADC0_DATAVALID : out vl_logic; ADC0_SAMPLE : out vl_logic; ADC0_RESULT : out vl_logic_vector(11 downto 0); ADC1_BUSY : out vl_logic; ADC1_CALIBRATE : out vl_logic; ADC1_DATAVALID : out vl_logic; ADC1_SAMPLE : out vl_logic; ADC1_RESULT : out vl_logic_vector(11 downto 0); ADC2_BUSY : out vl_logic; ADC2_CALIBRATE : out vl_logic; ADC2_DATAVALID : out vl_logic; ADC2_SAMPLE : out vl_logic; ADC2_RESULT : out vl_logic_vector(11 downto 0); DACOUT0 : out vl_logic; DACOUT1 : out vl_logic; DACOUT2 : out vl_logic; DIG_ADC : out vl_logic_vector(11 downto 0); OBD_DIN : in vl_logic_vector(2 downto 0); OBD_CLKIN : in vl_logic_vector(2 downto 0); OBD_ENABLE : in vl_logic_vector(2 downto 0); COMPARATOR : out vl_logic_vector(11 downto 0) ); attribute DAC_RESOLUTION_mti_vect_attrib : integer; attribute DAC_RESOLUTION_mti_vect_attrib of DAC_RESOLUTION : constant is 0; end F2AB_F060;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@apb@client_@h@m/_primary.vhd
3
745
library verilog; use verilog.vl_types.all; entity ApbClient_HM is port( HCLK : in vl_logic; HRESETN : in vl_logic; ahbMode : in vl_logic; lastCycle : in vl_logic; pError : out vl_logic; pAddrPhAck : out vl_logic; pDataPhAck : out vl_logic; mergedReq : in vl_logic; mergedWrite : in vl_logic; invalidXfer : in vl_logic; pDataClkEn : out vl_logic; F_HM_PSEL : out vl_logic; F_HM_PENABLE : out vl_logic; F_HM_PREADY : in vl_logic; F_HM_PSLVERR : in vl_logic ); end ApbClient_HM;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@client_@f@m/_primary.vhd
3
868
library verilog; use verilog.vl_types.all; entity Client_FM is port( HCLK : in vl_logic; HRESETN : in vl_logic; ahbMode : in vl_logic; lastCycle : in vl_logic; DS_FM_HTRANS1 : out vl_logic; DS_FM_HREADY : in vl_logic; DS_FM_HRESP : in vl_logic; addrClkEn : out vl_logic; dataClkEn : out vl_logic; hRegReq : in vl_logic; hRegWrite : in vl_logic; hFMInvalidXfer : in vl_logic; pRegReq : in vl_logic; pRegWrite : in vl_logic; pFMInvalidXfer : in vl_logic; clientReady : out vl_logic; clientError : out vl_logic; dataPhAck : out vl_logic ); end Client_FM;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@apb@wrapper_@f@m/_primary.vhd
3
1211
library verilog; use verilog.vl_types.all; entity ApbWrapper_FM is port( HCLK : in vl_logic; HRESETN : in vl_logic; ahbMode : in vl_logic; apb32 : in vl_logic; lastCycle : in vl_logic; clientReady : in vl_logic; clientError : in vl_logic; dataPhAck : in vl_logic; pRegReq : out vl_logic; pRegWrite : out vl_logic; pFMInvalidXfer : out vl_logic; wrapperWData : out vl_logic_vector(31 downto 0); wrapperRData : in vl_logic_vector(31 downto 0); F_FM_ADDR : in vl_logic_vector(31 downto 0); APB16_XHOLD : out vl_logic_vector(15 downto 0); F_FM_WDATA : in vl_logic_vector(31 downto 0); F_FM_RDATA : out vl_logic_vector(31 downto 0); F_FM_PSEL : in vl_logic; F_FM_PENABLE : in vl_logic; F_FM_PWRITE : in vl_logic; F_FM_PREADY : out vl_logic; F_FM_PSLVERR : out vl_logic; F_FM_HREADYOUT : in vl_logic ); end ApbWrapper_FM;
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@p@p@e_@x@f@e@r_@d@i@n@m@u@x/_primary.vhd
3
3657
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_PPE_XFER_DINMUX is port( PPE_CTRL : in vl_logic_vector(31 downto 0); PPE_PC_ETC : in vl_logic_vector(31 downto 0); PPE_SCRATCH : in vl_logic_vector(31 downto 0); PPE_SF : in vl_logic_vector(31 downto 0); ALU_CTRL : in vl_logic_vector(31 downto 0); ALU_STATUS : in vl_logic_vector(31 downto 0); ALU_A : in vl_logic_vector(31 downto 0); ALU_B : in vl_logic_vector(31 downto 0); ALU_C : in vl_logic_vector(31 downto 0); PPE_FPTR : in vl_logic_vector(31 downto 0); PPE_FLAGS0 : in vl_logic_vector(31 downto 0); PPE_FLAGS1 : in vl_logic_vector(31 downto 0); PPE_FLAGS2 : in vl_logic_vector(31 downto 0); PPE_FLAGS3 : in vl_logic_vector(31 downto 0); PPE_SFFLAGS : in vl_logic_vector(31 downto 0); ADC0_FIFO_CTRL : in vl_logic_vector(31 downto 0); ADC0_FIFO_STATUS: in vl_logic_vector(31 downto 0); ADC0_FIFO_DATA : in vl_logic_vector(31 downto 0); ADC1_FIFO_CTRL : in vl_logic_vector(31 downto 0); ADC1_FIFO_STATUS: in vl_logic_vector(31 downto 0); ADC1_FIFO_DATA : in vl_logic_vector(31 downto 0); ADC2_FIFO_CTRL : in vl_logic_vector(31 downto 0); ADC2_FIFO_STATUS: in vl_logic_vector(31 downto 0); ADC2_FIFO_DATA : in vl_logic_vector(31 downto 0); CURRENT_ADC_RESULT: in vl_logic_vector(11 downto 0); PPE2SSE_PRDATA_rdhold: in vl_logic_vector(15 downto 0); RAM_DO_A : in vl_logic_vector(31 downto 0); RAM_DO_B : in vl_logic_vector(31 downto 0); move_from_PPE_CTRL: in vl_logic; move_from_PC_ETC: in vl_logic; move_from_SF : in vl_logic; move_from_SCRATCH: in vl_logic; move_from_ALU_CTRL: in vl_logic; move_from_ALU_STATUS: in vl_logic; move_from_A : in vl_logic; move_from_B : in vl_logic; move_from_C : in vl_logic; move_from_PPE_FPTR: in vl_logic; move_from_PPE_FLAGS0: in vl_logic; move_from_PPE_FLAGS1: in vl_logic; move_from_PPE_FLAGS2: in vl_logic; move_from_PPE_FLAGS3: in vl_logic; move_from_PPE_SFFLAGS: in vl_logic; move_from_ADC0_FIFO_CTRL: in vl_logic; move_from_ADC0_FIFO_STATUS: in vl_logic; move_from_ADC0_FIFO_DATA: in vl_logic; move_from_ADC1_FIFO_CTRL: in vl_logic; move_from_ADC1_FIFO_STATUS: in vl_logic; move_from_ADC1_FIFO_DATA: in vl_logic; move_from_ADC2_FIFO_CTRL: in vl_logic; move_from_ADC2_FIFO_STATUS: in vl_logic; move_from_ADC2_FIFO_DATA: in vl_logic; move_from_ADC_RESULT_LSB: in vl_logic; move_from_ADC_RESULT_MSB: in vl_logic; move_from_PPE2SSE_PRDATA: in vl_logic; move_from_RAM_DO_A_31_0: in vl_logic; move_from_RAM_DO_A_23_0_LSB: in vl_logic; move_from_RAM_DO_A_23_0_MSB: in vl_logic; move_from_RAM_DO_A_15_0_MSB: in vl_logic; move_from_RAM_DO_B_31_0: in vl_logic; xfer_din_mux : out vl_logic_vector(31 downto 0); xfer_din_mux_not_from_RAM: out vl_logic_vector(31 downto 0); xfer_din_mux_from_RAM: out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_PPE_XFER_DINMUX;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_export/src/DCT1D.vhd
8
11974
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : DCT1D -- Design : MDCT Core -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : DCT1D.VHD -- Created : Sat Mar 5 7:37 2006 -- -------------------------------------------------------------------------------- -- -- Description : 1D Discrete Cosine Transform (1st stage) -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library WORK; use WORK.MDCT_PKG.all; -------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------- entity DCT1D is port( clk : in STD_LOGIC; rst : in std_logic; dcti : in std_logic_vector(IP_W-1 downto 0); idv : in STD_LOGIC; romedatao : in T_ROM1DATAO; romodatao : in T_ROM1DATAO; odv : out STD_LOGIC; dcto : out std_logic_vector(OP_W-1 downto 0); romeaddro : out T_ROM1ADDRO; romoaddro : out T_ROM1ADDRO; ramwaddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); ramdatai : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); ramwe : out STD_LOGIC; wmemsel : out STD_LOGIC ); end DCT1D; -------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------- architecture RTL of DCT1D is type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0); signal databuf_reg : INPUT_DATA; signal latchbuf_reg : INPUT_DATA; signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal inpcnt_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwe_s : STD_LOGIC; signal wmemsel_reg : STD_LOGIC; signal stage2_reg : STD_LOGIC; signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); signal col_2_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal even_not_odd : std_logic; signal even_not_odd_d1 : std_logic; signal even_not_odd_d2 : std_logic; signal even_not_odd_d3 : std_logic; signal ramwe_d1 : STD_LOGIC; signal ramwe_d2 : STD_LOGIC; signal ramwe_d3 : STD_LOGIC; signal ramwe_d4 : STD_LOGIC; signal ramwaddro_d1 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d2 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d3 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d4 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal wmemsel_d1 : STD_LOGIC; signal wmemsel_d2 : STD_LOGIC; signal wmemsel_d3 : STD_LOGIC; signal wmemsel_d4 : STD_LOGIC; signal romedatao_d1 : T_ROM1DATAO; signal romodatao_d1 : T_ROM1DATAO; signal romedatao_d2 : T_ROM1DATAO; signal romodatao_d2 : T_ROM1DATAO; signal romedatao_d3 : T_ROM1DATAO; signal romodatao_d3 : T_ROM1DATAO; signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_2 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_3 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_4 : STD_LOGIC_VECTOR(DA_W-1 downto 0); begin ramwaddro <= ramwaddro_d4; ramwe <= ramwe_d4; ramdatai <= dcto_4(DA_W-1 downto 12); wmemsel <= wmemsel_d4; process(clk,rst) begin if rst = '1' then inpcnt_reg <= (others => '0'); latchbuf_reg <= (others => (others => '0')); databuf_reg <= (others => (others => '0')); stage2_reg <= '0'; stage2_cnt_reg <= (others => '1'); ramwe_s <= '0'; ramwaddro_s <= (others => '0'); col_reg <= (others => '0'); row_reg <= (others => '0'); wmemsel_reg <= '0'; col_2_reg <= (others => '0'); elsif clk = '1' and clk'event then stage2_reg <= '0'; ramwe_s <= '0'; -------------------------------- -- 1st stage -------------------------------- if idv = '1' then inpcnt_reg <= inpcnt_reg + 1; -- right shift input data latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT; if inpcnt_reg = N-1 then -- after this sum databuf_reg is in range of -256 to 254 (min to max) databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); stage2_reg <= '1'; end if; end if; -------------------------------- -------------------------------- -- 2nd stage -------------------------------- if stage2_cnt_reg < N then stage2_cnt_reg <= stage2_cnt_reg + 1; -- write RAM ramwe_s <= '1'; -- reverse col/row order for transposition purpose ramwaddro_s <= STD_LOGIC_VECTOR(col_2_reg & row_reg); -- increment column counter col_reg <= col_reg + 1; col_2_reg <= col_2_reg + 1; -- finished processing one input row if col_reg = 0 then row_reg <= row_reg + 1; -- switch to 2nd memory if row_reg = N - 1 then wmemsel_reg <= not wmemsel_reg; col_reg <= (others => '0'); end if; end if; end if; if stage2_reg = '1' then stage2_cnt_reg <= (others => '0'); col_reg <= (0=>'1',others => '0'); col_2_reg <= (others => '0'); end if; ---------------------------------- end if; end process; -- output data pipeline p_data_out_pipe : process(CLK, RST) begin if RST = '1' then even_not_odd <= '0'; even_not_odd_d1 <= '0'; even_not_odd_d2 <= '0'; even_not_odd_d3 <= '0'; ramwe_d1 <= '0'; ramwe_d2 <= '0'; ramwe_d3 <= '0'; ramwe_d4 <= '0'; ramwaddro_d1 <= (others => '0'); ramwaddro_d2 <= (others => '0'); ramwaddro_d3 <= (others => '0'); ramwaddro_d4 <= (others => '0'); wmemsel_d1 <= '0'; wmemsel_d2 <= '0'; wmemsel_d3 <= '0'; wmemsel_d4 <= '0'; dcto_1 <= (others => '0'); dcto_2 <= (others => '0'); dcto_3 <= (others => '0'); dcto_4 <= (others => '0'); elsif CLK'event and CLK = '1' then even_not_odd <= stage2_cnt_reg(0); even_not_odd_d1 <= even_not_odd; even_not_odd_d2 <= even_not_odd_d1; even_not_odd_d3 <= even_not_odd_d2; ramwe_d1 <= ramwe_s; ramwe_d2 <= ramwe_d1; ramwe_d3 <= ramwe_d2; ramwe_d4 <= ramwe_d3; ramwaddro_d1 <= ramwaddro_s; ramwaddro_d2 <= ramwaddro_d1; ramwaddro_d3 <= ramwaddro_d2; ramwaddro_d4 <= ramwaddro_d3; wmemsel_d1 <= wmemsel_reg; wmemsel_d2 <= wmemsel_d1; wmemsel_d3 <= wmemsel_d2; wmemsel_d4 <= wmemsel_d3; if even_not_odd = '0' then dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romedatao(0)),DA_W) + (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romedatao(2)),DA_W-2) & "00"), DA_W)); else dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romodatao(0)),DA_W) + (RESIZE(SIGNED(romodatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romodatao(2)),DA_W-2) & "00"), DA_W)); end if; if even_not_odd_d1 = '0' then dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romedatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romedatao_d1(4)),DA_W-4) & "0000"), DA_W)); else dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romodatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romodatao_d1(4)),DA_W-4) & "0000"), DA_W)); end if; if even_not_odd_d2 = '0' then dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romedatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romedatao_d2(6)),DA_W-6) & "000000"), DA_W)); else dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romodatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romodatao_d2(6)),DA_W-6) & "000000"), DA_W)); end if; if even_not_odd_d3 = '0' then dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romedatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romedatao_d3(8)),DA_W-8) & "00000000"), DA_W)); else dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romodatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romodatao_d3(8)),DA_W-8) & "00000000"), DA_W)); end if; end if; end process; -- read precomputed MAC results from LUT p_romaddr : process(CLK, RST) begin if RST = '1' then romeaddro <= (others => (others => '0')); romoaddro <= (others => (others => '0')); elsif CLK'event and CLK = '1' then for i in 0 to 8 loop -- even romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(0)(i) & databuf_reg(1)(i) & databuf_reg(2)(i) & databuf_reg(3)(i); -- odd romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(4)(i) & databuf_reg(5)(i) & databuf_reg(6)(i) & databuf_reg(7)(i); end loop; end if; end process; p_romdatao_d1 : process(CLK, RST) begin if RST = '1' then romedatao_d1 <= (others => (others => '0')); romodatao_d1 <= (others => (others => '0')); romedatao_d2 <= (others => (others => '0')); romodatao_d2 <= (others => (others => '0')); romedatao_d3 <= (others => (others => '0')); romodatao_d3 <= (others => (others => '0')); elsif CLK'event and CLK = '1' then romedatao_d1 <= romedatao; romodatao_d1 <= romodatao; romedatao_d2 <= romedatao_d1; romodatao_d2 <= romodatao_d1; romedatao_d3 <= romedatao_d2; romodatao_d3 <= romodatao_d2; end if; end process; end RTL; --------------------------------------------------------------------------------
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/decoder_ip_export/src/DCT1D.vhd
8
11974
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : DCT1D -- Design : MDCT Core -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : DCT1D.VHD -- Created : Sat Mar 5 7:37 2006 -- -------------------------------------------------------------------------------- -- -- Description : 1D Discrete Cosine Transform (1st stage) -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library WORK; use WORK.MDCT_PKG.all; -------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------- entity DCT1D is port( clk : in STD_LOGIC; rst : in std_logic; dcti : in std_logic_vector(IP_W-1 downto 0); idv : in STD_LOGIC; romedatao : in T_ROM1DATAO; romodatao : in T_ROM1DATAO; odv : out STD_LOGIC; dcto : out std_logic_vector(OP_W-1 downto 0); romeaddro : out T_ROM1ADDRO; romoaddro : out T_ROM1ADDRO; ramwaddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); ramdatai : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); ramwe : out STD_LOGIC; wmemsel : out STD_LOGIC ); end DCT1D; -------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------- architecture RTL of DCT1D is type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0); signal databuf_reg : INPUT_DATA; signal latchbuf_reg : INPUT_DATA; signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal inpcnt_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwe_s : STD_LOGIC; signal wmemsel_reg : STD_LOGIC; signal stage2_reg : STD_LOGIC; signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); signal col_2_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal even_not_odd : std_logic; signal even_not_odd_d1 : std_logic; signal even_not_odd_d2 : std_logic; signal even_not_odd_d3 : std_logic; signal ramwe_d1 : STD_LOGIC; signal ramwe_d2 : STD_LOGIC; signal ramwe_d3 : STD_LOGIC; signal ramwe_d4 : STD_LOGIC; signal ramwaddro_d1 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d2 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d3 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d4 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal wmemsel_d1 : STD_LOGIC; signal wmemsel_d2 : STD_LOGIC; signal wmemsel_d3 : STD_LOGIC; signal wmemsel_d4 : STD_LOGIC; signal romedatao_d1 : T_ROM1DATAO; signal romodatao_d1 : T_ROM1DATAO; signal romedatao_d2 : T_ROM1DATAO; signal romodatao_d2 : T_ROM1DATAO; signal romedatao_d3 : T_ROM1DATAO; signal romodatao_d3 : T_ROM1DATAO; signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_2 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_3 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_4 : STD_LOGIC_VECTOR(DA_W-1 downto 0); begin ramwaddro <= ramwaddro_d4; ramwe <= ramwe_d4; ramdatai <= dcto_4(DA_W-1 downto 12); wmemsel <= wmemsel_d4; process(clk,rst) begin if rst = '1' then inpcnt_reg <= (others => '0'); latchbuf_reg <= (others => (others => '0')); databuf_reg <= (others => (others => '0')); stage2_reg <= '0'; stage2_cnt_reg <= (others => '1'); ramwe_s <= '0'; ramwaddro_s <= (others => '0'); col_reg <= (others => '0'); row_reg <= (others => '0'); wmemsel_reg <= '0'; col_2_reg <= (others => '0'); elsif clk = '1' and clk'event then stage2_reg <= '0'; ramwe_s <= '0'; -------------------------------- -- 1st stage -------------------------------- if idv = '1' then inpcnt_reg <= inpcnt_reg + 1; -- right shift input data latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT; if inpcnt_reg = N-1 then -- after this sum databuf_reg is in range of -256 to 254 (min to max) databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); stage2_reg <= '1'; end if; end if; -------------------------------- -------------------------------- -- 2nd stage -------------------------------- if stage2_cnt_reg < N then stage2_cnt_reg <= stage2_cnt_reg + 1; -- write RAM ramwe_s <= '1'; -- reverse col/row order for transposition purpose ramwaddro_s <= STD_LOGIC_VECTOR(col_2_reg & row_reg); -- increment column counter col_reg <= col_reg + 1; col_2_reg <= col_2_reg + 1; -- finished processing one input row if col_reg = 0 then row_reg <= row_reg + 1; -- switch to 2nd memory if row_reg = N - 1 then wmemsel_reg <= not wmemsel_reg; col_reg <= (others => '0'); end if; end if; end if; if stage2_reg = '1' then stage2_cnt_reg <= (others => '0'); col_reg <= (0=>'1',others => '0'); col_2_reg <= (others => '0'); end if; ---------------------------------- end if; end process; -- output data pipeline p_data_out_pipe : process(CLK, RST) begin if RST = '1' then even_not_odd <= '0'; even_not_odd_d1 <= '0'; even_not_odd_d2 <= '0'; even_not_odd_d3 <= '0'; ramwe_d1 <= '0'; ramwe_d2 <= '0'; ramwe_d3 <= '0'; ramwe_d4 <= '0'; ramwaddro_d1 <= (others => '0'); ramwaddro_d2 <= (others => '0'); ramwaddro_d3 <= (others => '0'); ramwaddro_d4 <= (others => '0'); wmemsel_d1 <= '0'; wmemsel_d2 <= '0'; wmemsel_d3 <= '0'; wmemsel_d4 <= '0'; dcto_1 <= (others => '0'); dcto_2 <= (others => '0'); dcto_3 <= (others => '0'); dcto_4 <= (others => '0'); elsif CLK'event and CLK = '1' then even_not_odd <= stage2_cnt_reg(0); even_not_odd_d1 <= even_not_odd; even_not_odd_d2 <= even_not_odd_d1; even_not_odd_d3 <= even_not_odd_d2; ramwe_d1 <= ramwe_s; ramwe_d2 <= ramwe_d1; ramwe_d3 <= ramwe_d2; ramwe_d4 <= ramwe_d3; ramwaddro_d1 <= ramwaddro_s; ramwaddro_d2 <= ramwaddro_d1; ramwaddro_d3 <= ramwaddro_d2; ramwaddro_d4 <= ramwaddro_d3; wmemsel_d1 <= wmemsel_reg; wmemsel_d2 <= wmemsel_d1; wmemsel_d3 <= wmemsel_d2; wmemsel_d4 <= wmemsel_d3; if even_not_odd = '0' then dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romedatao(0)),DA_W) + (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romedatao(2)),DA_W-2) & "00"), DA_W)); else dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romodatao(0)),DA_W) + (RESIZE(SIGNED(romodatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romodatao(2)),DA_W-2) & "00"), DA_W)); end if; if even_not_odd_d1 = '0' then dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romedatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romedatao_d1(4)),DA_W-4) & "0000"), DA_W)); else dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romodatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romodatao_d1(4)),DA_W-4) & "0000"), DA_W)); end if; if even_not_odd_d2 = '0' then dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romedatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romedatao_d2(6)),DA_W-6) & "000000"), DA_W)); else dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romodatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romodatao_d2(6)),DA_W-6) & "000000"), DA_W)); end if; if even_not_odd_d3 = '0' then dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romedatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romedatao_d3(8)),DA_W-8) & "00000000"), DA_W)); else dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romodatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romodatao_d3(8)),DA_W-8) & "00000000"), DA_W)); end if; end if; end process; -- read precomputed MAC results from LUT p_romaddr : process(CLK, RST) begin if RST = '1' then romeaddro <= (others => (others => '0')); romoaddro <= (others => (others => '0')); elsif CLK'event and CLK = '1' then for i in 0 to 8 loop -- even romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(0)(i) & databuf_reg(1)(i) & databuf_reg(2)(i) & databuf_reg(3)(i); -- odd romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(4)(i) & databuf_reg(5)(i) & databuf_reg(6)(i) & databuf_reg(7)(i); end loop; end if; end process; p_romdatao_d1 : process(CLK, RST) begin if RST = '1' then romedatao_d1 <= (others => (others => '0')); romodatao_d1 <= (others => (others => '0')); romedatao_d2 <= (others => (others => '0')); romodatao_d2 <= (others => (others => '0')); romedatao_d3 <= (others => (others => '0')); romodatao_d3 <= (others => (others => '0')); elsif CLK'event and CLK = '1' then romedatao_d1 <= romedatao; romodatao_d1 <= romodatao; romedatao_d2 <= romedatao_d1; romodatao_d2 <= romodatao_d1; romedatao_d3 <= romedatao_d2; romodatao_d3 <= romodatao_d2; end if; end process; end RTL; --------------------------------------------------------------------------------
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.srcs/sources_1/dct_source/DCT1D.vhd
8
11974
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : DCT1D -- Design : MDCT Core -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : DCT1D.VHD -- Created : Sat Mar 5 7:37 2006 -- -------------------------------------------------------------------------------- -- -- Description : 1D Discrete Cosine Transform (1st stage) -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library WORK; use WORK.MDCT_PKG.all; -------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------- entity DCT1D is port( clk : in STD_LOGIC; rst : in std_logic; dcti : in std_logic_vector(IP_W-1 downto 0); idv : in STD_LOGIC; romedatao : in T_ROM1DATAO; romodatao : in T_ROM1DATAO; odv : out STD_LOGIC; dcto : out std_logic_vector(OP_W-1 downto 0); romeaddro : out T_ROM1ADDRO; romoaddro : out T_ROM1ADDRO; ramwaddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); ramdatai : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); ramwe : out STD_LOGIC; wmemsel : out STD_LOGIC ); end DCT1D; -------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------- architecture RTL of DCT1D is type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0); signal databuf_reg : INPUT_DATA; signal latchbuf_reg : INPUT_DATA; signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal inpcnt_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwe_s : STD_LOGIC; signal wmemsel_reg : STD_LOGIC; signal stage2_reg : STD_LOGIC; signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); signal col_2_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal even_not_odd : std_logic; signal even_not_odd_d1 : std_logic; signal even_not_odd_d2 : std_logic; signal even_not_odd_d3 : std_logic; signal ramwe_d1 : STD_LOGIC; signal ramwe_d2 : STD_LOGIC; signal ramwe_d3 : STD_LOGIC; signal ramwe_d4 : STD_LOGIC; signal ramwaddro_d1 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d2 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d3 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal ramwaddro_d4 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); signal wmemsel_d1 : STD_LOGIC; signal wmemsel_d2 : STD_LOGIC; signal wmemsel_d3 : STD_LOGIC; signal wmemsel_d4 : STD_LOGIC; signal romedatao_d1 : T_ROM1DATAO; signal romodatao_d1 : T_ROM1DATAO; signal romedatao_d2 : T_ROM1DATAO; signal romodatao_d2 : T_ROM1DATAO; signal romedatao_d3 : T_ROM1DATAO; signal romodatao_d3 : T_ROM1DATAO; signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_2 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_3 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal dcto_4 : STD_LOGIC_VECTOR(DA_W-1 downto 0); begin ramwaddro <= ramwaddro_d4; ramwe <= ramwe_d4; ramdatai <= dcto_4(DA_W-1 downto 12); wmemsel <= wmemsel_d4; process(clk,rst) begin if rst = '1' then inpcnt_reg <= (others => '0'); latchbuf_reg <= (others => (others => '0')); databuf_reg <= (others => (others => '0')); stage2_reg <= '0'; stage2_cnt_reg <= (others => '1'); ramwe_s <= '0'; ramwaddro_s <= (others => '0'); col_reg <= (others => '0'); row_reg <= (others => '0'); wmemsel_reg <= '0'; col_2_reg <= (others => '0'); elsif clk = '1' and clk'event then stage2_reg <= '0'; ramwe_s <= '0'; -------------------------------- -- 1st stage -------------------------------- if idv = '1' then inpcnt_reg <= inpcnt_reg + 1; -- right shift input data latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT; if inpcnt_reg = N-1 then -- after this sum databuf_reg is in range of -256 to 254 (min to max) databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT); databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); stage2_reg <= '1'; end if; end if; -------------------------------- -------------------------------- -- 2nd stage -------------------------------- if stage2_cnt_reg < N then stage2_cnt_reg <= stage2_cnt_reg + 1; -- write RAM ramwe_s <= '1'; -- reverse col/row order for transposition purpose ramwaddro_s <= STD_LOGIC_VECTOR(col_2_reg & row_reg); -- increment column counter col_reg <= col_reg + 1; col_2_reg <= col_2_reg + 1; -- finished processing one input row if col_reg = 0 then row_reg <= row_reg + 1; -- switch to 2nd memory if row_reg = N - 1 then wmemsel_reg <= not wmemsel_reg; col_reg <= (others => '0'); end if; end if; end if; if stage2_reg = '1' then stage2_cnt_reg <= (others => '0'); col_reg <= (0=>'1',others => '0'); col_2_reg <= (others => '0'); end if; ---------------------------------- end if; end process; -- output data pipeline p_data_out_pipe : process(CLK, RST) begin if RST = '1' then even_not_odd <= '0'; even_not_odd_d1 <= '0'; even_not_odd_d2 <= '0'; even_not_odd_d3 <= '0'; ramwe_d1 <= '0'; ramwe_d2 <= '0'; ramwe_d3 <= '0'; ramwe_d4 <= '0'; ramwaddro_d1 <= (others => '0'); ramwaddro_d2 <= (others => '0'); ramwaddro_d3 <= (others => '0'); ramwaddro_d4 <= (others => '0'); wmemsel_d1 <= '0'; wmemsel_d2 <= '0'; wmemsel_d3 <= '0'; wmemsel_d4 <= '0'; dcto_1 <= (others => '0'); dcto_2 <= (others => '0'); dcto_3 <= (others => '0'); dcto_4 <= (others => '0'); elsif CLK'event and CLK = '1' then even_not_odd <= stage2_cnt_reg(0); even_not_odd_d1 <= even_not_odd; even_not_odd_d2 <= even_not_odd_d1; even_not_odd_d3 <= even_not_odd_d2; ramwe_d1 <= ramwe_s; ramwe_d2 <= ramwe_d1; ramwe_d3 <= ramwe_d2; ramwe_d4 <= ramwe_d3; ramwaddro_d1 <= ramwaddro_s; ramwaddro_d2 <= ramwaddro_d1; ramwaddro_d3 <= ramwaddro_d2; ramwaddro_d4 <= ramwaddro_d3; wmemsel_d1 <= wmemsel_reg; wmemsel_d2 <= wmemsel_d1; wmemsel_d3 <= wmemsel_d2; wmemsel_d4 <= wmemsel_d3; if even_not_odd = '0' then dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romedatao(0)),DA_W) + (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romedatao(2)),DA_W-2) & "00"), DA_W)); else dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romodatao(0)),DA_W) + (RESIZE(SIGNED(romodatao(1)),DA_W-1) & '0') + (RESIZE(SIGNED(romodatao(2)),DA_W-2) & "00"), DA_W)); end if; if even_not_odd_d1 = '0' then dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romedatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romedatao_d1(4)),DA_W-4) & "0000"), DA_W)); else dcto_2 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_1) + (RESIZE(SIGNED(romodatao_d1(3)),DA_W-3) & "000") + (RESIZE(SIGNED(romodatao_d1(4)),DA_W-4) & "0000"), DA_W)); end if; if even_not_odd_d2 = '0' then dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romedatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romedatao_d2(6)),DA_W-6) & "000000"), DA_W)); else dcto_3 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_2) + (RESIZE(SIGNED(romodatao_d2(5)),DA_W-5) & "00000") + (RESIZE(SIGNED(romodatao_d2(6)),DA_W-6) & "000000"), DA_W)); end if; if even_not_odd_d3 = '0' then dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romedatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romedatao_d3(8)),DA_W-8) & "00000000"), DA_W)); else dcto_4 <= STD_LOGIC_VECTOR(RESIZE (signed(dcto_3) + (RESIZE(SIGNED(romodatao_d3(7)),DA_W-7) & "0000000") - (RESIZE(SIGNED(romodatao_d3(8)),DA_W-8) & "00000000"), DA_W)); end if; end if; end process; -- read precomputed MAC results from LUT p_romaddr : process(CLK, RST) begin if RST = '1' then romeaddro <= (others => (others => '0')); romoaddro <= (others => (others => '0')); elsif CLK'event and CLK = '1' then for i in 0 to 8 loop -- even romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(0)(i) & databuf_reg(1)(i) & databuf_reg(2)(i) & databuf_reg(3)(i); -- odd romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & databuf_reg(4)(i) & databuf_reg(5)(i) & databuf_reg(6)(i) & databuf_reg(7)(i); end loop; end if; end process; p_romdatao_d1 : process(CLK, RST) begin if RST = '1' then romedatao_d1 <= (others => (others => '0')); romodatao_d1 <= (others => (others => '0')); romedatao_d2 <= (others => (others => '0')); romodatao_d2 <= (others => (others => '0')); romedatao_d3 <= (others => (others => '0')); romodatao_d3 <= (others => (others => '0')); elsif CLK'event and CLK = '1' then romedatao_d1 <= romedatao; romodatao_d1 <= romodatao; romedatao_d2 <= romedatao_d1; romodatao_d2 <= romodatao_d1; romedatao_d3 <= romedatao_d2; romodatao_d3 <= romodatao_d2; end if; end process; end RTL; --------------------------------------------------------------------------------
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encoder_ip_export/src/MDCT_PKG.vhd
9
2517
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : MDCT_PKG -- Design : MDCT Core -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : MDCT_PKG.VHD -- Created : Sat Mar 5 2006 -- -------------------------------------------------------------------------------- -- -- Description : Package for MDCT core -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; package MDCT_PKG is constant IP_W : INTEGER := 8; constant OP_W : INTEGER := 12; constant N : INTEGER := 8; constant COE_W : INTEGER := 12; constant ROMDATA_W : INTEGER := COE_W+2; constant ROMADDR_W : INTEGER := 6; constant RAMDATA_W : INTEGER := 10; constant RAMADRR_W : INTEGER := 6; constant COL_MAX : INTEGER := N-1; constant ROW_MAX : INTEGER := N-1; constant LEVEL_SHIFT : INTEGER := 128; constant DA_W : INTEGER := ROMDATA_W+IP_W; constant DA2_W : INTEGER := DA_W+2; -- 2's complement numbers constant AP : INTEGER := 1448; constant BP : INTEGER := 1892; constant CP : INTEGER := 784; constant DP : INTEGER := 2009; constant EP : INTEGER := 1703; constant FP : INTEGER := 1138; constant GP : INTEGER := 400; constant AM : INTEGER := -1448; constant BM : INTEGER := -1892; constant CM : INTEGER := -784; constant DM : INTEGER := -2009; constant EM : INTEGER := -1703; constant FM : INTEGER := -1138; constant GM : INTEGER := -400; type T_ROM1DATAO is array(0 to 8) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); type T_ROM1ADDRO is array(0 to 8) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); type T_ROM2DATAO is array(0 to 10) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); type T_ROM2ADDRO is array(0 to 10) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); end MDCT_PKG;
gpl-3.0
tirfil/vhdI2CMaster
test/tb_i2cmaster.vhd
1
3190
--############################### --# Project Name : --# File : --# Author : --# Description : --# Modification History ---- 2016/06/06 Add STOP --############################### library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tb_I2CMASTER is end tb_I2CMASTER; architecture stimulus of tb_I2CMASTER is -- COMPONENTS -- component I2CMASTER port( MCLK : in std_logic; nRST : in std_logic; SRST : in std_logic; TIC : in std_logic; DIN : in std_logic_vector(7 downto 0); DOUT : out std_logic_vector(7 downto 0); RD : in std_logic; WE : in std_logic; NACK : out std_logic; QUEUED : out std_logic; DATA_VALID : out std_logic; STATUS : out std_logic_vector(2 downto 0); STOP : out std_logic; SCL_IN : in std_logic; SCL_OUT : out std_logic; SDA_IN : in std_logic; SDA_OUT : out std_logic ); end component; -- -- SIGNALS -- signal MCLK : std_logic; signal nRST : std_logic; signal SRST : std_logic; signal TIC : std_logic; signal DIN : std_logic_vector(7 downto 0); signal DOUT : std_logic_vector(7 downto 0); signal RD : std_logic; signal WE : std_logic; signal NACK : std_logic; signal QUEUED : std_logic; signal DATA_VALID : std_logic; signal STATUS : std_logic_vector(2 downto 0); signal STOP : std_logic; signal SCL_IN : std_logic; signal SCL_OUT : std_logic; signal SDA_IN : std_logic; signal SDA_OUT : std_logic; -- signal RUNNING : std_logic := '1'; signal counter : std_logic_vector(7 downto 0); begin -- PORT MAP -- I_I2CMASTER_0 : I2CMASTER port map ( MCLK => MCLK, nRST => nRST, SRST => SRST, TIC => TIC, DIN => DIN, DOUT => DOUT, RD => RD, WE => WE, NACK => NACK, QUEUED => QUEUED, DATA_VALID => DATA_VALID, STOP => STOP, STATUS => STATUS, SCL_IN => SCL_IN, SCL_OUT => SCL_OUT, SDA_IN => SDA_IN, SDA_OUT => SDA_OUT ); -- CLOCK: process begin while (RUNNING = '1') loop MCLK <= '1'; wait for 10 ns; MCLK <= '0'; wait for 10 ns; end loop; wait; end process CLOCK; TIC <= counter(7) and counter(5); -- 2.56 + 0.64 uS (~300 khz ) for ~100 kbit GEN: process(MCLK, nRST) begin if (nRST = '0') then counter <= (others=>'0'); elsif (MCLK'event and MCLK='1') then if (TIC = '1') then counter <= (others=>'0'); else counter <= std_logic_vector(to_unsigned(to_integer(unsigned( counter )) + 1, 8)); end if; end if; end process GEN; GO: process begin nRST <= '0'; SRST <= '0'; --DIN <= (others=>'0'); DIN <= x"EE"; RD <= '0'; WE <= '1'; SDA_IN <= '0'; SCL_IN <= '0'; wait for 1000 ns; nRST <= '1'; wait until QUEUED'event and QUEUED = '0'; WE <= '1'; DIN <= x"AA"; wait until QUEUED'event and QUEUED = '0'; WE <= '0'; RD <= '1'; wait until QUEUED'event and QUEUED = '0'; WE <= '0'; RD <= '1'; wait until DATA_VALID'event and DATA_VALID = '0'; SDA_IN <= '1'; wait until QUEUED'event and QUEUED = '0'; WE <= '0'; RD <= '0'; wait until DATA_VALID'event and DATA_VALID = '0'; wait for 100 uS; RUNNING <= '0'; wait; end process GO; end stimulus;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ip/mult_gen_0/mult_gen_0_sim_netlist.vhdl
2
32697
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015 -- Date : Wed Mar 30 14:50:02 2016 -- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/SKL/Desktop/ECE532/repo/streamed_encoder_ip_prj2/project_1.runs/mult_gen_0_synth_1/mult_gen_0_sim_netlist.vhdl -- Design : mult_gen_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a200tsbg484-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DndfBI7K3jXgN7GHRcECwyAER1W1Qh1PMsFelxk+HDT/ClV9Zo8izeECQIpMvK29OdY6SSkvB4qZ +AYx/myMTw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CdiSOlcZSDfE8CurfVdELYArX3+TnREZq8E2Yz6CqivQQWiw5RGxv4Gl7Au5kxChzGyLzNLvpmhT ppQfKBpf+XrJYAfKx28pTmAx8X2waXhIlI0DeX8Ov4RDfCu2fd87Q/1t9q5AVlYHTpz7Pm37oQMC BonWIfylGOa+liG14eQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gt7F+PGAaFvQvayxMkye/PdntejydD0eqxluJporKL/eE7tO3gqhoJWrHr6EJ2JeFopjz8ez1QhZ 7fAYU5KG/SEWjH1mXWJASfakqz5iOx3/i4t+1xPIK6IS2CWsRDWrz7qcp4f25fwEKkNTRTb0kA3S z037QRb6Gcl9T23pQbGxiebbA2gHBh4zigT1WwGjqx80nEVyADg7jOuLU2FeqX8nsBo4aya1AaGy GqejeJaJ5IQ7EY9/zBAWE+DzyhN4Gv8mYP8lGSxa3Sth13PiRU0xsOZGac9yKFHDFVMpCjhoYAJR tGl0wUk3TSBcSnsYqPGgP97x9w0OHGuDh5JvkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iuUGkiCJWqD6S+Ivv2+2YU4CYQvzOyv4L6Khf5yoSOlP+8rsrITJxR/snSS95M2cb2SYmzGxjaxu 2TAok7Q+ox5BAM9XQweWOfuwovlgJjHrloEcnxbtYORZwicYwSa91IutF7z8AhDo36QmuOnZx1Z9 NZoQDVYrfJs8Kz0Yenw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a8x2Lj9mmpL4v+zPKabbpGXEXECaXjvwa8IWoZyGK6gZzcKlusapcFQp2jYobjGuXoqhkYYp4ANR /7TGF2cuIszd4V+i1ZZL4M5UXTQh9kLT8emsG5cwnR+Nehucye0a/SdOcbn6Pcg7yMce/+zpuuV0 ex4jlZMAsXf6i1il2ddPdtWT3k2AbR+Am3/f8ushp2fsmcGMgRVNtOOYROsCDX4KlRdas9YXlkq5 9d+ubkYzakIVQa0PQ0jQJQPW2/C1fKNsLisKy4kJNaDNwiXo2Ve5N6Qxb5irFP8wZ6iapscbnarw DNy84LnVZiSVsU3OP8/S7YHAsdW5lukpeuJb8g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oHWnYLE0J5rZZEnXMuTAQxu9NgUolVXZM5hq9TvCFq0x5b12/jzoW51moxTIzUBj2smQ/sB1QlS7 m2fDrJuFXKoj/HCk0KONHoXlaXmLeXQqL6HYfKw/j2F2fFIBmmAhAJ5qyyPkPnlXCvkE7fsc67s3 qz8a+KKsHGqGWBdeF3lAT6y/10HKSeR6oGugaujjA2CDnjVv5Me6lAzz5C8lRfbolqR+3RNm4o5P Ra7RJtGQz1ANkLxMLrxpjcw7kXNTLrC08BCVAukRWzPhr9a9wfHitoK0WlXx9s/o5jOgg3Z6WSqF sJxU74LBWwstEEO17Re4mT3AJPySE6IUwgXMTw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OvIffQD4emIfChi1JEcJh2guqjbGmE7TOw9nMzIcmq9OLuq1s0D1lmYHwiCBLhPh9K5eA9KmjTh9 W8UMKCGft1q6KIhkwvUkMf3TupFR7M2vYQMnJrUyvJ6xmmZS7PXI7/PeX8Vepgw5oLmnaV+u8fzF o833nWl+8Z4kZ7bDtl+bG9KdGlgzS+nsjXeZiLCvysNyKDk2G4qChXU1irk6yY03KgQp9M6HTbbJ qvuC2nBxFXEIurH99nL18CrwM9+ma35+7y76b2FkZVvaf6OdZJg73iEfzwWLn06c+5zcYCIbUlUe HwBERgMECEOd4SC8qqts+TmkPk/iEBcsSh2HRA== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nT6ulc3jIYfashdH8+dwJAkesJ4d9k04N5rwUSxp3l1TH3CXcrVeQvE/ZvhLhdKNiqJ204Z2M628 Vow7VLVD5JFJJceY3ud2l6ivLPztXSJijZp84/UYbBtITu1rDo01TvGqDM2QQzjQqhh9nJdjZHWV bKxJK0jpAuHoZHt/lBtQAt9dr+Z1rYgQt77TDZW651tTbgvx0TzY7CrTVTYn+CI2GZnjRe5pfeWM EvMUBnFsCc1cfrEugJMVzIVuc1eWHVIwgPTx2QmWU9dStkc69ff8TsDC2d4T+NsQCreSoU+UZvzE ZAmBGdfIBeUOJRPHi464IWIA/xhHLEJZBgmJFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14560) `protect data_block st22YR94QUc4wFmbqGRAjGwnZDNZ8pQ/KSDgjgSYr0yuN7HU3eUVMNUnjBN6X9HZw9da6nJp3++M mreHZMXeATu1yruw0sL5nHyCxrpodzgIq7rR2/xZLrtnTHrSsYpn/xedYzWJRBMpaMSjImsEX5Ot OgLM7mS9dI4Vvc9SRfGGaz5Sc2Q2ncd3y2wGyV2jaDJ1VsTCNeUKOPU9/03+/w39bEQDDuxb0GwY BmP0xPRCkn7AMtDGoQBpB6VumBOoPqFul+zR6K5CDRqsuEMBp+982sty1PZpvOMCiX646vaLMu8I mlcHzy4xCv1iDTwwAAzy9mUvy2uzeRZmApMx8C8SP7+qpMXdU5ZXnARIxtWc/COFukOe0ja3HB0o Ef/dtavI7ktRVKra5DJMaNvsr1PuNHI4wck+pX1xiyADGxvmZselIttL1NStAS5KU3uPaFRBDd1P m0qqQrHw9oDZmaoEYYeS+KmrWZqe7rzO48Ff7yh/o7Jswuw32qMiVqnPmtp+jt6YkhvRa/qqZlrr BvqPHUhsR43dNgLkrAEd3J3pCeeFiXFCgyJo6dcKA5FOh9pA4LOjpjjAT3oLwbPVVzUxfcYFyccZ ebk7R3GKBtKcK+TR41RpdyAUIYFqmNa//qUxnBSVD9u83bKD2b6CkUWFV1v2WuRcB0fdJFn92mgG S6xOJ1T2aB56beBf2UelIFAg/Q+dRbkVewXctPS1qjMXs2LD85F4S81nzeHflsNaj8CufKmYrouj xqgsqP7qMNjfHorrp6bIqIPwE8fh4Dro30GeyAc8Zxb63r1rGew/5lrn11qNZjejdjJTlIVSiaVn NlwfvNxjun+RZ2OGGcXC67YzEc19/Xdb3LDfGoVbKTvD3LUmhl91NOipL4YFw3VU5MRiB+8wQasa nZmwPmTzyLkkPElEE7PvtunjvDKdWO4AmV8JuiwfAgnekaDgamFdefcCLnh9lWiGMO84eSOTYv5i GrOiq63liXOSMszmC/KPdK/abQn5nRT9/brQAFJVToXMNm9XJENro6YcE5xdNDGz7rg5zN0H1HQN ak+zMZII/bRJUOW1mPtESX434IkjbYieDhyL0mlpncHNEbkQ3z7ptMZ71crFnjmOg5Ei4V4FMDmt D2kMQggbhjq1ikXITW021IUWhNcmIMz7OJiQd5YSfoXcK3NT045dGk381mh4RskjxxuvW4DCJuqd 2QgL7DnDgbnq2Sy6X6taZu5g5TjsiEZApR85U1DV0wpY1cAenBPYf2jJdZ5en2WOGAvvY6iQi/lq bO7QZ21mh+PwxlBZYTe469Zke9ZFki5jpXoLuveOxBltphQgHEHDPzFBvSn9sgC0UNZZeGM5p8gc DgJyhLM7cEQ/PDksRFESw7D6VYSWxG8/RYHwZLs0i+z9GncujDGQyvjoI0vZDZkkJu2EGG6Keu2p verIk7VlwzJtKOlHMoGNC/uwKXDfT+4X7zwxEYRUp87uYuuy67ZPdbDGnGsFZhRAjhrh0b+d6U61 R1kmqUEOfduqJ9KMdl37rf10wZdtD1bX4BJu+gEmybDQj76GD/8Y/htiLpl/3vwWVhN6aD4RYpH3 89pqLNwhpmhCRAFQmfwSPAZwghv2OrQMcJaUEqeqN3bzTui97Dek8VfGsX7yMbJyV61NIzMzsGtj S++v2sX8e3GE8rl8dSahmUAjezWNBUunljfMLE96YzMV0US3xgYL3c+N+DEBekJKrT3QgsfH4uQ/ y+I9mi/D+7QYeylQ1ae6G1dSixL0G40KLaE+xdcS00iFEzVIQfuK2bAv9w/Mc5ruMrTWgWKTxqWF yDlHoAef/LK2btrO9uxyZTob9/XQTo2LF8MxeVoJmJNczN3UKaZEgDYKBv/Xn7o4auuDnbk6XH6Z dSew9YECHzs43fwxTIDatdSmWV2JsBx+d2u4MUJFlJ27PI14WkKlBtKpjW4Z1GdI/oLoat2cHfHJ 3123lY+i7mZAlx7tlxtog7clVq+qdG/Nq1A2cqZzzh0iI5qwUJ/p1S0uY/XwZOrI1K29ulv+nKF1 IGEGA2JUDqxgOFcVOXn48tkDVX8xrgFAxCds4wvAUaDbTK7zYf9ajSWuwqMeMYF6dzu/z3P60cJJ /bcquJAuPQWO9C+rz742jZFYnnzlxkJpLfSsGmUWLqneZXVWkgTD4djdxHuNQKuGHLO7zWYgHsj1 SWylgzpWI9gicVKt6KoUmIdL8fmRp8mGCvkqCIrKdAfGBKxMCWg/DECNS+W6CoPNSKCLtkqXhpRZ Y2wShyXTEZ4+CGD78GzX08YRlf9xm13xdJ5Zi1j1xvPSL5Y6m/D4XTO/zXWUgKa2Sx01zGwRpBVT w2r8sKslIQk1UIhMnofNQc3VlwhKl6jCPHhbaCA+Hux4SVJ/5o7sQ9qjun231Kyh21unOp7f4++Y HIgmqTE0Boh+wQ7MhhwHpb0MXO/Hhw+2+g1l+7IJogRG+WSkmVA1BJbyj4UIPzRP3qOebkuFcBiF R9NsaCH56qz42CV45dEK6P478EnJLdTmvvYHjuUO6qmgnMp+4+TWBikACU6JWDqcHySQv1JQ7XCx Vc3TnxDnSueL9m2aoCcg6nzBx7ejMvhfjXB3lzAE5KVQWz3TgcPAXA2W33x30z5UALCUP3Hoikia VH6mL5A2JMn+03zmVrXZY+IyFLiDD2DrOosAgjMNDLMbk1g5FCyFbSewaUhDvfrNzcqoUjwHuo8P jjnUQ2gNohthcRHqrdsycXZtnMQcivBNucXY5w475G/mlY9fWMT++/0WBUohNpGtl/v7w+0KaGEO /b+KxXJhNwHCZzE+OEijmjIfJZxSIhlrMLtgRpBBZ6kD+yWeMjSI+H/C0KjaEv5+eoNsO5wOg5na crb29XKrZVdWvvfZHCBm+OdCuZHqThQqDnPhG7fTtSc6Y7m77x/s+73+pyspNjhwBGaeMFxNA4Ti J0/VrcVsjXkzCqmrC0OKn/T4H1qo4Bu+cOV5Owu2uNWartpuU9kZwHmUlhYjzuRmxqBe5eZ5buOx wfKpS78y6wtvOcxwLd6JYtbT8LQhJ0N4b80bS48qpBZmULIrnjEglnSoPQjrq6ZHWLuoL0L+ttw2 EEwKJrdZb+kNfOk3uq2NY/wElAMDl7TM4XzYWrkoT4ejFYD4oJPS8iPeJZJ92B/VViqx1UZsxvoN t+snUXYxcWX+HpK5n7G6Tvp/H92VO8dCppBAmVebZsvUUrptI+q+oTZKr9KU/9pa2zpl0SC3N0n4 U8jNGua5YHNH+RGaF20NsCzAlLTriRZhK2JkCEGoYkqJyqkDziKcnWyzRUE5t+VJdCqJDMnIXMDT gpc5TaLE//oaY/hx3OD41xpTTmdXwOT8sW8EYo/jkInNsPjOjnix41STx7ItwAvqqooUK2uD3X/V g/BRFN2oPSTc9tXgL/S4N4g9KYIGVLVkVO8iZbaSVMs0Vfkg9xLkEEUpMCi2F/mN0vKkkvPuyd+q 9eHuATZ6gv39SMfiuKfFkYN3DWP5CMv1LC91cPm11YfLPTBqsxjHhW6+0a1pJeW6PiQttKZMigTQ ofZYcTLu+53HaW+0t1sCKIb8OVqJBUk0JuYyrYOQJq4fLwWypqYYv2m1EX6VecMsx5Spd61pqq5Q 3tDLi14d+j3Lz5MWGd6yGnIzJkicV59NdeXvgjadGa1lMnK25GAaojdGtYo8meuOmJAAs7f2h0E9 I0Nl+STLsMfDm6j0GKqoKecfJYSW9O9wIKji5+O46ot7Lgl9qWo1cGCQ8RLFHCsyTH228/yXRRbH iVqNEam5XovNIBezn5X1QhFZZVm1pNPpW+vFupIj96gRBTQQ731m9DtG2wP0giDuPsbkfax3z1Pg gVJT3TSH2ZFh6+5Ipp7KMzAtkiHkc4JH0QAiiudqiPcf83hAq68fFXBoHyhi1151DFZY3lobuEgV 0qlWEPLimaWcL5L9YuIh7+dttmQ18WB4xZHs7TnKMB4raA51s2DJSY2AsH7R3GHN8IgPvLuj9UKG pCSG4n8vF67zPiR312Gu3J8lAz74bzDCFNt/0uFPdL82k0TQdsSp+kK+C32nNNDw7cDzEyDk3Irv E9KTlSZoyPjrgCS+TCJemvtZGaddDqnewY3JjAFlG+JRCIk5jWwQik0LVgAI9hI9oHmhAQYxTDQQ pqlTgaYLikOCs8BFMqK+7l0MbgHid/hkUbsA3B4txi79ruj0+UZIHbVRgCucg0NaEJeRBDxlw7C6 pXflN95X4q4e2cGvdWCk4O99nK6zh9H2ANGdZ1lcKd1ZqoVUqYscxmkAHzGQEkoIkuuYCaGVD5+1 9vDBbkDggCcoqmHsHV07PjPXt6MdqJLZ93DQ05x1coHr/mTtwCQ36R76n7UmoCe53vOMDzyaiav2 5/JlvYLZcb8A0m47xl3PF0mQ2jD7Nebh07zpvvNMLKq3cqgW0yCynORiDWcMooiPHN42V3laIIwd kFTvpSDPnc9BvGLbqtQgt/5p49BtLP0aMbYJxn3xPja2uaK8EQrWVcoiw/Bu9e8rCzXZhbaDyVlL 9LWiR2jyjAQeF5Fh2/lQp9tMLk6ikUo7ScOLAaAwrlpx7RzkvL+z1vkhtlfouZayvpy1O7lyivgJ HirKwOFhvYvBKtEf+oKbih95py/4EuPflgffoCJph5AkJKvZxsADJhgxtMY861CQapXdkPuAx4oG joaEyOLBsQBfU44H4arE5RY1LyqbqhSSMxa95QF8Oo4XNAnaI3LG6EZImd1tfzaQSaH9bFkgVWGS Ayb7Meqk1vp8tbC2IAd8TiatQY2e3+Xpj+vWqkFTwSfIW3bvtYgLQtCThtm0EnrINDyXw3gK+PKO R9T3OeiapcSj0g/aS1LMG1io/CfjcGxoSG2TUidH5c+zDnCsIs1zWgh5o5u6VDCL3ohGhFLAvKn/ rHDBwZZqAEgGB4mNu8y82zwnGRwean8eWfjDGTiAiStvaq1aX0Z0PnDRb4+1s6hSJ2YmWlaaafps qJHNZCrPZ6WP4ertQzT341etVKGxVe2aVoBKPA1ex4zhm1/wW0UgD3OLZKei1P4qu2IJTZO6ujcX Tth7gKoWiky8S55FV4LKIi/cHLo+AtcWzaMd3TzpRg83rDKBcPTl5kCE8lPlkERq2ieOByDitqXh 6edyw0IYbdsS+vtz09T+WoMODdJSQwUi/jyWKImtSZikLGqIeBcdZ5y+LhwwW/Wm/aTbjcb99aia pgKKG0DWjxBzBlDp5/auGx3PcuI779ltCuyUiiciDKNLjIX6AkbMriQCoYpOLKQWOZj/ALX386Di zZtNN/EpwZGz6twaIAXflg+92kmAWru6ZU8K6OSBYTID+fay+hsj9avPfb5/DG69lUnN8lTWhyI/ eEIOkzAg9Y/uKRLKuE/yTzH/cUirFeE9m24G+Qipz+s5yVJthi+Snt1UJ9USQwvk55Olkv/VP29N S5oNeimwaUyliMctmI04a/tXRTdOcR3nSZthu/Jg0KWgQ6gIufBa0VUwPMWCG1akYjG3Ih3Hi7ba DWbCglmdxTH7FjnrYsiwebHIycKeRzrI+H2RaVjUCuR6B3sIxaquzdKkl0FiUOSb3l4ocj+zLhop cEey1xcGi7zzL9MUoC+AjbPzBdQ0kU1EzA2Cz2ZxyhNIVebON851cD+SKJe7OHu29UTu+skatcMI jXadOre54Lhf4BWKQ2YkL8gQH2HcTmAYwCPQF6bXNsDm+uaDxayGxZM9WdDr7aiqa1Kp+WTjgBBy BcHKZ6vl2586necIpO2FX6UFedxUsMq480Csg9qMai38LCGE8yC0DL5Njlmtux8Tooogq9oLGeCY /EsHmXuYdxNmIjBZMYa7Y0/R1/kYXUiEFrSE0Fai/3J7ASIh66JpkWIWXH/03iHMxe/wUwBgIoSz W8bz+/WKG/hcHgY+W0DhNL6ynMbnjEnbyOknQ/K6KY1a5981zSx0Y61gohFC5bhkZ3ieMYv4k7iQ htIYTAENQYGUBVXYAgFHRim2jdevSci7VFR/8OczZm3MU4GHJaJj58+YEghi9CHcikM20svtw483 UKCJAtKHHBINQoecwN8u9k/41yfB7y0AAoeIQZwiX890UnE0m9Yeo9h8+FJeWclEWUvCiQMgoYxU c/gGiSAlXfxwpb6oZ2p9fFzub2GAn8tSeVa0KUhj5Qr3Q2wd1JF+zwDZ6HrmpFE7m+6F2Q8ZlJUv 2JGBfEDJ3uc9X+5H0KPnD8nWVfa0uHXd7078nIw81//bsDvphGn72hW/M9VcRR/PY8oMDz6y30hN 0Ftf26XzH4UKqHW0a7bW6G72JQalfxHvq/SybCAiDIlyvYVO7EC50rmuJ7Lt6dt+bxAAqS+E5brY bEMOFzIp9Kg/0stiOqt31nAHPQw9FBUcKYAdVaysybzLgERdKRQFPTQOan8lskI4trmOtwyM6zfD 7Xk3vPTSW6xKafY2dhC6+sDuFo2d0j0wmeXCVXZSNm+e6lWkv8DWcItJZhhqu+iuj3lbbMcNXXA7 73rfkPdPH5PXmvfJxdWVBQvbdaq2vbCefOa5w51JylwdavmUuAAyJC+lXWD6dmsyM5tMXwPSukp3 l4athLBMCpakaCOFLPNf91nUWyFVPwJLW/X2uems0+cdzVvzV0B4N1uJ3oSFEHhiMibib2HCmtlt u+7Rg996+yAPRIA2EluxTKj0jNZRLTccGCbxxducY/rjmdp4TMqDgYejB/bWBiPnGOZzjZFUH/KG b+X4CUQbNYNxzsS41lRHHOhd86XAW/yr8eKC9NKSLhGCt7S8ks0GmlsjeAgWKyPF9o5RQ7sV8T20 bGtajUm85zYRZhLMkr4XUvNvD0TghzAv1YxR6f2sYMUQ2n4xQb8sw/KLk2qs/gm3IBpVCkBKQRdQ uUwwLl1OtwsKKW2VtFvGViPQkfvr+e8V/bzxrnt4XRGfO64TL3qiF+FthenwPWYKzqzDR7Il6IjT YE6mYfjfSZYi1MS/FNyRa1cMSoXZKkKOPfY48VCRHEOyUpkw9aNPwKoowbWeKiCuC0LZ6C71Wsu4 flbYNS50nEwToCC9LPkGvAF9ZZ4TkjPxWk0XQwJs7v+3OIXqwnpEvsqkT9fnLwk8ZstNrwBzEeXC XnPrQXZ161Ecs9nFiQ3O7ieO6aApEspje4ki9CVEMGVM5LANdN43FflupGlLcCxUFI3BM5wyZkwh bmRt4ltARqJ/cjijn/dDrs1MSMmHoDaminuNxIyp9PBGmPq7c0d3xZ7IMm3FkD/m2+kG9H27qKA/ gfjjggl11w9pqdbupW98iqANkfj8u1aUGUfzyoBafwk+iQamDy8FcANBBubYZW5vKYX7p/AUGXgz lVDL0IEpxPd9S3AV6+TlQzEyHGI6hzJ1O1qNc9OXvfUMERzL0rLVC0EqQYrYDZHkvYDkLYAmzmDn JS87UWBgKuCRl9llqSmD1M8fnmUgvk9YywBau0zWwXftfm8WQEU+nurNpeGV6YtvaV8eJwmoauOV +Wrg2kZZgBluy9AbxaobzjRjWFJTm7NHUcQd6UYcQLA23VocTJCaBGqIqdYkBksP+k4xjPbBFqXA LrOphS7vDGzlUeNQZMHnJF1vHZaV8dAlT31OG6nEP8Ia3BZWihlKd2rod8W8dCLfKF08XiDhq8dP MeDkO/BGqOzGBaqWMJ5iiz1j+zp4Ziko3uo6dAowsJJzsQZTVeeieBRPW0ZEtG8/Ku+GarEhY7iD fayLCOd4TWU9NIMc6laGzGTvFy88TvEEHXnTTkp5bN4e9hHku0rwuxdTTCq2iwIRwZ2TcHy6uUXH 5u35CTtrtuQxh0WOhbkrBl1X9UlsxIRevLOt9arx5uLsFTC+36Xv7MZdBosFHvpsgLQtnFZ+kg4s 9cAQ7bRFJij2CSdpNuwlO0GvlBB7JwBk6+6JPhohbin89gzsYLLktLTjaI/gTHR7U/8187Wf2FET Q7yQ1Rh98WUx6bcNJ7lly8mKwfBWi4xBMEh2FrLool6MZq2uHwDvZF/W2b24vfXBk0VkxoNIR3BQ Xr2Gcu51UiZPmVl/s96zEm9p8VSONQOZ/K1x0c/lOYx+hsqp2alLREgLZhAhAwhPU/KKas/4MgcY JwdvzasynQq1Vn18TyKagaLvrqwZzBmFr54MVnD43Y3kyTf2FvQJwQC0vYU5FgdDjkOro6maG4/C pAiILjTl9tbhpXiHWsRKvlkCd6ukaGLeT9tt7e6WBNip4UEB8GljwZl8jDRjknjhowAoClXH3a8a RT9eczntc5pM3wDHfRNPKN6smYPxPg14EjC4qHB7FBq+2/lFYHTzorWAHsOPoyju7uEWmjLB6TYS abA+jDVrHW10psEFw3pdTwqmgEAiuNFP3/xYv9/Lqd391lDeNt8vQNyeFjZEXMgB2jrdVkYwjUgq uz5y8NWt62lJQEfc4sSxO8oii8tQth1BmpfugdRDqA7+xziWFDVwxhBJkmZ3mnUH91FsDfNJovG4 T7gvFLaZ8vyHeFxMX2lpFAcTiYXBRYKXsji/OlVq3fiIg00JAqafTxywRd/BOPURqExTxynKP6p6 X1WQ2JiLFciX+152y9w5GND9ER/5cDUMXInMfPCpvQHBS1ROQoffpGhXXAclsq7RivN8f/e9FMVK qv/FKJn+lACwGKqMlHJt759bs5zEZzTbni4BKmgNCI+C0EPeFZJjIIolVZMY8Ia2QWGl0P/b2ebz veOchSFDcAVep6RC9e9JK/pGKiix44vrlMs8h3KInPyPh2IiCtTftMoTV654Nng7M1Rgy+QXO6zC LZVBjK85uyU/FTmjszKdAyynnZztkTKOvLR+sOquE4+HGTZIM0dd66T4dautWB27RysCpuOf/d4o fq5RGM2QOLvsWxsQUpi/gE/qX84z5Quc0Tjv6wMYUTtyicTnwEtFaSNXKxPgdIqb/CTNQGIj64z8 ABvscXdNLDR0coZtAYgPxzzspzFAI7+vrK7z7hC6ipdAhzKkXNddqYXAeBGmZrzIdeG0AQnYfzJY ugwZOskZEW+aslQD/Fj+jfQo5RAbXURcB1hnMWazLtNH6OzvsRLfkL1PC6M8YTxY35943j3nFQ8f LaWrVzIE6Wx7gmtfePkX0DefUyKhaHd029SxTZViNj+v6LQ8JonKebrDVEDGr1h32XAnmD6+2hD2 Y2+FJhW58NY5vBMhyM4kaccUyA5FpkVAPRo/qCMnnPqov2txy7xsSSckIlrMP+O4f+V6nOl4gyAB CknHYo98PmG0AgozPEhOJRW0WeZKmKsGjQKQRaYucrqazxsFJwk5R3cvhs5rCzxfWFvIM3C2Prma ofHiWlLm5OkE2FSU6GNUdKUEIo1G7qv/ahKuaMmY3N1IAY3FxkyQ3JKdONzyLiVblxRYFojeTbx5 MR1pKIqlmJDMMBGmAkwvqe79e2oj7RINgPNKto1a1uIxQVZ8tXVzlinaRojtTuttQBAEGkywKqE0 F+bafiMqyNnLQQZtBwHTATKWJ38tjR8QUo7wYk3OHhhsUF27KB7OigZKQoF7KaDyVvXXXR0CyARD 4GK+rlb8l+jrGKRUgvXC8zg3/7DW2CYuqLwxSLQBbmjbCwzaVf6ngbBC47ZZWHljED59lk/xHTCM R9e0Z1uWzqhtEDIQTeZ2/hPE3c6g833FxezJOXQEeT2Pzdtk4MlEtgPyQFlb+sBSdi6k6mKJb0dT aP73PPL7L4mPun2ddhEYs3/bXOnWe8dY5euq/xZ0ng718yE+bHI4rbaO5GnVPc/D3ddHCqyqIb6L j4VXkqWRaTLRlysee7C77DzvtWyiMrjHNn3G80TG9sGrhqXTiX9bxWHjOL6XUVpZqdWqB0m+B4Y5 viuP15yjTA8QrQXJ7lgysma6g5kw7zII8sN6u77euzls9Bc+BQpxnHsqMRFVBbSfhBJGVJ2g3CL8 yjwceu/VFMN6vI1gvC3/FZ5fzt5l7F3bypgN3RfWr6+0S6cAHzO9ZACTAkj1GQ9gvZTo+jpPb4MZ D9g/E9PZFh/QJFSv6Z+7h4MHZJC1IX3xK0us/5I1iNqUOPy1zsP3vMj2DFqW8yyp7P1lSbl9nIbG rQQJ6Q6HUpM6o1JgMz2HmVbnj3z8dOZL8juWwiYprDJ7ehfQBWM8Sgk9QZAL25KL+LRIUrhIEGPv tg4c42ZPtmnY+EsI1dshUzYbB2BEOmLYfkWEsHLQIQWoXL598igDa/+OEdDe/2+XgXIMOmqI9tvU Z6WZ/S6UY48lPDgPfTdgY6TPUpK4wUntvBUXHj7wA8R4EHtN6JYBFK/bHTaUm0fHn0qXNE82A9Ca VXS/dDuwJ1bqfl26/grtHv86wPh2phdo8qMEFJBZZPSW2sKRPIXn/KHKD1lGXLRnmHmsJrasM4tj +vDD3MYp3FNCSsfrnS/lOEK309u7cJCE/oesmbcytznl3udXwmM9DSp8ewKLBIjLxlLNjiGpWwgy cENZY7ePvV4ODn6l+dri3tQ+lnpbLQ4e1DG0vspQOsSFDQ6MnBZTC/PF6adCIvWeFiDGoxF2IyVc DM7HASQ5sSvSTkc5R6qH7APCnJevbW8C8mx58SbUOBhwwlHheQJl/4gniZWzmdScWJH2IBao21hn HDxkCh7Eg1L2dVcyvXL2RJPHai51nU9ARN0+4niomIpwQLQLyB+DlSFdtmIek+AHAzBi4aahP4gr 8Js0fZN6T5Ng/4+ZYRUSBgYIaOmmbuiNEIKL4Tf1LVgbfWeCpuosHyhrsLros5VDimboPy0NLT5/ 7TijCoBlhVVzGtvjqkF4NnQypSqNy46+VuFq7w+7UPOuEhTU//Jp2Bgq/0VhUdxwOiQRQO2Cq1JU +lZpF8IFPNR37leQw3zZrGE97hkEWMty18ITjHKWAgYAyCf+rzvkTwaj+g7K4TM9DGfq2yqTrJIj 3N4FfFmQmr2o9YqJtlsCLrtIZKkOC6Enj1k9n9zlqnhlbKGV46YSejOJLi3klu3b1h1jr1meZc7t HczT5fNDD1n0J76FmX4QwN/HNQvHTUo56pESvD64B3azSu0sDKSnxeYvT+UuYODyUsSGg44xZtKg MvqI+keJXUCc6DSAck0JdKKdbfs2jMPr9UnGbGZTKSpjJREw9SmYDy8O7+PeazMWaiJapdaWqWFx x3q92rS/Agw5AbYnwYI+7B7hJS7O2cXghJSl4uUbJGQt5gtzDIxJZF1FsWyprdTrY1FX+k9g9CCl 3jj9vNsKO6QB1X05NYUTRe15ctf6ViZMvPzGSK2mkD8ScpG3qkqm1jSoKSuwoEBSOwSRzpV7bRg+ iu5z82KbmXeoblBhuGTgTQgGtgGWHc+7BFRQgHdoOSliY5+acOn0/H+8RyqzpbU0HuFtdLI71Oz8 1UXjDxXtu5pAtKs87JdHVANV2TwPiwMlrBJGYDVJvoNIIV9/Akb4HCaEU5rdW2pDu19c6mWfuM6J rrYYncxspSCyhPhuixadgidVla1Jac/3oUecbuF4fyKm3Fmws4aEHHl44cxQW6VJ8gQW7Wb8rPbx VbF5BTnpJXf0JAs1ADk68lPJnVAjAh+zYdM/VPauuaaVP34MDE9W2PSaxxxU0mKwObj52hQfVU+v 7tAcLntdF4p31SDB97FuXLgzpnx6QZm4RuOEj5cEM+eo3G4YOR70BU16Q4D746fyKtGl5VZ+bHip Ueo/guVQ8ZUuBOai/+54228PyfN4oRYbCV5hqOLZnBZ0ipxru1eRzfw9Ggr2Qd713tGcgBKRQX6B ETxuDHnVbZ3hhVHmM3OVdroZLmDTicG536LeqhAuNVl4WelcVihoOT5JPr2wytc7Se05rDdpgr+R KyzpzyZK0CLefgB6r+BKx+33TQ8v3GOFzYPS3fcyKAOxi6NaryCwt9SnbUPRcffm0w+tG5tRT3fY 8qbOv9JqzwxvxhRxrswExzjtlqNy15E9RvEerNVff6rghlo/ns88IiQYPQU6Qsh5FdugLy3+w/TQ V+eIF0Zg7rx/b7vmKTJcdlQgFH12UHh7W7xz63jY4SOO58/0Jcueq+eVr66f5LZAsW2jgubRoqqx HSDKzCKmUtDpFQ0P++QGKcFo5o9441hmHQ5dfJxpC8wuV1OHCvvHERSIFbW0zQTlAQLRBf9xSYUv FXZtGnJuJaKbGv1yczADKwf52cd59t+tAzqy4GnUoOCXlFaqz8f+p+VAwFtrzh2ed+Kn391d1l3R 61e0EgSGGbrOjoP+h9UtN9ANvL89fZtQDSg4kR5Io3h1sCLvovno8qwAi6w5Rf3w02hn8Th+36bi oxvMMLhJD0kMXL6l5TK3QmzMEjkQNYWedEKggTRj6LDVCdAX+JuhhGvNBRf5+3GW8/kDTm9prUiJ dzJUZ8v8vSCXc7stFPa4IPDr1fVlja6c0khg36D3c4Mwt5WrgFcq1IjnMRTMmVCba+cnLwCjQIfc HlhdSTfYiUZdhV9pmPlY3MefQ02LIoA9+a9mbGQP82oAAukqL7oloxYXxxk4MC9Q6wcmIRJbAjdL bC1ww5ZCtmphn+2NI1Hq+q4KDkJmPmHfx3xM5awZBpyqGc3uJMQc8JHqYWy6GXF8kBj9inCRUuVF x7pOd2es8AUiY8hhCw9lIjInXkUw5Zi5Zzog9iTlUatlgPesycLm4Lo7W3Zk4MPOTtEJMVZBirjZ +Gbq7N3HVnLmL8y9KbLkdZN4hzhuG7HL2Fg3FTe86T9cicc1VB/3/CWJi+b818RxI2Z5xxTz5rLz EX6OxbP+O7xtgv7iY55zaQ5mLahkAUeXoYt5GkxHYAf7eIcQ6EMeJ5T4si9gNgrxEuIqL7xD/VJn ElEor1dJs9CdSq5mmp3Q7NXQz8VobFf9v70NVyuPwTgvgiiVr1llNl7jFxmJkRNy7Xwr7IDWg2ad BHV/npobHo0vgOi+wIg7yzsHdp7+0KstTOVdI032E34qaMzegM+4jdux99wlkAWH3KPum5khvmpG et8H+qL2itY3FLAcV4LYHqLYvmWsfkYaY3U/vcgg62XBBwkw9roP+tJii3CjKKvmVV1fbs7ir4Jv tAOaIc8WCH7m3vMaKUbLEZvUIZfPJoPlRq6/7ku5DgvLHFoY5X7YPFObHWkvlpTj41O9roYlf8MB kLaQyr854pImsD/p6GJ156XCfG0mvKEgyLWqO2n5VES4iecgShqpWwEJ9EDZZh/Fe4gi3I9YXkO0 gUx2HuA8SZSFYtTrutiFb7gVu4dvRJ1rr149RGZowYCqzGZ7F6s2k1RcLzduOP3QLPzMo5Uo7yka zWV2YfScuqIlFkJA+RT4eNOQDWhVo2R8J9CkcwCXNmL9Y4gRubqScpnyOh4qdDj9TnZ28SNrNFsD PvN77EStnlajI6+8D9ffF+8A8azBln2hT65F8pamF13605B/KmLVK0+dHyoX4/z9KeZg4QySLIbY qrmpHYMpBvsz0wSmOIgxvscgegpYDN92EQ2kY3J9BftWHY5YIP8QWPw4+O5Q+414il0hh33hsJUI Q866vsTOSPjjXavCdLPP7SSz4nByvzzGtnxZoGre3RSG9hD3LNvYvcr5IyStGtBfJiMRWcvjtYBb hHSOYLqZ5qKgmuaBEpRORl3r8ZC84nOOtGcm/2fBDENN53uhn8F64R2WEC55M9E8pAW9a0hJ8WBj bSkse1HVGuTSN1RRc77OE/D+73p0CS6gwOx8CpNCCRXWWsynNZclhA4YGPs9LyMoiO7IdtE7q6rb PHH+GJm7t9Est5+Z22usf8MFW/R/3rUVoPKSUWOE8qDkGBPl5VQjIJlybJTSDGKgixNSlcfYsk2Q K9nokWNB8V38JDZ5qgNLApxASgp1bAVncT6RgQmxEAdtxhyOT0gctLEmv6IOPiS6ZTBNtodVsUkd qWEOZEHpxxsfF8fmaOnTbRMiRLW/lAXb0ebhUTSYBZ/uCalYliQn2JcuhhAGWLkSOrDZJbOiGAqK ym9MBQHo82fDMKSWP45Bvs+TgM5lFZF1LrPCzbXb2jVSsp60OCn86uUHHXCCld0jDCJH8R6hnLhe JefhX97EKrezBg4jQdt/eig/ogaCn9w1h92wmUaFMAhdFYc64BREyhIT97uwK1ksUvBddZtZYYAy 2SNvVRdvZdC0DoUyC3Kcnd3NtxiDzitkWXn4T3MciubBIwwPeski+JcWGiQJG+h41NaOapwLDM6g f+ie2oIqa6cK6vBo22khg0/yKgmf55e42F2j8DVGITyW0jH/Mi8lqHwQjs+/FDHOE34x+MtTLxeJ zeGSE7uxepWT1/l6ByjxeJjJluqW/Sq0rkjBjVMNA3zHYCglV1ZApMqGKZ0xPG2+yPL4ppfJmeBX Cy19Mbdoxy/euA+MDGGlUQTgJj4zjKkEaYETeIoVh8nKKrNmG0cynQ56Or32TzWIRNc8hu/GoDYa H6C6tl13oYU2s9Jj137EtnzAEtD5XnHJsHYmuTCXPOnDHMowwwqvTOccVfLpsTTXumjwBUxh0v9p CrNhcYwOeQucuhb2KWE8i3rMm2bd8M3XdY05NsC+Wc2rFkndLRwnx+Q3gjwMTjPDCfRInv6lQ//N nwCrI6qL2b1bMFJFADHYnxyFILZZeWlmy3NJlXnOMDKS8G8Ksi05YH48LgT3sjKveuITk/ZIGC1x ipi6Pf9Ikm9AtT6vSMSzaL4IqrLwF96ap8DDTVdN2Qg8B9494bx9hZXAWNStNOLYtol9ZHd7f6OA pHN8whUjVpFgN3hTlb95Af2v4wLOcg0pSYp45cimH2al7KYUtO/nj3KDakkKiSWXTQ5TH6ZMUzNT 4bkuHSAbarG/2sd8OQOTycFNvaDpCMoufEhCTUW/UVczPJTiGEw6i6sFOi+C7v8BHj3eMFadYppN HArhE536zg0sbfZrFJ3LmKb9jmg0VZZRWufqUnJ+z8br7A9HbFbqsrAkz/U8AOkCJSbnx01nPNzf TrbwNXb97wtWxM6uI5zRTgZptc2Jh3/xuOVrhT57Fly3k/lMS2rFjsiNisYmHkWmb6RJwrAkWrcI OL3jpvMwkzOnCtyNm8qvpID6FJReqGUAQgWjEDQ5KDVGbOIOk38NAFgT70DZSKLnThOFwF63gTHN KUqLsdbgUuMTc4y5JiglPOr52v+5VlG1WsSmm10s81YNDrdfbjMbWbyfzagM0hxPHNSC76F37xx2 3i7iO2sUPdVrbU+C9rNKRde9739XOqaliU4LKFeObspAmwsmf6wQZknpT/XCejWsb/lo1CUi5JDi ERGhXSd5q8ZZHx1LDL5nAauMTilWrxoMHz0MlHV213VxaRMDH9XA4MzSd7g7GfRbFht3Nb6AVsJY tPOOh+hfkQyJPNPZYk2FAK97ZVqwx/JGBq1w4rG0a7yiuScBmbR0N2oQ67AbszRDrO6b/EeGI/uv 3D09+cG1diga/DwY8KM0UNv7F/8yamwuwmJvZhPGbCo/oQCfqr2IJs/CFlnU1ZKNFKNFajBxyEuw gR6eualKq13oLTJC9fO+Ti4NpJwLSsNiwDYD7UYBjf3NloOyZcuzlqPGz4Sc4XAtyktHtbWgfbfm ln6tq3EVGcuV9TCx0jnX5UPbEZCJeaGUKZYDzArYo8Clwk3Z1n8C6KQ4qgkBbSTBewuN7FD6defv nBZEe3QklFGrCMEsOtNXTpvnR+Nzg3UyWYNLA+TsepBsDOIPdKK/N2oXMYSMpQeSyednhgdhGooW pJ+lQOTjD8Ka2jnPE8WD4nIoQcdwT/zPP4PeHRPpwPJTvLwc/jd3cpGssWZCs8ye4IRCjIWTHzsS AdxSyrhVfMeQ+4u7EBTVeMY8cRh/oVpq+i5U5Vqe3ZdltCScU8i/Xv6WnUc8ekGxmITlbFQ8y5m3 R0JS/LphhepTC1U3V8PRl8i8cHb9XeVMhJ4z5gf7c5jS1bPxIC/Cu+tCJxASn9P414f2NGY8/L9n AGmqgRVKPH3vNIki3VJm/bFOAKOCBJPl5YNoeZmE0+OIfg0+sBmLFTiZolFObxGzGFQZnGhb1fAz 6ToIjx7ek3X9DcXDfFrtoC8qhpnwNlPvNJdQJxT7Vys5grWQDhyinsoswYfyRqfBkoeFGIjPnudz 6Nn5qN/jQGzNAURIyXsepdqFJJckPfeu7aJrmzln62NvoxZmSxnmzZIbfYAUsBOD2jI4LngeUJP1 /8Z7I2kNRhi8sif0CG3EJYgqtXEYzPk25W7LFtCA/w9rLw2xhfU/kfbEQMS+TXUL3uJzz5KO2xKn w6FcGxqKZmt4DN8J4+8dQBp4snn/aBSTaM4de+C+fQCS8WAJEFQH8AmnzXqCaE5p4kL0nvWxyncY WU5WB8PIVEtstZfXwpr+IU5hIEkOohvNDmRduJ2R6AR7q9n94bw8fGSsmuJ1/1v2pUhdBtQSTmrL RPRvMTGjOERmhirCA5NK9XvrkRBXVNrnyuZ2E75fAe14ynfZPuavcZHFBaoayYjcJ7wF897BV1V/ UvZkE3Bq+yKzcNfW/HqXotYPVXXUHmsJPQD+M5s1ywiRMq/fwCTr5dRwewzq9tbJovzBC/fu7g8b 5ufjKK4+sarsjeMRqmdMyi9DYX5y0bcQ18FD/a2pkx/Gs7gXegT+WIsC2dvQ2W9ed1OCxZ3UcFhK +YgUd1/pTHelBNU3JKBbN+aJx0ZmbxeJYy0FPoHAFwhT5K1zYSvpOY9v8Dw+ymk64tOHlbjB/+oY y8sUMIMj3krmAUTBlC91VP+tm+gDL3HP5xDFqpGmmml324OgzroNlAhDUcJKtC1LIe0VmyAmiH+L 3z3K2/6Czyg5LCguoaX69EQF+MhfJDfAZhvLVJmevrGVOo0bssFEJ0qMTSN1gXfDG60yhx51aeTD gO1gaZCy8cLp+qq+FEERUsKyJhlb/uLLeuHMz4fC5j5wKccIfZUrmVL2Hf1u3Lm1RtKyWjYKHBZN g3ulV2c912IAzi3D4ncH4vn2azZUyAxLZPgaW/c6+zEIwfWsfWETFByHvW3bDd9N9BGWvxSQF4Ip nZOffRK74XvHcmqrD7eiswQhzsVe/toFQzDegA4FA0BAVzRURM6DrI7lKMd5t4s2cJdKAc/iZB3K UUEonedkCp/ynkYB7hgSXl3Db/dU1PCy3sGbNgHvk7uhlRd5MLSO6T/hJlKUoXrfuuS7eVGgoPE3 nF2P3Jqd9KgDU74wy5qiVrCNB9W8/iOnHFISeI+g7oMVaxa5foXHbojhTorSL8QOoJ1umfgvaNYN syQ2n0NHVtFMOH6PpYChjeGKlN5rf+ChiPUtR1zXMd1BWyFXJjmTVPxBQWXKj/7akxMXxhggm0As eaUXqwCw03Emwx0gWewzmKa+nCf4AosOOVTKroLMHZf8TFt8OgrdgKihKMFQJ6J9dyWc2Rq6KITK zJjsYUBEKIEt+uIv9mRvXCpSOkQ94nayMou2cPXkeLnr+tQcQgH7iThY92AAK8xcgmB3pB2aNyA4 iDp5p+95C0RfmaeRUr6GeZhXjM1WAltlDKEH7qUR8H1ef94blcG924qkIzCxmKgiHMsRAHtX0VFw zVl2AJoVYcAJhIJo468W616IhlaL62ottWPpjqFbQ/YPfgDhI5HZHuv1xYt9n6HEhT9lD9IJkP/T tehKAfLZcUcTLRC3D1oM4bq/PG2P9kpIqfML+JXw/4Ny40d4iKHVdzXZguTLl1dowwlTGg2h2fNf 4OxKasq8slxOv4NiDefpR27uXo2g2TJOfs59hVhjmfjcsAogHTQd6j2tremQhTM7Zfy2bqmOgKY5 B2g6vLEX/ii3FBNmJLXGA4kvBzyPRUshKmWuxdkAVZKLJgt/KPMoGvzTlBnhp1XT58Y/v4jJ5H6p W8ETioZeLMYQDp9m+gk9E9z0p8nHGg+Uy0aMYpQLrzhKGBW0oa/HQTrpsZmOrVEUmNzTBMtQKjAW PGlhtwHgmXkwj59ClrtoNV4J+olTsSzF6/ZqmxQivMDge1Leiqk+Is9yT0IPHi+sf9WGTpt2JGLb Cj6P8nlWZ0GG+FHIRPaxl98v6NPM4SnqDp3p7qK5RYkOZotmpwUauKO7VDtPgepH3f/sgQjnhcG/ 9yLLu7ulWma0TOmenC3KJZDRk2vIqO8q754Y36WCCCKtypKAXx7SWuGH6vYiiyVQ8W04ExAMVhea qFEUs+fL+yb5u4dVJG7/JG9kcNuhNhKhLfvVKaSkM7/jsiqXFamAFgRucX3/PjGyyJAt1NLlB/UW Uxn+HXR31EkjiQq63VnW34kwVz8aQON9HP7Ziiz8obkTnnDNYyqUm4xBmOwadYK3UuxEnj7PYlL0 RgH2vVp+3zYcxzbVxZ4Fh62SwR5yA7QAMESOfm4HTV8rzretufvgwkSKHdV2B+3XarsqDEhWHu4O cBRpLPFKri2OwfEI2I2tudPPLt6SR/E116gNkm96RtA3UOvx/ez16o01b8w58jMnCiQzUwTWXIwT 7rhOOc8mNni2tFDDDKPFVHiwr2orqPnKnf2ykQwni4jb6pMVpSdA/MoUChhLVNxqU+VjaFRRhNOz ROWAqHGAFg83aQHP4YtbTG5yRZseAiyb7Y+D/H6RNR7tF4edTucFz+F1Bz26ajTRZpUXDPfxQyd0 ODlOdL7SmnZPaYqtJTccSwCs4PKDmJ4DDqSvpIwP4GtxRHUiez8YlLj8e1J94bBm7qsj0mY5eTWQ dH8ccgUJbL0tt/cU7QXgbSYJH+uRVpSHR72kdbn3z1RX4CihHeMNZ/wF7myty8w+T5CbirPsahqj RR0SlH0e22coCX84meaX7msypElyyqSwczW1LV4YT/IFoUZrrjODCXQ9Y+kucDvn99QcU7NEPVd1 hLS8m96QYCKcnsx+5JLlgXIH8OGPtornE2aCmxA0Q4teOALTuAdFfQi1X8vho1IHhhDRPTZTMApo GhQTSA3Je26tPeAHlMk17SFEJ7M/p5uXaSecKwF7Q4IHOhER/0OQX8x8fvV9KC72ri+IC2A+uhHN CdmgI3ilFh7HJralYKAEOgHR/zvUKUWJ4VdDP0yc2VkIbg6ix1LaPEV0PU+RK/4Ud3ac9kSA/VU+ bzeFfxfVUMgNUcBT7KHHsjMoRotXTvPkD0e3Bg1yEoppRL2+7e1HiiLXdMxdjiWsoMiP+2SoYBn0 E9zluvagZr5nzBfQdHxPpqXoPy+VGbi3QYnoZPX7Gdovr1sbHr6m0LaSSozTWlTViBj44X8QetHP 6bgUlHuXToWl//FSkG8l2w50kcJd6+DAqFwyBctBCe4epNbzjO8QFI1rC4pT9nYmlq5E9Vo/+SY6 DM3LT1h1/h4KTCxDHi+h0+GLA8D1l/ZsbUBSSIrU5k6qybqSnP6ocF8dOuobtI2bvGQqg6JkMTk1 2JgrbjzeEGxCH5d/5YlzJsY6leBHwv8jkw== `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mult_gen_0_mult_gen_v12_0_9 is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 32 downto 0 ); B : in STD_LOGIC_VECTOR ( 13 downto 0 ); CE : in STD_LOGIC; SCLR : in STD_LOGIC; ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 ); P : out STD_LOGIC_VECTOR ( 53 downto 0 ); PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 ) ); attribute C_A_TYPE : integer; attribute C_A_TYPE of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of mult_gen_0_mult_gen_v12_0_9 : entity is 33; attribute C_B_TYPE : integer; attribute C_B_TYPE of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_B_VALUE : string; attribute C_B_VALUE of mult_gen_0_mult_gen_v12_0_9 : entity is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of mult_gen_0_mult_gen_v12_0_9 : entity is 14; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of mult_gen_0_mult_gen_v12_0_9 : entity is 1; attribute C_OPTIMIZE_GOAL : integer; attribute C_OPTIMIZE_GOAL of mult_gen_0_mult_gen_v12_0_9 : entity is 1; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of mult_gen_0_mult_gen_v12_0_9 : entity is 53; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of mult_gen_0_mult_gen_v12_0_9 : entity is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of mult_gen_0_mult_gen_v12_0_9 : entity is "artix7"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mult_gen_0_mult_gen_v12_0_9 : entity is "mult_gen_v12_0_9"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of mult_gen_0_mult_gen_v12_0_9 : entity is "yes"; end mult_gen_0_mult_gen_v12_0_9; architecture STRUCTURE of mult_gen_0_mult_gen_v12_0_9 is attribute C_A_TYPE of i_mult : label is 0; attribute C_A_WIDTH of i_mult : label is 33; attribute C_B_TYPE of i_mult : label is 0; attribute C_B_VALUE of i_mult : label is "10000001"; attribute C_B_WIDTH of i_mult : label is 14; attribute C_CCM_IMP of i_mult : label is 0; attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0; attribute C_HAS_CE of i_mult : label is 0; attribute C_HAS_SCLR of i_mult : label is 0; attribute C_HAS_ZERO_DETECT of i_mult : label is 0; attribute C_LATENCY of i_mult : label is 0; attribute C_MODEL_TYPE of i_mult : label is 0; attribute C_MULT_TYPE of i_mult : label is 1; attribute C_OPTIMIZE_GOAL of i_mult : label is 1; attribute C_OUT_HIGH of i_mult : label is 53; attribute C_OUT_LOW of i_mult : label is 0; attribute C_ROUND_OUTPUT of i_mult : label is 0; attribute C_ROUND_PT of i_mult : label is 0; attribute C_VERBOSITY of i_mult : label is 0; attribute C_XDEVICEFAMILY of i_mult : label is "artix7"; attribute downgradeipidentifiedwarnings of i_mult : label is "yes"; begin i_mult: entity work.mult_gen_0_mult_gen_v12_0_9_viv port map ( A(32 downto 0) => A(32 downto 0), B(13 downto 0) => B(13 downto 0), CE => CE, CLK => CLK, P(53 downto 0) => P(53 downto 0), PCASC(47 downto 0) => PCASC(47 downto 0), SCLR => SCLR, ZERO_DETECT(1 downto 0) => ZERO_DETECT(1 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mult_gen_0 is port ( A : in STD_LOGIC_VECTOR ( 32 downto 0 ); B : in STD_LOGIC_VECTOR ( 13 downto 0 ); P : out STD_LOGIC_VECTOR ( 53 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of mult_gen_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of mult_gen_0 : entity is "mult_gen_0,mult_gen_v12_0_9,{}"; attribute core_generation_info : string; attribute core_generation_info of mult_gen_0 : entity is "mult_gen_0,mult_gen_v12_0_9,{x_ipProduct=Vivado 2015.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=9,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=artix7,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=0,C_A_WIDTH=33,C_A_TYPE=0,C_B_WIDTH=14,C_B_TYPE=0,C_OUT_HIGH=53,C_OUT_LOW=0,C_MULT_TYPE=1,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of mult_gen_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of mult_gen_0 : entity is "mult_gen_v12_0_9,Vivado 2015.3"; end mult_gen_0; architecture STRUCTURE of mult_gen_0 is signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE : integer; attribute C_A_TYPE of U0 : label is 0; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of U0 : label is 33; attribute C_B_TYPE : integer; attribute C_B_TYPE of U0 : label is 0; attribute C_B_VALUE : string; attribute C_B_VALUE of U0 : label is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of U0 : label is 14; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of U0 : label is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of U0 : label is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of U0 : label is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of U0 : label is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 0; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of U0 : label is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of U0 : label is 1; attribute C_OPTIMIZE_GOAL : integer; attribute C_OPTIMIZE_GOAL of U0 : label is 1; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of U0 : label is 53; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of U0 : label is 0; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of U0 : label is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of U0 : label is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "artix7"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute x_interface_info : string; attribute x_interface_info of U0 : label is "xilinx.com:signal:data:1.0 p_intf DATA"; begin U0: entity work.mult_gen_0_mult_gen_v12_0_9 port map ( A(32 downto 0) => A(32 downto 0), B(13 downto 0) => B(13 downto 0), CE => '1', CLK => '1', P(53 downto 0) => P(53 downto 0), PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/xbip_pipe_v3_0_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd
1
24644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g8r/7sde1iyR0STftzcYOcdH/3R+q3JXUCZQpPRz/VObMWWqrxZsHW7lLAXgWiq4LPjiaWHF+vPi AECUpOzjEg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WO7DgZGyzsVW5LpO/Eo3jPkPwTvvisAARwFpj2ThVqKHqWqYz+cfigwxmDVkJRua0WFfWGJfALzZ wH9inJ1f2CNVtaotQX0lZ5c362qhx1ui46ZI+45doxR7KHnJYjtJt0bjBJRxWiG1ibF5Ibq1Vypq pWOz4nlaE+qETERLz8k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l/QRLOPuCKHCQg9QTQMR7jaNBVIni483AUdnDJbuUz9G/TnesoE+ckhte/F0j4T0BnQXltD2Tnpx iVDzBTduCY+rrKSf4BDtqZQWJixR7872ZqBGdzwwbc3lZRFia4ykuBaMAKWhpB3egOY8nll78wm0 IlvLFfiXsSWw6JaF5MsY2IumW7cs9XxYvVrO4NCsL96xF17E8iSUPKLB2HRiNN0435RV6oaVGuFP 6dDpS/axWCBwmIlrR1/AJYmARBBTb/HJMKmuWtKGLARg5e4GekIKL5niXM5CaBOaK1N2RkA9p8cv 1ZaBmtz4Yz5BlqinZppN0hM7m21yUJeY3vk0LA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SIPc+Pr9P1+9JsBFlLDSyhr56wAGsokSTHVRjBnYtNQRv2Cm5GaMw9a4/GZLBPH4gUodqp7zeOyV CWSlDOlDpo/32Shb9Z69I9aAKcLsfexMWcoMotgY/7e+Q0QLV7cYrd/z/ObLMAAUU5jChSdYnzlS +7VMeKlMLT2qVS51Zgk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Pasliv+gc6ueSrwDi8CYMLQuGH7X/hw+ACS+RP+c7r6sIaXZM3oFjtvI/1vDkQwJt1DRpzLcGPpf nX1SRapBAYpWFD/ImY3wBJ8C2f4pksIHaMrjA1wpWFNCX9VFKYl/zBBBB3CLfQ/oAH+HyUHSfuky Q11Q+PE56TbXHxVkPRT3n1MMU6Dz1GmFhKhauQh4dtuk68rUVbIj1iVkOAV/24pJz11QsRqZTsaY omz9cQKbLN2TrFSoAkUJgbRAynTACbr8zvFgBQybG7Ha8oZ9TmwUMCoCzJ51TocJML5Wa3hez2Gv PJVH7QQFGyJyKD2iA/1Cm51lM15588DZ6VeZ5w== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fitjjbF+Uadig+MOn0ROwIXMOGmAsKF+ai2aPPzK1LuoHEybEUjV3Ow+S4tCN3XQ0vXQwlJ9qrkh XjAxKmcndINrHSnUQUnxaTr0eUO3vd2WqvZ7Ju0XJDR4+PjdZ4oM1DsnXl/hmdtnOjsCyplOs60m 9W9MbYlqrIN0NheOVo+Zaea/RQAZCCYgUcu9j2btQONsOmorBJXqpSvBA2MTjrhGQONrMBGpIptc e9X97HPIpJ+DVROxngOntMcwYa41rY0znA1gjAtxPvzggRWl9qqUkQqmAlth9BjTr5K+UBTT1aTi YdQaO4qgUwRsHEtK35jViPEl7DIlbfQ25Evt2A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16112) `protect data_block R2ZHRSjgyJSrUQiGVZ7FIoX0K6hO4zL5W25w5aY52XOoQFM6jYSY29ZhM2f9zBjhn3bZklgd8FTC YB9yJ9g2aJXGIDeQLKgbplu4k1jIIjdngw4BG2WjvTGZQwzfR8TJECSixsmoP5nD2RyFl3EDoOTQ 9f0aqx7IyGOVBMv+XvC+eSXqfPs7Qb6Is/1mUaLR1NTy4u2xOFXZbcYHxWTRs9fPM5r3Ap2TZAmv 9uPV1aXV1guO02wqM17YI/eBNOhQMTJ+XMWA22OahBjPIEOfRWwiCDin3m6I2vCfx2GZKbXnxKyw Fx4dNIcPfhCY/dvZLycWCmcuKd7OsRM+LSTtc8EbEIDBYUhNiXlPwLIQrAegw9iLYQF+CnAzk3a4 AwAvkwqfNMc4rFe52WvjA/8zXEj30NypiTmd6tLTaGYHlWKylBIc2R+7A/c650usYecJYYxcJO2p QR8VBqm0o+/9i+EIvZfHgjfLauT+cT2jZ2EtTzYkUjk4M9y3znBmIg5/QsW/8ueXJ45pWTTD8vV6 x3BdtyKPog9mO6ljpdZr45/TE1UPeBr9FwBy1+q5jkR1TriLlIz7KvsBQMvQlSKFfqbX1J7WFuOV fs+nbpyZKptMEkDZTTqL60MLKZqu9RO7vxT7EWZW7pcHoqW9RCwCfrTsWHfNYuWYAp2dhhKvrHXc 8OPKtt01/xtKvobD5tw8EIRAMBAbQh9iZotz0uPTatfpCgOgh0WkV26IA93HH2MbiMOyBT8crWsV EjTKNcqW9n7DjmhmNbovrda008OUXovExjopK0a8wSfb8NGr9zzyoP6JIBJR91rVKSa7f9J9VoUC X/CIWZfUHx0vW9he89ejgoPrhkY/ARKIQC4EhnFuVqwj0OCFT/w3GRtqi0PKED3wMMHl3XsdgSKu rfAZpunBKzgQahx7sYPPSIgBomf9MKiibrexM09wpdl6SxeK5WceMMiROS56js6al5MC1sZpkJpJ 3ZCX/sBAKFTi2eXTNdGf5MgrDdPPsfAkFrd+MQIiT/lqSCPLXGio2vp7DtUvVyGWixxlJFSTdG2E OylhiF7ucbKtUpy54YJ3gl7wX++Y1hgmukAdm+IMgol2RLbb1ugBorOC/d8asYauL8DMmhoL6pHJ 7XJGICDo201mGxEf0jdVXvVPcZgRh4gc8tlJhgptU1J61ZnpSzoE9aeoZ/E0ABNsWZNRVzMZIurT tGHxauMsdqOEN9JQnbJnUrE62b/i2nP5fIR1zN+oKBJ4Z84B/WIhdTusLHeu1ZvP8qfMdCklBnch QLiKZrXDv95ihldG3YOnWKYZ29+6MNZN7XD+l0oYiNqT8zL3oqCVb9XxL8j0e1LsORznSRG3SokF OlfyjWb1J6nbbW1G9xFgHOHwDQhHXMBO89IwhNRmun9ePGnHgJGUp2cwyiV9JAR6yZiOoC6Aof0i Jb2Xfg1khlJZKDCUufMqy5K/r4MLiWg7/Jlc5LqogUHhmOx0UJ+xSitgJz9MxkN99JBFvwQWRcio TjJIzAfXLZML1whG4/Ajdv/wMFmJFfl2Q2bFxsn9nofDCgy//zGgbj5URlyLc92TzTLxWqicL9rV wTdJrXvYn/0EMPAjDpFt9YjOhLz0xD09blrpfhYVS5lg/BX2hnrg1ufiJ1gTnnGBTdJi7t17Oj+z 96ACzfM2UgIWB76zPb2OWwXBJ9ymDlkxWb9eK887SMjwg9/PMQFydv7m5+SPKGyXyd85LeIt+Q75 e+B8j/Ll6nVu4WwovizxtUPIiDToSdsAYaKdKrfsKJqmjXUHpC/y8vKhNPSlD9KzxfVNZ5b/kJni N4r/VsG4EN5KQtPzj31yk223g46vnFuBrYFAXO2EwuM/tsr8DD2MvCkkEXqWD3NXPke3Rpl7jGoB fDxHuxIMfZE+s/G3uBEteMoV3+wfTPgPWDkM3rojsfQ9EDbmw4gj6Mob1YAgkwL9oH/rDFpodg0v IJwIXUsNx58GG2yzw3Na9wh6AC78ax0tvBo5nN3pkPJg3LeB9owUYoy+Lx8QadRu0+9ihvlNO9OS aUs3OHEcveXCW46852U2gO5o+a4wBeGIbELNKQ/77LgtqXNqFgEYdfIvfFhguln4ChwA3qezD75g nCz5rPZz/WUzA6+pjqzLa2SfC+//J4f8I+aSooraVYLLaoLV2IiPemCdlqDa7TyUsEB6VMpW1iW+ /mZWNsZxBRqvu3tLsm/WipYwY/32FZmBoCDWvc4+nhKovXpeAsGWlv4LNM4wDJ1RV8htV6Ch2STj fyoNAis/zzl86KveMhfyrrFCmFdjZQNLCqXViVd1UD5QDNWtX70fbCRnL/GELyqSjMzcmxIqOFgP it4TDelFIvEJRHS6s7jmSheb9PMnqzJhJtSl/OKbWgYmNHu8H94JwpJmy8XOK2FAsgNJ92LOYSwm pXhgAZflFx0DadM8DzRxV/ycAiYlFCjIxx3mHetuAHZIT5ITcKJNCCR2sU6Too+Y4cDreGiqtUxe 5XxpKM/uAlq8iebmfDMB8IcnUs9Hqq7dYTKdo8rWSdpI+Huev7YCWT8vuqWjYR4kaD6XyoUz7GvE fkfAjdFae2lA5vaRE0byhexZj7fJwBpEJtxy7trng09Wntu2Vm4bVG02MtjitrgjKp0uE43R4mz/ QHbppBa/grGlrrZQnfT6R5cFEey+UU/HkVE1XH7p2VcpUonBh+mZXgizIepHBod6QZLAC6oCGYmS vzpnoVcyqIgxhP2QAuN1BPtvnJ5386n7HL6/SwP19y9zQ1CVDjAz68VohfrS3WEM8b0HeQTgcsGa aDo5fSP1ZHl8YJbEbRRnJnHsITBpp1zLCj8+lEr+Tspd9VYhbD+Fd83NP/d5oAEWk8DuP+Epw3Gv Ysm/rY6YjAMlpxdu83nenGjnKHcpOaTLl1PR3aShOoJOc/y1HJKg+aEYBtQuvomv/cMKeErp/kDl svmZhIbdfM+OLp1P9FaOTXwQOjdHrSbXnLv8qkBhYxsyYspS4PtnXe0YtD5aVUOL41ZcBH4E/WW+ JtE2UHAXjMUK7/P8LBgIekZZb01twoOiXfCoGbbwFQppDISokl3PFy14lpbXkbOO904XnfDVVScY MOaHaDQZkqgvc8bgq06pDx1adTUwdDcIW532eZL32Cnn+MArO6sEPSbLQTYb93j6k07YBgWR9i38 oOHsiSNgYZJyM7LXPBb0fdhz9OD7EdPjMbLmKa3NEiKbQJxdDOPNWdd2cS+npTtTgd6WyLJLgfc4 KL9qHBl6CJ42I8J6ST+vo4Bs++zoYKSaYuHoi/HWNdZ006MBbdrQ21fm4PFXrFX31vzl7wEK9gCG rCN5yUKqY0/W2ciLAXD1ZK1gsbtAvMJ/1JIjlluvK5/qYmzpUIlgGvsMFaqrCSBiyQv/mEQxysKL 086mDlk1hoglvfCxYHb1DL1lrEJ+be9egiMX5RqPShBCDUPk/rGFCH+Q327VMopCcfvuLCBpCHHl mC8gUTUxeUiYUcGaZjvg7sZnFKERDns7z1wcMjywkYvl1iknVxvyOXqEdVUAkQz9ehDQebL9PdTs 0WRyolEGIpO8jNj7H3sODLPABz6za2hsBnfNqXYzUPahw6fQPG7DYpGS1puscpPZMTvxeoNwl/Zl x+cCIu1GbalyiLwu5vAzk5cWImHgE5xFg/vDBDrpDzBUXNQMRC+o3gc3XZp0jbYVTs94DITQj6Lf Wjfmh4ZvaoCVEExhHyLZvmJq4wfRSy0BKdkfnY9Mst7QfQqlFugWoXPBB+J+tpB6VAON1B9tvzNm jWNWIxGj4rUesyKDXGgQgXZModk/K52kCKbuGzlS96/69i+s6FrUsEK7rRldNu/xonAv+vGihyNe JI+yMskPCzhJXNGVLnXqvFjlWfHw1Bb6kQ7ltO23aXGe1bkVvDf+u31YqyvDnafOoKyuZBwg4A0q oK/N4gx8M+ecQ4ruaPBASQReQNiSgXuYF9yXwbRr0S7jCjAq4D9k2oyJSpZ0ZQIYEpoikc7XKCav SWpOsVoyhqUaB+AE+eE3BQBH7qvYU9NPqkyyslWTMU7G89G7aUU6JC8V+vpPvmgvuHl3i4sPtChu lwYcVjPYMiLM6rx57e3t0JgBb6j6fPoYVGr6VwiNAwUNUH9P+E96UQHNx3nhzlfFI9A7PK91HgFg dEEJBvsgQyttf3PEXntuNXQXc3iQcXYi0GEr5qWh/oG//LLBl2zGM3VxOq0Zds1rNN0H5FHChj8D KrHcrWZphjw26FX7NJm0nGSbaTF42/8QR7rlLHh+bnYkadRGW6Xix/FKsibD97X6UQQxUoaD8zkr OHsvj8VjBkJjx3leJ5Y/UWr+u9mUTtPtSfkkn50wzGHu7Twm1SgpWQIlUuDGwc/BLOifckximltk pGcZp2zvS8weS5wW3G6bHAHdVRCQ8WqigPaEcYCFWCSrb4GedcLUZOAG9F5mElCGmj4PXETWtcFZ a0EaLGFlKcjflPfddVtT/wy0+ZGvlQSgrk29e+kNkuVuov17hZ8tBWbGGROjX6720k0OnENw6KIY spjF+1HtaaX9jlUWOdWPNqfu02JsbJ8/nYSC/FS8/8PmdBriZSwcM+9b6mThLalzpfEV84NmWS/j f8WMAdjEtkco7eSYMQtcxvrCB7FQ+KD5KfAoKzmTU5hJ4V9uNMeBjngKZkk+4HBiuFjxtcNU8Zhx xGHcZa9ra+DtEGGfaXKvBMc7j5e6c6beybpAHpmRaClKF9t3fHoEnXy/a+V/pT4xyYc68HXnP9AR 1u0mk7vguEOmSJLCC9b1wpIJZI23t/QxrtpwuBKf9rTOZTDsBFfil+defT6ofTAZCGIHlyBHBTY1 4F4lWoi556DfClaXAtRLGG0PpvBxyfhV9PzMjm/nmvCqBWr9ZNeFnB/PTp1a3EsliGJmTCafi/uT CJhAERVmTZ5VCOqvT4QMViAGz8+uS3ygDOCFlMDZItdSz/BHBp8BlWVKujE0UmiMJVRXcn4FVW9R OnBnmrcCrQrlOwFoE5voeLNxMAqYO8u7bHreh7+ZZBBG8gV9Uma3CoC+LSgW12pO1eMr0iiKe2lu nHeAY9xQbk+v2rKvFaHWtm4DnXfj5y2J4tKrAT3SiGbgjE6DqU9xn6J4mG/AAiUa59tpIujL2y70 Ky0fq8YVBEi9Nbq0zz9x6dR1yTHsIW7wG3u4r+bciT5Fyl3cMfgsed6ywQNIK5X682XE1WGj8OiQ ozDng8VaXiOhQcPJMauNBu4JpuDv0KOMWW+yz+RvKnrCdmZ0ZxBi6YFCOxZsPNBACZeKHF7IBbKN 4r8HKAYfat1LmwKsQ+/FbA+9yrK653ecrUm+/66ez/Sm/MjC2cBkgfB4DMMRjR7NBFWEQHqTe60d uR1vHKzuzr3KkX3ITmgr51/4wfB6tfV8RbU8/i4RWljhZQ4yUWyt+0RL/amEP9jovCYnlxkUyC7y 4PSC2372MHAu+9uDe6N8xf2Z7POnHRK7UlloL6gkw4LzP+DsGRmnbKnMHczUEsDBGkvlpATo2nYP jIsuSd13LnJykKjgb4XK3nemy7IuEY+/uVnZwyiSmPveCN9o/YtpXARsPhzQ8jOa/BR4x7wzjGlS fITvkUKFagDM6Uio9Xf1xthxwZPziT0Y6pw0jsiaHLXSqbm0ySY2w7ShEPTYOpAUXSspC6Hpjdp2 vE/SlfPHCMBKy2G1h6n4r8tRsmXJ+dLNwtjzqJc4Z5OYcGlZlBorLuBhsHu5pkkN2v/ZPQxNheow eWVjB9g9ytF2X1s08UuYY1tqE8DYlX00Teefr2mgdoY1NMPbPL0wM1lIMzGhWilsWAlhMPLNEPTj deuXhxTZOnbcgOLRw8HxeyPAR/88jSSIzTmrPT+syHSetH6gARQlhAUkKaTtbx68Me5svh8ZEspk GmoTAwF+XVlqyg2ZEKMvi+x466qNyAQcY0ijTGGyEieW7LjyxFdubDxPQApDGClteUKm6d4l0jz3 K4cVkZ/yMW84SJocqq7+aWEmzyRzyI9iBJYXD5cCKcTfQ7KCyK4m+6S/uZWQ/X0HY4axtv85Yddr JMMUq3eSTSigq2xZmkiLHQekOOx9/S/Gx/RaxYWKccr93Q+PP9rVKXoYzHpmnSQeY5CToHjLCZLs j2JZqcch1T/uG+Zd2N2YVVj1/7SnE5Jpg6o7X2spxRR1l8b6pShBtCU3FPMlYFd2UFYCbg3G4nhI akKpV2B9GEWZ5kJsdArGLlIczLN2mMkLfqJczKUFiLgBafjm3bB7C9fnLEC3g+o6D5ntBI9+J3Jd 5T2NOaAnnbBsgGa5pgGj7ko7B1GlxjyiEFkq6giRFM+u3F7R0qQe0fpY08oQ/hy7XQkaRWSm5Fgj SYcGgrAE4pmP4lDRtVeOeBU1JCTizw0P8DA3e35sMPh8UX9bA0gLt3ZJlocj2rDxeQaHT5wecvn5 SplOczkKzE2K3aPaE3ItgouO5Uohqpct4cTmgzp4Hc+U5xE3OD9Y5T7pKRD/lqLSBpykrq6ImaCf J77k+85jVUOypwDQSkpcTb+iOGZYaCV86xXXjmuc4JwKQLquO5bhP/iQKV0RuHwx4X0irQWqzN4V k0Q93qSDaQ41EQo3RYvsOEKlWTBIJ25oC+DK58f7EFgsZHwbqe1CqwBCqvkiDoAIoANWiWoYs0iA sVt2iuNF4ldINuBfvzEfzbLkCEWUq3rLNLq7BVL5rOK/CSeNk5yHun2wn62vcpLaQn8UUvi6WSiS XTZDSwtEhuUvsR9FPBsADnbI8Af5f3o4/BsG6nwDS33KUdoppj9oTXeJ6thst8c9kQLc79DWCRJU 7BNsUDSJ7wh9sJEXHRBkjVq9onUCJnhZtPVhHuQEUkZ+K6vdtqRdFlea3iIE3XHVstAFlpYaq4ky bm3iOREwHE1d76UsHt/mU44wqIsi8rcTId1BFfL9rvNcZkX2LpH1unoIep/46my+kgUxarSJohaH ZYXiVigEWFDSUR1OJU9Jvr3+OAuzq2/XI59D4iJzR7s+bN1MXyMuQQjKpnA/mhnu7pkDR1RyrLkR xt/VQajiHRtXmARt9dWLpb2YOKiroNQ96z2BiUy4TDl07kl1wmBb6S7NF0cE8/B62whSOdhSxyCH 6Kbmj76AbMctOVj7lXPjDemZhYm9ipJTY8GxK4Zzk7fiD0iUocJQ9t++nN5kSfb2TwtGrAn86GIn zqMIGzOSNNU2tzCi58OzPvmpGxGgkgjUJkGztMq+IyvlqKyVEHjPr5iRp0fRLCfyQpuLGzWcpZri PqjVgSPejdZBippf6mtXpkvd0C6art5R/3Zi4NPOaXGXu8IbRoyuD1U3co5M/Ewckd9kx9N3NF0o FjWgFABnxW2Y9Txo0xedxWrCGnU8dts4lIxl6fbNqYl42xXuy4vI+bmEA+tLq6LT3It5CYuf0z5i OFG98N4XSl81f/1IX/tOArOKNwdWpz9SvjhNyY7OZ6qUeqfQVxJiKDJUJd0q70BrjogO6O8al7Gt ubHV3BmBAyOEmT4pnS2a7mtMNHslYUN2DoadG1tUlcWZEYO7dnOLxIneQTm2mUvVBMBVVjyjx5Os WK8Z59KJMpJayq9WHfBzr6yrjePxXG650pjBJOyMia1oALuo0h8gmKmqXC8PaItj2C8xzW2284e6 WQGakHNlcSDQCuKbZ2SuSQvhffA7/tjiVKzAKUA0TljAv9R216ndt+gMZ+LFWVFL1+d1X4sQOvix WpxhxtLQUOMPsppTrhjahyv4CMV6dLR5wM8UVyGLUnJ9uDzZCn/knC+btpPwKKqeFR76jTQSHtuK VAjbqt7OWcVhWly4GWAsmHKAPGXpwEPzG5x/2pP5VbmgNKpbFFE0q0+mUX17107DEE3HN2PhHph0 DC3+6JOHCutDhLMrkxCbJMwi5nLM55IqooZ3sepQU/U4K76I3I02rFakD1qel2tOSdpnyEwlqktx h0qKJGUYOAgIiyK0j7Lw7dbGaiuTjN7ZWn/CsOo4zOD37faY3/uIXQbgS0b4HToaM4osNjqpLdBQ osnGBIoHb8iLaeuEsP9naHUngy6xnI7LKj0OZaZU0SB0c6ahF0VteOzWspnFx3nGOU63iVWFzhJg AaA6iLPyrTFbiGDiBd/1DT5aZZmc8VuLu7R2CHD+v1RntapndTpcd+U1GXl5Z9pTtCFjj9XMYhQc TWoazSmsYxcfFYVQ9bwI09cUm47I7AQHUWaGJJQBKRvzBMZxtvV0JqgYBCiI0Y6QEwg/dBFYSnAB UrqGdYNc36HGFPSTuk2pkgX9DzaYoPHrxMcUZlRk0pMJZdb73DQHd0hQ0wQcD/fqYq2jc40EoNXV bR6BDcSuKx4huR0K0HeVjxS+eU6OwOczoQWhWoeondQjd/9sZjlWlOqYZioOadksDfGgrsYJB4PA cpDGo+WbccFTPUN+E6dmOYyQ2p3E7YAxMMwP+s0m852hlVlX4nfKSK6GRMPZM1I4EQAvoB0apuFI Gc2a4zAhhPDJNOwayavVKtzVo3YtOf+aJrSEYeaad5f4D7yCX9jJOmtbSOP/xvz1AmtxvHbC+N0l GIQAoFcjIz6Y/6dU7RGM4JMgdEmueIJ023BxmQrsEMxfmOJgv+b67u5iXQDN35xC4Rj7YsQZadnX F1f1CXRSjpTpQFy4Dv5FQdKY4qva9rvhWG2Io7VW9+EN3lpkvk3z6kaY3in3ErtSCtgoKCNFkbCf jnfcnTF7rowQpd9hxT61Bj9z39wdYAiM5oEj7S9jIdf+uqKndi0nwLO6cyWc/r2JGpnNFumiqT5L +ZMvPCeJu1j0FZPp07UIbif2u00Zt2UBKRM+AkUBcg0cM+jrzJ9fcfbcpN3HnZq6vZmonT1W4+8G NTfEl44ZSabktHpXoHoh89pGFoQ5pEcxnFdvpmaRWwkDgx1QPL8PBxlp91+eW7dFkjbLtEqQQic9 fCTpkF5p1oSKvwxMpD6wWUUM/xw52op0p+hnqEn8Njmkg9obcE8MQM4TGpp0W/9ia7DZpEZ3XXYh SZi6NdNMf/44xLHwTyyBGaeKs7ZQ1fQ7r/G9xxDZHfYWySMHlW0kI0b8ETSxZWtucI2fyKzwVnqu bG/Q7EmpGLojlngp3Tq96QO8y6NBeWhEeUC7RtL4nuq9YbNG0+KsJaHUaBHkEgYizcEAr/hS/Gom +oTt+aGqGBiPQsvg8V6+9RA6fFZ8VG6fYwRn52Y0GYWyLh3PW7PkyLJoKqDcjqXLq17Xx0iWawic ipyL7X62xiXZ88uX9BK/RiOh/UNvGf7paW7LmxpC8PEBo2jdg8lQDhkAnHAP2YOShe1LGMHGGmi8 1ZIMbHIFRclo5lOjPH4RB7vFCvVX9zgFGyIAqAJRy3zK1IJScKRecjeVOcW2LtCEcbxd3KUwqfcz lTJNzrs2PWkv9CBdHfDclD5KPR+XTy06VTWI3NykyHW9LXymWLQfdvDDwjtR3UQUSVG46KuzNh4M 4u7lhdi4B3cqKzuMKlvw2mJC0OgUk9QO4/Zt6xL0poiMsjMb9badJKVCXQB39utz9NeGFecxnTid mpeYRTEvenP9swnu7gjbda44Pq8jsFes1LdOwrsdygszADx7EMdGaVmMh+BfDSZcFg2meHmnMkiN Ew+0MYraouvFVdCIDy2LMWKBU+mS/va2m1L+/Tl/qNNrSFDn6k1SIciLwhO5iD60umFjfdh7lI/z c7U7bsF/zEkQvswCKAsdIZvnocBjNHuZaNCPcfBjVUAccVoHjETREyryuxoUtqhYM5FYverc7dHY SQ42yspAh4Kz8Ds/SqEuG7IeEVmz41IdFBz8tI3cpvxTpkw3iWasK8LCqdc087KeJW49xXK52hu3 2K/XcXb7F4yy1iA+8+uT+k7b7CN5nbNQUToNVtNu5KuzEoPIssz9rjO3sw4JzUg3AJBI6k5X7axj SGUzaD0LRywXPf2h1s5zjiGY4FgMAdd3zhqov1dcVJMet8PDF7Kx23pPSoLhWJ32vsfdTw/8udkl KNGWDfsf+strXi1J0i1+Yb0HteV0eebp6P+RjataSw1JqUkyR30XUXSWa5GG4q792EUcvOcBloo2 KyFOkaQlBHGw251hY18ukT4Se3tsKs3IOpzWKJmkvEEq1DU5dBMgy6sDFrE9oU/S+0rmCs8OM9SN Ug/tZQVnr1sK6sBiZt2kYAmdX7mx+5jjb1OQL1VhQGmP975RQ+suZhmmwTlYsO6WIGMlO7wIIacc yz/7vEWl3MD5UF32po6tLF57+qfhV+07C2pSBirn+fC/iWz8Gh4cAjNQjc+60dklW7fx+FNjL+LC tPuAzgN5rgzrgMgL5BW3pRrpG2V6fkySpbjj4k6JQIPNo4uKyKKIFwPGayv4BeADSflJ3bYpmW3W qpzdHDaioFLtat+kvxFPbXBrd2Hi2mDVLJqR0wThLBQiAp6QZ/6pjRvv78aG6qYoSrJ9992byNEy pwdtMdRWDQHdW4lLOlXhzDlXNUx2jy1Ppy/JkSjLRDseMpr3tf218/PXNpMGFrbF7DWl4HdapG7T o0vupUKMghQjZiYzwmYIIJuPDRwH3D2ss/SkaHgBn0/ZZBOTmbZK7JqOVVTe29oxV3DsaDGYqgtH eJxgRyjtpNBwQL0kDca+xPOzkjZ/v4+89fbVzq14a+vaPblRZJPnIvSS+CeiRfNs+HSDkUNGIQlP PH+6gitUXCUtVHkFMw9yM3/uEbJUO24uGDZtqeIXEI7SqRSca7oLjWqdWlAWmzMW2u7sVc6PA/Rp 6ukY0wOkLI2LIfvGYOvHjtKrrdbMwetdbxKY3H2gzmrVu/VHZ63xM+yYTmnaWIX1pTNltZZjHng4 lF/JMK6SR7IEI4uM1Erhr8kBVYXO9BkAd6NUkgRnbd0BwtwoYamav3XVCrkY2K2t/VL/MUV/i7HW i3VaCDNCIisMhHbQ0D/9x5iy4ELw+e0/mxlaSq4z6EyuaK6TfYz5Nhka1tnJ+56wGtyFPHFkH77c 7Ar1MTLMMbQ8/JXZ1J5VFBv4JpI5oB1te8OPWPJgurixLCO7PvZIyeRlsGIUI+RTULM8OJti9WGC c+kkwAzByg/XuetSig7KS/R7LtNVKspIZ7dbxvGmXCruhxCDydIxmT4Suqh+d+N0JAdb8h/kEJtt 07hWtS10w4pEM0w+fpZqAbToHDzO+w35DzNGyN+Xpx6jR7QVPNOKLwNgovjyDEF+EQ3lwERRH0oG 9oBAzYI1yazGx1jkgVvmT8h5ZIx3kqAQe2j47VAyCMbprAXO/6GHyFDUF0BHiXAUkV40smBrcNLh zAyHrb46VGtxh8uDs1vtdZxq/k/QJuiVu/pfG/9T8BDKUU5B/epyH9JxoPvmN5g4uTsC2IgQO8dj KaskklrT9j9a7YwtHJ4sMW3vv1dIJNvLIS6LaSmIglH8YoCFVyzDvhxVztcy2kXVRkrYhCgDwo2H iUzm+fkC6ZhFTleMBMmUPbv8qbtH7Qi/W9Ltoet6q3qBQpPLylBqkn60Zb6KIdb3RVl+LBIIJW32 ryI5Cb3OlaJ0gATNmT8czjGdTLnNTu9frhHAs38vh06Emeo7FFM2vakzkc5TACkYNxZ4BimUeA4D ZYySe7l675WTy3JAxyhsRXAoAsqZ+Y5ZNpV3ffwxMrCRNpWSOXuJZ+RLt5CHjHUkcxxhHXn+AuLW FLZ51vjxMBok07FucWdZMGHFBHgahiX6tf0HWLunDfsDQIgnlZXcJB1gML7oM4WPlT3rOxb/P/3Z FtpagAnUoCgw2LwwugYL14elKZ8Pbqh3aovu7Sh+x3ELnFpKGwzKpt4zDtyZbuMiS2SQfG7YrxlX Tw+4G0pt5MsjRxrtvlC5AV2PJaOFq3qpKTHvX8zxqDcU2JK4lav5NyzlEHCMgD3cYUeXsmLHGp65 FZyHF0KMADdoEZcOGGnoteQzeQGWbNLT3iVM0552Jv41I4DnSFPp4Skeumaz3uhx9LQedmvFbkWf sLOBRk2+INjtT+hxnLXxy3dMCkgbVKwyRJ4JTpeA7f2jCuvXywTkyrnd1WCgr1blGunY7zH39Ms3 caUQdSxjHpBkkR5lC/5EwlS4qgvkgzpBhh6lJNK6KBg+WPpkAMTfnmQ/44k623RUTwc0SjctbhyL y6+XJm6rq0QaX9BZjNYoLN69H8MmENuXPvADLKPO1JqSF9XE+jFV95MFCBCJEhpvzAjVOfTJbsvY SVEauaH3cdVYQOftI/Cgv1I+TZEutLNYN7GFJlA7DkFWm2oHD3TQ739fyJBn6V/6gjmOeyUJ3uFO 6mXdtqDjB6VX/d7Q2gHjgxs9n1Wj6mu0sKB+66yWUOcTHwUe8BHXIq3fzpWlszRiVd2J4wwOODhK fNB+Cx6gM3BCFK16r1Yi9RgbqFcCZ/Y73fXZo0pfTMUmew7276aDikrjhqRY7rnMr+QBp7JAD+43 3K8HpD7p+uN4O9nLatMINjGOhO8t6k9hUGY8FiLjiZJL0DSL5keo9rshZilRq/Rz1x5tGxiHhnZz 92vXdpe34hptdGTbl804H4oU8vSUUqfsJ/QSKsJ+uSBgRPG0xQdQYykkbT3hHUkgpw2svveIPt5C HjLAJrK38a+TrjXrcaP/oLFSBbFstawcwKL0bx5cV8MWJ+n3eH/KEAyq8rccdCY3MJbcLDS9KVAx 8nZBpWSjdPddQ6W5DNpofiHiMWCkgbyTMsGIrLjtKrMpS7HZIqnnGKl8hM4dYasdG/ZVZMKqlD4N J6Fg0kkasylfQXZdc67Cbgv2lQ3zGWKFHICexWqiPk2CiS4amwXWCd+HVavmSgP2caxPc9NxxmQx pFOY6RX0S/bkdOKQrpZx1MEiPnSlQgX1usFTLsgekHXYyd54YuBCkhFKfg7VtQm56fYXZwUaTHVO Rh9u0DLyvYJt/itYaJxG+SpG5UJOUUflVk9DmHLro6rU9ObwWPrzJouOWoKwjetOdNEYQdPprGJt Db1RtHI/ynvtJxQoxhJyDrernE9uNyX6MZj5bJbEjK875SSkHSYWPpIBna7u3/ioL2Ff+WGvu/Ha 8y41dC9KLB63kl3sgAgIFXMsSnw/aHBRtkyga9rSnZWDvjq5uot0MuaE0662NisiONnjCknsnvdL T9IMp6p1z3gybpjtqiuBsRJ9tTrhU99Ou9aDB3hlFDReQNs+avexeigR9rcZov4Ubr7uJEJn25AA oAKkAtAsaJavORGynS08Q7+N/oXmYJ7IPgkEywsqEwdl49j5SQFLN3lXic1lD+KQO8XapGh6K9/S zqz2cehQdtmH6c1dTv2vi7QxqFgx6xq8SF2UenG5hLsRWMXyqkrO32a2dXQaMhwt0ptZwIA/HKHL TR5dlaMWEobw2z8YQINrLJQWb5CGlcsjQY5H2vVMY+5tiqh59/y3z74zqVnYdG7qsc10HEyF4fWy L27diHj6CvbHtRvwHWRx/CIUFmmEDJQW6AM+p/792BuqledvTBtGEC58+BFip0X2hlaX4KsNyoQE DsBWc6Yuc/sDm4PNiiBC7N3tYQvP0+S2Qm4rrfDn4E+wxMscJgBo7oSmrub8LLONYPvNL+6Lxoqu ovj93s/3LwCNm3A/hMdrTqv0WTp+T075JmE8Sw76XKBcKRxovuELQq2aqDWRA3FaWM+h53tToyVB tHvQet2udBETA/SpMFwP0HxSfM7XjvGetp5Qasapcbq2twmMOfI+KQnzR5SDkvBEwLV1glPihxkd mNjNWPEMBKdp1II28EqayxNio9onsM5PRMHn89fhgV4QY1wSTfJg9vTc9Tjgj2gxhSgmhRJiW3G+ UJ2j6N09HW4xJ9dbP7byxmrjIS8FurR3Yp6kP77X39yz+F/qr+vDeoX4Ma3bjEpuwOOTQWJEk5zp QFZVGLftqyJOmbVdAQcQqT7E8803mnqSIIal217SdGhmGpNTc6lgQMEA2OPvrNNpE/Z31HFMAQF1 x8cyfIt3X/eqDA+Jvao/psn9wDView9/mvG0S1ieinGY9GhIsW9V2c+23QqmK5uGV5nXXWmegmUy 6r3SvXRZ5AYvkDk9bGw/0FpNohZz1apJReJ4HURNpIFxfPwxK0nL+e8T/8kQ+hZYVVF/c7u0zNO6 tSknh7LbDOu9kohRv5pXE/Jk6ub74sddNsFntwarWW6TmlFedOBdCrQB2DLIg91qel5v7opHQ0DW jcvwALR0XuA7U83KeDMbbe6EIemiiJKbBd+Mbema5yarpoeRDPBx48OLshd52HEDqgQ4bw4GCQyf NU3+dC0jBIFAmM44SemBxtfwi0T5p9CPj3Ol/W/6hF8i+SgXzTnFJ14wUuGuNtI0QQPZs6Wf1UXI BwtW39f1VhrrX62qmTzggEaSnMXAtTHtsnb5aMRCL4DU7ISOEPgzwGHUXpH2o2AFStXbivlzgTkY 1gdaQLTHZ7U/N3Ir2YS8oL3212HOQyQaPgXW2Z6Ug2qqb+AmoaRragLPB1jv+7oEFuOiGjVN1SO+ w3BjRmdOlXPgyvKObbuHBh7ZFftGW5Vehl1kCdmcWkaShOLBGS1c4Az3FJQRzS05EDHO5hwipgjj OjeTDy9YS1E3sG5KXZNLRlhoVW/QGfmPAaksSSobkMhRMbcjIAf+HtXZJQj1/T4gVpxZYfXDs4u8 XrEic1WZqj+bJBrytOleLzl6NiJNoMgO1W1/wNfufZNGe65ET89jq2oMR7zgpljS6wychDWoJbGG HuvFRIqYXSpOklq90Z9vUuQh+P5Vw5P59J5pvQ3PRlY8lPn4pfternl3mRcY51ks0DZANTwVF8Hx Q/FDrx+zwdgMNse1lI/4L/SQhIcw4DmUb+8J2nFoyRZVkK3nt6zmozCf4YBd4vYkYUz/C3UYSJrs v4aS4v+zjHrbxQGOf8GE2HdZD9Sj5wJ79oXcjomYdxFzlSZSzG4OiGVu67iiiUFzgPmg7YyOBQGG sbwg8xQf4zbSdu83BPQsbye+m6xwTncQ5G8etc5xXWx8fCUP2o6DmJRg3qWJ8OUd7Z4fEf6fQpyK QNVPA+Ri4zLL01WmMB1+rPv4IbPANe//ZfrUHmVnCgT6ogeNlOHjNFD3jhEDnbUNNcwnu+mF5VsE 9mWiPlqEKiXgDn28QsovKssRsFZ7GPPztE3zPH/mLc8iqp9/e7+IoQJKJeq/36WGPX3UvzqRmvP8 21yht+t/gsBcm4kW03EoHKfyBOqlAjmF23fvEbC5tpqIbBXQgQO9/wNKf0TOPrVNBI5jjJndZyi/ wBdLtu6G48SFkhv7WOCqqK0Av4Nrqaz0bBFU7F6P8Ivki0VSe2QVQO8KhruJNFH2MtC/1LAuWG7V OUcI0RDy5CL6QvVp6BwMUzTsry8D+15Y48P4/k1xT2mqBmn2Ecxt7KadRTziEsope/x25428LGLt Soh+7IC9FFCd52oTUyamtR2TZjysHPOvjBqGt392oCkvKrLIPTjrlQyPgiwISIPjPLvSDR764Byy iv5vpI0DJzaM2KQ2XHC6BByEobNqsE2dvUyYoaxwhcmX+rKcDO2zQXs6mlM1MJhLLx9jVVEAb6Rg BqQAk4kjQ/QYJ4O+BmLQlhwLwT3ZSlg6megs89ODheGHhhrgtDVtwOnfTt3/cYFrY37XHeyDFmxh tOVzBA7l1f4GpI1QPYvqTTGgxFXM6IyMLpA4DlfmE6tyoMQu8odlVR8hP2QXi88Bk/W+kptKKaFa tJ+FVcyKhHjA+itS7MGsa6PeJFCiUnRz9v0IwMVEn9o4OebuYrjcds5gYkbPOeRfxzLsL6Jl9Cni RNLwAKFo4HyQSTeVGFLb3N0M6XYZOUYyM/VgDV6hIhaK4e1CVQaAuMs3KYLYP41FSRQd95Yp7jKo i9X33cOi4gwW7U46RLJJHTl6KU8yDYCIr5Wvg9awrtB1MrMfGHgDHwBW4rilrpIOAyo4N7Yiq0yW THMB8Dq78/MEVouOYGQk2SmKyRxc6qatvpRvmWvw+0DzVuGnKDDwQAJkMd2LPc/d7DDO0fUWKPpN tzcAHDP+dA4mv3IGP6lPVjR0BuAee5QB9n++mZeInPsDvUDZwcUFueOvBrnVMNvZp9UtAxpGEIZm 7TOeESo9S/SoANFAmHVszL9Tprof3wUT82G2mCRdmNQHrLVYdzTNodF0x7jiaHEWQPPxxWTWcADU r/M5Yea21EKKMxjD5EqNriBzrCXVAQ7aQjNMzzMw1wMtIykE65sbu3K6IexIODx37RpSzK3n96jI L3g5Zd7jUGf6tNWWuRhUw/qgplQA/tMVtm+W/jHWnrELM65ZRF2eXw1SIfpzPzi1XNgyOQdB3a8P xO66URczo7KVOEnEJMcxip+Tdf7j8jBSfSrq5fosbfo3XxHZRUnmSypVBBrPyOF2cIrUZ6T9ix9K 4yEKhA4QSFkmxLb4dKKnT/f+zqY/tEcapDOf6WMauBLo+YFJh1c14/Knhj+mbBGML0PCK4Krz1Mo JcpLMzROpt7/DXLCBJRMQ6no0Rhp4vWpwPoinHAw5eKoAKDuV+swTnjBHv71z8rh3i/gvPhJA9Pw zbw7nsdWWLTzMr5uuKzcJt02L7oZ/ThWOabyi/Z+j9C/CRqPnx6oUiXkAtezM/h8dDGhNBk40qZu BUR8RkRbxStP5Us0WheJvPzqvsTmPlBjzmBBnFqMnQAuEJp4XaUSRvxp+VRSDUSa3sQ76M8x8fgc qZW6HksKrqU5dPtxogCBoYn07SD5JTuiaiiVXe1cg6yLFLcreBwM0jPlPbGYrtZoeD+BVhki6VPd BO96vmRoQB2RxVgTaPe/LnATZCET7NSMTIgIX0D/quAYQVTh9WWiozAqrqROMuPOt6+gTsDl0VaK Y7EWSeRpC1I7G9de4xXgrJDmnYmwWcnuQw2ZFnNamxcYzEdsvQHyZmtAJj7JPVvLcIaSyU8aV2S7 nkDr1nCNyeD9crJi+83NtK3qa2i4yOuEtA7aZcmuQ/95rCHOWodq47mUUFdlSsPVj7Ht5irhyDCv NZ7KqB1jml8LqroY5DffZFCL0LTzMl3ISI8IfekNQfObOUlMp8wReq7voMX+K4NMcBWsjRFCioFG P9oE4es7qZSrmTsrS5DbbRk/LVausIEc3nfgVSs6bogA2s2Hq3UrdCHbqk3reyt/JV7t+UhlQB6W qz0P6sHSMP550K2HaB+AzTr9MzYqnmdE6Ee4WyoJxiMqF+I+ZevLip1fC1nb3QeeEE0VY5aKTGu/ lsUZ0ENqj/ckWC0HLY1xQxsNLf0MLEWRgnhpft75DH9dTE+y0TO3Xt2Se3xTi9rvNN5NN0Z5WKxh uPlAVUBip910cEoGuO1dwNeh2yz95xUlgk5Fn472P/8/G29iHe9nY4hYf1OyFEyYJnOpLKxXc4OL 7O0cYbKD3uAE8tRYYtr97y5666JhhrgR4Rlgz7v2GbfOUaurovvG6QaF9neu8latgbOCAFtsOdZo Xb14DplU/ICUuBRfN62RWgFsxS8D4OQrWsbzVHyPFrSysjFfzpyPID2BBKIRQFIxu+QzKqI96GO6 XT0/mfrAda0jpEf6L2Wafyk500YX2BPI7sx8JbV261GsTTeNtJasQNF1NuPsyrzYuMW5ns9vf145 G8KReE4Si7dg1bEv+N/lJZgXwVUgh3GHjYyCueGzYSTalipbNVzRRBKI8fCUq0BzmeVZ676ygMnY u7u6o0CQYQv2snM5biH+b7UXvX3DoUYKoXg7KJlpihQg/5RCMoqeIjK2ac9ydQZd2nr/EngK29tu xYQr/PrBI7ouSbqlf8jdc4AMTIgJWp78gnKoomNP714yr6/erdGHop9w+MnDxP8tH7pmfMZ5z6yV EtTvt0eNAK7NstoaopkApsMflHMNBg3G3tRmcyhUdeUXdWMEMI8jlrV2qcskNmnZ9VYRM9IINKiS dDt069EAStUCrx2VRCNB/Fj4tEvMLNBQfGPjmtoWRgXPraN6M/0t2omwp+D/5jfMqa4bxBgxcOQ7 fKaMQleLQIqMgCeVRIfTPayLZNaKPfin06mRDHPD8ge6EoYaAfb7pvjgX5Z81YoiGFVQ7tOnS9K3 MoI7ya6wnSUb9kxibFLUuDUsoOIw9W16YUzTw+XWi+oPBIugalnxgYQaeC8kjL7xhXVjNJowgZjS 6H+pJAEoM7Nh58ISM7BZYsd1B4wwWSQW4AexaXcgUGyMpvwRZ9fxS0rOtNLebaUQFWYgPczR4P5g J2Ff/sbFUqi2rh4BInays1oUsekTguKPMD5BLjJnLefiqnuX5HMcF42I08IZXBaSdiD6JoxO7Pjn Q4nlq8PJ58eSm7QzhMJtbg+M3gXeYyo5Yv9YdSZzAtnGumTVGy7gnVPAx1qmG6Q+ifP7udEKKM17 Mqc7C6WAPkr1v+ALPeGOJTaWIEdrOdXE8Uydp2XTjhutrNZYM4zeKGYdlsr5mTk3uz4GgxTdPzTh RhnUjlrSbmlIfplFmoM4xSStTgv1PdsVQ2mAnX33Z2V8Z9L6SLnYuxBYSd4cfSFwjMbxwWrTHnod cNE9OIGX48kZai4bacD3+VwWPKwRMBknDf9cQEEKmq0isS1D1FQIPoowNLzbH5zczXoZ8/Sqcr9w HRuRRx4GBvUrClht3poBNA6BD4NMG1d9Of4r2PJaReY+Zw4oEa8p5HY8TACilezyYUx7CUdGaviw csvPTW6f4gs8Lu4wa/QZDnIlLTgV/zTyPOZbCeaiZGxpc23KSJG/tjdgTKjSy5+/Kx3iz6K3W3jJ NbJzvBGTsF9/0/t6oKXIdcsakMhFBzqthhAMDaWGIgWqfmkXy+F/YZxIra5DuZQr+oj82L2gYzgH zrUWlF2GEM/jNPmFQPYxgnQRWrJlHdA6NXUVKAKXRMrtTEnvmcbclz6Y2SMBcMFmHADy3aEvUajh sSmP7zi0xcyxeRwRPT+LItku/c1GrZPSXToqATJvDBfSQyBX6L4ylS8ocS0EcTzMPqASF3Kzrcwx 1Fa/pX0Ra+h4L/2qcvY+eN2LP95wz4oMvZkomRNO7WgD06ozdGH+yoKqU49cDkoTtnDXcn+mBYvS p2Na0Fnfe0EbJtFFC7NgX+kVurl3LZS0euT2kgenFF0rzR29PLR72exBXpATcUu02//0WLOOgU8A k8qgtqHrp9ViKYeYtv4IkoeSOaHlRwhYxs/T00+VfKtO82w5Iis7k0JbKS5qd2w/ymmC1BrYnEcw MbXPEmmG+OztxM0/1U1ovUxRkjOpwy+9Ns2XDjOe8Gsn1MBA0VZ01NBzkuVBhAqZt2+pscW3pPqy Sflcef/tzwFW95YMZ7KcxgUUbLo4T3zRjlXHNVqa82swVVcsZy+ntbxPjLBC0rsh8IHp5I4Jaff/ tZe0IULSWOXbBbu3v/K94qt3HfR77T8h7uukl10/qb1siyXjswRbI155IlKLwL0vZaTfg7wsKaXB jOC5FxqkEXXaYpfoFDiEGxT7ecYCxOtZ8bdtVu0DzNXXCl1s+m6YNQJefyaK5lwXKylfEUtSkkHG eQ65B5kGLBGbic8n3gqmgs4QsgD4SVZn0Le/v53ZnMToM5O98h7uLJK2F7dFb9mg4f6eeORcSCGc ex/dAfpwd+kzkbqH9oUINWdRx0Y/SnyO8/YHP/1glBogCdleAdJ7ojpczcICEuk0q2/VT38Wq2+q FxtoGdQaRI0q2PH1h1quBA5bprzkg2VQ48cRLq53vrIzWSguTtruHarhVVwLFuQUIMqssdRLNJSW SXQBpT312mCT8WjzjUXOsyGIYUDkM0Fp7dr6GohOvjBv6J5J1w5A8/pOAkBBjbvl36HQBuNP36CF pCF+fz7aq5k4uhcOTiJoFxe3EpibmB0DU2xdJdmYj8e3jauBQSxM7oqYyzETlc+QETcG0d4PLALf 99UQ31NI9IF6ReD2MXcDoMEIAOC8ixTsuORguQpf/9Oh7AYI2QblwDPzz6384qDQgqC+c3BXygTd STDSGI96CO4ombPrJ8eRKmN/h26xEVgzQBkLCxBm3QnyYgfyG5l+z3fYsD8K02xVGQ96k7G+O35r lm0tsV/ZFqkBEHR+QE2OpKed8AzbvDBeq/x27GZjMG508tHbvpZf7G+uojnNV+GD2NjNKF88h3Ps fzkoq4c3M7UZ7s6XfOhpRlGQ0IQC8tEdqN32VnG7kVVzFO8YL8dcFLn2bCo0DLmWoB8SK3WHv2Zw 3BZLaRleK28QL+xgHr2vWRJk5lV6WPnUND9RCJ+nuYpbYGaJSxNSlX+0eRL5E/zu3m8+ymPQTIzv bxbggivVp4kMVd+x46108k3mKJMvp8XQbYM6l+kao1iCKIXIlvktmNXUhhsJWWLe0O59zeIgqViI SUQ3RP//JkJkU3eZ9dTqvDFlEux2oFkzepj1UDsLg6TuL7kJ41qRd1O4wMxCJKte9j8aN0gwZneP 464j2nRjUp6wmaZmr/s1howVCE1Ll4LcQBTnnE044G5Q7Fg+UQVty88eSUZuzP9mFA41fSWMtFKf tPWLiSwaaYlxqGcIZ7UuKBl+ftjjLT+77zQlXPtXZxM7ugZTjpTzECOOJKLFDBuaLYHjZXyiiYF8 0zQwsQ2xjiXxkxn2cz/+UbgRk42mKN88XFDAhXsuQLxpikIuRHlcMt2/EFn3wl08gmBEaE1Y0VZ3 St9j7S0tqhhjjjFbgy95/sbH4SUg/2eZPvA5EnXGKZL5bJvtd/E9DMtWAnuAvRHRAfE1lXT1Dsdy krTD7j5YRKoqco+UG4EWnqDK4rJAaXlFnJAoJzJtNAkMDODqkkN8bbc1WvJNDyNuphuRbRlFAyQd 6Tj0KxpmWbC/BTURJlm1jvJlyYmFOwtp2s89e3ds13+dE0ZS4fZhUiLK5cdTBH4pumh/h4c/5AMR TEP/0xRFUhu7iDofME9/Br44KXFrIUCT0L10uuq398SFPQG263QCxMuIR/9GvsQ0eCu7dpyQ2/VC mB2K1JrM7NlWxUBVA1T5/RTdPaFXmHa3kKBK92pFUIW8sbvW7vkg3algom6KQYzTG5VHyxPN30Yx cbrO7+ems9W/FoqH7h/yc1LuFzyyhTyFJsX7NtOaaRSu+A/VIWPT0LSm1ry/ZfbZzgTBAeetN2QS IPr3veGQZ1/LD9JyH/6Glx3mS066moqfI0XexYIiTaqLpzWBSiiELMOslvCP2wall8QitKcJiJFi /e4TfEw1RKmqxA/Rg0BmE4LTNO/ewjHGcZwH/IyBpXMe1bpx16PS45vgXfnaiIDH1wEoce4gQjbz Tx2KSBf9LxuOPU/w1dPh00G6AbRAytEEIzpFlMnQFbkbOw4P50Jr8W+eWFx0YCIH7T26Ziz6F+xi AK2VtWbovATjtVBwdkH8ClZVURyO47Cy5reNYPMru+S+nKRPFRm6dVDLlKO8Uk3h6u7k2vqKlSW+ lHJwNb5ZcNneM/J5SsXVwU06hBZgmJokhqL7A4dm5R+u7Xk4GoM= `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
18
20439
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qTTbr9Do0UAuG3/q84c3fgjPsKvyBqSCFwf/1bHmT6ZC/IAZmQ+0OTY1kBHuCPfj5H/Pvqcy1Bsu DXDNRZkE/g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fDH4R1UPiC8rYngOUR7tJz9t2oCGzolMEErTXYD1cSsADULWjv12hiaYrLTleVALCZB1I5rHxk7M 48p+vnfHXDOf6dTj1Z0uddA9zTSOj1iVa/eLyhkq0pC2GyAP2b3wtaGtF3tOlhCm/fJ1vppzEfmN VW4C9c0U4j0JdJUP9dg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ESk7JqfIxe7/X22hE5iOzvyYqz65LqVgasXUIRIoUbx6cTdVXl/uBStN8iS5ePdtfOzl3o1HDR5Q DpEusOYT00EJgNsYtRoliwbdkwykeFVyPkzdrSjG0e2tt0bPvoP3WApYk9g33oMfiMgYRowDl/s4 DZrPghFdZnTUgl4xrkZd6DIE84Fl238WfoPWVsySUr5plo9kYCzcxrLwkYm8B26KgT0CnqY1uaUw vaPsnoYNY0t00ovAEitd7RgDeoLYBMPAbFIh6OaDGS+KSgE5D74gbQ3+zwKs95z3u6uugfQfryLS wVe1gBJTl/onz6AQoHGg5+t5L08JTejVY2rMjw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n3HBhY1gD8Xkg6hfuqyCGgDMGbjXXbntOSJHVpAuRFc7MRwYV3qt6BW4PK1yobl/AZo69ijOGV0v CnJMo2KT6fi3bYz7Zncp31kb+Yxl2X0ins0kS5R6qXw2ETMcD5Sa2bMhHYqKYJ9cNOPctTVfZfJM z+AFmyV7iib11ur18EA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F+yEbIeA6oNZWslJnN+lIao2TWRZO2K6elqAY8djbwPGPCWlu0WqMGeJaTQY6NvafOob/636/gAw tDB/F4x2OcBAeIvxOgDw9Z2rmdT4cOv5NtEIEawOZ/Gg1asjzuG94suwcik7/KYsP4UlFCMj64gU KdK01LopNKQ3+Jfe9zUSDH4SH0NC6LxHXrkKUHouso85xZVwzr01OVuqSddOlG/zsI0Qo6NVqRpH dYeicIDZ+KNZJ0nnXtVhScsdrSdPxQfBft7SSPiSWzmIWZY3VM5UHhaY6b2naKHeJXp7aku+lzOJ 5hm0RyrwZv7dWO14lU31s0NuHAxXsyduqKUhwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392) `protect data_block ck68uYcVnwAEJSd/XqvLJKgY0SZFba4FzRg15PJ8QOZMNudi5z7OeGHD9BdXoK+vHLKnrZ45HjiZ 5ejFy/hHytmzBrmTWmIvyHIKzRK89E+puMucjvrOKrDEWjJCYYHJ/Uz4zXsU2C3lq7CR0YXohNtI XjXrSjjLbFubn07inBRb7OEn3C1LUNLCcl0ttW5scfMKaZiTx0WuzDr3Xa332IYby5MjX+8c9Cat ydEXy1JGsBfznMtYplfH5BX918ayp/HecERiqTBqipgPayOSpjnj9oG+GyFj0VgS3YRtV1auqOJV Sl75FYN19g054/nIFeXxyoi48b0RwdFdDSzB2i5TqWOIPyQgfEUmjEH1vyk5aAc0g2WDAclqj+be FNBRGtPeo12soMcmIjyYcge6LVAIYY9Knvr1cUgkQFE/LUAFRHJ/l2DPKndu98yHGRZ9vm72mgEX YN+XCMe5PG7/OQZ0IwOg6iRs2uemjJEK+r915jyU0oUjT6LcoZpeP8r2dbRB2OAh1C492/PSW8l0 6tgd8k6vX38RVhmVcZZv2r3wsE9WMYFGRUYlI1mPUEe3KEkV3QiTKe+lPQ38FnMTECDZ44dI0aw0 WDjbQAZ30cxahXwIiQvSbffoZ02EfBwyuN8xWVLkhx+VDtYp8GWbsrrtnHm4Z4MjU4QnikCwmn61 OilrPSo7GSiK9VZ3ulCTdDxD6TD513oratPfot0c4/uro63QvJBB3NWFpuJtXtQJ0WLMp50RQG33 xD0MtDNDPsxyscIH0lZ5ELVatDg9sjcxckyd4jp4vmWrerYga2yr6/fPazYHgdtKXOZx2MABIhDv vJCCxOQ+wVP3A4vQZDpERnTXN9vQEOcPqhmE/Q+EAGSfQuDTp1FLH7Edty8WlK9f8H1mJjKxrfaS xhH5c9+tO7Xxp9m3vPMyVqPMsbwtO7nmWuW+7yhD7yNg5msewYxmx8S4Kn4ruDkHcGLHmZBaFF5l b4Fp9/oM625KuI5H/36KioAhGeL2pBHAM7ZXyTTYMVKXSmuZ2ve+CxHQ0iVcKJRF9tmT2U1IlcPq kAUNe6bZ+BtonkE1QKcJDoG53ESNZX9zzaCPBQX4ScOVTRW4TM3o2KVcXbZ4fXgoGr2QlSScuz2G kIdgXYR86w4RNSRefFKw5e1azYU5M+EUlmvnysisjvCqnl2TRRrhE2CI1L5dxGVO9XbfoF8OAOua ipy+jNQkcFbJzSlzsun9x2b1h1qPaUde5pIR+04w/iLbziMTAbGtALrEaLEFij21I5d9IYw6FlDu j/PKMxVeJvS93lRDCJMO3XpUP1WmvMPL5BCLuOarvVpvSikRWzjASeHyVOk805TOGxpBfLuiDVNo Lwj5WEPG0KT2viCziigzTIYz7LUrZROJdPMcWbP2ZP8aou+TWTN0RnTp+a4mzYq8p5g/mKLttETJ Qs6zxttMe7O1LkUXQOyLNbCW3E6wgmQpT1vJPEKrBnpiYDoMuPcUYjE5dxMjLRZZCsD7YO7GQFmi /9FSCcWINNFIVChDrWXBQnIyqXXZCT34eVPKv7YHOPAtpAiTLwruQVqnlfSfQau060m1cIM/UwG+ joH8a0iZIoAkh6OA2gsWxfmk5pWmdcpOaAaTh9+YMZ8ZwrcN+nXc9z/XnV63bTSfEjzKh/B0MqYH sKjSfU2z73uwepz1Rm+C0hKYirdKSUqhH++mUvJcYEOn1yPT7qWbgqwedRhziK0WqFDI5B+PUZYo gI+Vry0zJtnxNlimpo2Si3OtaqD/Whe3KG438qfqCE2aiVmVxuPDU45pOWWnDKxnUb4SVoajRN5D 9mbypZvwqZtlvrr6g5D+3yazbcUBEKtBwHsXl40goWami6CJRKYthQrnh15hM3cwq6IcRSz4jz0F mRwgyfddsxUSlvMAI4wuvcbgB2WZvAIK7zG4QMmeqVUi6GzuJp1fZlE1lT1BPrWheNhHVxATwxbV p5z7n+tQBNTAH+1bT/kDokn3+mwPPGT8DM5HOyHWTeAAYhk+xcYkeGE3uMUj2a5OitopLnfW4S8j e2KCpvVBoEk8lswq+oIF+t3VhakZHQOb02SI94UnoZIad8IVLYceGPcxsTe3DWmya6AUHzvVEsSS 1iwff89wL3dBhNCeBHweIzAIKn3dEv35o6A5jQQDHYCRLlQcfuRuTgcf6aHI8q1CF/DXLnaGrluq kwvSitlFmRN+GbaQjpCq5CH52YlmkVb7qcwyG+s3ll5KOlxvWOzO1+RCEeo/Sw4WPZhxL7b8cTTj k4psAC/mqm41UYdGtRANV/K/SOcUz6fWjSfpDvRdUxM/JFd457fWAiX09M/E0WARbq2hbrQllybB o/YPjI3MHUeKFC14QIPqHiud65d1Gk7sKHivTm+u9YE1krmaiHaQjtP0rNghyCSlWTjzbPf6Bjqg 8fEDuz2H0P6Z3ygCaaMcgguU2uYtvCjkzx0ezOc8IqHtcLFWemy1Xwg88RBdW04Fk4dFyAZmQ9Cy aYDafg2LPbsWCLr20jEaE9yB7bgwjZBTDlTXrB4n0G70Lmfl1ArF92IeX+vFQlHnRX5fN1VIHUIk XNKYOw5KdrQ4kySbpdBnkh2hktIFLNSS2wC3zRAJ5DRknEPaAxmbvs/84l8flOddgXT752T3gOL6 LKBZWfcE3ehoMSX3KuG6O7wXFTuIvcdF3sqjsGeMKgvLNrU3//mf98BtZ74yxNnxQh3geUPcC8M8 aWKAgW3qZztVYkWAn2ZYIPRlGts4wBWYLLetHI4Eduy5o1mufHscIe7ewJu2/qMT1I9lPieHJDj2 ojhRgTTX04bJfxgDhDDma5Hl7xf4XNrc/jtZFKs9ZWE5TWxBUZ7QuFF5ZwKGNlkol5yHOcZpv05o q54jwBl+RZRCzcPNi36duYn8/byAwWzcaUrUEdXsVVQJ3juLt83z0rossp5jNMNOuZQmGycQyIHR CB7UCczRydW8WG0Kefla/XOQE88IEnwVXzoZKdEiiljRSmlZD/J73T8KKwvgjjaO2R1HtoIoqSwL 0TPbdrE3HodM6o8IsthdrU7U3jySwHQcPk1Un6xb4QZ19deihBkLSJzZ2ZTlRV8L9mZA+Pi6J3RR UHNggwBsgdbAjR4uCjHMNwHNObKWHcJIeEWZ0m0SCMittjMtMU9jlBH0wQoeDDkgtzsIkucOBwF/ 7y+fXy44NGkuLxcXORgLF4eS2HUsrLB+HuUSWkqxX05j10h6GgpwtR3ywmJfasSGMaPi/jMo2kxJ N9Rncl1zRvZCx0uGIeTX6z98qMevj5g2QsRGfreT0Wv9ycIIzxqW8b0N8BQ0EBfg8mkXzOFPqTG+ tIQaz9iQS+m7MZnY2Em7ahlg/MR0SpcZlDv4hKSlGCYvJk0YQt9T0QeagcID8ADd14Y+peg9NyXI IGJmVAwdQzlVZquEmCACNOiHvjMxhnaE3CXRu7L7S+qrAWNzvO7U+yWpxZ33XwHQ95hqHmO0CfVb 3qFulgvQJhcRvPtHbr0c/VgJTEAzpH34ELEC9bmc8Aw+yyqfgX0Z0P0LyQR6PbjKzPP1uG+HGuOC sF30uv8YKONZx4DgADZgtWze+T+b4+8q3t/Tv2wQ2GMCcKKZBp/TTTS7DX3GBMSEhHTwYNB3wdsv DNluDb2hQP0kKhAH8UM4OmIJRHynh4jjxFo5XTqiV/fkjL776cryLhz5ExDvuaJpy28Ki+GVpccQ K45WPRTZQ21qCKni60+0JCe50FrFcY1yPGU7+jBPjfIIgTAWwxtlTwWTYuWrceWIWwM0awMtIKNR KX+yGl/LPDemyyJt/szLqsQ18C1CHQdH0MAL+D/9Lv3lD8JhLlMsf38c31ZW2BAzB/1BVIlrncwX IoWhBq2sCT1U1UoU42ZkQcUK5T86YPxCMbzvM1jmKMYtxupZ6hEhRbWJR4TFsYkrK8M4rlYIwzqh MpxmGBwCKjFTQoBLoaMz5tCh/A6uIv6+aD8xxLxvERG17beeaz8y6d8u6fkPgn0nPRLdi5rIsI8M nUpbzW+4FnPOL0gjmw7gsqwZYmaHrniCTCIV12hoDRnenTTWJdpRPvW9clra34xsn7XgMFxDjo8l cM65oOHg/fSJEhOhLRIsVOgFhx5PYNiZcdtiaHvVYhMJhLPG7ar30yl/AcSiWfQBD+CJUP6D8qas N42s9KHU1lfkMdRuBz0UGzmkm54Ur3ySrIUoWgD6b8F5jSmwPT+/BkeWKZ8cU8QbVvirSKQORBgU fX7z/XIz+9zJiJVcTQnrGacQD9aMnIbzI8BxNlVGZS/M8U5pQwHDy5dS3TLmkCN0Sdvchvzv7yNp Wmc3ghogDJq+ENRdl0mDyRpq4xR/yaXkC571Q/6fv7xA78H8IHlbejJridXFPinjbrurQ7zHaYYp OdmgfnDMOCrybQtt8gk/Fywgri6XEVcOYBqnXIjCBbtvJ4DruUaiZxJIVdW9m1ST7d3DQUc8kZO/ z2MxjnlsBEPkS8q60+72Guz38BhgAKIQ+hDeuIXjoZfmtTQ544DyW2G/f/y2F6JBA7oS4TuJRxi0 YOEKJLFdxl0k4wdcPEXBPNKfTWjSY+UocahnTr2S8syfLm8/NcwcpCjPGMwiqYlbajh2bvFrlqlN jCWWX84TSFr8f4VfH+iHt/WzGmm5b9qCyh+dvdz3dukAbReo+wXLG0Bf2UDDHWJ/zHebv25n58Fz 1wuiuDN6Aczro9m02Ot7Ldd4fgMtfIE4zaHhiLK4K98rXlw5U/tShvmV2hCYdGP0j6c/Uus55OC7 xsvXk6ZWOtuf/XaKj69Ymprknp0I2V9jaLvqKNk78o/tBiWi9oo0tfV3B5VckyukWWF2BB27Ihx+ hOcnhoDY9UIALe2ZZ/UhJZIWy7vLcDT7d4NxBaI6klpECOpoqB7/puaDJil47G5eXqNwy2dR6u38 elpLP9kLWDeewpoLgGxGadGfWrJd18i0Ua8bcI4v7dyXvpEu1+8tH/nZHFb5ZM5KiTeFV7KePcju LG+Vp/DlLhVXQe38hAOLvb6hTrGL3YDODD7NkHv9D/T5H+5w0JCaE1LgIhRa/fOyOv03w7pSl0ct ANalhBuicxSeD+m5CDfsNub/yuwB1klbFwImvFS1HAYBWJ9b5aoViKaGftt3QtdbV0QSSiaVyckI 5W8XMI9FMzgZF57Q8bDDgknNWJQZqXdLOddpI5uWYRaj1G0TBg28CRlVGfX7O0bk7o1vIvJ3feRJ f1uLLPB0EkPCX23yX0Mig94M1feG+zguUz8OpJVO9IVuco2s2bWvYIYcKj3C5z01vAnHvUiFNTvi pZjENZbanLYnmy6QZw+8E2h+EZDiSyv+8u6hDEP27W241i4T4Oy1+I7ap3D2x+j/ZV9yvRryS80o o46O2KhlH/+II/FK0YC3/YbRSVIIE3DvqlX3uon/3ghrTaf4hh/K0u68VPb3hG5B2uZW4jVTGyfe RqX8XvIfuXkTayp73JYwgUqfd0UR+vWHhUMDePPaESjsetSF7GKXEN2H1ZLLH9vt3TsJz73ntvcH 2WPj7jkCQlwOL5vDTqf32C+q0HqgnSXBuUeJzh8ScM9AmhTuAlKADcLtpABrEerFp5Jr5/qyeVQq f4shOdYSOjE4PduSk4fOOyokU1DqYQToVvAJO6fsSSClK10+d8ZlVkG4IjsfyIwhkcZheS4Aulyi Zean5a5AF7LTxdsmwsfqTs4S5eJY+reR7SFrEFM/FcrTU92YQrCsmHxYGnQ4BjSBnwmvkj4+3qGi 8zYIrVIYULKluhD7X6+phwYNzMemIC89ZFlzhangzTObo0RA9CzVfmp0QwhnpaXbdPibOui82Ev9 f3OB+5x6nUcJKB588P91egEs2PVXejVew6Z+oKjJf1TMtiyznc3dmc9Weiz5UvcIxaqtSjrH6xSs iFLgonULdxTsSW31bwo3sR6L2jK1d0w0CiXcLGBPVenWH3U5YWrRXAQKvlExQo3oCI9e78TQZeof hCytLRntfk42IDk/poBLkJLJgLCjCMoQv3pRsixgrx/DYBhe5WUOZCT30M5J3oGf448F0u9agwWa E5jCGExpKBf35C9ak2+i8AqTod+u/ybrnLthA2UE/K2Hq8eNqFaYXJfd5C39oA0kz3bNLrQ70Czh sE9yDlyGWEMT/Q0JDgIGlC+Jj8efSPEOSk4JKFPsTMZtA1qbJtJgpiL2d7akduCQy8BTE1pewrO3 x7o96grTogNl/hqzrRbpIwEy0l4UIeQxMmCcloftByC33mCuSAPxrBwLi/iADYX2vZtAgmwjbchp 5Nmef/sTCQKjViSIPaBMmROoSNINQCb1iRi+oyEFWNzyzCwX42MopxVOzNYdO6ViFgzKjwyoknHL zywdqc2BwMD2Zqgkkc6cYZAbPsnIFc3XaAdH9YdCg6EsrFw6vLen9HSy5/1dXHnDE2HqorubsqK6 KlEgG5zsi4fMKWdJxst+desWW3MBfxvNofcMDm4OdtPE3PVTUiewPvhyQektd+ns6dafpzrn904+ jk9+Pfp3WfU+1x1QRhCRi+z88R7zl8PgTRmGK1VD0kWtcbxhsoOw85c74mjvuOAMKebnYB6xkI6H mbqGD+kJQB1qEnECJZSqHQZKVB591zOfetH5mDzyIKPbYbpRCvxJ9o2qQnEzNnhFWd+GBLzwPjJ/ e1IZEWVEP/O17d8bSJOrS6T/ZK+lTZxbHrNPi4H0kqExWNCoWSq+t6vs/rByfmb65Ic6phxse4rI kkPIssa60fKmbnssXYf+heDaJX10RXPYuXhOr/ziza2S2k9RYp4sM9BOyG2Rab+peN08g3m45XJr ESaOEqQZ8MynV6pSWcS5cIE9Gaokld4z9hKCabKLGG5Omz3FQ0GHia3i8H8qyv5UElncw4JAnwbC KWR1JtiGQJYd8Y0Sj+vLi+cpUHSCRNuqW2wpYigi5of2eXURjh4swrdZNGTZpXxaFr+Us4CjrU4X l/KmKpuxUEwdao1jkXeF4+EKwlMSudghoHIVJeaLpTXjJsOd7dSmX/wNMrQ2SzbklYR6qk9hg23C fXqGTtvCcISvJ3YJcGQoavNUsY7mKgwHvfeQwmWOV5qMHhct4kogGovCt9i16Vr2qgMqieTrK5OR x9EyqWB2JKgIcq4DCM14FqzDgvW6hEp7BdRDsSSvxKNlR07f0c593BQkjPl/fzKj75dL19iQf1Ht WzhwsxKCsU32WciSAD1KORitOk1oImXfRBbn/xoSLkBa/DMgN9CF+HSmLRNsxOsTqw21OG+hU1eX xrk0O+qXB1awNz8FDPiuzNB/e73IBLvTn3Hde0SWZY1U12JIAVOKHa47eZjl3Vp/cuJYsLhqIfqz fRNroUKpgGe1jOlBKoGJjwBoZ/wj1ycuvAmDlmAEVFvycCuBg6ErHWrWEPw4BAb8x9bpMubscK7J Alz0EZ9JoUNt3rcINDiBO30GabxwYA161F4AZIU4B5xjE2FLawahF6mcT8LkCp/mHuC8ityaeul9 7DSHbjvPmaPtmB1ELRnhLQLycFx9FaIQ1bo98dF2ychZ4Vr5BEmiZn8UCz6yEaZG1x3ukNruiqru JsgdmDyZZaIB87Tvw3MhBQ6qneTaQ/aEX8gOdefXt3EZSFhVv2gMns2FnH4Fc9OD9ie++O4jWVwp n+KuMtVuoAAz1iQ/KrVHsvQuZM2NSfHSvPFdvkgmiA1PXVamfugKk6o40s7P9iEmr8MUe4MyFcig IKGoujX21wlgdyVGCbvBpEN1uyXygWyI0DdmX7cmqFSiVYqdQHmshnQVuGpV++SUzELhoLp/aEY8 vBWx5CeZOMq3pvQn5dw/hro1T28jDFz9glOwtByt6h9EZZ7PHd37l+IrUx5102Qk2NNZKbmfdtHY nkRvW34csJ1cQbEF+PnmCGfdP8l5ofzW7v8MCS+Mpje2z6sneD/nBVnIsT0hVpo7Y3arahMuCVEH Wo9VB5ntmwhBXIKpB7KKcG2bkqEPbdr1Ba9O/67UpL49QJdlG4ZvS1q5JpBCtTUbqPxf00YzDqz0 50n1CYFD9lRoqAJZ5Kr7zwDoH4+KVhW0rdEF7Xgg+gXes6CmJsHQUxC/moA65GZcPjx/PlxQZLzI m+fF8dWoVkg9lPKiVzwbtCVfP94a2vM41VLkyh9dy6omIc0/g/Fn3gNan2emFW9nvt227dytJw5i B7Uu2v3gc0Qw4Y14vXy4Mz9q/mAb+YtXOApdGGEdbAdnYArFTRk8VDKLfahKT6ntHKgLquGCHvTu /keYq4hIsfpRh0rJx4j2JpRhCvteLAF+57R/9CUnRyVTy3md8Wfzf3lcP06HLYfr4q7W0iRkWugB nz+6euKWoDfN2iSwkUkScXnOgMPNiPIfhtp9Vg+JBIa3jqVq0o8XdHTpShxzoOO0KbsdcIcx+ZB0 24gBgLgd0FUBoJxwjIoJ+x7yLWXsDpWsbpkp1ArRG+4kRl4jitSvJ1S+zp1m6nJZkHIcEdHgUqqT TvjBcr1l3t9aD3b796FZH9Cj0zapqy/OUcmYArSfl5vLoTCEN5uc/1ovAGS72IW6yOuDT52/xPV5 4do9+EM+kElyh7FFmn3UdrB+/pi1ic2IiJp+FpAWDnwx0DctP+YdESakO+TZnh7dwbT7uZSUexQV qI1L5PUDtqRby0ODTkGUrv67V1b18hqYHVYDn3EVzKUdstdoiqSgRUUQ0O9JFE9KW9TS5NQwvUoE Kas96uIJgOmQ9b+A6jazZg/wbhsHUv6vSS8icyHEq7OwwP7iMg6jUVMt1XLNnOJabwpqOcnyCSW0 QQAosoGmqEUxVG4No1JYPI0bEt7nG38EX929WpxEc0zcBCtvV28HX8E5DezFwCfBNZyTyUOa+SpL K4qFP8x6z+XiKCB/AWadCmPw8fgc5DYvDdsx4BH1cbzVKda16WIHHRk5EUvE7OYgYUTL9hqEeuJe PlPlyNmHT0YJxByAa09xmn+Y092FZWdw+ZBqSAUvXxYD6NwhC7H7SfJWT77bHTPPVc8evG4GXcT7 l6UcpHTl8SgpUijMsMHKgyApN0RE3rxgUgGlh0ZYi5a6+PEvpC25ek1+BJn7vEe7TZ5syoO/CXSy x8qfUse+isLLlnhSTudWAUSrExgirw7gRYa1uybDzuSyhg5wzK5VN0MSnZmx17n+5yFjt6v1Jygd QivMJi5ofeaaYN085RzcPl5HrwqtDnM6AG5s93JsITZchRmk8iFOAOyhkV+g4n+dlwozV0fKz/JG +HjP9yBBZX+232g7c6BWjUw5K0a93wiwqt26Vw3iTVaW8ly0FaOkPmNZYp2bOSU2/WToMbCEBncv kVmZmvRBhtXXWuv6Ym8uz4a086TEpY3w20vqUoOSsaIB+edfYh69asEGbNj8bsDLj+UVXhvSyvwT O9sxP6xDiGtIi3wWRVrYj9DJGysAN9LbBqi5j45RH56O2FAklpIRK2lQp28GxzN4rLXWOyXyyKri MA17zl3Yc7mWcycoPfmUiLzjykunWmyaByWRTD3Wu+VCI5q1D9GFK6rtPtSBGEjtGo9zqLYGVjnQ owmUIuLSeSX8a6SMux7Jlf9yZDJBRlYDDCh6EB6qYqQYdgiGyLYwVd5g8J3jMBZug32U+CsZLe1/ 1IaMG04Cb21JX0nysZdozUbkwsNWYsIC7cSIeC1O60IoPOyB/qN8iCK8VWIWpz+f/Gqx3hy+JMkq XOcVhnq6/stG3tCT1PXuar3CwOU6MWS+Wp1FrpEpzgvOARR5lOtPjx43C6kOSbKDTZxgDbw5l9ez 5qcNnc/RUVTLEjz5avfYaosaKot+P2DlFnpqJjQF2XnzCdrUyL/scW13HBxRuS8k1izNt3qkffCu zEl8tYcuCx7DNInhtywkMc+bpEUTTA73vEzdxrcAaArGM0PQ5Wj0WJpQnPzQ7r9k3Eyu6vwxR3Rh tZ3xB6awKqIb0RrrRHO5Xebvebs/kz5CtlsESOZpr8QbqYD9Q8KzyB6zBkNNr4venfUODAFhsmZ7 opBtuI74QtaDyUlj7KbYXJryfNrOSO3FBw9BQVb3c0SzZ9lfQv+Y0BiXyfLC88xljFm5m3+HUUn1 yYJfh5QsSbNDgVJBWuiFzxduUXwwIOmJbXeqG7uO198vGInoXWnKcRVz9tAgREcOI8ksrrJ4WbrF PZiP1D33g13aE/j7YZIpK1WGheQ4/uRyz6cQzyx0t7sAUYW+DV2qQ9qem5dP3294gYXmGaY0+Bpu DxKodxnapsDIUDNehGjsAvGvIFp4kY9ImloS1955BX6S6ness3Hbqyh112lP2eZ1ilcTJxXJuFik MbHPDXXKBCOK9dJ8vHbFOkDRxUrha0tliGwlbk1ZOdX2OwLmfWPwSzcdOlCu2ALWBo2qf0tqvi8h NNqfxVYNCX2/H1CT5WIFRgP+PZ/SK5LjK3CmZIYG6E5qFzStk8m76pzX09XqJaeRgm0bRclgK7hZ 2bb9bdk+1f+X2q6ed5hyTCakaOVa09zuZ1q3qVJ6kX0BDRUmTShILXuTlDGJAWyzEQq00DHQlnqv /M2oYoQ2WmFAs7udWnA4UbgrYvdTiTUG4+zh/yrRd3jfjtUDjjVIBCf5pha3ZY7mkw8VIiQzEwsQ yc8EShU22CPvCma2ZrPg4OaoJOYbVinB5U84S8X6gvuX6i7EN4k+Idx5pFwT3xap7CR/uWlDsrvO YwuF3wOoxm2yfEkCs27Tzk4qStYyxc5snAoCMlmjfL7hxIZBgBkq0NmM/l3n3zIQkNoYmC9TIiNJ amP8XZxtVNRtrOoHccs58LhlehEXu6VV9ObQp0mQTs1+S4pD7fOeOXmuAFlM9zIuQAYxxTlRD8CQ Ssu+DXx3fUJXIZfo9tRefVRlnHlDGSsLKM9/UuVNZiDsr29xquAeNfyiiflrvsTPh777ahGkgRpd o/aDW7oa4CHFhLvp4jM1kJPsnZHdBK2K6bi/FhzpDdudhiyB95TCM+MaBJdAr/Fp+3x0Dfatu1kG bQOx05A6Z4rZubLrZjlVqOwDsI1nyzAfx2ZC6ig8CHHoKbDnZf43JugvdLaMPrWmNP7WkjK/kYHe Jq2TX9N6ZNyluRp5p6dnhVSBNHOm3PYBs4bZtVy34DNelg6LEiyQ6vS3psX/UsV4V4QY9Mq5yw/r pWH47cUIVsr/TbOHbKJi/MeQ2Ju/DmGuXKooGJdF01gK/Z9jWvIJ/2r6Kp2OmVxwjMA2+mNp+I8b 5ROqyFfj1U3IkLllXCGZ8vAEcM3lJFlpawAAR6N3hC0FazvvYC24nTQbyQAizmuVLraRmbDhxUV2 xrQXfSE4W3MsRMZtNV9WCDVwBHnvb8l4W+finfNIlPc6/LMUWzmcb6gTUJO/0/BYD7II/M0J+Dhj eD1fNOIMKWPhEWCR7Trwb8fdCCAxEbElCjgi6jupxk4yt1CDSsblEWSBeg/LQ67+/jPdBCGmkHgW 0Xaz4bQv+vrQN3EYrPrBquHrNZtKqIjGSONAv6vauMaCw6VqjQsC6s3N0M8ooaGzteXdklcaje1Y k1G/CYFLsa5siRGGpXh+JBC+eHI7VCBUJr+d6gpEHWkEcPiwWtZqS3cPuV15IMFjOYLXuSIIi8NU C0mL7F8ldz1FC1Bm/xrbVdGg674OozApwSqnSi65PNH+MU9L/um4mPBk5Oi3ie5OAjF6GKrFhF2x 53h3PErEpgCDCqXyby3X+kWM5t5O/+04K0QGxSDBB3+luIbz2yrAPe3yrPmpZfeGDrI4lYG3El2B H1blKqo+JPkKzsxdNEREjqb/8cS2BDGzvhKzzkVuSZY3+bfWarB5D9YC1qQdRDPTrSttRA6SFuDo tsvp24OQ8VNDUmjxYMQRUwgzRIMV7aHPm8IPVCdEFfSxJKLB1S4TamPn9BF+Eea+YYULpJq5KqDe vWDpHBg+hVtZQVI0//2tCCyKPAxsbpciGb0yAITYNkEhkgXYNzRW/S2nAD2Z3+Bw3UAppIcPo4dM oIgD3ANFgdE90150JYe9MY4e5ju89tvj9pax0M3TYWfb508Cdnqxv1L0XcSEJh3TvWpSzSdcnUcg jOw7v1vl3dJkvFhn2DuNT6ms0SJ4ohKUk0PbMQ6xZ1bKox0wD+I4OfOcXODk8g7CraFLy5OudQed E+jzhY+ACmGB5JD5VHxgxDL9Ttq9Yup+h25cpJjJedV7o1ztRtJ+NYc7Ohfz/9OpiPM7fLVAXqZb 4vsk6D135Q5E9q9c4N7ttCiyh0uP2OBsBDTz2i9CwVGsT3kTnyZ3m6q4tt80SVcXxLWaY4d/jTED I7t7hWlmnSR82Lr0jyxABJSgzfJalsQFoXJ3ptZSmGkMEBAcKE0K0zGrmUmyyDsfiz7bpsyTRCcF lJ2zdBFz5Jztno94UYJGXbHXwDRYsVcWH2miCahA/Kos8okysl2rz38opWMqtsUVUJQ6drTQo0U8 4iBIBGW1GQhJITW3NSm+xaNZ4M4DN53LErZq81NOhGxsFAbIgfOOX6e04mSIVEVQoildGYJ8lNWc 2tKwwTxxCexKZfMJw+Lj4poJTCWyEBRXivNiTN0E887nYM/OmbY02ODJV3mNla1r5aXKLFQdIwBU 6iYZ6kXZO7qXtqUwfl13yDeurc7Z9EYAHywM3EqfUmpS+mLRxIGG1QAwfIoNbHYHfIErM0/1gvK3 82HRtjxTMbu8n1l3WOpeBmUw7pM7RYkaW0CRUfAt60kWrcg6BdTyJyQdzWIfrm3t55UtzROF6nRz GSxk9EOw1hjcjr5YwD3J//YMh7ENvXp8Nq6Oxc2LHxgj3fGtx9wIce+zbx2YOohVAKYYXYUPg8Ge cjcQEDpUhCgp/hxsPOmnapIWJERdlQ/oVS7K1fCs4IMw/hzorPr/1SLqI6w1LMXuYgMrvQGqJjfB bkqJcThTE7dhQciimcZRKuOkJBFCyu8fiCLiV4QiqxY87PsxbZjGXmJAZz11o5G7VkpbpW7VVXJl yLyNme2yfQ1WqWSkBbTuKXoeCqR9PbAjXpBHe1h5ODPZ5hPCxSGnJVv+ows1uEXuL3hU5YeI3dBd Q4RSK7xUa/EG4x5SeGC/JuJWwgy3JXLqU26A+K2jheITjn71EhaZVZ+PBmSIS+yp+PbdAtM4bbbk kGlmXYxR1OxFhifEo+HHiXOMcQgCO/1x2kLV2PvdofF4eeFvRNbJo1kvjhp8Y93y4QFYqfrsGEb/ RjfAOXmj45egBTXl+tS2wd3uQdzJ5pucUmrY7amioLwokdTkzM8ulcp3fh97PP5mtwIp9gTv+kJP cUn/uV5lJrhWC0eWM1pjqJCJW/n/K8xYnuIiSdFlSk4hXmga+vBOzqnd0D7EywqBEI+Rmv6giAyW lCztPmS95iHNeabeda4w2OkMTp9GM3oQYXyYOsgxtheDmVeBF7f4kyOvxwY3ECE03+t8jC0MMTQq FVPqezAlDhdHN3455rCyozrFs3hrcKxdtYyH3FuRio9ClmWdXFxmTpL2KEYWXBAqR94md5oxDEzy ZKoGSI2B/+B8Z2B2w5Lu6i4XUsYq7yN4MfJ+x5BsaBINfq3HaNwJF42I+ns5uzSRkj0GbrLFYgSq ZSDI2lcPU+bluGaT9aj9pdPqABwCTy4msmcQ/o3nlXfH/1jk2i9E7UnNtFqm8fDInCXzQndohpVb bj/zNW5myKfZ9adDqOBCOIxmAmOSRpjm/Suw70AC59ESBKfaotBXYvnqo/v2M1Az6vTGo4wFrq/z CAOj0WbK0uaWH4SX/BYGCpX/Ry4Jp0gzRtzfkAR4E6xQB1dunFfsH8HkyfpegxAEHZ8OXaUN3GzM dwFtUPON5imixQ2pTiLX+dr1Wz1Kwf5pmHxB4UbHVzyeazTBY2nbriq6ZVJfBfNdT4qF9wu5z+5h rt/VvXadkKlgdEqzCACttO/ei/OOZx/k+7lUwTPjEtxpJzXV7am0ub9Q6Kc3qPJdDzK/h1vZB9LZ 8mkiM9n9BKKDD0IxBWq8ae6aQXPOL3iJxVloYxj6Nv7UOeoizdVf+3mG6/W6TwrberzT5+2muSbK 6M8Hzi2IjLHYKekUtiN+tbeFqyZOsolKStstV1xBKJ2w0yUTQDVxbOtX+cNGy5ml7oZp8/pJRFGw +iE/2r9TW/N45eMd9qmyUQR4KATcpcdoK0/pRaJq/Ieip2VSwdm8TdJdnUNFJy/9uIaMypCAv2z3 SCXVP/Rc0saAGUwg6xBr7q6wkw+VA2tlzWbAEj1DV8UatCHWxUqPip4JH6uxTa3Pla8fXe6HTJGi vud6uIHeW4Bn8431aiEuFfs0WWJJznM97QCWfSNDO9VA9i43/HxjFlDUcsQnfcoUoq1V5m9WDNTU 8Govlk2lzOnx0EqpiN1cgHuVc8IVQJI4sulprnBvpxxA8CK8koqrScYZfCTFKh0JPIu9StHgIe6q EYhdPAxp5EzoiNAkbc0obIEDNIkcKhzOWWckOV8SnnnpPF0FHuakBdpoWsbghLeY4fv9hLQKsdH7 WOBnfhJs2NArqL2QaFKjLGJyMjzJdMizonMOXAeCq4l9H82h29F0E7kf5OnSHpEe3jOW0EwHJQ+T 3oR07XZ38bVfVAkFUfyYkqYWdKaYbhh+senu1prAPduHZeYYtmNZpJT8jgzTfMK4WhmZIOHMiKF2 ymgsh6BDgi/q7xWGQTJu90mx0kDFBiNq0NMsz593JzIH4kafR6OC97zY0hTxdH8k+yqwmn83JM3O nRqoeLL8m44rjzEr5WPiqj42GNAfvonbbSSe5558pnzknFmLioU42nxQE8OPKwORIvY8dvY9rN1b RDD4rOeDntv6eSKWFr+Wyps9Kbb39JU5/0U1143eIAZOTzBybid6mQ0uFZYAXiXjtCAMRONiM60c N6JDSVGYeu435jz2Mss31+lLiS4QC1yhINHUisqPJHgOvsncNYOMki5X7B8AxnHvnarsa4zb2Rij sVUKbyiBzbpIUGchrrIpzgux3kFgrE+VsVgtYpTq9iDfekY8abX1EOU5pkKrNZxqC56PoJF63t6P bMFcMB1Xnek0IFW+Xw0gS/5y48EXqYVLH4U0CGgy8hn3MJ3m/5yCwMMlogpoko17AHycr0XG60jh jrrqwrIs00qKnZDhzMf18g9Q47RqJuxRfcYpKrreYuYsj7fJ2CzpExaTb2XKvljO9S3QNyRXEDev 4zoC/18eg4nMHVywOdIN7Mka3zBzfSTcPRIuFG7xDSzTxNhrb9HjawwJmB/PZksYqrew1Fq51duo kh0iRTrl6hbo+mW+fGUjdrMRNcFgkT1pUEmpJqMd+OAnuMcoiieLWTfi5HbXCF0p9969WnPDwfzp NItorrzz95Bz/rFaq8NQ+vKtSgi8LXAquaGkyJhfXe1UXOU0qO33qIBTLtDsjtjcnsuR1aIxpBBs nL7gO0FIZEGNn8iTyyQex6ptV8JZ3VBV/XCa1jjap8oNnJd2E7lgYSdFn6BVzF7QrM5TtH+Lv4os Lic/F0ujMRli7mE4T53Bq3GPb+z423JQe1uhGKlU0c3goftaCFgoQZ1MFL95D9ewz1lb6fa8njfc swAcyGc2MXDDdK88KUnqItnRHVrPkmbwo4L81dr/kkzEV56mlNK7gB2Pj87gIXkZVN5xcJg8qFEf Bdk0Zz0ekxPDl+Ya4pwMisebkAFGLvWueOIFShCh2mKAIdyStBQkfbFtcRxWHBiOKjkUaYV7aREu /KKYBVKYIy1bdc11zzX79towK9wUmGAXfvT2k9TlRXzybRPmY4EqRV+sE6lAuD/8KLYHDqij/gjv xjV0XonygnaxdGZFJIqe72usRKv5dWEDrfG71RgtDT4VUa+u92NS2RNXc938iE3sIeXfRz8tsZfX lL+k/EZ0lXSFWxy5g/LNh6Z4ljeTvYeRuvraMoOJi45Wtc/bYqIOrH8ON49cQ3voMWIbnch5XHr5 zq9fleoFmGX2lYWe+L71uULIXX2WBW0ipj+PsSkNXMXCLCKzZM43NGwY2F1OJ2vQVOQv9UU8iPgm O5Z0bdSEGUySqVE/awkubkR7g6TZh9oHz02tal6w3HCalWOInTsygbDRqZUNXKhUZhzkukVPDUFx 4WidLnVqA47IBniwPJNt5xIwyD/S+DfiZsgrTFDMQGJbEKFHjiLTSrpzupbJJBcoWv1qoBL6n9Bv pT6eJ4meA3klLfncO2/G7usJD4QQjxfi2QPBHLiVOAQwVslxnX6SAzbkU3hEvK/5o3oU9vlMhoUX 7bk2SR0SRBfQ5lmru94h3KxFs28nAYk1xIPpJXNXGhHh0vQKxB8MHdw9kTQQNqUWDzokOv3P4np5 Hhqh4zkWFvhGSpgRLkk03pV+az9acYNSgM1M8Rqa1IGE5p4NnPGWuforM5xe+bs2MNlJ0nOhXljI 6YThCXHsuvJMrKc9LnkwGGGOvGUcOxi2ZskCOatMk5RCQtLWQ6IPOtUu+zpKa/7zt+2TQqohEYFY qQQLeVzjxOIKwClwgn1+VvdVN+HNuiuFhHQEN8skow27n6B3rO6HVjkTicS/ov18i0AHqtfLeWpe YlKrSGvbFdfh7+kIGNuF9b32wKNHZ2LT2vWx0yHarW1k2o0060/WPXdHosKLpYvlyHwfIn5JI6OF kMBQ75ZzifdS1CWX/IjIrNHkWCnXhO4pFcirgtC0dYrjEMXa/154GJQH9o/zWbt/DTQL5JeNSbGE E0x3mZIeczG/d7j+0cxkX7e/nNjgGkIcxrp0ACSgPbH7qxeps6v55LTXnMnO71Us1B0ieSoD1peT Y9d+rlyk62RrVpXWRPcCI3/Uds21Pux+e6xHa4Q5qudrjMImGeQxfqMUrRNU8QV/VLUdrudTGMAQ 1Dzdj98fePUk65hJ69iOJT8wvo8u1GhUdoCFlWLx/StmdjemHcQ8eA1fpA7msT4O20avgtV1w6UJ 7Si2zS5nAcLR8xA+o6ov6zvDl3GztDwb6ECnaFuHBKY2sHw9yqPXphRO7A4YcUtrAHNd0TVNI3sF LjFowpSVFDJOv5DoVdL48k5nwFVn29qOY3XyGKlSOeAdYAJc3Z7dn/KwfV9LKmXmbEt3ul5oL36n YLxVtFHsU8m2JgA2kXFdDndciU1q1hGhHKe/MZUY/Z6us9E0HkOs8gfz7w8zK7sIz9YnLfs6xRZt Ogb1tNDZlTvOe+wEikewbmsEOgS0Qdp8GWcy+pIvdNRhcfo1zWFzlti9fHYb+W4gYRFhUR/ibRNN rv6maPysx1a0QjX711n3gaM3OLRpbd3QWgQ+pSfF3Tza+gWvGmaeNEaZq9Q09Xg5dMts9L3w4Cce xpIJOCgjvo3s9wmca/X/o5LEdhr291gR+33BApfmQtDNLGMQRgGvh/1AbAGogTN2FlIHvUMl0E3C 2rA9btnVpljbF70wp1zAvZhzcrlqf7kcdtN1q08/j550S0bq0L8LbmRb+q3VW7Td+PPDRs77h2jl +LWuR1IpApGaQq9oMU+y+PzgI1esyz6RmmEmG533gQiyyulznRmfPlioYp/NN8nEcEpS1lRMQI+9 4oqkxX5RueDjr2JKOY734Adx0bWM66VfusddKZrPGTIuN3zcs8HNMrUdswoer5KaKGZenidG1Vbu sWJBGDeHikFBsCfw6ruCn9WwOvfmRQWZ+hxvpjVB7hp/GHbDvQq/KLF3P9mN0mR91+vA0Iagywyq ApP5KgW5+KVvHBEuTDfareqwODY/lLYDDskgDYIcRHSAfwohgBn6cvWS117bvqQ8AuusNBoLf/7B x1F4/1Z9eceU7S3iMKOb/dHD8QbNWrLXJTX6W7iKWVpIrO9x8ZUR5ZmGMcx/ZXsA6VjGWUd7 `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/scfifo_32in_32out_1kb/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
18
20439
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qTTbr9Do0UAuG3/q84c3fgjPsKvyBqSCFwf/1bHmT6ZC/IAZmQ+0OTY1kBHuCPfj5H/Pvqcy1Bsu DXDNRZkE/g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fDH4R1UPiC8rYngOUR7tJz9t2oCGzolMEErTXYD1cSsADULWjv12hiaYrLTleVALCZB1I5rHxk7M 48p+vnfHXDOf6dTj1Z0uddA9zTSOj1iVa/eLyhkq0pC2GyAP2b3wtaGtF3tOlhCm/fJ1vppzEfmN VW4C9c0U4j0JdJUP9dg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ESk7JqfIxe7/X22hE5iOzvyYqz65LqVgasXUIRIoUbx6cTdVXl/uBStN8iS5ePdtfOzl3o1HDR5Q DpEusOYT00EJgNsYtRoliwbdkwykeFVyPkzdrSjG0e2tt0bPvoP3WApYk9g33oMfiMgYRowDl/s4 DZrPghFdZnTUgl4xrkZd6DIE84Fl238WfoPWVsySUr5plo9kYCzcxrLwkYm8B26KgT0CnqY1uaUw vaPsnoYNY0t00ovAEitd7RgDeoLYBMPAbFIh6OaDGS+KSgE5D74gbQ3+zwKs95z3u6uugfQfryLS wVe1gBJTl/onz6AQoHGg5+t5L08JTejVY2rMjw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n3HBhY1gD8Xkg6hfuqyCGgDMGbjXXbntOSJHVpAuRFc7MRwYV3qt6BW4PK1yobl/AZo69ijOGV0v CnJMo2KT6fi3bYz7Zncp31kb+Yxl2X0ins0kS5R6qXw2ETMcD5Sa2bMhHYqKYJ9cNOPctTVfZfJM z+AFmyV7iib11ur18EA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F+yEbIeA6oNZWslJnN+lIao2TWRZO2K6elqAY8djbwPGPCWlu0WqMGeJaTQY6NvafOob/636/gAw tDB/F4x2OcBAeIvxOgDw9Z2rmdT4cOv5NtEIEawOZ/Gg1asjzuG94suwcik7/KYsP4UlFCMj64gU KdK01LopNKQ3+Jfe9zUSDH4SH0NC6LxHXrkKUHouso85xZVwzr01OVuqSddOlG/zsI0Qo6NVqRpH dYeicIDZ+KNZJ0nnXtVhScsdrSdPxQfBft7SSPiSWzmIWZY3VM5UHhaY6b2naKHeJXp7aku+lzOJ 5hm0RyrwZv7dWO14lU31s0NuHAxXsyduqKUhwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392) `protect data_block ck68uYcVnwAEJSd/XqvLJKgY0SZFba4FzRg15PJ8QOZMNudi5z7OeGHD9BdXoK+vHLKnrZ45HjiZ 5ejFy/hHytmzBrmTWmIvyHIKzRK89E+puMucjvrOKrDEWjJCYYHJ/Uz4zXsU2C3lq7CR0YXohNtI XjXrSjjLbFubn07inBRb7OEn3C1LUNLCcl0ttW5scfMKaZiTx0WuzDr3Xa332IYby5MjX+8c9Cat ydEXy1JGsBfznMtYplfH5BX918ayp/HecERiqTBqipgPayOSpjnj9oG+GyFj0VgS3YRtV1auqOJV Sl75FYN19g054/nIFeXxyoi48b0RwdFdDSzB2i5TqWOIPyQgfEUmjEH1vyk5aAc0g2WDAclqj+be FNBRGtPeo12soMcmIjyYcge6LVAIYY9Knvr1cUgkQFE/LUAFRHJ/l2DPKndu98yHGRZ9vm72mgEX YN+XCMe5PG7/OQZ0IwOg6iRs2uemjJEK+r915jyU0oUjT6LcoZpeP8r2dbRB2OAh1C492/PSW8l0 6tgd8k6vX38RVhmVcZZv2r3wsE9WMYFGRUYlI1mPUEe3KEkV3QiTKe+lPQ38FnMTECDZ44dI0aw0 WDjbQAZ30cxahXwIiQvSbffoZ02EfBwyuN8xWVLkhx+VDtYp8GWbsrrtnHm4Z4MjU4QnikCwmn61 OilrPSo7GSiK9VZ3ulCTdDxD6TD513oratPfot0c4/uro63QvJBB3NWFpuJtXtQJ0WLMp50RQG33 xD0MtDNDPsxyscIH0lZ5ELVatDg9sjcxckyd4jp4vmWrerYga2yr6/fPazYHgdtKXOZx2MABIhDv vJCCxOQ+wVP3A4vQZDpERnTXN9vQEOcPqhmE/Q+EAGSfQuDTp1FLH7Edty8WlK9f8H1mJjKxrfaS xhH5c9+tO7Xxp9m3vPMyVqPMsbwtO7nmWuW+7yhD7yNg5msewYxmx8S4Kn4ruDkHcGLHmZBaFF5l b4Fp9/oM625KuI5H/36KioAhGeL2pBHAM7ZXyTTYMVKXSmuZ2ve+CxHQ0iVcKJRF9tmT2U1IlcPq kAUNe6bZ+BtonkE1QKcJDoG53ESNZX9zzaCPBQX4ScOVTRW4TM3o2KVcXbZ4fXgoGr2QlSScuz2G kIdgXYR86w4RNSRefFKw5e1azYU5M+EUlmvnysisjvCqnl2TRRrhE2CI1L5dxGVO9XbfoF8OAOua ipy+jNQkcFbJzSlzsun9x2b1h1qPaUde5pIR+04w/iLbziMTAbGtALrEaLEFij21I5d9IYw6FlDu j/PKMxVeJvS93lRDCJMO3XpUP1WmvMPL5BCLuOarvVpvSikRWzjASeHyVOk805TOGxpBfLuiDVNo Lwj5WEPG0KT2viCziigzTIYz7LUrZROJdPMcWbP2ZP8aou+TWTN0RnTp+a4mzYq8p5g/mKLttETJ Qs6zxttMe7O1LkUXQOyLNbCW3E6wgmQpT1vJPEKrBnpiYDoMuPcUYjE5dxMjLRZZCsD7YO7GQFmi /9FSCcWINNFIVChDrWXBQnIyqXXZCT34eVPKv7YHOPAtpAiTLwruQVqnlfSfQau060m1cIM/UwG+ joH8a0iZIoAkh6OA2gsWxfmk5pWmdcpOaAaTh9+YMZ8ZwrcN+nXc9z/XnV63bTSfEjzKh/B0MqYH sKjSfU2z73uwepz1Rm+C0hKYirdKSUqhH++mUvJcYEOn1yPT7qWbgqwedRhziK0WqFDI5B+PUZYo gI+Vry0zJtnxNlimpo2Si3OtaqD/Whe3KG438qfqCE2aiVmVxuPDU45pOWWnDKxnUb4SVoajRN5D 9mbypZvwqZtlvrr6g5D+3yazbcUBEKtBwHsXl40goWami6CJRKYthQrnh15hM3cwq6IcRSz4jz0F mRwgyfddsxUSlvMAI4wuvcbgB2WZvAIK7zG4QMmeqVUi6GzuJp1fZlE1lT1BPrWheNhHVxATwxbV p5z7n+tQBNTAH+1bT/kDokn3+mwPPGT8DM5HOyHWTeAAYhk+xcYkeGE3uMUj2a5OitopLnfW4S8j e2KCpvVBoEk8lswq+oIF+t3VhakZHQOb02SI94UnoZIad8IVLYceGPcxsTe3DWmya6AUHzvVEsSS 1iwff89wL3dBhNCeBHweIzAIKn3dEv35o6A5jQQDHYCRLlQcfuRuTgcf6aHI8q1CF/DXLnaGrluq kwvSitlFmRN+GbaQjpCq5CH52YlmkVb7qcwyG+s3ll5KOlxvWOzO1+RCEeo/Sw4WPZhxL7b8cTTj k4psAC/mqm41UYdGtRANV/K/SOcUz6fWjSfpDvRdUxM/JFd457fWAiX09M/E0WARbq2hbrQllybB o/YPjI3MHUeKFC14QIPqHiud65d1Gk7sKHivTm+u9YE1krmaiHaQjtP0rNghyCSlWTjzbPf6Bjqg 8fEDuz2H0P6Z3ygCaaMcgguU2uYtvCjkzx0ezOc8IqHtcLFWemy1Xwg88RBdW04Fk4dFyAZmQ9Cy aYDafg2LPbsWCLr20jEaE9yB7bgwjZBTDlTXrB4n0G70Lmfl1ArF92IeX+vFQlHnRX5fN1VIHUIk XNKYOw5KdrQ4kySbpdBnkh2hktIFLNSS2wC3zRAJ5DRknEPaAxmbvs/84l8flOddgXT752T3gOL6 LKBZWfcE3ehoMSX3KuG6O7wXFTuIvcdF3sqjsGeMKgvLNrU3//mf98BtZ74yxNnxQh3geUPcC8M8 aWKAgW3qZztVYkWAn2ZYIPRlGts4wBWYLLetHI4Eduy5o1mufHscIe7ewJu2/qMT1I9lPieHJDj2 ojhRgTTX04bJfxgDhDDma5Hl7xf4XNrc/jtZFKs9ZWE5TWxBUZ7QuFF5ZwKGNlkol5yHOcZpv05o q54jwBl+RZRCzcPNi36duYn8/byAwWzcaUrUEdXsVVQJ3juLt83z0rossp5jNMNOuZQmGycQyIHR CB7UCczRydW8WG0Kefla/XOQE88IEnwVXzoZKdEiiljRSmlZD/J73T8KKwvgjjaO2R1HtoIoqSwL 0TPbdrE3HodM6o8IsthdrU7U3jySwHQcPk1Un6xb4QZ19deihBkLSJzZ2ZTlRV8L9mZA+Pi6J3RR UHNggwBsgdbAjR4uCjHMNwHNObKWHcJIeEWZ0m0SCMittjMtMU9jlBH0wQoeDDkgtzsIkucOBwF/ 7y+fXy44NGkuLxcXORgLF4eS2HUsrLB+HuUSWkqxX05j10h6GgpwtR3ywmJfasSGMaPi/jMo2kxJ N9Rncl1zRvZCx0uGIeTX6z98qMevj5g2QsRGfreT0Wv9ycIIzxqW8b0N8BQ0EBfg8mkXzOFPqTG+ tIQaz9iQS+m7MZnY2Em7ahlg/MR0SpcZlDv4hKSlGCYvJk0YQt9T0QeagcID8ADd14Y+peg9NyXI IGJmVAwdQzlVZquEmCACNOiHvjMxhnaE3CXRu7L7S+qrAWNzvO7U+yWpxZ33XwHQ95hqHmO0CfVb 3qFulgvQJhcRvPtHbr0c/VgJTEAzpH34ELEC9bmc8Aw+yyqfgX0Z0P0LyQR6PbjKzPP1uG+HGuOC sF30uv8YKONZx4DgADZgtWze+T+b4+8q3t/Tv2wQ2GMCcKKZBp/TTTS7DX3GBMSEhHTwYNB3wdsv DNluDb2hQP0kKhAH8UM4OmIJRHynh4jjxFo5XTqiV/fkjL776cryLhz5ExDvuaJpy28Ki+GVpccQ K45WPRTZQ21qCKni60+0JCe50FrFcY1yPGU7+jBPjfIIgTAWwxtlTwWTYuWrceWIWwM0awMtIKNR KX+yGl/LPDemyyJt/szLqsQ18C1CHQdH0MAL+D/9Lv3lD8JhLlMsf38c31ZW2BAzB/1BVIlrncwX IoWhBq2sCT1U1UoU42ZkQcUK5T86YPxCMbzvM1jmKMYtxupZ6hEhRbWJR4TFsYkrK8M4rlYIwzqh MpxmGBwCKjFTQoBLoaMz5tCh/A6uIv6+aD8xxLxvERG17beeaz8y6d8u6fkPgn0nPRLdi5rIsI8M nUpbzW+4FnPOL0gjmw7gsqwZYmaHrniCTCIV12hoDRnenTTWJdpRPvW9clra34xsn7XgMFxDjo8l cM65oOHg/fSJEhOhLRIsVOgFhx5PYNiZcdtiaHvVYhMJhLPG7ar30yl/AcSiWfQBD+CJUP6D8qas N42s9KHU1lfkMdRuBz0UGzmkm54Ur3ySrIUoWgD6b8F5jSmwPT+/BkeWKZ8cU8QbVvirSKQORBgU fX7z/XIz+9zJiJVcTQnrGacQD9aMnIbzI8BxNlVGZS/M8U5pQwHDy5dS3TLmkCN0Sdvchvzv7yNp Wmc3ghogDJq+ENRdl0mDyRpq4xR/yaXkC571Q/6fv7xA78H8IHlbejJridXFPinjbrurQ7zHaYYp OdmgfnDMOCrybQtt8gk/Fywgri6XEVcOYBqnXIjCBbtvJ4DruUaiZxJIVdW9m1ST7d3DQUc8kZO/ z2MxjnlsBEPkS8q60+72Guz38BhgAKIQ+hDeuIXjoZfmtTQ544DyW2G/f/y2F6JBA7oS4TuJRxi0 YOEKJLFdxl0k4wdcPEXBPNKfTWjSY+UocahnTr2S8syfLm8/NcwcpCjPGMwiqYlbajh2bvFrlqlN jCWWX84TSFr8f4VfH+iHt/WzGmm5b9qCyh+dvdz3dukAbReo+wXLG0Bf2UDDHWJ/zHebv25n58Fz 1wuiuDN6Aczro9m02Ot7Ldd4fgMtfIE4zaHhiLK4K98rXlw5U/tShvmV2hCYdGP0j6c/Uus55OC7 xsvXk6ZWOtuf/XaKj69Ymprknp0I2V9jaLvqKNk78o/tBiWi9oo0tfV3B5VckyukWWF2BB27Ihx+ hOcnhoDY9UIALe2ZZ/UhJZIWy7vLcDT7d4NxBaI6klpECOpoqB7/puaDJil47G5eXqNwy2dR6u38 elpLP9kLWDeewpoLgGxGadGfWrJd18i0Ua8bcI4v7dyXvpEu1+8tH/nZHFb5ZM5KiTeFV7KePcju LG+Vp/DlLhVXQe38hAOLvb6hTrGL3YDODD7NkHv9D/T5H+5w0JCaE1LgIhRa/fOyOv03w7pSl0ct ANalhBuicxSeD+m5CDfsNub/yuwB1klbFwImvFS1HAYBWJ9b5aoViKaGftt3QtdbV0QSSiaVyckI 5W8XMI9FMzgZF57Q8bDDgknNWJQZqXdLOddpI5uWYRaj1G0TBg28CRlVGfX7O0bk7o1vIvJ3feRJ f1uLLPB0EkPCX23yX0Mig94M1feG+zguUz8OpJVO9IVuco2s2bWvYIYcKj3C5z01vAnHvUiFNTvi pZjENZbanLYnmy6QZw+8E2h+EZDiSyv+8u6hDEP27W241i4T4Oy1+I7ap3D2x+j/ZV9yvRryS80o o46O2KhlH/+II/FK0YC3/YbRSVIIE3DvqlX3uon/3ghrTaf4hh/K0u68VPb3hG5B2uZW4jVTGyfe RqX8XvIfuXkTayp73JYwgUqfd0UR+vWHhUMDePPaESjsetSF7GKXEN2H1ZLLH9vt3TsJz73ntvcH 2WPj7jkCQlwOL5vDTqf32C+q0HqgnSXBuUeJzh8ScM9AmhTuAlKADcLtpABrEerFp5Jr5/qyeVQq f4shOdYSOjE4PduSk4fOOyokU1DqYQToVvAJO6fsSSClK10+d8ZlVkG4IjsfyIwhkcZheS4Aulyi Zean5a5AF7LTxdsmwsfqTs4S5eJY+reR7SFrEFM/FcrTU92YQrCsmHxYGnQ4BjSBnwmvkj4+3qGi 8zYIrVIYULKluhD7X6+phwYNzMemIC89ZFlzhangzTObo0RA9CzVfmp0QwhnpaXbdPibOui82Ev9 f3OB+5x6nUcJKB588P91egEs2PVXejVew6Z+oKjJf1TMtiyznc3dmc9Weiz5UvcIxaqtSjrH6xSs iFLgonULdxTsSW31bwo3sR6L2jK1d0w0CiXcLGBPVenWH3U5YWrRXAQKvlExQo3oCI9e78TQZeof hCytLRntfk42IDk/poBLkJLJgLCjCMoQv3pRsixgrx/DYBhe5WUOZCT30M5J3oGf448F0u9agwWa E5jCGExpKBf35C9ak2+i8AqTod+u/ybrnLthA2UE/K2Hq8eNqFaYXJfd5C39oA0kz3bNLrQ70Czh sE9yDlyGWEMT/Q0JDgIGlC+Jj8efSPEOSk4JKFPsTMZtA1qbJtJgpiL2d7akduCQy8BTE1pewrO3 x7o96grTogNl/hqzrRbpIwEy0l4UIeQxMmCcloftByC33mCuSAPxrBwLi/iADYX2vZtAgmwjbchp 5Nmef/sTCQKjViSIPaBMmROoSNINQCb1iRi+oyEFWNzyzCwX42MopxVOzNYdO6ViFgzKjwyoknHL zywdqc2BwMD2Zqgkkc6cYZAbPsnIFc3XaAdH9YdCg6EsrFw6vLen9HSy5/1dXHnDE2HqorubsqK6 KlEgG5zsi4fMKWdJxst+desWW3MBfxvNofcMDm4OdtPE3PVTUiewPvhyQektd+ns6dafpzrn904+ jk9+Pfp3WfU+1x1QRhCRi+z88R7zl8PgTRmGK1VD0kWtcbxhsoOw85c74mjvuOAMKebnYB6xkI6H mbqGD+kJQB1qEnECJZSqHQZKVB591zOfetH5mDzyIKPbYbpRCvxJ9o2qQnEzNnhFWd+GBLzwPjJ/ e1IZEWVEP/O17d8bSJOrS6T/ZK+lTZxbHrNPi4H0kqExWNCoWSq+t6vs/rByfmb65Ic6phxse4rI kkPIssa60fKmbnssXYf+heDaJX10RXPYuXhOr/ziza2S2k9RYp4sM9BOyG2Rab+peN08g3m45XJr ESaOEqQZ8MynV6pSWcS5cIE9Gaokld4z9hKCabKLGG5Omz3FQ0GHia3i8H8qyv5UElncw4JAnwbC KWR1JtiGQJYd8Y0Sj+vLi+cpUHSCRNuqW2wpYigi5of2eXURjh4swrdZNGTZpXxaFr+Us4CjrU4X l/KmKpuxUEwdao1jkXeF4+EKwlMSudghoHIVJeaLpTXjJsOd7dSmX/wNMrQ2SzbklYR6qk9hg23C fXqGTtvCcISvJ3YJcGQoavNUsY7mKgwHvfeQwmWOV5qMHhct4kogGovCt9i16Vr2qgMqieTrK5OR x9EyqWB2JKgIcq4DCM14FqzDgvW6hEp7BdRDsSSvxKNlR07f0c593BQkjPl/fzKj75dL19iQf1Ht WzhwsxKCsU32WciSAD1KORitOk1oImXfRBbn/xoSLkBa/DMgN9CF+HSmLRNsxOsTqw21OG+hU1eX xrk0O+qXB1awNz8FDPiuzNB/e73IBLvTn3Hde0SWZY1U12JIAVOKHa47eZjl3Vp/cuJYsLhqIfqz fRNroUKpgGe1jOlBKoGJjwBoZ/wj1ycuvAmDlmAEVFvycCuBg6ErHWrWEPw4BAb8x9bpMubscK7J Alz0EZ9JoUNt3rcINDiBO30GabxwYA161F4AZIU4B5xjE2FLawahF6mcT8LkCp/mHuC8ityaeul9 7DSHbjvPmaPtmB1ELRnhLQLycFx9FaIQ1bo98dF2ychZ4Vr5BEmiZn8UCz6yEaZG1x3ukNruiqru JsgdmDyZZaIB87Tvw3MhBQ6qneTaQ/aEX8gOdefXt3EZSFhVv2gMns2FnH4Fc9OD9ie++O4jWVwp n+KuMtVuoAAz1iQ/KrVHsvQuZM2NSfHSvPFdvkgmiA1PXVamfugKk6o40s7P9iEmr8MUe4MyFcig IKGoujX21wlgdyVGCbvBpEN1uyXygWyI0DdmX7cmqFSiVYqdQHmshnQVuGpV++SUzELhoLp/aEY8 vBWx5CeZOMq3pvQn5dw/hro1T28jDFz9glOwtByt6h9EZZ7PHd37l+IrUx5102Qk2NNZKbmfdtHY nkRvW34csJ1cQbEF+PnmCGfdP8l5ofzW7v8MCS+Mpje2z6sneD/nBVnIsT0hVpo7Y3arahMuCVEH Wo9VB5ntmwhBXIKpB7KKcG2bkqEPbdr1Ba9O/67UpL49QJdlG4ZvS1q5JpBCtTUbqPxf00YzDqz0 50n1CYFD9lRoqAJZ5Kr7zwDoH4+KVhW0rdEF7Xgg+gXes6CmJsHQUxC/moA65GZcPjx/PlxQZLzI m+fF8dWoVkg9lPKiVzwbtCVfP94a2vM41VLkyh9dy6omIc0/g/Fn3gNan2emFW9nvt227dytJw5i B7Uu2v3gc0Qw4Y14vXy4Mz9q/mAb+YtXOApdGGEdbAdnYArFTRk8VDKLfahKT6ntHKgLquGCHvTu /keYq4hIsfpRh0rJx4j2JpRhCvteLAF+57R/9CUnRyVTy3md8Wfzf3lcP06HLYfr4q7W0iRkWugB nz+6euKWoDfN2iSwkUkScXnOgMPNiPIfhtp9Vg+JBIa3jqVq0o8XdHTpShxzoOO0KbsdcIcx+ZB0 24gBgLgd0FUBoJxwjIoJ+x7yLWXsDpWsbpkp1ArRG+4kRl4jitSvJ1S+zp1m6nJZkHIcEdHgUqqT TvjBcr1l3t9aD3b796FZH9Cj0zapqy/OUcmYArSfl5vLoTCEN5uc/1ovAGS72IW6yOuDT52/xPV5 4do9+EM+kElyh7FFmn3UdrB+/pi1ic2IiJp+FpAWDnwx0DctP+YdESakO+TZnh7dwbT7uZSUexQV qI1L5PUDtqRby0ODTkGUrv67V1b18hqYHVYDn3EVzKUdstdoiqSgRUUQ0O9JFE9KW9TS5NQwvUoE Kas96uIJgOmQ9b+A6jazZg/wbhsHUv6vSS8icyHEq7OwwP7iMg6jUVMt1XLNnOJabwpqOcnyCSW0 QQAosoGmqEUxVG4No1JYPI0bEt7nG38EX929WpxEc0zcBCtvV28HX8E5DezFwCfBNZyTyUOa+SpL K4qFP8x6z+XiKCB/AWadCmPw8fgc5DYvDdsx4BH1cbzVKda16WIHHRk5EUvE7OYgYUTL9hqEeuJe PlPlyNmHT0YJxByAa09xmn+Y092FZWdw+ZBqSAUvXxYD6NwhC7H7SfJWT77bHTPPVc8evG4GXcT7 l6UcpHTl8SgpUijMsMHKgyApN0RE3rxgUgGlh0ZYi5a6+PEvpC25ek1+BJn7vEe7TZ5syoO/CXSy x8qfUse+isLLlnhSTudWAUSrExgirw7gRYa1uybDzuSyhg5wzK5VN0MSnZmx17n+5yFjt6v1Jygd QivMJi5ofeaaYN085RzcPl5HrwqtDnM6AG5s93JsITZchRmk8iFOAOyhkV+g4n+dlwozV0fKz/JG +HjP9yBBZX+232g7c6BWjUw5K0a93wiwqt26Vw3iTVaW8ly0FaOkPmNZYp2bOSU2/WToMbCEBncv kVmZmvRBhtXXWuv6Ym8uz4a086TEpY3w20vqUoOSsaIB+edfYh69asEGbNj8bsDLj+UVXhvSyvwT O9sxP6xDiGtIi3wWRVrYj9DJGysAN9LbBqi5j45RH56O2FAklpIRK2lQp28GxzN4rLXWOyXyyKri MA17zl3Yc7mWcycoPfmUiLzjykunWmyaByWRTD3Wu+VCI5q1D9GFK6rtPtSBGEjtGo9zqLYGVjnQ owmUIuLSeSX8a6SMux7Jlf9yZDJBRlYDDCh6EB6qYqQYdgiGyLYwVd5g8J3jMBZug32U+CsZLe1/ 1IaMG04Cb21JX0nysZdozUbkwsNWYsIC7cSIeC1O60IoPOyB/qN8iCK8VWIWpz+f/Gqx3hy+JMkq XOcVhnq6/stG3tCT1PXuar3CwOU6MWS+Wp1FrpEpzgvOARR5lOtPjx43C6kOSbKDTZxgDbw5l9ez 5qcNnc/RUVTLEjz5avfYaosaKot+P2DlFnpqJjQF2XnzCdrUyL/scW13HBxRuS8k1izNt3qkffCu zEl8tYcuCx7DNInhtywkMc+bpEUTTA73vEzdxrcAaArGM0PQ5Wj0WJpQnPzQ7r9k3Eyu6vwxR3Rh tZ3xB6awKqIb0RrrRHO5Xebvebs/kz5CtlsESOZpr8QbqYD9Q8KzyB6zBkNNr4venfUODAFhsmZ7 opBtuI74QtaDyUlj7KbYXJryfNrOSO3FBw9BQVb3c0SzZ9lfQv+Y0BiXyfLC88xljFm5m3+HUUn1 yYJfh5QsSbNDgVJBWuiFzxduUXwwIOmJbXeqG7uO198vGInoXWnKcRVz9tAgREcOI8ksrrJ4WbrF PZiP1D33g13aE/j7YZIpK1WGheQ4/uRyz6cQzyx0t7sAUYW+DV2qQ9qem5dP3294gYXmGaY0+Bpu DxKodxnapsDIUDNehGjsAvGvIFp4kY9ImloS1955BX6S6ness3Hbqyh112lP2eZ1ilcTJxXJuFik MbHPDXXKBCOK9dJ8vHbFOkDRxUrha0tliGwlbk1ZOdX2OwLmfWPwSzcdOlCu2ALWBo2qf0tqvi8h NNqfxVYNCX2/H1CT5WIFRgP+PZ/SK5LjK3CmZIYG6E5qFzStk8m76pzX09XqJaeRgm0bRclgK7hZ 2bb9bdk+1f+X2q6ed5hyTCakaOVa09zuZ1q3qVJ6kX0BDRUmTShILXuTlDGJAWyzEQq00DHQlnqv /M2oYoQ2WmFAs7udWnA4UbgrYvdTiTUG4+zh/yrRd3jfjtUDjjVIBCf5pha3ZY7mkw8VIiQzEwsQ yc8EShU22CPvCma2ZrPg4OaoJOYbVinB5U84S8X6gvuX6i7EN4k+Idx5pFwT3xap7CR/uWlDsrvO YwuF3wOoxm2yfEkCs27Tzk4qStYyxc5snAoCMlmjfL7hxIZBgBkq0NmM/l3n3zIQkNoYmC9TIiNJ amP8XZxtVNRtrOoHccs58LhlehEXu6VV9ObQp0mQTs1+S4pD7fOeOXmuAFlM9zIuQAYxxTlRD8CQ Ssu+DXx3fUJXIZfo9tRefVRlnHlDGSsLKM9/UuVNZiDsr29xquAeNfyiiflrvsTPh777ahGkgRpd o/aDW7oa4CHFhLvp4jM1kJPsnZHdBK2K6bi/FhzpDdudhiyB95TCM+MaBJdAr/Fp+3x0Dfatu1kG bQOx05A6Z4rZubLrZjlVqOwDsI1nyzAfx2ZC6ig8CHHoKbDnZf43JugvdLaMPrWmNP7WkjK/kYHe Jq2TX9N6ZNyluRp5p6dnhVSBNHOm3PYBs4bZtVy34DNelg6LEiyQ6vS3psX/UsV4V4QY9Mq5yw/r pWH47cUIVsr/TbOHbKJi/MeQ2Ju/DmGuXKooGJdF01gK/Z9jWvIJ/2r6Kp2OmVxwjMA2+mNp+I8b 5ROqyFfj1U3IkLllXCGZ8vAEcM3lJFlpawAAR6N3hC0FazvvYC24nTQbyQAizmuVLraRmbDhxUV2 xrQXfSE4W3MsRMZtNV9WCDVwBHnvb8l4W+finfNIlPc6/LMUWzmcb6gTUJO/0/BYD7II/M0J+Dhj eD1fNOIMKWPhEWCR7Trwb8fdCCAxEbElCjgi6jupxk4yt1CDSsblEWSBeg/LQ67+/jPdBCGmkHgW 0Xaz4bQv+vrQN3EYrPrBquHrNZtKqIjGSONAv6vauMaCw6VqjQsC6s3N0M8ooaGzteXdklcaje1Y k1G/CYFLsa5siRGGpXh+JBC+eHI7VCBUJr+d6gpEHWkEcPiwWtZqS3cPuV15IMFjOYLXuSIIi8NU C0mL7F8ldz1FC1Bm/xrbVdGg674OozApwSqnSi65PNH+MU9L/um4mPBk5Oi3ie5OAjF6GKrFhF2x 53h3PErEpgCDCqXyby3X+kWM5t5O/+04K0QGxSDBB3+luIbz2yrAPe3yrPmpZfeGDrI4lYG3El2B H1blKqo+JPkKzsxdNEREjqb/8cS2BDGzvhKzzkVuSZY3+bfWarB5D9YC1qQdRDPTrSttRA6SFuDo tsvp24OQ8VNDUmjxYMQRUwgzRIMV7aHPm8IPVCdEFfSxJKLB1S4TamPn9BF+Eea+YYULpJq5KqDe vWDpHBg+hVtZQVI0//2tCCyKPAxsbpciGb0yAITYNkEhkgXYNzRW/S2nAD2Z3+Bw3UAppIcPo4dM oIgD3ANFgdE90150JYe9MY4e5ju89tvj9pax0M3TYWfb508Cdnqxv1L0XcSEJh3TvWpSzSdcnUcg jOw7v1vl3dJkvFhn2DuNT6ms0SJ4ohKUk0PbMQ6xZ1bKox0wD+I4OfOcXODk8g7CraFLy5OudQed E+jzhY+ACmGB5JD5VHxgxDL9Ttq9Yup+h25cpJjJedV7o1ztRtJ+NYc7Ohfz/9OpiPM7fLVAXqZb 4vsk6D135Q5E9q9c4N7ttCiyh0uP2OBsBDTz2i9CwVGsT3kTnyZ3m6q4tt80SVcXxLWaY4d/jTED I7t7hWlmnSR82Lr0jyxABJSgzfJalsQFoXJ3ptZSmGkMEBAcKE0K0zGrmUmyyDsfiz7bpsyTRCcF lJ2zdBFz5Jztno94UYJGXbHXwDRYsVcWH2miCahA/Kos8okysl2rz38opWMqtsUVUJQ6drTQo0U8 4iBIBGW1GQhJITW3NSm+xaNZ4M4DN53LErZq81NOhGxsFAbIgfOOX6e04mSIVEVQoildGYJ8lNWc 2tKwwTxxCexKZfMJw+Lj4poJTCWyEBRXivNiTN0E887nYM/OmbY02ODJV3mNla1r5aXKLFQdIwBU 6iYZ6kXZO7qXtqUwfl13yDeurc7Z9EYAHywM3EqfUmpS+mLRxIGG1QAwfIoNbHYHfIErM0/1gvK3 82HRtjxTMbu8n1l3WOpeBmUw7pM7RYkaW0CRUfAt60kWrcg6BdTyJyQdzWIfrm3t55UtzROF6nRz GSxk9EOw1hjcjr5YwD3J//YMh7ENvXp8Nq6Oxc2LHxgj3fGtx9wIce+zbx2YOohVAKYYXYUPg8Ge cjcQEDpUhCgp/hxsPOmnapIWJERdlQ/oVS7K1fCs4IMw/hzorPr/1SLqI6w1LMXuYgMrvQGqJjfB bkqJcThTE7dhQciimcZRKuOkJBFCyu8fiCLiV4QiqxY87PsxbZjGXmJAZz11o5G7VkpbpW7VVXJl yLyNme2yfQ1WqWSkBbTuKXoeCqR9PbAjXpBHe1h5ODPZ5hPCxSGnJVv+ows1uEXuL3hU5YeI3dBd Q4RSK7xUa/EG4x5SeGC/JuJWwgy3JXLqU26A+K2jheITjn71EhaZVZ+PBmSIS+yp+PbdAtM4bbbk kGlmXYxR1OxFhifEo+HHiXOMcQgCO/1x2kLV2PvdofF4eeFvRNbJo1kvjhp8Y93y4QFYqfrsGEb/ RjfAOXmj45egBTXl+tS2wd3uQdzJ5pucUmrY7amioLwokdTkzM8ulcp3fh97PP5mtwIp9gTv+kJP cUn/uV5lJrhWC0eWM1pjqJCJW/n/K8xYnuIiSdFlSk4hXmga+vBOzqnd0D7EywqBEI+Rmv6giAyW lCztPmS95iHNeabeda4w2OkMTp9GM3oQYXyYOsgxtheDmVeBF7f4kyOvxwY3ECE03+t8jC0MMTQq FVPqezAlDhdHN3455rCyozrFs3hrcKxdtYyH3FuRio9ClmWdXFxmTpL2KEYWXBAqR94md5oxDEzy ZKoGSI2B/+B8Z2B2w5Lu6i4XUsYq7yN4MfJ+x5BsaBINfq3HaNwJF42I+ns5uzSRkj0GbrLFYgSq ZSDI2lcPU+bluGaT9aj9pdPqABwCTy4msmcQ/o3nlXfH/1jk2i9E7UnNtFqm8fDInCXzQndohpVb bj/zNW5myKfZ9adDqOBCOIxmAmOSRpjm/Suw70AC59ESBKfaotBXYvnqo/v2M1Az6vTGo4wFrq/z CAOj0WbK0uaWH4SX/BYGCpX/Ry4Jp0gzRtzfkAR4E6xQB1dunFfsH8HkyfpegxAEHZ8OXaUN3GzM dwFtUPON5imixQ2pTiLX+dr1Wz1Kwf5pmHxB4UbHVzyeazTBY2nbriq6ZVJfBfNdT4qF9wu5z+5h rt/VvXadkKlgdEqzCACttO/ei/OOZx/k+7lUwTPjEtxpJzXV7am0ub9Q6Kc3qPJdDzK/h1vZB9LZ 8mkiM9n9BKKDD0IxBWq8ae6aQXPOL3iJxVloYxj6Nv7UOeoizdVf+3mG6/W6TwrberzT5+2muSbK 6M8Hzi2IjLHYKekUtiN+tbeFqyZOsolKStstV1xBKJ2w0yUTQDVxbOtX+cNGy5ml7oZp8/pJRFGw +iE/2r9TW/N45eMd9qmyUQR4KATcpcdoK0/pRaJq/Ieip2VSwdm8TdJdnUNFJy/9uIaMypCAv2z3 SCXVP/Rc0saAGUwg6xBr7q6wkw+VA2tlzWbAEj1DV8UatCHWxUqPip4JH6uxTa3Pla8fXe6HTJGi vud6uIHeW4Bn8431aiEuFfs0WWJJznM97QCWfSNDO9VA9i43/HxjFlDUcsQnfcoUoq1V5m9WDNTU 8Govlk2lzOnx0EqpiN1cgHuVc8IVQJI4sulprnBvpxxA8CK8koqrScYZfCTFKh0JPIu9StHgIe6q EYhdPAxp5EzoiNAkbc0obIEDNIkcKhzOWWckOV8SnnnpPF0FHuakBdpoWsbghLeY4fv9hLQKsdH7 WOBnfhJs2NArqL2QaFKjLGJyMjzJdMizonMOXAeCq4l9H82h29F0E7kf5OnSHpEe3jOW0EwHJQ+T 3oR07XZ38bVfVAkFUfyYkqYWdKaYbhh+senu1prAPduHZeYYtmNZpJT8jgzTfMK4WhmZIOHMiKF2 ymgsh6BDgi/q7xWGQTJu90mx0kDFBiNq0NMsz593JzIH4kafR6OC97zY0hTxdH8k+yqwmn83JM3O nRqoeLL8m44rjzEr5WPiqj42GNAfvonbbSSe5558pnzknFmLioU42nxQE8OPKwORIvY8dvY9rN1b RDD4rOeDntv6eSKWFr+Wyps9Kbb39JU5/0U1143eIAZOTzBybid6mQ0uFZYAXiXjtCAMRONiM60c N6JDSVGYeu435jz2Mss31+lLiS4QC1yhINHUisqPJHgOvsncNYOMki5X7B8AxnHvnarsa4zb2Rij sVUKbyiBzbpIUGchrrIpzgux3kFgrE+VsVgtYpTq9iDfekY8abX1EOU5pkKrNZxqC56PoJF63t6P bMFcMB1Xnek0IFW+Xw0gS/5y48EXqYVLH4U0CGgy8hn3MJ3m/5yCwMMlogpoko17AHycr0XG60jh jrrqwrIs00qKnZDhzMf18g9Q47RqJuxRfcYpKrreYuYsj7fJ2CzpExaTb2XKvljO9S3QNyRXEDev 4zoC/18eg4nMHVywOdIN7Mka3zBzfSTcPRIuFG7xDSzTxNhrb9HjawwJmB/PZksYqrew1Fq51duo kh0iRTrl6hbo+mW+fGUjdrMRNcFgkT1pUEmpJqMd+OAnuMcoiieLWTfi5HbXCF0p9969WnPDwfzp NItorrzz95Bz/rFaq8NQ+vKtSgi8LXAquaGkyJhfXe1UXOU0qO33qIBTLtDsjtjcnsuR1aIxpBBs nL7gO0FIZEGNn8iTyyQex6ptV8JZ3VBV/XCa1jjap8oNnJd2E7lgYSdFn6BVzF7QrM5TtH+Lv4os Lic/F0ujMRli7mE4T53Bq3GPb+z423JQe1uhGKlU0c3goftaCFgoQZ1MFL95D9ewz1lb6fa8njfc swAcyGc2MXDDdK88KUnqItnRHVrPkmbwo4L81dr/kkzEV56mlNK7gB2Pj87gIXkZVN5xcJg8qFEf Bdk0Zz0ekxPDl+Ya4pwMisebkAFGLvWueOIFShCh2mKAIdyStBQkfbFtcRxWHBiOKjkUaYV7aREu /KKYBVKYIy1bdc11zzX79towK9wUmGAXfvT2k9TlRXzybRPmY4EqRV+sE6lAuD/8KLYHDqij/gjv xjV0XonygnaxdGZFJIqe72usRKv5dWEDrfG71RgtDT4VUa+u92NS2RNXc938iE3sIeXfRz8tsZfX lL+k/EZ0lXSFWxy5g/LNh6Z4ljeTvYeRuvraMoOJi45Wtc/bYqIOrH8ON49cQ3voMWIbnch5XHr5 zq9fleoFmGX2lYWe+L71uULIXX2WBW0ipj+PsSkNXMXCLCKzZM43NGwY2F1OJ2vQVOQv9UU8iPgm O5Z0bdSEGUySqVE/awkubkR7g6TZh9oHz02tal6w3HCalWOInTsygbDRqZUNXKhUZhzkukVPDUFx 4WidLnVqA47IBniwPJNt5xIwyD/S+DfiZsgrTFDMQGJbEKFHjiLTSrpzupbJJBcoWv1qoBL6n9Bv pT6eJ4meA3klLfncO2/G7usJD4QQjxfi2QPBHLiVOAQwVslxnX6SAzbkU3hEvK/5o3oU9vlMhoUX 7bk2SR0SRBfQ5lmru94h3KxFs28nAYk1xIPpJXNXGhHh0vQKxB8MHdw9kTQQNqUWDzokOv3P4np5 Hhqh4zkWFvhGSpgRLkk03pV+az9acYNSgM1M8Rqa1IGE5p4NnPGWuforM5xe+bs2MNlJ0nOhXljI 6YThCXHsuvJMrKc9LnkwGGGOvGUcOxi2ZskCOatMk5RCQtLWQ6IPOtUu+zpKa/7zt+2TQqohEYFY qQQLeVzjxOIKwClwgn1+VvdVN+HNuiuFhHQEN8skow27n6B3rO6HVjkTicS/ov18i0AHqtfLeWpe YlKrSGvbFdfh7+kIGNuF9b32wKNHZ2LT2vWx0yHarW1k2o0060/WPXdHosKLpYvlyHwfIn5JI6OF kMBQ75ZzifdS1CWX/IjIrNHkWCnXhO4pFcirgtC0dYrjEMXa/154GJQH9o/zWbt/DTQL5JeNSbGE E0x3mZIeczG/d7j+0cxkX7e/nNjgGkIcxrp0ACSgPbH7qxeps6v55LTXnMnO71Us1B0ieSoD1peT Y9d+rlyk62RrVpXWRPcCI3/Uds21Pux+e6xHa4Q5qudrjMImGeQxfqMUrRNU8QV/VLUdrudTGMAQ 1Dzdj98fePUk65hJ69iOJT8wvo8u1GhUdoCFlWLx/StmdjemHcQ8eA1fpA7msT4O20avgtV1w6UJ 7Si2zS5nAcLR8xA+o6ov6zvDl3GztDwb6ECnaFuHBKY2sHw9yqPXphRO7A4YcUtrAHNd0TVNI3sF LjFowpSVFDJOv5DoVdL48k5nwFVn29qOY3XyGKlSOeAdYAJc3Z7dn/KwfV9LKmXmbEt3ul5oL36n YLxVtFHsU8m2JgA2kXFdDndciU1q1hGhHKe/MZUY/Z6us9E0HkOs8gfz7w8zK7sIz9YnLfs6xRZt Ogb1tNDZlTvOe+wEikewbmsEOgS0Qdp8GWcy+pIvdNRhcfo1zWFzlti9fHYb+W4gYRFhUR/ibRNN rv6maPysx1a0QjX711n3gaM3OLRpbd3QWgQ+pSfF3Tza+gWvGmaeNEaZq9Q09Xg5dMts9L3w4Cce xpIJOCgjvo3s9wmca/X/o5LEdhr291gR+33BApfmQtDNLGMQRgGvh/1AbAGogTN2FlIHvUMl0E3C 2rA9btnVpljbF70wp1zAvZhzcrlqf7kcdtN1q08/j550S0bq0L8LbmRb+q3VW7Td+PPDRs77h2jl +LWuR1IpApGaQq9oMU+y+PzgI1esyz6RmmEmG533gQiyyulznRmfPlioYp/NN8nEcEpS1lRMQI+9 4oqkxX5RueDjr2JKOY734Adx0bWM66VfusddKZrPGTIuN3zcs8HNMrUdswoer5KaKGZenidG1Vbu sWJBGDeHikFBsCfw6ruCn9WwOvfmRQWZ+hxvpjVB7hp/GHbDvQq/KLF3P9mN0mR91+vA0Iagywyq ApP5KgW5+KVvHBEuTDfareqwODY/lLYDDskgDYIcRHSAfwohgBn6cvWS117bvqQ8AuusNBoLf/7B x1F4/1Z9eceU7S3iMKOb/dHD8QbNWrLXJTX6W7iKWVpIrO9x8ZUR5ZmGMcx/ZXsA6VjGWUd7 `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
18
20439
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qTTbr9Do0UAuG3/q84c3fgjPsKvyBqSCFwf/1bHmT6ZC/IAZmQ+0OTY1kBHuCPfj5H/Pvqcy1Bsu DXDNRZkE/g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fDH4R1UPiC8rYngOUR7tJz9t2oCGzolMEErTXYD1cSsADULWjv12hiaYrLTleVALCZB1I5rHxk7M 48p+vnfHXDOf6dTj1Z0uddA9zTSOj1iVa/eLyhkq0pC2GyAP2b3wtaGtF3tOlhCm/fJ1vppzEfmN VW4C9c0U4j0JdJUP9dg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ESk7JqfIxe7/X22hE5iOzvyYqz65LqVgasXUIRIoUbx6cTdVXl/uBStN8iS5ePdtfOzl3o1HDR5Q DpEusOYT00EJgNsYtRoliwbdkwykeFVyPkzdrSjG0e2tt0bPvoP3WApYk9g33oMfiMgYRowDl/s4 DZrPghFdZnTUgl4xrkZd6DIE84Fl238WfoPWVsySUr5plo9kYCzcxrLwkYm8B26KgT0CnqY1uaUw vaPsnoYNY0t00ovAEitd7RgDeoLYBMPAbFIh6OaDGS+KSgE5D74gbQ3+zwKs95z3u6uugfQfryLS wVe1gBJTl/onz6AQoHGg5+t5L08JTejVY2rMjw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n3HBhY1gD8Xkg6hfuqyCGgDMGbjXXbntOSJHVpAuRFc7MRwYV3qt6BW4PK1yobl/AZo69ijOGV0v CnJMo2KT6fi3bYz7Zncp31kb+Yxl2X0ins0kS5R6qXw2ETMcD5Sa2bMhHYqKYJ9cNOPctTVfZfJM z+AFmyV7iib11ur18EA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F+yEbIeA6oNZWslJnN+lIao2TWRZO2K6elqAY8djbwPGPCWlu0WqMGeJaTQY6NvafOob/636/gAw tDB/F4x2OcBAeIvxOgDw9Z2rmdT4cOv5NtEIEawOZ/Gg1asjzuG94suwcik7/KYsP4UlFCMj64gU KdK01LopNKQ3+Jfe9zUSDH4SH0NC6LxHXrkKUHouso85xZVwzr01OVuqSddOlG/zsI0Qo6NVqRpH dYeicIDZ+KNZJ0nnXtVhScsdrSdPxQfBft7SSPiSWzmIWZY3VM5UHhaY6b2naKHeJXp7aku+lzOJ 5hm0RyrwZv7dWO14lU31s0NuHAxXsyduqKUhwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392) `protect data_block ck68uYcVnwAEJSd/XqvLJKgY0SZFba4FzRg15PJ8QOZMNudi5z7OeGHD9BdXoK+vHLKnrZ45HjiZ 5ejFy/hHytmzBrmTWmIvyHIKzRK89E+puMucjvrOKrDEWjJCYYHJ/Uz4zXsU2C3lq7CR0YXohNtI XjXrSjjLbFubn07inBRb7OEn3C1LUNLCcl0ttW5scfMKaZiTx0WuzDr3Xa332IYby5MjX+8c9Cat ydEXy1JGsBfznMtYplfH5BX918ayp/HecERiqTBqipgPayOSpjnj9oG+GyFj0VgS3YRtV1auqOJV Sl75FYN19g054/nIFeXxyoi48b0RwdFdDSzB2i5TqWOIPyQgfEUmjEH1vyk5aAc0g2WDAclqj+be FNBRGtPeo12soMcmIjyYcge6LVAIYY9Knvr1cUgkQFE/LUAFRHJ/l2DPKndu98yHGRZ9vm72mgEX YN+XCMe5PG7/OQZ0IwOg6iRs2uemjJEK+r915jyU0oUjT6LcoZpeP8r2dbRB2OAh1C492/PSW8l0 6tgd8k6vX38RVhmVcZZv2r3wsE9WMYFGRUYlI1mPUEe3KEkV3QiTKe+lPQ38FnMTECDZ44dI0aw0 WDjbQAZ30cxahXwIiQvSbffoZ02EfBwyuN8xWVLkhx+VDtYp8GWbsrrtnHm4Z4MjU4QnikCwmn61 OilrPSo7GSiK9VZ3ulCTdDxD6TD513oratPfot0c4/uro63QvJBB3NWFpuJtXtQJ0WLMp50RQG33 xD0MtDNDPsxyscIH0lZ5ELVatDg9sjcxckyd4jp4vmWrerYga2yr6/fPazYHgdtKXOZx2MABIhDv vJCCxOQ+wVP3A4vQZDpERnTXN9vQEOcPqhmE/Q+EAGSfQuDTp1FLH7Edty8WlK9f8H1mJjKxrfaS xhH5c9+tO7Xxp9m3vPMyVqPMsbwtO7nmWuW+7yhD7yNg5msewYxmx8S4Kn4ruDkHcGLHmZBaFF5l b4Fp9/oM625KuI5H/36KioAhGeL2pBHAM7ZXyTTYMVKXSmuZ2ve+CxHQ0iVcKJRF9tmT2U1IlcPq kAUNe6bZ+BtonkE1QKcJDoG53ESNZX9zzaCPBQX4ScOVTRW4TM3o2KVcXbZ4fXgoGr2QlSScuz2G kIdgXYR86w4RNSRefFKw5e1azYU5M+EUlmvnysisjvCqnl2TRRrhE2CI1L5dxGVO9XbfoF8OAOua ipy+jNQkcFbJzSlzsun9x2b1h1qPaUde5pIR+04w/iLbziMTAbGtALrEaLEFij21I5d9IYw6FlDu j/PKMxVeJvS93lRDCJMO3XpUP1WmvMPL5BCLuOarvVpvSikRWzjASeHyVOk805TOGxpBfLuiDVNo Lwj5WEPG0KT2viCziigzTIYz7LUrZROJdPMcWbP2ZP8aou+TWTN0RnTp+a4mzYq8p5g/mKLttETJ Qs6zxttMe7O1LkUXQOyLNbCW3E6wgmQpT1vJPEKrBnpiYDoMuPcUYjE5dxMjLRZZCsD7YO7GQFmi /9FSCcWINNFIVChDrWXBQnIyqXXZCT34eVPKv7YHOPAtpAiTLwruQVqnlfSfQau060m1cIM/UwG+ joH8a0iZIoAkh6OA2gsWxfmk5pWmdcpOaAaTh9+YMZ8ZwrcN+nXc9z/XnV63bTSfEjzKh/B0MqYH sKjSfU2z73uwepz1Rm+C0hKYirdKSUqhH++mUvJcYEOn1yPT7qWbgqwedRhziK0WqFDI5B+PUZYo gI+Vry0zJtnxNlimpo2Si3OtaqD/Whe3KG438qfqCE2aiVmVxuPDU45pOWWnDKxnUb4SVoajRN5D 9mbypZvwqZtlvrr6g5D+3yazbcUBEKtBwHsXl40goWami6CJRKYthQrnh15hM3cwq6IcRSz4jz0F mRwgyfddsxUSlvMAI4wuvcbgB2WZvAIK7zG4QMmeqVUi6GzuJp1fZlE1lT1BPrWheNhHVxATwxbV p5z7n+tQBNTAH+1bT/kDokn3+mwPPGT8DM5HOyHWTeAAYhk+xcYkeGE3uMUj2a5OitopLnfW4S8j e2KCpvVBoEk8lswq+oIF+t3VhakZHQOb02SI94UnoZIad8IVLYceGPcxsTe3DWmya6AUHzvVEsSS 1iwff89wL3dBhNCeBHweIzAIKn3dEv35o6A5jQQDHYCRLlQcfuRuTgcf6aHI8q1CF/DXLnaGrluq kwvSitlFmRN+GbaQjpCq5CH52YlmkVb7qcwyG+s3ll5KOlxvWOzO1+RCEeo/Sw4WPZhxL7b8cTTj k4psAC/mqm41UYdGtRANV/K/SOcUz6fWjSfpDvRdUxM/JFd457fWAiX09M/E0WARbq2hbrQllybB o/YPjI3MHUeKFC14QIPqHiud65d1Gk7sKHivTm+u9YE1krmaiHaQjtP0rNghyCSlWTjzbPf6Bjqg 8fEDuz2H0P6Z3ygCaaMcgguU2uYtvCjkzx0ezOc8IqHtcLFWemy1Xwg88RBdW04Fk4dFyAZmQ9Cy aYDafg2LPbsWCLr20jEaE9yB7bgwjZBTDlTXrB4n0G70Lmfl1ArF92IeX+vFQlHnRX5fN1VIHUIk XNKYOw5KdrQ4kySbpdBnkh2hktIFLNSS2wC3zRAJ5DRknEPaAxmbvs/84l8flOddgXT752T3gOL6 LKBZWfcE3ehoMSX3KuG6O7wXFTuIvcdF3sqjsGeMKgvLNrU3//mf98BtZ74yxNnxQh3geUPcC8M8 aWKAgW3qZztVYkWAn2ZYIPRlGts4wBWYLLetHI4Eduy5o1mufHscIe7ewJu2/qMT1I9lPieHJDj2 ojhRgTTX04bJfxgDhDDma5Hl7xf4XNrc/jtZFKs9ZWE5TWxBUZ7QuFF5ZwKGNlkol5yHOcZpv05o q54jwBl+RZRCzcPNi36duYn8/byAwWzcaUrUEdXsVVQJ3juLt83z0rossp5jNMNOuZQmGycQyIHR CB7UCczRydW8WG0Kefla/XOQE88IEnwVXzoZKdEiiljRSmlZD/J73T8KKwvgjjaO2R1HtoIoqSwL 0TPbdrE3HodM6o8IsthdrU7U3jySwHQcPk1Un6xb4QZ19deihBkLSJzZ2ZTlRV8L9mZA+Pi6J3RR UHNggwBsgdbAjR4uCjHMNwHNObKWHcJIeEWZ0m0SCMittjMtMU9jlBH0wQoeDDkgtzsIkucOBwF/ 7y+fXy44NGkuLxcXORgLF4eS2HUsrLB+HuUSWkqxX05j10h6GgpwtR3ywmJfasSGMaPi/jMo2kxJ N9Rncl1zRvZCx0uGIeTX6z98qMevj5g2QsRGfreT0Wv9ycIIzxqW8b0N8BQ0EBfg8mkXzOFPqTG+ tIQaz9iQS+m7MZnY2Em7ahlg/MR0SpcZlDv4hKSlGCYvJk0YQt9T0QeagcID8ADd14Y+peg9NyXI IGJmVAwdQzlVZquEmCACNOiHvjMxhnaE3CXRu7L7S+qrAWNzvO7U+yWpxZ33XwHQ95hqHmO0CfVb 3qFulgvQJhcRvPtHbr0c/VgJTEAzpH34ELEC9bmc8Aw+yyqfgX0Z0P0LyQR6PbjKzPP1uG+HGuOC sF30uv8YKONZx4DgADZgtWze+T+b4+8q3t/Tv2wQ2GMCcKKZBp/TTTS7DX3GBMSEhHTwYNB3wdsv DNluDb2hQP0kKhAH8UM4OmIJRHynh4jjxFo5XTqiV/fkjL776cryLhz5ExDvuaJpy28Ki+GVpccQ K45WPRTZQ21qCKni60+0JCe50FrFcY1yPGU7+jBPjfIIgTAWwxtlTwWTYuWrceWIWwM0awMtIKNR KX+yGl/LPDemyyJt/szLqsQ18C1CHQdH0MAL+D/9Lv3lD8JhLlMsf38c31ZW2BAzB/1BVIlrncwX IoWhBq2sCT1U1UoU42ZkQcUK5T86YPxCMbzvM1jmKMYtxupZ6hEhRbWJR4TFsYkrK8M4rlYIwzqh MpxmGBwCKjFTQoBLoaMz5tCh/A6uIv6+aD8xxLxvERG17beeaz8y6d8u6fkPgn0nPRLdi5rIsI8M nUpbzW+4FnPOL0gjmw7gsqwZYmaHrniCTCIV12hoDRnenTTWJdpRPvW9clra34xsn7XgMFxDjo8l cM65oOHg/fSJEhOhLRIsVOgFhx5PYNiZcdtiaHvVYhMJhLPG7ar30yl/AcSiWfQBD+CJUP6D8qas N42s9KHU1lfkMdRuBz0UGzmkm54Ur3ySrIUoWgD6b8F5jSmwPT+/BkeWKZ8cU8QbVvirSKQORBgU fX7z/XIz+9zJiJVcTQnrGacQD9aMnIbzI8BxNlVGZS/M8U5pQwHDy5dS3TLmkCN0Sdvchvzv7yNp Wmc3ghogDJq+ENRdl0mDyRpq4xR/yaXkC571Q/6fv7xA78H8IHlbejJridXFPinjbrurQ7zHaYYp OdmgfnDMOCrybQtt8gk/Fywgri6XEVcOYBqnXIjCBbtvJ4DruUaiZxJIVdW9m1ST7d3DQUc8kZO/ z2MxjnlsBEPkS8q60+72Guz38BhgAKIQ+hDeuIXjoZfmtTQ544DyW2G/f/y2F6JBA7oS4TuJRxi0 YOEKJLFdxl0k4wdcPEXBPNKfTWjSY+UocahnTr2S8syfLm8/NcwcpCjPGMwiqYlbajh2bvFrlqlN jCWWX84TSFr8f4VfH+iHt/WzGmm5b9qCyh+dvdz3dukAbReo+wXLG0Bf2UDDHWJ/zHebv25n58Fz 1wuiuDN6Aczro9m02Ot7Ldd4fgMtfIE4zaHhiLK4K98rXlw5U/tShvmV2hCYdGP0j6c/Uus55OC7 xsvXk6ZWOtuf/XaKj69Ymprknp0I2V9jaLvqKNk78o/tBiWi9oo0tfV3B5VckyukWWF2BB27Ihx+ hOcnhoDY9UIALe2ZZ/UhJZIWy7vLcDT7d4NxBaI6klpECOpoqB7/puaDJil47G5eXqNwy2dR6u38 elpLP9kLWDeewpoLgGxGadGfWrJd18i0Ua8bcI4v7dyXvpEu1+8tH/nZHFb5ZM5KiTeFV7KePcju LG+Vp/DlLhVXQe38hAOLvb6hTrGL3YDODD7NkHv9D/T5H+5w0JCaE1LgIhRa/fOyOv03w7pSl0ct ANalhBuicxSeD+m5CDfsNub/yuwB1klbFwImvFS1HAYBWJ9b5aoViKaGftt3QtdbV0QSSiaVyckI 5W8XMI9FMzgZF57Q8bDDgknNWJQZqXdLOddpI5uWYRaj1G0TBg28CRlVGfX7O0bk7o1vIvJ3feRJ f1uLLPB0EkPCX23yX0Mig94M1feG+zguUz8OpJVO9IVuco2s2bWvYIYcKj3C5z01vAnHvUiFNTvi pZjENZbanLYnmy6QZw+8E2h+EZDiSyv+8u6hDEP27W241i4T4Oy1+I7ap3D2x+j/ZV9yvRryS80o o46O2KhlH/+II/FK0YC3/YbRSVIIE3DvqlX3uon/3ghrTaf4hh/K0u68VPb3hG5B2uZW4jVTGyfe RqX8XvIfuXkTayp73JYwgUqfd0UR+vWHhUMDePPaESjsetSF7GKXEN2H1ZLLH9vt3TsJz73ntvcH 2WPj7jkCQlwOL5vDTqf32C+q0HqgnSXBuUeJzh8ScM9AmhTuAlKADcLtpABrEerFp5Jr5/qyeVQq f4shOdYSOjE4PduSk4fOOyokU1DqYQToVvAJO6fsSSClK10+d8ZlVkG4IjsfyIwhkcZheS4Aulyi Zean5a5AF7LTxdsmwsfqTs4S5eJY+reR7SFrEFM/FcrTU92YQrCsmHxYGnQ4BjSBnwmvkj4+3qGi 8zYIrVIYULKluhD7X6+phwYNzMemIC89ZFlzhangzTObo0RA9CzVfmp0QwhnpaXbdPibOui82Ev9 f3OB+5x6nUcJKB588P91egEs2PVXejVew6Z+oKjJf1TMtiyznc3dmc9Weiz5UvcIxaqtSjrH6xSs iFLgonULdxTsSW31bwo3sR6L2jK1d0w0CiXcLGBPVenWH3U5YWrRXAQKvlExQo3oCI9e78TQZeof hCytLRntfk42IDk/poBLkJLJgLCjCMoQv3pRsixgrx/DYBhe5WUOZCT30M5J3oGf448F0u9agwWa E5jCGExpKBf35C9ak2+i8AqTod+u/ybrnLthA2UE/K2Hq8eNqFaYXJfd5C39oA0kz3bNLrQ70Czh sE9yDlyGWEMT/Q0JDgIGlC+Jj8efSPEOSk4JKFPsTMZtA1qbJtJgpiL2d7akduCQy8BTE1pewrO3 x7o96grTogNl/hqzrRbpIwEy0l4UIeQxMmCcloftByC33mCuSAPxrBwLi/iADYX2vZtAgmwjbchp 5Nmef/sTCQKjViSIPaBMmROoSNINQCb1iRi+oyEFWNzyzCwX42MopxVOzNYdO6ViFgzKjwyoknHL zywdqc2BwMD2Zqgkkc6cYZAbPsnIFc3XaAdH9YdCg6EsrFw6vLen9HSy5/1dXHnDE2HqorubsqK6 KlEgG5zsi4fMKWdJxst+desWW3MBfxvNofcMDm4OdtPE3PVTUiewPvhyQektd+ns6dafpzrn904+ jk9+Pfp3WfU+1x1QRhCRi+z88R7zl8PgTRmGK1VD0kWtcbxhsoOw85c74mjvuOAMKebnYB6xkI6H mbqGD+kJQB1qEnECJZSqHQZKVB591zOfetH5mDzyIKPbYbpRCvxJ9o2qQnEzNnhFWd+GBLzwPjJ/ e1IZEWVEP/O17d8bSJOrS6T/ZK+lTZxbHrNPi4H0kqExWNCoWSq+t6vs/rByfmb65Ic6phxse4rI kkPIssa60fKmbnssXYf+heDaJX10RXPYuXhOr/ziza2S2k9RYp4sM9BOyG2Rab+peN08g3m45XJr ESaOEqQZ8MynV6pSWcS5cIE9Gaokld4z9hKCabKLGG5Omz3FQ0GHia3i8H8qyv5UElncw4JAnwbC KWR1JtiGQJYd8Y0Sj+vLi+cpUHSCRNuqW2wpYigi5of2eXURjh4swrdZNGTZpXxaFr+Us4CjrU4X l/KmKpuxUEwdao1jkXeF4+EKwlMSudghoHIVJeaLpTXjJsOd7dSmX/wNMrQ2SzbklYR6qk9hg23C fXqGTtvCcISvJ3YJcGQoavNUsY7mKgwHvfeQwmWOV5qMHhct4kogGovCt9i16Vr2qgMqieTrK5OR x9EyqWB2JKgIcq4DCM14FqzDgvW6hEp7BdRDsSSvxKNlR07f0c593BQkjPl/fzKj75dL19iQf1Ht WzhwsxKCsU32WciSAD1KORitOk1oImXfRBbn/xoSLkBa/DMgN9CF+HSmLRNsxOsTqw21OG+hU1eX xrk0O+qXB1awNz8FDPiuzNB/e73IBLvTn3Hde0SWZY1U12JIAVOKHa47eZjl3Vp/cuJYsLhqIfqz fRNroUKpgGe1jOlBKoGJjwBoZ/wj1ycuvAmDlmAEVFvycCuBg6ErHWrWEPw4BAb8x9bpMubscK7J Alz0EZ9JoUNt3rcINDiBO30GabxwYA161F4AZIU4B5xjE2FLawahF6mcT8LkCp/mHuC8ityaeul9 7DSHbjvPmaPtmB1ELRnhLQLycFx9FaIQ1bo98dF2ychZ4Vr5BEmiZn8UCz6yEaZG1x3ukNruiqru JsgdmDyZZaIB87Tvw3MhBQ6qneTaQ/aEX8gOdefXt3EZSFhVv2gMns2FnH4Fc9OD9ie++O4jWVwp n+KuMtVuoAAz1iQ/KrVHsvQuZM2NSfHSvPFdvkgmiA1PXVamfugKk6o40s7P9iEmr8MUe4MyFcig IKGoujX21wlgdyVGCbvBpEN1uyXygWyI0DdmX7cmqFSiVYqdQHmshnQVuGpV++SUzELhoLp/aEY8 vBWx5CeZOMq3pvQn5dw/hro1T28jDFz9glOwtByt6h9EZZ7PHd37l+IrUx5102Qk2NNZKbmfdtHY nkRvW34csJ1cQbEF+PnmCGfdP8l5ofzW7v8MCS+Mpje2z6sneD/nBVnIsT0hVpo7Y3arahMuCVEH Wo9VB5ntmwhBXIKpB7KKcG2bkqEPbdr1Ba9O/67UpL49QJdlG4ZvS1q5JpBCtTUbqPxf00YzDqz0 50n1CYFD9lRoqAJZ5Kr7zwDoH4+KVhW0rdEF7Xgg+gXes6CmJsHQUxC/moA65GZcPjx/PlxQZLzI m+fF8dWoVkg9lPKiVzwbtCVfP94a2vM41VLkyh9dy6omIc0/g/Fn3gNan2emFW9nvt227dytJw5i B7Uu2v3gc0Qw4Y14vXy4Mz9q/mAb+YtXOApdGGEdbAdnYArFTRk8VDKLfahKT6ntHKgLquGCHvTu /keYq4hIsfpRh0rJx4j2JpRhCvteLAF+57R/9CUnRyVTy3md8Wfzf3lcP06HLYfr4q7W0iRkWugB nz+6euKWoDfN2iSwkUkScXnOgMPNiPIfhtp9Vg+JBIa3jqVq0o8XdHTpShxzoOO0KbsdcIcx+ZB0 24gBgLgd0FUBoJxwjIoJ+x7yLWXsDpWsbpkp1ArRG+4kRl4jitSvJ1S+zp1m6nJZkHIcEdHgUqqT TvjBcr1l3t9aD3b796FZH9Cj0zapqy/OUcmYArSfl5vLoTCEN5uc/1ovAGS72IW6yOuDT52/xPV5 4do9+EM+kElyh7FFmn3UdrB+/pi1ic2IiJp+FpAWDnwx0DctP+YdESakO+TZnh7dwbT7uZSUexQV qI1L5PUDtqRby0ODTkGUrv67V1b18hqYHVYDn3EVzKUdstdoiqSgRUUQ0O9JFE9KW9TS5NQwvUoE Kas96uIJgOmQ9b+A6jazZg/wbhsHUv6vSS8icyHEq7OwwP7iMg6jUVMt1XLNnOJabwpqOcnyCSW0 QQAosoGmqEUxVG4No1JYPI0bEt7nG38EX929WpxEc0zcBCtvV28HX8E5DezFwCfBNZyTyUOa+SpL K4qFP8x6z+XiKCB/AWadCmPw8fgc5DYvDdsx4BH1cbzVKda16WIHHRk5EUvE7OYgYUTL9hqEeuJe PlPlyNmHT0YJxByAa09xmn+Y092FZWdw+ZBqSAUvXxYD6NwhC7H7SfJWT77bHTPPVc8evG4GXcT7 l6UcpHTl8SgpUijMsMHKgyApN0RE3rxgUgGlh0ZYi5a6+PEvpC25ek1+BJn7vEe7TZ5syoO/CXSy x8qfUse+isLLlnhSTudWAUSrExgirw7gRYa1uybDzuSyhg5wzK5VN0MSnZmx17n+5yFjt6v1Jygd QivMJi5ofeaaYN085RzcPl5HrwqtDnM6AG5s93JsITZchRmk8iFOAOyhkV+g4n+dlwozV0fKz/JG +HjP9yBBZX+232g7c6BWjUw5K0a93wiwqt26Vw3iTVaW8ly0FaOkPmNZYp2bOSU2/WToMbCEBncv kVmZmvRBhtXXWuv6Ym8uz4a086TEpY3w20vqUoOSsaIB+edfYh69asEGbNj8bsDLj+UVXhvSyvwT O9sxP6xDiGtIi3wWRVrYj9DJGysAN9LbBqi5j45RH56O2FAklpIRK2lQp28GxzN4rLXWOyXyyKri MA17zl3Yc7mWcycoPfmUiLzjykunWmyaByWRTD3Wu+VCI5q1D9GFK6rtPtSBGEjtGo9zqLYGVjnQ owmUIuLSeSX8a6SMux7Jlf9yZDJBRlYDDCh6EB6qYqQYdgiGyLYwVd5g8J3jMBZug32U+CsZLe1/ 1IaMG04Cb21JX0nysZdozUbkwsNWYsIC7cSIeC1O60IoPOyB/qN8iCK8VWIWpz+f/Gqx3hy+JMkq XOcVhnq6/stG3tCT1PXuar3CwOU6MWS+Wp1FrpEpzgvOARR5lOtPjx43C6kOSbKDTZxgDbw5l9ez 5qcNnc/RUVTLEjz5avfYaosaKot+P2DlFnpqJjQF2XnzCdrUyL/scW13HBxRuS8k1izNt3qkffCu zEl8tYcuCx7DNInhtywkMc+bpEUTTA73vEzdxrcAaArGM0PQ5Wj0WJpQnPzQ7r9k3Eyu6vwxR3Rh tZ3xB6awKqIb0RrrRHO5Xebvebs/kz5CtlsESOZpr8QbqYD9Q8KzyB6zBkNNr4venfUODAFhsmZ7 opBtuI74QtaDyUlj7KbYXJryfNrOSO3FBw9BQVb3c0SzZ9lfQv+Y0BiXyfLC88xljFm5m3+HUUn1 yYJfh5QsSbNDgVJBWuiFzxduUXwwIOmJbXeqG7uO198vGInoXWnKcRVz9tAgREcOI8ksrrJ4WbrF PZiP1D33g13aE/j7YZIpK1WGheQ4/uRyz6cQzyx0t7sAUYW+DV2qQ9qem5dP3294gYXmGaY0+Bpu DxKodxnapsDIUDNehGjsAvGvIFp4kY9ImloS1955BX6S6ness3Hbqyh112lP2eZ1ilcTJxXJuFik MbHPDXXKBCOK9dJ8vHbFOkDRxUrha0tliGwlbk1ZOdX2OwLmfWPwSzcdOlCu2ALWBo2qf0tqvi8h NNqfxVYNCX2/H1CT5WIFRgP+PZ/SK5LjK3CmZIYG6E5qFzStk8m76pzX09XqJaeRgm0bRclgK7hZ 2bb9bdk+1f+X2q6ed5hyTCakaOVa09zuZ1q3qVJ6kX0BDRUmTShILXuTlDGJAWyzEQq00DHQlnqv /M2oYoQ2WmFAs7udWnA4UbgrYvdTiTUG4+zh/yrRd3jfjtUDjjVIBCf5pha3ZY7mkw8VIiQzEwsQ yc8EShU22CPvCma2ZrPg4OaoJOYbVinB5U84S8X6gvuX6i7EN4k+Idx5pFwT3xap7CR/uWlDsrvO YwuF3wOoxm2yfEkCs27Tzk4qStYyxc5snAoCMlmjfL7hxIZBgBkq0NmM/l3n3zIQkNoYmC9TIiNJ amP8XZxtVNRtrOoHccs58LhlehEXu6VV9ObQp0mQTs1+S4pD7fOeOXmuAFlM9zIuQAYxxTlRD8CQ Ssu+DXx3fUJXIZfo9tRefVRlnHlDGSsLKM9/UuVNZiDsr29xquAeNfyiiflrvsTPh777ahGkgRpd o/aDW7oa4CHFhLvp4jM1kJPsnZHdBK2K6bi/FhzpDdudhiyB95TCM+MaBJdAr/Fp+3x0Dfatu1kG bQOx05A6Z4rZubLrZjlVqOwDsI1nyzAfx2ZC6ig8CHHoKbDnZf43JugvdLaMPrWmNP7WkjK/kYHe Jq2TX9N6ZNyluRp5p6dnhVSBNHOm3PYBs4bZtVy34DNelg6LEiyQ6vS3psX/UsV4V4QY9Mq5yw/r pWH47cUIVsr/TbOHbKJi/MeQ2Ju/DmGuXKooGJdF01gK/Z9jWvIJ/2r6Kp2OmVxwjMA2+mNp+I8b 5ROqyFfj1U3IkLllXCGZ8vAEcM3lJFlpawAAR6N3hC0FazvvYC24nTQbyQAizmuVLraRmbDhxUV2 xrQXfSE4W3MsRMZtNV9WCDVwBHnvb8l4W+finfNIlPc6/LMUWzmcb6gTUJO/0/BYD7II/M0J+Dhj eD1fNOIMKWPhEWCR7Trwb8fdCCAxEbElCjgi6jupxk4yt1CDSsblEWSBeg/LQ67+/jPdBCGmkHgW 0Xaz4bQv+vrQN3EYrPrBquHrNZtKqIjGSONAv6vauMaCw6VqjQsC6s3N0M8ooaGzteXdklcaje1Y k1G/CYFLsa5siRGGpXh+JBC+eHI7VCBUJr+d6gpEHWkEcPiwWtZqS3cPuV15IMFjOYLXuSIIi8NU C0mL7F8ldz1FC1Bm/xrbVdGg674OozApwSqnSi65PNH+MU9L/um4mPBk5Oi3ie5OAjF6GKrFhF2x 53h3PErEpgCDCqXyby3X+kWM5t5O/+04K0QGxSDBB3+luIbz2yrAPe3yrPmpZfeGDrI4lYG3El2B H1blKqo+JPkKzsxdNEREjqb/8cS2BDGzvhKzzkVuSZY3+bfWarB5D9YC1qQdRDPTrSttRA6SFuDo tsvp24OQ8VNDUmjxYMQRUwgzRIMV7aHPm8IPVCdEFfSxJKLB1S4TamPn9BF+Eea+YYULpJq5KqDe vWDpHBg+hVtZQVI0//2tCCyKPAxsbpciGb0yAITYNkEhkgXYNzRW/S2nAD2Z3+Bw3UAppIcPo4dM oIgD3ANFgdE90150JYe9MY4e5ju89tvj9pax0M3TYWfb508Cdnqxv1L0XcSEJh3TvWpSzSdcnUcg jOw7v1vl3dJkvFhn2DuNT6ms0SJ4ohKUk0PbMQ6xZ1bKox0wD+I4OfOcXODk8g7CraFLy5OudQed E+jzhY+ACmGB5JD5VHxgxDL9Ttq9Yup+h25cpJjJedV7o1ztRtJ+NYc7Ohfz/9OpiPM7fLVAXqZb 4vsk6D135Q5E9q9c4N7ttCiyh0uP2OBsBDTz2i9CwVGsT3kTnyZ3m6q4tt80SVcXxLWaY4d/jTED I7t7hWlmnSR82Lr0jyxABJSgzfJalsQFoXJ3ptZSmGkMEBAcKE0K0zGrmUmyyDsfiz7bpsyTRCcF lJ2zdBFz5Jztno94UYJGXbHXwDRYsVcWH2miCahA/Kos8okysl2rz38opWMqtsUVUJQ6drTQo0U8 4iBIBGW1GQhJITW3NSm+xaNZ4M4DN53LErZq81NOhGxsFAbIgfOOX6e04mSIVEVQoildGYJ8lNWc 2tKwwTxxCexKZfMJw+Lj4poJTCWyEBRXivNiTN0E887nYM/OmbY02ODJV3mNla1r5aXKLFQdIwBU 6iYZ6kXZO7qXtqUwfl13yDeurc7Z9EYAHywM3EqfUmpS+mLRxIGG1QAwfIoNbHYHfIErM0/1gvK3 82HRtjxTMbu8n1l3WOpeBmUw7pM7RYkaW0CRUfAt60kWrcg6BdTyJyQdzWIfrm3t55UtzROF6nRz GSxk9EOw1hjcjr5YwD3J//YMh7ENvXp8Nq6Oxc2LHxgj3fGtx9wIce+zbx2YOohVAKYYXYUPg8Ge cjcQEDpUhCgp/hxsPOmnapIWJERdlQ/oVS7K1fCs4IMw/hzorPr/1SLqI6w1LMXuYgMrvQGqJjfB bkqJcThTE7dhQciimcZRKuOkJBFCyu8fiCLiV4QiqxY87PsxbZjGXmJAZz11o5G7VkpbpW7VVXJl yLyNme2yfQ1WqWSkBbTuKXoeCqR9PbAjXpBHe1h5ODPZ5hPCxSGnJVv+ows1uEXuL3hU5YeI3dBd Q4RSK7xUa/EG4x5SeGC/JuJWwgy3JXLqU26A+K2jheITjn71EhaZVZ+PBmSIS+yp+PbdAtM4bbbk kGlmXYxR1OxFhifEo+HHiXOMcQgCO/1x2kLV2PvdofF4eeFvRNbJo1kvjhp8Y93y4QFYqfrsGEb/ RjfAOXmj45egBTXl+tS2wd3uQdzJ5pucUmrY7amioLwokdTkzM8ulcp3fh97PP5mtwIp9gTv+kJP cUn/uV5lJrhWC0eWM1pjqJCJW/n/K8xYnuIiSdFlSk4hXmga+vBOzqnd0D7EywqBEI+Rmv6giAyW lCztPmS95iHNeabeda4w2OkMTp9GM3oQYXyYOsgxtheDmVeBF7f4kyOvxwY3ECE03+t8jC0MMTQq FVPqezAlDhdHN3455rCyozrFs3hrcKxdtYyH3FuRio9ClmWdXFxmTpL2KEYWXBAqR94md5oxDEzy ZKoGSI2B/+B8Z2B2w5Lu6i4XUsYq7yN4MfJ+x5BsaBINfq3HaNwJF42I+ns5uzSRkj0GbrLFYgSq ZSDI2lcPU+bluGaT9aj9pdPqABwCTy4msmcQ/o3nlXfH/1jk2i9E7UnNtFqm8fDInCXzQndohpVb bj/zNW5myKfZ9adDqOBCOIxmAmOSRpjm/Suw70AC59ESBKfaotBXYvnqo/v2M1Az6vTGo4wFrq/z CAOj0WbK0uaWH4SX/BYGCpX/Ry4Jp0gzRtzfkAR4E6xQB1dunFfsH8HkyfpegxAEHZ8OXaUN3GzM dwFtUPON5imixQ2pTiLX+dr1Wz1Kwf5pmHxB4UbHVzyeazTBY2nbriq6ZVJfBfNdT4qF9wu5z+5h rt/VvXadkKlgdEqzCACttO/ei/OOZx/k+7lUwTPjEtxpJzXV7am0ub9Q6Kc3qPJdDzK/h1vZB9LZ 8mkiM9n9BKKDD0IxBWq8ae6aQXPOL3iJxVloYxj6Nv7UOeoizdVf+3mG6/W6TwrberzT5+2muSbK 6M8Hzi2IjLHYKekUtiN+tbeFqyZOsolKStstV1xBKJ2w0yUTQDVxbOtX+cNGy5ml7oZp8/pJRFGw +iE/2r9TW/N45eMd9qmyUQR4KATcpcdoK0/pRaJq/Ieip2VSwdm8TdJdnUNFJy/9uIaMypCAv2z3 SCXVP/Rc0saAGUwg6xBr7q6wkw+VA2tlzWbAEj1DV8UatCHWxUqPip4JH6uxTa3Pla8fXe6HTJGi vud6uIHeW4Bn8431aiEuFfs0WWJJznM97QCWfSNDO9VA9i43/HxjFlDUcsQnfcoUoq1V5m9WDNTU 8Govlk2lzOnx0EqpiN1cgHuVc8IVQJI4sulprnBvpxxA8CK8koqrScYZfCTFKh0JPIu9StHgIe6q EYhdPAxp5EzoiNAkbc0obIEDNIkcKhzOWWckOV8SnnnpPF0FHuakBdpoWsbghLeY4fv9hLQKsdH7 WOBnfhJs2NArqL2QaFKjLGJyMjzJdMizonMOXAeCq4l9H82h29F0E7kf5OnSHpEe3jOW0EwHJQ+T 3oR07XZ38bVfVAkFUfyYkqYWdKaYbhh+senu1prAPduHZeYYtmNZpJT8jgzTfMK4WhmZIOHMiKF2 ymgsh6BDgi/q7xWGQTJu90mx0kDFBiNq0NMsz593JzIH4kafR6OC97zY0hTxdH8k+yqwmn83JM3O nRqoeLL8m44rjzEr5WPiqj42GNAfvonbbSSe5558pnzknFmLioU42nxQE8OPKwORIvY8dvY9rN1b RDD4rOeDntv6eSKWFr+Wyps9Kbb39JU5/0U1143eIAZOTzBybid6mQ0uFZYAXiXjtCAMRONiM60c N6JDSVGYeu435jz2Mss31+lLiS4QC1yhINHUisqPJHgOvsncNYOMki5X7B8AxnHvnarsa4zb2Rij sVUKbyiBzbpIUGchrrIpzgux3kFgrE+VsVgtYpTq9iDfekY8abX1EOU5pkKrNZxqC56PoJF63t6P bMFcMB1Xnek0IFW+Xw0gS/5y48EXqYVLH4U0CGgy8hn3MJ3m/5yCwMMlogpoko17AHycr0XG60jh jrrqwrIs00qKnZDhzMf18g9Q47RqJuxRfcYpKrreYuYsj7fJ2CzpExaTb2XKvljO9S3QNyRXEDev 4zoC/18eg4nMHVywOdIN7Mka3zBzfSTcPRIuFG7xDSzTxNhrb9HjawwJmB/PZksYqrew1Fq51duo kh0iRTrl6hbo+mW+fGUjdrMRNcFgkT1pUEmpJqMd+OAnuMcoiieLWTfi5HbXCF0p9969WnPDwfzp NItorrzz95Bz/rFaq8NQ+vKtSgi8LXAquaGkyJhfXe1UXOU0qO33qIBTLtDsjtjcnsuR1aIxpBBs nL7gO0FIZEGNn8iTyyQex6ptV8JZ3VBV/XCa1jjap8oNnJd2E7lgYSdFn6BVzF7QrM5TtH+Lv4os Lic/F0ujMRli7mE4T53Bq3GPb+z423JQe1uhGKlU0c3goftaCFgoQZ1MFL95D9ewz1lb6fa8njfc swAcyGc2MXDDdK88KUnqItnRHVrPkmbwo4L81dr/kkzEV56mlNK7gB2Pj87gIXkZVN5xcJg8qFEf Bdk0Zz0ekxPDl+Ya4pwMisebkAFGLvWueOIFShCh2mKAIdyStBQkfbFtcRxWHBiOKjkUaYV7aREu /KKYBVKYIy1bdc11zzX79towK9wUmGAXfvT2k9TlRXzybRPmY4EqRV+sE6lAuD/8KLYHDqij/gjv xjV0XonygnaxdGZFJIqe72usRKv5dWEDrfG71RgtDT4VUa+u92NS2RNXc938iE3sIeXfRz8tsZfX lL+k/EZ0lXSFWxy5g/LNh6Z4ljeTvYeRuvraMoOJi45Wtc/bYqIOrH8ON49cQ3voMWIbnch5XHr5 zq9fleoFmGX2lYWe+L71uULIXX2WBW0ipj+PsSkNXMXCLCKzZM43NGwY2F1OJ2vQVOQv9UU8iPgm O5Z0bdSEGUySqVE/awkubkR7g6TZh9oHz02tal6w3HCalWOInTsygbDRqZUNXKhUZhzkukVPDUFx 4WidLnVqA47IBniwPJNt5xIwyD/S+DfiZsgrTFDMQGJbEKFHjiLTSrpzupbJJBcoWv1qoBL6n9Bv pT6eJ4meA3klLfncO2/G7usJD4QQjxfi2QPBHLiVOAQwVslxnX6SAzbkU3hEvK/5o3oU9vlMhoUX 7bk2SR0SRBfQ5lmru94h3KxFs28nAYk1xIPpJXNXGhHh0vQKxB8MHdw9kTQQNqUWDzokOv3P4np5 Hhqh4zkWFvhGSpgRLkk03pV+az9acYNSgM1M8Rqa1IGE5p4NnPGWuforM5xe+bs2MNlJ0nOhXljI 6YThCXHsuvJMrKc9LnkwGGGOvGUcOxi2ZskCOatMk5RCQtLWQ6IPOtUu+zpKa/7zt+2TQqohEYFY qQQLeVzjxOIKwClwgn1+VvdVN+HNuiuFhHQEN8skow27n6B3rO6HVjkTicS/ov18i0AHqtfLeWpe YlKrSGvbFdfh7+kIGNuF9b32wKNHZ2LT2vWx0yHarW1k2o0060/WPXdHosKLpYvlyHwfIn5JI6OF kMBQ75ZzifdS1CWX/IjIrNHkWCnXhO4pFcirgtC0dYrjEMXa/154GJQH9o/zWbt/DTQL5JeNSbGE E0x3mZIeczG/d7j+0cxkX7e/nNjgGkIcxrp0ACSgPbH7qxeps6v55LTXnMnO71Us1B0ieSoD1peT Y9d+rlyk62RrVpXWRPcCI3/Uds21Pux+e6xHa4Q5qudrjMImGeQxfqMUrRNU8QV/VLUdrudTGMAQ 1Dzdj98fePUk65hJ69iOJT8wvo8u1GhUdoCFlWLx/StmdjemHcQ8eA1fpA7msT4O20avgtV1w6UJ 7Si2zS5nAcLR8xA+o6ov6zvDl3GztDwb6ECnaFuHBKY2sHw9yqPXphRO7A4YcUtrAHNd0TVNI3sF LjFowpSVFDJOv5DoVdL48k5nwFVn29qOY3XyGKlSOeAdYAJc3Z7dn/KwfV9LKmXmbEt3ul5oL36n YLxVtFHsU8m2JgA2kXFdDndciU1q1hGhHKe/MZUY/Z6us9E0HkOs8gfz7w8zK7sIz9YnLfs6xRZt Ogb1tNDZlTvOe+wEikewbmsEOgS0Qdp8GWcy+pIvdNRhcfo1zWFzlti9fHYb+W4gYRFhUR/ibRNN rv6maPysx1a0QjX711n3gaM3OLRpbd3QWgQ+pSfF3Tza+gWvGmaeNEaZq9Q09Xg5dMts9L3w4Cce xpIJOCgjvo3s9wmca/X/o5LEdhr291gR+33BApfmQtDNLGMQRgGvh/1AbAGogTN2FlIHvUMl0E3C 2rA9btnVpljbF70wp1zAvZhzcrlqf7kcdtN1q08/j550S0bq0L8LbmRb+q3VW7Td+PPDRs77h2jl +LWuR1IpApGaQq9oMU+y+PzgI1esyz6RmmEmG533gQiyyulznRmfPlioYp/NN8nEcEpS1lRMQI+9 4oqkxX5RueDjr2JKOY734Adx0bWM66VfusddKZrPGTIuN3zcs8HNMrUdswoer5KaKGZenidG1Vbu sWJBGDeHikFBsCfw6ruCn9WwOvfmRQWZ+hxvpjVB7hp/GHbDvQq/KLF3P9mN0mR91+vA0Iagywyq ApP5KgW5+KVvHBEuTDfareqwODY/lLYDDskgDYIcRHSAfwohgBn6cvWS117bvqQ8AuusNBoLf/7B x1F4/1Z9eceU7S3iMKOb/dHD8QbNWrLXJTX6W7iKWVpIrO9x8ZUR5ZmGMcx/ZXsA6VjGWUd7 `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
18
20439
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qTTbr9Do0UAuG3/q84c3fgjPsKvyBqSCFwf/1bHmT6ZC/IAZmQ+0OTY1kBHuCPfj5H/Pvqcy1Bsu DXDNRZkE/g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fDH4R1UPiC8rYngOUR7tJz9t2oCGzolMEErTXYD1cSsADULWjv12hiaYrLTleVALCZB1I5rHxk7M 48p+vnfHXDOf6dTj1Z0uddA9zTSOj1iVa/eLyhkq0pC2GyAP2b3wtaGtF3tOlhCm/fJ1vppzEfmN VW4C9c0U4j0JdJUP9dg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ESk7JqfIxe7/X22hE5iOzvyYqz65LqVgasXUIRIoUbx6cTdVXl/uBStN8iS5ePdtfOzl3o1HDR5Q DpEusOYT00EJgNsYtRoliwbdkwykeFVyPkzdrSjG0e2tt0bPvoP3WApYk9g33oMfiMgYRowDl/s4 DZrPghFdZnTUgl4xrkZd6DIE84Fl238WfoPWVsySUr5plo9kYCzcxrLwkYm8B26KgT0CnqY1uaUw vaPsnoYNY0t00ovAEitd7RgDeoLYBMPAbFIh6OaDGS+KSgE5D74gbQ3+zwKs95z3u6uugfQfryLS wVe1gBJTl/onz6AQoHGg5+t5L08JTejVY2rMjw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n3HBhY1gD8Xkg6hfuqyCGgDMGbjXXbntOSJHVpAuRFc7MRwYV3qt6BW4PK1yobl/AZo69ijOGV0v CnJMo2KT6fi3bYz7Zncp31kb+Yxl2X0ins0kS5R6qXw2ETMcD5Sa2bMhHYqKYJ9cNOPctTVfZfJM z+AFmyV7iib11ur18EA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F+yEbIeA6oNZWslJnN+lIao2TWRZO2K6elqAY8djbwPGPCWlu0WqMGeJaTQY6NvafOob/636/gAw tDB/F4x2OcBAeIvxOgDw9Z2rmdT4cOv5NtEIEawOZ/Gg1asjzuG94suwcik7/KYsP4UlFCMj64gU KdK01LopNKQ3+Jfe9zUSDH4SH0NC6LxHXrkKUHouso85xZVwzr01OVuqSddOlG/zsI0Qo6NVqRpH dYeicIDZ+KNZJ0nnXtVhScsdrSdPxQfBft7SSPiSWzmIWZY3VM5UHhaY6b2naKHeJXp7aku+lzOJ 5hm0RyrwZv7dWO14lU31s0NuHAxXsyduqKUhwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392) `protect data_block ck68uYcVnwAEJSd/XqvLJKgY0SZFba4FzRg15PJ8QOZMNudi5z7OeGHD9BdXoK+vHLKnrZ45HjiZ 5ejFy/hHytmzBrmTWmIvyHIKzRK89E+puMucjvrOKrDEWjJCYYHJ/Uz4zXsU2C3lq7CR0YXohNtI XjXrSjjLbFubn07inBRb7OEn3C1LUNLCcl0ttW5scfMKaZiTx0WuzDr3Xa332IYby5MjX+8c9Cat ydEXy1JGsBfznMtYplfH5BX918ayp/HecERiqTBqipgPayOSpjnj9oG+GyFj0VgS3YRtV1auqOJV Sl75FYN19g054/nIFeXxyoi48b0RwdFdDSzB2i5TqWOIPyQgfEUmjEH1vyk5aAc0g2WDAclqj+be FNBRGtPeo12soMcmIjyYcge6LVAIYY9Knvr1cUgkQFE/LUAFRHJ/l2DPKndu98yHGRZ9vm72mgEX YN+XCMe5PG7/OQZ0IwOg6iRs2uemjJEK+r915jyU0oUjT6LcoZpeP8r2dbRB2OAh1C492/PSW8l0 6tgd8k6vX38RVhmVcZZv2r3wsE9WMYFGRUYlI1mPUEe3KEkV3QiTKe+lPQ38FnMTECDZ44dI0aw0 WDjbQAZ30cxahXwIiQvSbffoZ02EfBwyuN8xWVLkhx+VDtYp8GWbsrrtnHm4Z4MjU4QnikCwmn61 OilrPSo7GSiK9VZ3ulCTdDxD6TD513oratPfot0c4/uro63QvJBB3NWFpuJtXtQJ0WLMp50RQG33 xD0MtDNDPsxyscIH0lZ5ELVatDg9sjcxckyd4jp4vmWrerYga2yr6/fPazYHgdtKXOZx2MABIhDv vJCCxOQ+wVP3A4vQZDpERnTXN9vQEOcPqhmE/Q+EAGSfQuDTp1FLH7Edty8WlK9f8H1mJjKxrfaS xhH5c9+tO7Xxp9m3vPMyVqPMsbwtO7nmWuW+7yhD7yNg5msewYxmx8S4Kn4ruDkHcGLHmZBaFF5l b4Fp9/oM625KuI5H/36KioAhGeL2pBHAM7ZXyTTYMVKXSmuZ2ve+CxHQ0iVcKJRF9tmT2U1IlcPq kAUNe6bZ+BtonkE1QKcJDoG53ESNZX9zzaCPBQX4ScOVTRW4TM3o2KVcXbZ4fXgoGr2QlSScuz2G kIdgXYR86w4RNSRefFKw5e1azYU5M+EUlmvnysisjvCqnl2TRRrhE2CI1L5dxGVO9XbfoF8OAOua ipy+jNQkcFbJzSlzsun9x2b1h1qPaUde5pIR+04w/iLbziMTAbGtALrEaLEFij21I5d9IYw6FlDu j/PKMxVeJvS93lRDCJMO3XpUP1WmvMPL5BCLuOarvVpvSikRWzjASeHyVOk805TOGxpBfLuiDVNo Lwj5WEPG0KT2viCziigzTIYz7LUrZROJdPMcWbP2ZP8aou+TWTN0RnTp+a4mzYq8p5g/mKLttETJ Qs6zxttMe7O1LkUXQOyLNbCW3E6wgmQpT1vJPEKrBnpiYDoMuPcUYjE5dxMjLRZZCsD7YO7GQFmi /9FSCcWINNFIVChDrWXBQnIyqXXZCT34eVPKv7YHOPAtpAiTLwruQVqnlfSfQau060m1cIM/UwG+ joH8a0iZIoAkh6OA2gsWxfmk5pWmdcpOaAaTh9+YMZ8ZwrcN+nXc9z/XnV63bTSfEjzKh/B0MqYH sKjSfU2z73uwepz1Rm+C0hKYirdKSUqhH++mUvJcYEOn1yPT7qWbgqwedRhziK0WqFDI5B+PUZYo gI+Vry0zJtnxNlimpo2Si3OtaqD/Whe3KG438qfqCE2aiVmVxuPDU45pOWWnDKxnUb4SVoajRN5D 9mbypZvwqZtlvrr6g5D+3yazbcUBEKtBwHsXl40goWami6CJRKYthQrnh15hM3cwq6IcRSz4jz0F mRwgyfddsxUSlvMAI4wuvcbgB2WZvAIK7zG4QMmeqVUi6GzuJp1fZlE1lT1BPrWheNhHVxATwxbV p5z7n+tQBNTAH+1bT/kDokn3+mwPPGT8DM5HOyHWTeAAYhk+xcYkeGE3uMUj2a5OitopLnfW4S8j e2KCpvVBoEk8lswq+oIF+t3VhakZHQOb02SI94UnoZIad8IVLYceGPcxsTe3DWmya6AUHzvVEsSS 1iwff89wL3dBhNCeBHweIzAIKn3dEv35o6A5jQQDHYCRLlQcfuRuTgcf6aHI8q1CF/DXLnaGrluq kwvSitlFmRN+GbaQjpCq5CH52YlmkVb7qcwyG+s3ll5KOlxvWOzO1+RCEeo/Sw4WPZhxL7b8cTTj k4psAC/mqm41UYdGtRANV/K/SOcUz6fWjSfpDvRdUxM/JFd457fWAiX09M/E0WARbq2hbrQllybB o/YPjI3MHUeKFC14QIPqHiud65d1Gk7sKHivTm+u9YE1krmaiHaQjtP0rNghyCSlWTjzbPf6Bjqg 8fEDuz2H0P6Z3ygCaaMcgguU2uYtvCjkzx0ezOc8IqHtcLFWemy1Xwg88RBdW04Fk4dFyAZmQ9Cy aYDafg2LPbsWCLr20jEaE9yB7bgwjZBTDlTXrB4n0G70Lmfl1ArF92IeX+vFQlHnRX5fN1VIHUIk XNKYOw5KdrQ4kySbpdBnkh2hktIFLNSS2wC3zRAJ5DRknEPaAxmbvs/84l8flOddgXT752T3gOL6 LKBZWfcE3ehoMSX3KuG6O7wXFTuIvcdF3sqjsGeMKgvLNrU3//mf98BtZ74yxNnxQh3geUPcC8M8 aWKAgW3qZztVYkWAn2ZYIPRlGts4wBWYLLetHI4Eduy5o1mufHscIe7ewJu2/qMT1I9lPieHJDj2 ojhRgTTX04bJfxgDhDDma5Hl7xf4XNrc/jtZFKs9ZWE5TWxBUZ7QuFF5ZwKGNlkol5yHOcZpv05o q54jwBl+RZRCzcPNi36duYn8/byAwWzcaUrUEdXsVVQJ3juLt83z0rossp5jNMNOuZQmGycQyIHR CB7UCczRydW8WG0Kefla/XOQE88IEnwVXzoZKdEiiljRSmlZD/J73T8KKwvgjjaO2R1HtoIoqSwL 0TPbdrE3HodM6o8IsthdrU7U3jySwHQcPk1Un6xb4QZ19deihBkLSJzZ2ZTlRV8L9mZA+Pi6J3RR UHNggwBsgdbAjR4uCjHMNwHNObKWHcJIeEWZ0m0SCMittjMtMU9jlBH0wQoeDDkgtzsIkucOBwF/ 7y+fXy44NGkuLxcXORgLF4eS2HUsrLB+HuUSWkqxX05j10h6GgpwtR3ywmJfasSGMaPi/jMo2kxJ N9Rncl1zRvZCx0uGIeTX6z98qMevj5g2QsRGfreT0Wv9ycIIzxqW8b0N8BQ0EBfg8mkXzOFPqTG+ tIQaz9iQS+m7MZnY2Em7ahlg/MR0SpcZlDv4hKSlGCYvJk0YQt9T0QeagcID8ADd14Y+peg9NyXI IGJmVAwdQzlVZquEmCACNOiHvjMxhnaE3CXRu7L7S+qrAWNzvO7U+yWpxZ33XwHQ95hqHmO0CfVb 3qFulgvQJhcRvPtHbr0c/VgJTEAzpH34ELEC9bmc8Aw+yyqfgX0Z0P0LyQR6PbjKzPP1uG+HGuOC sF30uv8YKONZx4DgADZgtWze+T+b4+8q3t/Tv2wQ2GMCcKKZBp/TTTS7DX3GBMSEhHTwYNB3wdsv DNluDb2hQP0kKhAH8UM4OmIJRHynh4jjxFo5XTqiV/fkjL776cryLhz5ExDvuaJpy28Ki+GVpccQ K45WPRTZQ21qCKni60+0JCe50FrFcY1yPGU7+jBPjfIIgTAWwxtlTwWTYuWrceWIWwM0awMtIKNR KX+yGl/LPDemyyJt/szLqsQ18C1CHQdH0MAL+D/9Lv3lD8JhLlMsf38c31ZW2BAzB/1BVIlrncwX IoWhBq2sCT1U1UoU42ZkQcUK5T86YPxCMbzvM1jmKMYtxupZ6hEhRbWJR4TFsYkrK8M4rlYIwzqh MpxmGBwCKjFTQoBLoaMz5tCh/A6uIv6+aD8xxLxvERG17beeaz8y6d8u6fkPgn0nPRLdi5rIsI8M nUpbzW+4FnPOL0gjmw7gsqwZYmaHrniCTCIV12hoDRnenTTWJdpRPvW9clra34xsn7XgMFxDjo8l cM65oOHg/fSJEhOhLRIsVOgFhx5PYNiZcdtiaHvVYhMJhLPG7ar30yl/AcSiWfQBD+CJUP6D8qas N42s9KHU1lfkMdRuBz0UGzmkm54Ur3ySrIUoWgD6b8F5jSmwPT+/BkeWKZ8cU8QbVvirSKQORBgU fX7z/XIz+9zJiJVcTQnrGacQD9aMnIbzI8BxNlVGZS/M8U5pQwHDy5dS3TLmkCN0Sdvchvzv7yNp Wmc3ghogDJq+ENRdl0mDyRpq4xR/yaXkC571Q/6fv7xA78H8IHlbejJridXFPinjbrurQ7zHaYYp OdmgfnDMOCrybQtt8gk/Fywgri6XEVcOYBqnXIjCBbtvJ4DruUaiZxJIVdW9m1ST7d3DQUc8kZO/ z2MxjnlsBEPkS8q60+72Guz38BhgAKIQ+hDeuIXjoZfmtTQ544DyW2G/f/y2F6JBA7oS4TuJRxi0 YOEKJLFdxl0k4wdcPEXBPNKfTWjSY+UocahnTr2S8syfLm8/NcwcpCjPGMwiqYlbajh2bvFrlqlN jCWWX84TSFr8f4VfH+iHt/WzGmm5b9qCyh+dvdz3dukAbReo+wXLG0Bf2UDDHWJ/zHebv25n58Fz 1wuiuDN6Aczro9m02Ot7Ldd4fgMtfIE4zaHhiLK4K98rXlw5U/tShvmV2hCYdGP0j6c/Uus55OC7 xsvXk6ZWOtuf/XaKj69Ymprknp0I2V9jaLvqKNk78o/tBiWi9oo0tfV3B5VckyukWWF2BB27Ihx+ hOcnhoDY9UIALe2ZZ/UhJZIWy7vLcDT7d4NxBaI6klpECOpoqB7/puaDJil47G5eXqNwy2dR6u38 elpLP9kLWDeewpoLgGxGadGfWrJd18i0Ua8bcI4v7dyXvpEu1+8tH/nZHFb5ZM5KiTeFV7KePcju LG+Vp/DlLhVXQe38hAOLvb6hTrGL3YDODD7NkHv9D/T5H+5w0JCaE1LgIhRa/fOyOv03w7pSl0ct ANalhBuicxSeD+m5CDfsNub/yuwB1klbFwImvFS1HAYBWJ9b5aoViKaGftt3QtdbV0QSSiaVyckI 5W8XMI9FMzgZF57Q8bDDgknNWJQZqXdLOddpI5uWYRaj1G0TBg28CRlVGfX7O0bk7o1vIvJ3feRJ f1uLLPB0EkPCX23yX0Mig94M1feG+zguUz8OpJVO9IVuco2s2bWvYIYcKj3C5z01vAnHvUiFNTvi pZjENZbanLYnmy6QZw+8E2h+EZDiSyv+8u6hDEP27W241i4T4Oy1+I7ap3D2x+j/ZV9yvRryS80o o46O2KhlH/+II/FK0YC3/YbRSVIIE3DvqlX3uon/3ghrTaf4hh/K0u68VPb3hG5B2uZW4jVTGyfe RqX8XvIfuXkTayp73JYwgUqfd0UR+vWHhUMDePPaESjsetSF7GKXEN2H1ZLLH9vt3TsJz73ntvcH 2WPj7jkCQlwOL5vDTqf32C+q0HqgnSXBuUeJzh8ScM9AmhTuAlKADcLtpABrEerFp5Jr5/qyeVQq f4shOdYSOjE4PduSk4fOOyokU1DqYQToVvAJO6fsSSClK10+d8ZlVkG4IjsfyIwhkcZheS4Aulyi Zean5a5AF7LTxdsmwsfqTs4S5eJY+reR7SFrEFM/FcrTU92YQrCsmHxYGnQ4BjSBnwmvkj4+3qGi 8zYIrVIYULKluhD7X6+phwYNzMemIC89ZFlzhangzTObo0RA9CzVfmp0QwhnpaXbdPibOui82Ev9 f3OB+5x6nUcJKB588P91egEs2PVXejVew6Z+oKjJf1TMtiyznc3dmc9Weiz5UvcIxaqtSjrH6xSs iFLgonULdxTsSW31bwo3sR6L2jK1d0w0CiXcLGBPVenWH3U5YWrRXAQKvlExQo3oCI9e78TQZeof hCytLRntfk42IDk/poBLkJLJgLCjCMoQv3pRsixgrx/DYBhe5WUOZCT30M5J3oGf448F0u9agwWa E5jCGExpKBf35C9ak2+i8AqTod+u/ybrnLthA2UE/K2Hq8eNqFaYXJfd5C39oA0kz3bNLrQ70Czh sE9yDlyGWEMT/Q0JDgIGlC+Jj8efSPEOSk4JKFPsTMZtA1qbJtJgpiL2d7akduCQy8BTE1pewrO3 x7o96grTogNl/hqzrRbpIwEy0l4UIeQxMmCcloftByC33mCuSAPxrBwLi/iADYX2vZtAgmwjbchp 5Nmef/sTCQKjViSIPaBMmROoSNINQCb1iRi+oyEFWNzyzCwX42MopxVOzNYdO6ViFgzKjwyoknHL zywdqc2BwMD2Zqgkkc6cYZAbPsnIFc3XaAdH9YdCg6EsrFw6vLen9HSy5/1dXHnDE2HqorubsqK6 KlEgG5zsi4fMKWdJxst+desWW3MBfxvNofcMDm4OdtPE3PVTUiewPvhyQektd+ns6dafpzrn904+ jk9+Pfp3WfU+1x1QRhCRi+z88R7zl8PgTRmGK1VD0kWtcbxhsoOw85c74mjvuOAMKebnYB6xkI6H mbqGD+kJQB1qEnECJZSqHQZKVB591zOfetH5mDzyIKPbYbpRCvxJ9o2qQnEzNnhFWd+GBLzwPjJ/ e1IZEWVEP/O17d8bSJOrS6T/ZK+lTZxbHrNPi4H0kqExWNCoWSq+t6vs/rByfmb65Ic6phxse4rI kkPIssa60fKmbnssXYf+heDaJX10RXPYuXhOr/ziza2S2k9RYp4sM9BOyG2Rab+peN08g3m45XJr ESaOEqQZ8MynV6pSWcS5cIE9Gaokld4z9hKCabKLGG5Omz3FQ0GHia3i8H8qyv5UElncw4JAnwbC KWR1JtiGQJYd8Y0Sj+vLi+cpUHSCRNuqW2wpYigi5of2eXURjh4swrdZNGTZpXxaFr+Us4CjrU4X l/KmKpuxUEwdao1jkXeF4+EKwlMSudghoHIVJeaLpTXjJsOd7dSmX/wNMrQ2SzbklYR6qk9hg23C fXqGTtvCcISvJ3YJcGQoavNUsY7mKgwHvfeQwmWOV5qMHhct4kogGovCt9i16Vr2qgMqieTrK5OR x9EyqWB2JKgIcq4DCM14FqzDgvW6hEp7BdRDsSSvxKNlR07f0c593BQkjPl/fzKj75dL19iQf1Ht WzhwsxKCsU32WciSAD1KORitOk1oImXfRBbn/xoSLkBa/DMgN9CF+HSmLRNsxOsTqw21OG+hU1eX xrk0O+qXB1awNz8FDPiuzNB/e73IBLvTn3Hde0SWZY1U12JIAVOKHa47eZjl3Vp/cuJYsLhqIfqz fRNroUKpgGe1jOlBKoGJjwBoZ/wj1ycuvAmDlmAEVFvycCuBg6ErHWrWEPw4BAb8x9bpMubscK7J Alz0EZ9JoUNt3rcINDiBO30GabxwYA161F4AZIU4B5xjE2FLawahF6mcT8LkCp/mHuC8ityaeul9 7DSHbjvPmaPtmB1ELRnhLQLycFx9FaIQ1bo98dF2ychZ4Vr5BEmiZn8UCz6yEaZG1x3ukNruiqru JsgdmDyZZaIB87Tvw3MhBQ6qneTaQ/aEX8gOdefXt3EZSFhVv2gMns2FnH4Fc9OD9ie++O4jWVwp n+KuMtVuoAAz1iQ/KrVHsvQuZM2NSfHSvPFdvkgmiA1PXVamfugKk6o40s7P9iEmr8MUe4MyFcig IKGoujX21wlgdyVGCbvBpEN1uyXygWyI0DdmX7cmqFSiVYqdQHmshnQVuGpV++SUzELhoLp/aEY8 vBWx5CeZOMq3pvQn5dw/hro1T28jDFz9glOwtByt6h9EZZ7PHd37l+IrUx5102Qk2NNZKbmfdtHY nkRvW34csJ1cQbEF+PnmCGfdP8l5ofzW7v8MCS+Mpje2z6sneD/nBVnIsT0hVpo7Y3arahMuCVEH Wo9VB5ntmwhBXIKpB7KKcG2bkqEPbdr1Ba9O/67UpL49QJdlG4ZvS1q5JpBCtTUbqPxf00YzDqz0 50n1CYFD9lRoqAJZ5Kr7zwDoH4+KVhW0rdEF7Xgg+gXes6CmJsHQUxC/moA65GZcPjx/PlxQZLzI m+fF8dWoVkg9lPKiVzwbtCVfP94a2vM41VLkyh9dy6omIc0/g/Fn3gNan2emFW9nvt227dytJw5i B7Uu2v3gc0Qw4Y14vXy4Mz9q/mAb+YtXOApdGGEdbAdnYArFTRk8VDKLfahKT6ntHKgLquGCHvTu /keYq4hIsfpRh0rJx4j2JpRhCvteLAF+57R/9CUnRyVTy3md8Wfzf3lcP06HLYfr4q7W0iRkWugB nz+6euKWoDfN2iSwkUkScXnOgMPNiPIfhtp9Vg+JBIa3jqVq0o8XdHTpShxzoOO0KbsdcIcx+ZB0 24gBgLgd0FUBoJxwjIoJ+x7yLWXsDpWsbpkp1ArRG+4kRl4jitSvJ1S+zp1m6nJZkHIcEdHgUqqT TvjBcr1l3t9aD3b796FZH9Cj0zapqy/OUcmYArSfl5vLoTCEN5uc/1ovAGS72IW6yOuDT52/xPV5 4do9+EM+kElyh7FFmn3UdrB+/pi1ic2IiJp+FpAWDnwx0DctP+YdESakO+TZnh7dwbT7uZSUexQV qI1L5PUDtqRby0ODTkGUrv67V1b18hqYHVYDn3EVzKUdstdoiqSgRUUQ0O9JFE9KW9TS5NQwvUoE Kas96uIJgOmQ9b+A6jazZg/wbhsHUv6vSS8icyHEq7OwwP7iMg6jUVMt1XLNnOJabwpqOcnyCSW0 QQAosoGmqEUxVG4No1JYPI0bEt7nG38EX929WpxEc0zcBCtvV28HX8E5DezFwCfBNZyTyUOa+SpL K4qFP8x6z+XiKCB/AWadCmPw8fgc5DYvDdsx4BH1cbzVKda16WIHHRk5EUvE7OYgYUTL9hqEeuJe PlPlyNmHT0YJxByAa09xmn+Y092FZWdw+ZBqSAUvXxYD6NwhC7H7SfJWT77bHTPPVc8evG4GXcT7 l6UcpHTl8SgpUijMsMHKgyApN0RE3rxgUgGlh0ZYi5a6+PEvpC25ek1+BJn7vEe7TZ5syoO/CXSy x8qfUse+isLLlnhSTudWAUSrExgirw7gRYa1uybDzuSyhg5wzK5VN0MSnZmx17n+5yFjt6v1Jygd QivMJi5ofeaaYN085RzcPl5HrwqtDnM6AG5s93JsITZchRmk8iFOAOyhkV+g4n+dlwozV0fKz/JG +HjP9yBBZX+232g7c6BWjUw5K0a93wiwqt26Vw3iTVaW8ly0FaOkPmNZYp2bOSU2/WToMbCEBncv kVmZmvRBhtXXWuv6Ym8uz4a086TEpY3w20vqUoOSsaIB+edfYh69asEGbNj8bsDLj+UVXhvSyvwT O9sxP6xDiGtIi3wWRVrYj9DJGysAN9LbBqi5j45RH56O2FAklpIRK2lQp28GxzN4rLXWOyXyyKri MA17zl3Yc7mWcycoPfmUiLzjykunWmyaByWRTD3Wu+VCI5q1D9GFK6rtPtSBGEjtGo9zqLYGVjnQ owmUIuLSeSX8a6SMux7Jlf9yZDJBRlYDDCh6EB6qYqQYdgiGyLYwVd5g8J3jMBZug32U+CsZLe1/ 1IaMG04Cb21JX0nysZdozUbkwsNWYsIC7cSIeC1O60IoPOyB/qN8iCK8VWIWpz+f/Gqx3hy+JMkq XOcVhnq6/stG3tCT1PXuar3CwOU6MWS+Wp1FrpEpzgvOARR5lOtPjx43C6kOSbKDTZxgDbw5l9ez 5qcNnc/RUVTLEjz5avfYaosaKot+P2DlFnpqJjQF2XnzCdrUyL/scW13HBxRuS8k1izNt3qkffCu zEl8tYcuCx7DNInhtywkMc+bpEUTTA73vEzdxrcAaArGM0PQ5Wj0WJpQnPzQ7r9k3Eyu6vwxR3Rh tZ3xB6awKqIb0RrrRHO5Xebvebs/kz5CtlsESOZpr8QbqYD9Q8KzyB6zBkNNr4venfUODAFhsmZ7 opBtuI74QtaDyUlj7KbYXJryfNrOSO3FBw9BQVb3c0SzZ9lfQv+Y0BiXyfLC88xljFm5m3+HUUn1 yYJfh5QsSbNDgVJBWuiFzxduUXwwIOmJbXeqG7uO198vGInoXWnKcRVz9tAgREcOI8ksrrJ4WbrF PZiP1D33g13aE/j7YZIpK1WGheQ4/uRyz6cQzyx0t7sAUYW+DV2qQ9qem5dP3294gYXmGaY0+Bpu DxKodxnapsDIUDNehGjsAvGvIFp4kY9ImloS1955BX6S6ness3Hbqyh112lP2eZ1ilcTJxXJuFik MbHPDXXKBCOK9dJ8vHbFOkDRxUrha0tliGwlbk1ZOdX2OwLmfWPwSzcdOlCu2ALWBo2qf0tqvi8h NNqfxVYNCX2/H1CT5WIFRgP+PZ/SK5LjK3CmZIYG6E5qFzStk8m76pzX09XqJaeRgm0bRclgK7hZ 2bb9bdk+1f+X2q6ed5hyTCakaOVa09zuZ1q3qVJ6kX0BDRUmTShILXuTlDGJAWyzEQq00DHQlnqv /M2oYoQ2WmFAs7udWnA4UbgrYvdTiTUG4+zh/yrRd3jfjtUDjjVIBCf5pha3ZY7mkw8VIiQzEwsQ yc8EShU22CPvCma2ZrPg4OaoJOYbVinB5U84S8X6gvuX6i7EN4k+Idx5pFwT3xap7CR/uWlDsrvO YwuF3wOoxm2yfEkCs27Tzk4qStYyxc5snAoCMlmjfL7hxIZBgBkq0NmM/l3n3zIQkNoYmC9TIiNJ amP8XZxtVNRtrOoHccs58LhlehEXu6VV9ObQp0mQTs1+S4pD7fOeOXmuAFlM9zIuQAYxxTlRD8CQ Ssu+DXx3fUJXIZfo9tRefVRlnHlDGSsLKM9/UuVNZiDsr29xquAeNfyiiflrvsTPh777ahGkgRpd o/aDW7oa4CHFhLvp4jM1kJPsnZHdBK2K6bi/FhzpDdudhiyB95TCM+MaBJdAr/Fp+3x0Dfatu1kG bQOx05A6Z4rZubLrZjlVqOwDsI1nyzAfx2ZC6ig8CHHoKbDnZf43JugvdLaMPrWmNP7WkjK/kYHe Jq2TX9N6ZNyluRp5p6dnhVSBNHOm3PYBs4bZtVy34DNelg6LEiyQ6vS3psX/UsV4V4QY9Mq5yw/r pWH47cUIVsr/TbOHbKJi/MeQ2Ju/DmGuXKooGJdF01gK/Z9jWvIJ/2r6Kp2OmVxwjMA2+mNp+I8b 5ROqyFfj1U3IkLllXCGZ8vAEcM3lJFlpawAAR6N3hC0FazvvYC24nTQbyQAizmuVLraRmbDhxUV2 xrQXfSE4W3MsRMZtNV9WCDVwBHnvb8l4W+finfNIlPc6/LMUWzmcb6gTUJO/0/BYD7II/M0J+Dhj eD1fNOIMKWPhEWCR7Trwb8fdCCAxEbElCjgi6jupxk4yt1CDSsblEWSBeg/LQ67+/jPdBCGmkHgW 0Xaz4bQv+vrQN3EYrPrBquHrNZtKqIjGSONAv6vauMaCw6VqjQsC6s3N0M8ooaGzteXdklcaje1Y k1G/CYFLsa5siRGGpXh+JBC+eHI7VCBUJr+d6gpEHWkEcPiwWtZqS3cPuV15IMFjOYLXuSIIi8NU C0mL7F8ldz1FC1Bm/xrbVdGg674OozApwSqnSi65PNH+MU9L/um4mPBk5Oi3ie5OAjF6GKrFhF2x 53h3PErEpgCDCqXyby3X+kWM5t5O/+04K0QGxSDBB3+luIbz2yrAPe3yrPmpZfeGDrI4lYG3El2B H1blKqo+JPkKzsxdNEREjqb/8cS2BDGzvhKzzkVuSZY3+bfWarB5D9YC1qQdRDPTrSttRA6SFuDo tsvp24OQ8VNDUmjxYMQRUwgzRIMV7aHPm8IPVCdEFfSxJKLB1S4TamPn9BF+Eea+YYULpJq5KqDe vWDpHBg+hVtZQVI0//2tCCyKPAxsbpciGb0yAITYNkEhkgXYNzRW/S2nAD2Z3+Bw3UAppIcPo4dM oIgD3ANFgdE90150JYe9MY4e5ju89tvj9pax0M3TYWfb508Cdnqxv1L0XcSEJh3TvWpSzSdcnUcg jOw7v1vl3dJkvFhn2DuNT6ms0SJ4ohKUk0PbMQ6xZ1bKox0wD+I4OfOcXODk8g7CraFLy5OudQed E+jzhY+ACmGB5JD5VHxgxDL9Ttq9Yup+h25cpJjJedV7o1ztRtJ+NYc7Ohfz/9OpiPM7fLVAXqZb 4vsk6D135Q5E9q9c4N7ttCiyh0uP2OBsBDTz2i9CwVGsT3kTnyZ3m6q4tt80SVcXxLWaY4d/jTED I7t7hWlmnSR82Lr0jyxABJSgzfJalsQFoXJ3ptZSmGkMEBAcKE0K0zGrmUmyyDsfiz7bpsyTRCcF lJ2zdBFz5Jztno94UYJGXbHXwDRYsVcWH2miCahA/Kos8okysl2rz38opWMqtsUVUJQ6drTQo0U8 4iBIBGW1GQhJITW3NSm+xaNZ4M4DN53LErZq81NOhGxsFAbIgfOOX6e04mSIVEVQoildGYJ8lNWc 2tKwwTxxCexKZfMJw+Lj4poJTCWyEBRXivNiTN0E887nYM/OmbY02ODJV3mNla1r5aXKLFQdIwBU 6iYZ6kXZO7qXtqUwfl13yDeurc7Z9EYAHywM3EqfUmpS+mLRxIGG1QAwfIoNbHYHfIErM0/1gvK3 82HRtjxTMbu8n1l3WOpeBmUw7pM7RYkaW0CRUfAt60kWrcg6BdTyJyQdzWIfrm3t55UtzROF6nRz GSxk9EOw1hjcjr5YwD3J//YMh7ENvXp8Nq6Oxc2LHxgj3fGtx9wIce+zbx2YOohVAKYYXYUPg8Ge cjcQEDpUhCgp/hxsPOmnapIWJERdlQ/oVS7K1fCs4IMw/hzorPr/1SLqI6w1LMXuYgMrvQGqJjfB bkqJcThTE7dhQciimcZRKuOkJBFCyu8fiCLiV4QiqxY87PsxbZjGXmJAZz11o5G7VkpbpW7VVXJl yLyNme2yfQ1WqWSkBbTuKXoeCqR9PbAjXpBHe1h5ODPZ5hPCxSGnJVv+ows1uEXuL3hU5YeI3dBd Q4RSK7xUa/EG4x5SeGC/JuJWwgy3JXLqU26A+K2jheITjn71EhaZVZ+PBmSIS+yp+PbdAtM4bbbk kGlmXYxR1OxFhifEo+HHiXOMcQgCO/1x2kLV2PvdofF4eeFvRNbJo1kvjhp8Y93y4QFYqfrsGEb/ RjfAOXmj45egBTXl+tS2wd3uQdzJ5pucUmrY7amioLwokdTkzM8ulcp3fh97PP5mtwIp9gTv+kJP cUn/uV5lJrhWC0eWM1pjqJCJW/n/K8xYnuIiSdFlSk4hXmga+vBOzqnd0D7EywqBEI+Rmv6giAyW lCztPmS95iHNeabeda4w2OkMTp9GM3oQYXyYOsgxtheDmVeBF7f4kyOvxwY3ECE03+t8jC0MMTQq FVPqezAlDhdHN3455rCyozrFs3hrcKxdtYyH3FuRio9ClmWdXFxmTpL2KEYWXBAqR94md5oxDEzy ZKoGSI2B/+B8Z2B2w5Lu6i4XUsYq7yN4MfJ+x5BsaBINfq3HaNwJF42I+ns5uzSRkj0GbrLFYgSq ZSDI2lcPU+bluGaT9aj9pdPqABwCTy4msmcQ/o3nlXfH/1jk2i9E7UnNtFqm8fDInCXzQndohpVb bj/zNW5myKfZ9adDqOBCOIxmAmOSRpjm/Suw70AC59ESBKfaotBXYvnqo/v2M1Az6vTGo4wFrq/z CAOj0WbK0uaWH4SX/BYGCpX/Ry4Jp0gzRtzfkAR4E6xQB1dunFfsH8HkyfpegxAEHZ8OXaUN3GzM dwFtUPON5imixQ2pTiLX+dr1Wz1Kwf5pmHxB4UbHVzyeazTBY2nbriq6ZVJfBfNdT4qF9wu5z+5h rt/VvXadkKlgdEqzCACttO/ei/OOZx/k+7lUwTPjEtxpJzXV7am0ub9Q6Kc3qPJdDzK/h1vZB9LZ 8mkiM9n9BKKDD0IxBWq8ae6aQXPOL3iJxVloYxj6Nv7UOeoizdVf+3mG6/W6TwrberzT5+2muSbK 6M8Hzi2IjLHYKekUtiN+tbeFqyZOsolKStstV1xBKJ2w0yUTQDVxbOtX+cNGy5ml7oZp8/pJRFGw +iE/2r9TW/N45eMd9qmyUQR4KATcpcdoK0/pRaJq/Ieip2VSwdm8TdJdnUNFJy/9uIaMypCAv2z3 SCXVP/Rc0saAGUwg6xBr7q6wkw+VA2tlzWbAEj1DV8UatCHWxUqPip4JH6uxTa3Pla8fXe6HTJGi vud6uIHeW4Bn8431aiEuFfs0WWJJznM97QCWfSNDO9VA9i43/HxjFlDUcsQnfcoUoq1V5m9WDNTU 8Govlk2lzOnx0EqpiN1cgHuVc8IVQJI4sulprnBvpxxA8CK8koqrScYZfCTFKh0JPIu9StHgIe6q EYhdPAxp5EzoiNAkbc0obIEDNIkcKhzOWWckOV8SnnnpPF0FHuakBdpoWsbghLeY4fv9hLQKsdH7 WOBnfhJs2NArqL2QaFKjLGJyMjzJdMizonMOXAeCq4l9H82h29F0E7kf5OnSHpEe3jOW0EwHJQ+T 3oR07XZ38bVfVAkFUfyYkqYWdKaYbhh+senu1prAPduHZeYYtmNZpJT8jgzTfMK4WhmZIOHMiKF2 ymgsh6BDgi/q7xWGQTJu90mx0kDFBiNq0NMsz593JzIH4kafR6OC97zY0hTxdH8k+yqwmn83JM3O nRqoeLL8m44rjzEr5WPiqj42GNAfvonbbSSe5558pnzknFmLioU42nxQE8OPKwORIvY8dvY9rN1b RDD4rOeDntv6eSKWFr+Wyps9Kbb39JU5/0U1143eIAZOTzBybid6mQ0uFZYAXiXjtCAMRONiM60c N6JDSVGYeu435jz2Mss31+lLiS4QC1yhINHUisqPJHgOvsncNYOMki5X7B8AxnHvnarsa4zb2Rij sVUKbyiBzbpIUGchrrIpzgux3kFgrE+VsVgtYpTq9iDfekY8abX1EOU5pkKrNZxqC56PoJF63t6P bMFcMB1Xnek0IFW+Xw0gS/5y48EXqYVLH4U0CGgy8hn3MJ3m/5yCwMMlogpoko17AHycr0XG60jh jrrqwrIs00qKnZDhzMf18g9Q47RqJuxRfcYpKrreYuYsj7fJ2CzpExaTb2XKvljO9S3QNyRXEDev 4zoC/18eg4nMHVywOdIN7Mka3zBzfSTcPRIuFG7xDSzTxNhrb9HjawwJmB/PZksYqrew1Fq51duo kh0iRTrl6hbo+mW+fGUjdrMRNcFgkT1pUEmpJqMd+OAnuMcoiieLWTfi5HbXCF0p9969WnPDwfzp NItorrzz95Bz/rFaq8NQ+vKtSgi8LXAquaGkyJhfXe1UXOU0qO33qIBTLtDsjtjcnsuR1aIxpBBs nL7gO0FIZEGNn8iTyyQex6ptV8JZ3VBV/XCa1jjap8oNnJd2E7lgYSdFn6BVzF7QrM5TtH+Lv4os Lic/F0ujMRli7mE4T53Bq3GPb+z423JQe1uhGKlU0c3goftaCFgoQZ1MFL95D9ewz1lb6fa8njfc swAcyGc2MXDDdK88KUnqItnRHVrPkmbwo4L81dr/kkzEV56mlNK7gB2Pj87gIXkZVN5xcJg8qFEf Bdk0Zz0ekxPDl+Ya4pwMisebkAFGLvWueOIFShCh2mKAIdyStBQkfbFtcRxWHBiOKjkUaYV7aREu /KKYBVKYIy1bdc11zzX79towK9wUmGAXfvT2k9TlRXzybRPmY4EqRV+sE6lAuD/8KLYHDqij/gjv xjV0XonygnaxdGZFJIqe72usRKv5dWEDrfG71RgtDT4VUa+u92NS2RNXc938iE3sIeXfRz8tsZfX lL+k/EZ0lXSFWxy5g/LNh6Z4ljeTvYeRuvraMoOJi45Wtc/bYqIOrH8ON49cQ3voMWIbnch5XHr5 zq9fleoFmGX2lYWe+L71uULIXX2WBW0ipj+PsSkNXMXCLCKzZM43NGwY2F1OJ2vQVOQv9UU8iPgm O5Z0bdSEGUySqVE/awkubkR7g6TZh9oHz02tal6w3HCalWOInTsygbDRqZUNXKhUZhzkukVPDUFx 4WidLnVqA47IBniwPJNt5xIwyD/S+DfiZsgrTFDMQGJbEKFHjiLTSrpzupbJJBcoWv1qoBL6n9Bv pT6eJ4meA3klLfncO2/G7usJD4QQjxfi2QPBHLiVOAQwVslxnX6SAzbkU3hEvK/5o3oU9vlMhoUX 7bk2SR0SRBfQ5lmru94h3KxFs28nAYk1xIPpJXNXGhHh0vQKxB8MHdw9kTQQNqUWDzokOv3P4np5 Hhqh4zkWFvhGSpgRLkk03pV+az9acYNSgM1M8Rqa1IGE5p4NnPGWuforM5xe+bs2MNlJ0nOhXljI 6YThCXHsuvJMrKc9LnkwGGGOvGUcOxi2ZskCOatMk5RCQtLWQ6IPOtUu+zpKa/7zt+2TQqohEYFY qQQLeVzjxOIKwClwgn1+VvdVN+HNuiuFhHQEN8skow27n6B3rO6HVjkTicS/ov18i0AHqtfLeWpe YlKrSGvbFdfh7+kIGNuF9b32wKNHZ2LT2vWx0yHarW1k2o0060/WPXdHosKLpYvlyHwfIn5JI6OF kMBQ75ZzifdS1CWX/IjIrNHkWCnXhO4pFcirgtC0dYrjEMXa/154GJQH9o/zWbt/DTQL5JeNSbGE E0x3mZIeczG/d7j+0cxkX7e/nNjgGkIcxrp0ACSgPbH7qxeps6v55LTXnMnO71Us1B0ieSoD1peT Y9d+rlyk62RrVpXWRPcCI3/Uds21Pux+e6xHa4Q5qudrjMImGeQxfqMUrRNU8QV/VLUdrudTGMAQ 1Dzdj98fePUk65hJ69iOJT8wvo8u1GhUdoCFlWLx/StmdjemHcQ8eA1fpA7msT4O20avgtV1w6UJ 7Si2zS5nAcLR8xA+o6ov6zvDl3GztDwb6ECnaFuHBKY2sHw9yqPXphRO7A4YcUtrAHNd0TVNI3sF LjFowpSVFDJOv5DoVdL48k5nwFVn29qOY3XyGKlSOeAdYAJc3Z7dn/KwfV9LKmXmbEt3ul5oL36n YLxVtFHsU8m2JgA2kXFdDndciU1q1hGhHKe/MZUY/Z6us9E0HkOs8gfz7w8zK7sIz9YnLfs6xRZt Ogb1tNDZlTvOe+wEikewbmsEOgS0Qdp8GWcy+pIvdNRhcfo1zWFzlti9fHYb+W4gYRFhUR/ibRNN rv6maPysx1a0QjX711n3gaM3OLRpbd3QWgQ+pSfF3Tza+gWvGmaeNEaZq9Q09Xg5dMts9L3w4Cce xpIJOCgjvo3s9wmca/X/o5LEdhr291gR+33BApfmQtDNLGMQRgGvh/1AbAGogTN2FlIHvUMl0E3C 2rA9btnVpljbF70wp1zAvZhzcrlqf7kcdtN1q08/j550S0bq0L8LbmRb+q3VW7Td+PPDRs77h2jl +LWuR1IpApGaQq9oMU+y+PzgI1esyz6RmmEmG533gQiyyulznRmfPlioYp/NN8nEcEpS1lRMQI+9 4oqkxX5RueDjr2JKOY734Adx0bWM66VfusddKZrPGTIuN3zcs8HNMrUdswoer5KaKGZenidG1Vbu sWJBGDeHikFBsCfw6ruCn9WwOvfmRQWZ+hxvpjVB7hp/GHbDvQq/KLF3P9mN0mR91+vA0Iagywyq ApP5KgW5+KVvHBEuTDfareqwODY/lLYDDskgDYIcRHSAfwohgBn6cvWS117bvqQ8AuusNBoLf/7B x1F4/1Z9eceU7S3iMKOb/dHD8QbNWrLXJTX6W7iKWVpIrO9x8ZUR5ZmGMcx/ZXsA6VjGWUd7 `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/digilent_repo/local/ip/dvi2rgb_v1_5/src/ChannelBond.vhd
15
6809
------------------------------------------------------------------------------- -- -- File: ChannelBond.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 8 October 2014 -- ------------------------------------------------------------------------------- -- (c) 2014 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This module de-skews data channels relative to each other. TMDS specs -- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the -- channels all are buffered in FIFOs until a special marker (the beginning -- of a blanking period) is found on all the channels. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.DVI_Constants.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ChannelBond is Port ( PixelClk : in std_logic; pDataInRaw : in std_logic_vector(9 downto 0); pMeVld : in std_logic; pOtherChVld : in std_logic_vector(1 downto 0); pOtherChRdy : in std_logic_vector(1 downto 0); pDataInBnd : out std_logic_vector(9 downto 0); pMeRdy : out std_logic ); end ChannelBond; architecture Behavioral of ChannelBond is constant kFIFO_Depth : natural := 32; type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0); signal pFIFO : FIFO_t; signal pDataFIFO : std_logic_vector(9 downto 0); signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1; signal pRdEn : std_logic; signal pAllVld, pAllVld_q, pMeRdy_int: std_logic; signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic; begin pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1); pDataInBnd <= pDataFIFO; -- raw data with skew removed pMeRdy <= pMeRdy_int; -- data is de-skewed and valid -- The process below should result in a dual-port distributed RAM with registered output FIFO: process (PixelClk) begin if Rising_Edge(PixelClk) then if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data pFIFO(pWrA) <= pDataInRaw; end if; pDataFIFO <= pFIFO(pRdA); -- register FIFO output end if; end process FIFO; -- FIFO address counters FIFO_WrA: process (PixelClk) begin if Rising_Edge(PixelClk) then if (pAllVld = '1') then pWrA <= pWrA + 1; else -- when invalid data, go back to the beginning pWrA <= 0; end if; end if; end process FIFO_WrA; FIFO_RdA: process (PixelClk) begin if Rising_Edge(PixelClk) then if (pAllVld = '0') then pRdA <= 0; elsif (pRdEn = '1') then pRdA <= pRdA + 1; end if; end if; end process FIFO_RdA; DataValidFlag: process(PixelClk) begin if Rising_Edge(PixelClk) then pAllVld_q <= pAllVld; pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read end if; end process DataValidFlag; ------------------------------------------------------------------------------- -- Channel bonding is done here: -- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through -- 2 When marker is found on this channel, FIFO read is paused, thus holding data -- 3 When all channels report the marker, FIFO read begins again, thus syncing markers ------------------------------------------------------------------------------- FIFO_RdEn: process(PixelClk) begin if Rising_Edge(PixelClk) then if (pAllVld = '0') then pRdEn <= '0'; elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then pRdEn <= '1'; elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then pRdEn <= '0'; end if; end if; end process FIFO_RdEn; -- Detect blanking period begin TokenDetect: process(PixelClk) begin if Rising_Edge(PixelClk) then if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period else pTokenFlag <= '0'; end if; pTokenFlag_q <= pTokenFlag; pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag; end if; end process TokenDetect; -- Ready signal when marker is received IAmReady: process(PixelClk) begin if Rising_Edge(PixelClk) then if (pAllVld = '0') then -- if not all channels are valid, we are not ready either pMeRdy_int <= '0'; elsif (pBlnkBgnFlag = '1') then pMeRdy_int <= '1'; end if; end if; end process IAmReady; end Behavioral;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/xbip_bram18k_v3_0_0/hdl/xbip_bram18k_v3_0.vhd
1
9340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block O2XOryoxWHSJpVHdyGBaJQNdc8dOymHDuiuAfQsjyy00yg+Fygx/oSQcLoNz20CMTJ0oXsfO0N0b OcuaV/bA7w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GVuiQASL5MnoVfjBYAuifaKQBYP5qpKi94ZTFg3hPhVSV5Z3K+xBNk7HSc26fljddtOPeyiQrh28 UfOI+r/9r3w7ch+EIVITv736T0H00tqEtDgqpJcf40ZaJFg7/DAJqa4bfrwQYMPPMtN/+LWpquNE dRSjfIReTFFkjcqBuxk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kP3f3BnKcYzaKjuqBtrZmy5+UEIJ4O5AkfCZWz9sAHrlVU+4nM1IBXUAwmiC3k5d0krwcI3sEm4r vt+G6kIqnFjoTn4NiQbiqvWYDmZzV+LJflhoMqNrJkRkcVVp61x4JZUlEr7e9p00rvVMbcTDW6Kw nnCwqMLBkzM5UVDhuEC2tdG2CpSgECEGlLMMTk0DSKezGNtQXz+KtY6h+PnOfx+PFZwhFOBl/jB2 jTMtcKAeD4gg4WKoAn+7IIlgw2/HhJB3KvzLJpLw91PETbk4sabjQbQ8KStQpjvFK782EG+wfv9+ 81MDogRQiFyFmN6oxg8ELCZnY8O9CQoxYornjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block u1fw90y9ARra+4xSQeglVz70mgNc2urrAekaZxIT13N7fl3y5c7IkQ5+gaCLFemZ6U1NIJElw95Y NB/VTj4qfRZU4t2hFTedbkAP9HTfBoQLkd5eok5logHyANc3ZiAYnVdPL08Ys63j5F1wjpsesyup 0l3zS8O13B+I+gzKcFc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tgz+QXmbbEHiuFJzeRhVhbQJi9JNitJrdU91eD4OUl255UhEJMjfDaR/UN2Kmj3daj1Y6N9XoIi4 93BpVsNATisoDDeAvL/Rji5I3h7VXyiH5+MTk/s7Sj/KtzXNgKZlWFjJ3fcXqt8NLD6Juh3fnX54 GPDGKSPlW9MCzzT1JtDTr124bXptK/drTliCAmE7pdznkO5CQgRoDuEMokxTyMyOhM68hW0orIve hBJnTlVs0V8aXizs6E2X9cL1ipD/zg1cmKwssQsXb4Jo38wsiBwFRI72/29AenPb8DiuBit8capG ogUYTJYZPuHqoOjMDVs+8SZ9yLvbdyM/mPqYbQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block W9wfRxLjHUbwfTCjVdZcUlkVYIcSGOY5nHZELBaw1c/NDgsx5AflnXGqVw4ZcJVPhssJ8DFS/Hc0 BI96JG0oHrBsT0vEMRXoqAsIx9uiqFlhRbqlIF+e7F7IeBTdC6YXEXctyyXaAZNLmebzM3iZjvNh ALtVke4lfkxz04zLYQv0C6ISqIwI+PlZWuqgIkOTgdWEtdxW+zW57JrC//OTuGggYGgTTtrpZN+D 56aBhSpOLY6ft3tCy/T96Rbxc23Ol2kCLBG7e8/nHNSSDpF2k+L4dRyTkMYFBNGDcszGyu7cghxU TRsP90Nwu4h9+YZrBGgV81oXDRYKcIAKdTl2zQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784) `protect data_block 7i0hWwx8lKFn7b65FLqgS5W4qahr+izjPoutzn6gN17dj+KoLxSb6o9OzmOtoO5A66TXidr20rs3 RVNDBrGWpwCrZudtYMlWQY4YbYivUNGjXC2KJRhqEXpYeznn4eQ5XE9mj1Qeg2ApFD0hFdiNzR0b mU7IC02EKFC8E3xvuu9CRor6pjz2/7adH3E8svNd1/QRS/YJDP2740p9RjvM0JSsyWsI8vjZEgYD WZT1aVRGU0CK1p9TJLs0qvA0c04aE5SdwqRH6sVP9kirnsdSzePJx5THL2UQBvfR9Jxu/tMldZCl t2ZSNyBCox+ZHWEwOiG31BA60M5H4xXQI4dusgQHsknHjT2psLE7I86ukPbhrGqZjUSYm4awcaOQ tKJAsU0wgzwtL4IWmjSEPtoQw8hu0QLoUWEguNYHkm8cCEZw05ikP91Fk4ZhxhKJ3VLGMzAPl7NF CHH5p/AZ4qpk2n4zc22HoBzIV1vxwRWbZJdnU5YIrIJbaYK3fhpgW+MWcXR1azMxO0fdNLF7ARBw lFvQTh79zKBm0KDod2karBF4C+LQnzwNteVKE//dnFxzzm9QtYpYmQTLLynBP4kJZj3XED2HLniK 3Qw7mPqWJ3Pf3ZMmhu7ZqoODj2ikFGZ+KciofnuKOeo5imzeTH5XAa216HEP5HrMcxYPCXxYIL9v RDM5wVeFr8YLTIrz9S876cAx0A8u5IyWGn30QsidEeL5VXSzzTDB1/knb9qDYdi9ua+uRHkkCzT6 t2EYIRpdQY/QCkrxDKNpv7N3LfUirjTlSsfFeG9yiYwmcDN/LrmedvmORmldVY4gPJ8LZp5WSOoD pdkMZwq30fFhD1QSIDPVUKvfRWiJ1jTSaFHnONZuJHuiKNRP1r6NowkeFXZC1GP15OD6Jim8NCnB ddFkUuYqwPT9a7eWgnXTXargq4nlhVgwGJqrlRsjcNOI32p9YX02Xaxck2e2AHKgy/NNNohdTyW+ EIKNJpCs8uwm4YrUvjVreTx7s+GaDKL+hoxkGnUyIi5oEG9myfYzgYY9q76nwSO0a4zv03noAOTQ USy5lX2KDMYu+wXxQSqhek3ZdOa2LqI9CqP7ExasgST+Ly1+wSU2S6D6ejlZ48xntQfZLk87UNMy 2oKRyzJhgQ9XiX8kPVmDIbPRz11a5ndEZVRNLZpD+omeabhnDITNlXsRkdw6046x1Jwz/4+sAaSz cWqa39kQkyhmTlJWpBxsgHxp7tekKuPd5h+J2FI9Qf4mj3G/HIDI+smy5N+Q02dpXHBPrLzS8PME S3H26nNjNspNhhXn2WemYEQN4B7UneKn6bT6c5oBOsTekDu0dzt0sNLD564lg9Q9Yg4fFvEM5gKI q4aVxv0Hc8zKZDfi8E/8zEFSb6TQeSzI+xzkZPYcfOA0AINpUnGFF0Q03A3sgJVqR6OPuXkt2me9 LM8av3lbMWtoJFvMytqvYzV9jUlVLIQ8o7U2XLRIsi4bdim8AfSamff/TXbv2XWANuoJrxdWiFyM q6G67rxjSvHSkU8kHzwQcoEJU9x27zUep3pl4/hjZgwRdP3ew8mq/pmgjGLFyIA5dcNBpRVZ6x6L BsnJ+vxt4PGxQaoZVY4y+99eOiqittfvRRwcGn10TKfzscbXUvK7ku+BfnSJmqG8TdFQyAc31dE2 2yYC+wFjCGlK/5nNsvrqrswK022WEGd2Y5sGp7eaocWgCc1wfqA+xLmNQLRjIEF7V2himUVGsJ69 JeZVhnMrAFzWC8W8d3smQv6qW0nBO/iM8oubmwFYDdKyXttY/JZvgWyJxAIyHi1DZwlk/rl+ei5B G7Rve1SuswFzYjl4H/TPrcCqwNhr0VlXdbXXaeETtPbrxyS484KXi0EQRcFPsGIc6/gxcbqEGnXu sEyx9ArMvHSltBPhdh2XKxusdajrYLKIrG2+JcK/j9Ek3Clnz/eMxYkKuzEcVlByZuL/dA+GPUs+ Vaaug0y/YuOaLEIbh2HtLqaFFph1ZKh1xIV8Ucz/0sVRhYpMCTCYAMmbsZYBWQF72m6Ha4GOYh8w wV0/lWsFAZUOTMCRvnFMzmpPkyLn8oRsyz/gaea1e/eNXDzSzk5q9vLWKVZ6xkonfBO5UlxUqCek TiCLmp/h4gC2YGOwk76R9/BKvAJ/ak7U9zmWK/GugJLFYOVRWuOl8m48gsUq2WGqNLfKpHxyGki8 ABZt/rrHTp8hRBKopN37QKEV/9DPKKlplMSioBc+kk+HHTG0gdwed2SBAJaRkSsZvQRY2Ididg+Z MzBGsd+Y0e/cjqriU3p3+v0wc0bSmnqU83dFd4Rj6EhRKH5HluDBGv4g0Gvj8o8zoujQW/ySnIMF ki3K4R1xzfnYQmEgYaoghS9EyGB/spDTtzmydIVVrw4YUK1FMLYW1SaxsEBqT4Q/oB9YdO2H7RAg st8mD8DQiSkNC0sUfZM1yX6bLJBIxqN39LaUhhnU1wMAkvmYS/6mA1j7qRoVRocTV3KQKaa4xsRv CudSGdXEedrOAzDgHjjFBDsymUnhKEN6JJ72T8vJtxvYD+BvwiEeutxPrwag1ZYyUYoPEaSk988C sCUvSsUCAMCdAHkoeL6HdylRTay3k7dff0e2AjjHbbSuF17SKMWXTbHIg9YO1ujKFJdyvQa74pnH IrXNfwSTbJENL+/q5Yx/D3VK9smfvJ57Yuk5PDXiMIPMiE5MxsvfmsTBiujeQbZxidYiKSVGjpQl Gf8KbdO85BsZG+tWKXnVs1W1FkQQinHo3h9LO2+Oe6shdL+nyhcsYxFgJT3Zfa9cULWi6cl3+6Re DjcpNFfUeIEZyDW/dLsxR6mpJIARSPB6r+P1WUqYR3GL10lcLPTvovXxTk1TpXqhXeK2iL0HGGrK uQHwFtr0Sz1Ee44FtJlnBrulDIu+EbcH7uzN+L53XcafMcoYOYGF6Wm6DbXtHtLFJ2icJlA8b+p5 1BoKhLk4YxRyIlwXCNHNdo4+69ZudMCfoQ/ha/Omf9h5q9aRzRWwjLCh3Pvc+YCmKnnlngN013jv OvAByTyflK6giWotHll+47wFp4HrjYe0i4qnDLq0ld8ls8sKlTVSK5t8V2kCoBYOPKNWVcUlLyLW tIT/1R1ToyC0R8i46jAFWv1lVxMWsYd1tYTRx86611KgkhNmpaXROEI3KlZevBSMhm8qbmqnZmQT MTbb3u7Wb8qIxsAJlk3sLNjOsaSNe7XDTUE2k2PjNVWaUL7LIV8P04Fc70kNu1jcX9KjsgwXdQ2V wkuRaT9LjV6rcsVv9JoanJp9lJzzPXn+8VlCunx0AlHmJxJigbz+Bv7RVn1Vg5hIN9MKx3Ofd3NX mmMjQRop6uPZpz4kCtJT8kMpm2Pe79v5p5VsQdqxfThVDZNq2FauxSCxhvCMhhej4fyUGU9k82q3 +odg1gFoYE17VyM5wwCgALdPHYRzVGP0pvMZJ5Ub5RVkcGB+PFFJQe1F2PDuyjE4yTLF4EEvKUKj uoppVsVQv2trR+TxTR2b4Ioj00uIOSx/Zr4S9+iROy7Zxq3tFUxcZTTrGdtx61IyOygaufog1/We CPsrP+ksAHc/uYcsvvExePcYv2nPQJ9jkOcnZMsmlVFVdlwkCvdWeox8CW+41b2lxX68Hl26G846 /9VvjAiPJRhYJP5LycRBtSO7fVVKPYQyxDuoQjyndys8P/2/C4BE3O4bWxXbFrId5d8aOGmdFYor aPvHIF4fceQVXOhCkIjmBPBVF+lOY+qjNYe26lm6e/jj6PSVvU18p/3+JGihEJfMcsOo/NhBnhan 8jsrE7gzxUUzOJvuomsuPI0VgTCU87nuHZGNHTHlSnldhkW865GDqDLl73d1nOouVEz8ZFr7UafG 4QiSUSy0uVMy7d7WmyCBswZdb1r008XzBRB87uctlIGZOiPbcTi3hQwzF8fbaKSiN7YYE4MGJ2MP wWUUi8o3G8bofm6Pw1H962VhuF6aF2VBzgAg0nWUHV75OKH+kmtnaqPzmjPQtLNU3zI0NbxskrXV P7mfxiuyCY1iru9mLumZfyafF1d7hOxzn8fSskPFSF1HZ+JhxbDTKoHP/CU+nc9C+bv5JKEON+XR 4ocscK4z3tQKHfwok/RlWxWNSsYSwwAVgxuR+lkdEmfGK+Xkj/xzOARoyLbxaKuWCjcOMPeyQgqq 1Xtm2+A//d9bbB9DdlYjoyLkP6wGm7LMkDC2NA4zEpNHnHXJZqCq1cY6v2AFDNuTQJJv9AlVKpnC HfOSZHNKftZJlvzw7pWu1yeJq6y421FU31xwM15pWrsTPIhdJPd9RlAfBNHy1+xogF9h0VuwSYrL BfX5EBXOMN5F7wxSfk/bgPSSiU5CILiYeDZl2dtx+vYSdGrF6fIpNat038FLCy4zeWE2MwFRtxry 9AWEaXaqcjgGNpyb+hL3iRSLcnGPynbWcIVE5cvg/BGJa65pjepWlZMxOYvDfOT2MmcARbeA0i4a Zo2SaocjslDfXZUKeh6F3EmS4WoysP3C1gmlFEr3H1c5C+/1ivz+HKLLZY8xuWkroc47bhj27J9/ AybWvTjW4fPdgBr+jtHkne1eVONQNB75LLSpj88Qez67Nc23HwYZOJ824yLMe11PrbakyCG81xet Q3wOASvbFdbKLVMDZ6oHNBni77WpI/zFq/doYo3JSQlDIUOH4ud+lw86h6qjhcQrzyEX5dX5+Ntr JTCXv0DE7xXUCRePkLjB41LjGb0iRVcZQScEIw6WeHIFZjSLGzkGvbN7JRp6P6wkdk6Haf1r6AF0 dd7Ws877sIadKzqgbrpomliV8ueOQ3mJ2UG8Hv+mh0BV4hIbLwpQqJ037VYvMwwegpItYJOImbmQ 1nVw7VXktX1MIy3yI0wGMuDzxydIvG25uKF3Gg2tKWi5+wC2dV+duYbYSkNXOeyXFaIVmDzueLbU UlnX3sQLnt38PF4oclzYknVC6GNz1lABXcYe9vADdT2UMHbVWsJPW+uRD0A5/GeLhGOWfG/DzElM 8ftgES56DKldRryWUatVZjxSwYx/nBGwq9VbGLZbaBdqnCfv9FAgjC6DTeJXB9IwrQfNbn6GPIA0 uHaLFIDAeqohpCUm/HSDGbytLDLyzcqThBRdOAhA02wGU0wC13vYL4tdrTl16eaavhygf5Xv/yvw KH5lr7Sp0F7aN0RJpza6Lk5fFW9SHdRD3uL/DvGIL3fZMMjw1x6RZzMy36Rjt2crDttpKDJtrWyV i0GU5sRyecua+bgec2Ock73ynjE/rzm0IgbAbsSB4l4/M/Je1Ex/hc4CV2QgFKdPpRNIkCwBfowv mZUPcJ9+SrmxJ+Y+MZwQTUaR2FdvDaajsL5GwsheJlPcxwGPFedY743Kro+vak+7Sfz+9RhO8m9c Y+T2tUwnXLfuO6qxr+xbFk7AucyNKsoAc5Q3himuqPtHypt3svcm3TFKfDJgObTh6yQrZYwGtCJG GGMpExPlFxUYlxlHrNKbhLn+2vOIxeHP3j3KkJBdVPHzYXpyoQriIoxW+5z2jK+vlqTpRZZBGomj bCSpO+wzuBjZS+tpZ2/pqzgDVwhAtABXtnfGXyYXs7LRlhEgaPJIKVwCvX2YIXniPK4/DBIJ7D8z TIj637NjAoIqsC7PZLWANCbO0yLETOXH71YjlQAWvq797kHUqH3eLikgr1btePmH2o878UvDeL41 1FU7ZBl85oA4iCqdlTut0+/jSznJnpJV1BPKCoIfbT+bu9KlZ4UvKmK/IaJo5TFNukHT80b5HAEQ eSlB6rNBNoXfBhQ1b0TWK8jH09RUtZI6vvQ5h8g6WcnilOjpqSrEvg7BWx9RNjhMWx6P6G/vigoo yMNWVPsuBbzFWnnDBH4d6/NOl6egrsEhQtx6fAsczRGuNWcTrytKC1hbZuTFch+J+NfnTNMceIEo ITGiuuy6F43TzEjo4EUvnL1JqtA5aIhR8ng76ngscDOLSHe22T8g+DToIj/ebX6tkDu8qmcGgF1x n/Tb7Y5oYUkJlZHOc40+whgbanjDXi36Yeow3Ng1+fkYMOYiisIqE6y5PyVpBiD/yEdm4SmPTiXi yVYXGjYekHZuukEreSrXnCLj87zDH1BRLXSWsFC5jSLfOOkTKkwSp5P9/4kBrXkMb8kpSXMnOkIG DVRce5wAFVAJIUvt5nn/j8SVqhzCpJ3mxJlFO5gASmiPagcS7OoK1DbxcDO4J1YPjzyPdff2+Dyx baF6RxDe1pw2XGyqr5QD0q8scRsCv+rNUVOmMnpOh19nKJApMkE3TFFocG2ToJUQkXcukLLWRoYz BDKSyd7cZJPWzh5M125YF/POv9vIrdQfYQDYyGmOudpLG6UEx4thMnYkIGwnZbJWUWZ5GiQ= `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/mult_gen_1/mult_gen_1_stub.vhdl
2
1340
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015 -- Date : Sun Mar 13 09:23:31 2016 -- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/mult_gen_1/mult_gen_1_stub.vhdl -- Design : mult_gen_1 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mult_gen_1 is Port ( A : in STD_LOGIC_VECTOR ( 11 downto 0 ); B : in STD_LOGIC_VECTOR ( 13 downto 0 ); P : out STD_LOGIC_VECTOR ( 32 downto 0 ) ); end mult_gen_1; architecture stub of mult_gen_1 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "A[11:0],B[13:0],P[32:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "mult_gen_v12_0,Vivado 2015.1"; begin end;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd
3
18538
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY blk_mem_gen_0 IS PORT ( s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END blk_mem_gen_0; ARCHITECTURE blk_mem_gen_0_arch OF blk_mem_gen_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF blk_mem_gen_0_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(9 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(3 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF blk_mem_gen_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF blk_mem_gen_0_arch : ARCHITECTURE IS "blk_mem_gen_0,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF blk_mem_gen_0_arch: ARCHITECTURE IS "blk_mem_gen_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=1,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=1,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=blk_mem_gen_0.mif,C_INIT_FILE=blk_mem_gen_0.mem,C_USE_DEFAULT_DATA=1,C_DEFAULT_DATA=DEADBEEF,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=READ_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=1024,C_READ_DEPTH_A=1024,C_ADDRA_WIDTH=10,C_HAS_RSTB=1,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=1024,C_READ_DEPTH_B=1024,C_ADDRB_WIDTH=10,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 5.96515 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK.ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RST.ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RREADY"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "artix7", C_XDEVICEFAMILY => "artix7", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 1, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 1, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 1, C_BYTE_SIZE => 8, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 1, C_INIT_FILE_NAME => "blk_mem_gen_0.mif", C_INIT_FILE => "blk_mem_gen_0.mem", C_USE_DEFAULT_DATA => 1, C_DEFAULT_DATA => "DEADBEEF", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 1, C_WEA_WIDTH => 4, C_WRITE_MODE_A => "READ_FIRST", C_WRITE_WIDTH_A => 32, C_READ_WIDTH_A => 32, C_WRITE_DEPTH_A => 1024, C_READ_DEPTH_A => 1024, C_ADDRA_WIDTH => 10, C_HAS_RSTB => 1, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 1, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 1, C_WEB_WIDTH => 4, C_WRITE_MODE_B => "READ_FIRST", C_WRITE_WIDTH_B => 32, C_READ_WIDTH_B => 32, C_WRITE_DEPTH_B => 1024, C_READ_DEPTH_B => 1024, C_ADDRB_WIDTH => 10, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 1, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "1", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 5.96515 mW" ) PORT MAP ( clka => '0', rsta => '0', ena => '0', regcea => '0', wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), addra => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), clkb => '0', rstb => '0', enb => '0', regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => s_aclk, s_aresetn => s_aresetn, s_axi_awid => s_axi_awid, s_axi_awaddr => s_axi_awaddr, s_axi_awlen => s_axi_awlen, s_axi_awsize => s_axi_awsize, s_axi_awburst => s_axi_awburst, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bid => s_axi_bid, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_arid => s_axi_arid, s_axi_araddr => s_axi_araddr, s_axi_arlen => s_axi_arlen, s_axi_arsize => s_axi_arsize, s_axi_arburst => s_axi_arburst, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rid => s_axi_rid, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END blk_mem_gen_0_arch;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/mult_gen_v12_0_9/hdl/mult_gen_v12_0.vhd
1
10054
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DndfBI7K3jXgN7GHRcECwyAER1W1Qh1PMsFelxk+HDT/ClV9Zo8izeECQIpMvK29OdY6SSkvB4qZ +AYx/myMTw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CdiSOlcZSDfE8CurfVdELYArX3+TnREZq8E2Yz6CqivQQWiw5RGxv4Gl7Au5kxChzGyLzNLvpmhT ppQfKBpf+XrJYAfKx28pTmAx8X2waXhIlI0DeX8Ov4RDfCu2fd87Q/1t9q5AVlYHTpz7Pm37oQMC BonWIfylGOa+liG14eQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gt7F+PGAaFvQvayxMkye/PdntejydD0eqxluJporKL/eE7tO3gqhoJWrHr6EJ2JeFopjz8ez1QhZ 7fAYU5KG/SEWjH1mXWJASfakqz5iOx3/i4t+1xPIK6IS2CWsRDWrz7qcp4f25fwEKkNTRTb0kA3S z037QRb6Gcl9T23pQbGxiebbA2gHBh4zigT1WwGjqx80nEVyADg7jOuLU2FeqX8nsBo4aya1AaGy GqejeJaJ5IQ7EY9/zBAWE+DzyhN4Gv8mYP8lGSxa3Sth13PiRU0xsOZGac9yKFHDFVMpCjhoYAJR tGl0wUk3TSBcSnsYqPGgP97x9w0OHGuDh5JvkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iuUGkiCJWqD6S+Ivv2+2YU4CYQvzOyv4L6Khf5yoSOlP+8rsrITJxR/snSS95M2cb2SYmzGxjaxu 2TAok7Q+ox5BAM9XQweWOfuwovlgJjHrloEcnxbtYORZwicYwSa91IutF7z8AhDo36QmuOnZx1Z9 NZoQDVYrfJs8Kz0Yenw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a8x2Lj9mmpL4v+zPKabbpGXEXECaXjvwa8IWoZyGK6gZzcKlusapcFQp2jYobjGuXoqhkYYp4ANR /7TGF2cuIszd4V+i1ZZL4M5UXTQh9kLT8emsG5cwnR+Nehucye0a/SdOcbn6Pcg7yMce/+zpuuV0 ex4jlZMAsXf6i1il2ddPdtWT3k2AbR+Am3/f8ushp2fsmcGMgRVNtOOYROsCDX4KlRdas9YXlkq5 9d+ubkYzakIVQa0PQ0jQJQPW2/C1fKNsLisKy4kJNaDNwiXo2Ve5N6Qxb5irFP8wZ6iapscbnarw DNy84LnVZiSVsU3OP8/S7YHAsdW5lukpeuJb8g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oHWnYLE0J5rZZEnXMuTAQxu9NgUolVXZM5hq9TvCFq0x5b12/jzoW51moxTIzUBj2smQ/sB1QlS7 m2fDrJuFXKoj/HCk0KONHoXlaXmLeXQqL6HYfKw/j2F2fFIBmmAhAJ5qyyPkPnlXCvkE7fsc67s3 qz8a+KKsHGqGWBdeF3lAT6y/10HKSeR6oGugaujjA2CDnjVv5Me6lAzz5C8lRfbolqR+3RNm4o5P Ra7RJtGQz1ANkLxMLrxpjcw7kXNTLrC08BCVAukRWzPhr9a9wfHitoK0WlXx9s/o5jOgg3Z6WSqF sJxU74LBWwstEEO17Re4mT3AJPySE6IUwgXMTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5312) `protect data_block 1i416Wrv/swZfl+Qpwtir/hJkiToDc6JDYa3FWJvQCCvO5PeuELv1+MOgtOTts6nN3J8N+TkRhax JqF7bxTVMVdTbS97fVI4l0bYjbOgXClp5kshPPWdqS8FBhUlBYozX80N5BM5DK9V8SUEN36YWKxQ GEd5bzCBaQgGsLoYjrYT0X0e4Xa+DxQp/K08H/LauhFssgVm3wTjL4dQYC3kbqtE31H0/V2B1AEo T5ANQPonPCA+9H6s7tEvmN5DGgeMmI1zFpFa23wOeJpBk8hDhCOt7HrgPu2q1hUwHrM8c4CBktqI B9XAfKlqdmhGE5ZJSqDJeQvD/EEuyU6mZ8dvza1EPTom/CNU/pkMoc8IONCUMixVSGXT6Vn71wQ7 sLlXGRf+bWo4cQyz+UDbRXDPAJNpJOjTEaRUGjwophY2cJT5FqI7+BDNftg+MlQd8QGS8mLe/TU1 ZSogO6pur/b23wvJwHaE1aFqP8MlH8xp7MtFY80G9umtG7IhZ7cUqBD7BYOkjQuAXShwH+wfSVUQ lgYQZaNKh5lSWJIXVMrv11cNHzztExDH1Qz8Wd0LtcZlEFs0Fk9WmfF2eTTIHVkoNXnAPygzatnF jhyJSOeoiFU7qImxB0xYgWp8VwJRD6Bxnd4U87YOjZa2M5DwmSOnleMr3bSyszH/dKjaqzdiJmu1 lixqs0TSaUTSFvUvzPZPScuFFgV3VnXu4Xui+Sh1ju0vvUp3VnX5h+ZLBSuQ/+RVD9EiUHvJH8ye YxA+LiszltiodUpLaxR9hSlK4SUbsZPsGdBatEA4q6naOpOQZtcipQjxr3lCFbQMpf1xazYwixDy ncV6S0TDGq23RTaE+yMYO8YcFIMA4HG0osH/WUJGW0d+3PvxpEAJ8Gzl1anILGhbizTPF0P+AzhE VjxU3wscSLF0DBpQVPUqJM9aAz7187EH8RHnVGuHDZvYjXP85BkkO0jIcKS3ybnJ4WAr84cjs89R RZvmikqRkFjRQfTFMmuLsuMFEnaArw6xV+g2nAsPV/V2ZHsySwr+eMADezINEG7lpSy2EYHr6LjY 4FzOT/zmBznQEuV/wWy0QlreCwPWVtPHwhcl5IMTK+bbEHNnqMbSKg0jn5pmqE8dzVw5NnM6S90a 6KDSEgMtngv8ztA/H/je2/FH+UCrg4O+3YHe3O7DLwmaFohXu0P+e6OEezFn03/jvjMdywFWbQnp ZpeLQea93KroRIgoIIVn68x8t9qjRmrAVNqa3LvH8sk490qW9xWwdbkCmED5bd7Xl3cXEyiKNlIY RGSUv41KBUIJCF7umkPrGagNAIhGH0ceVCX5fkxhxufymD9RLjEfOzne+18TG6Cgb0KRfZQPmI3p uthjVvrF+/LIA4roc90sEO6IR50V5XV+rzRHDtBvXFMhaBT2CxdcAgPLpNcP2b+Z29Ex86dQlDAX h34p//3lVLeC+54h0XTL1HPALApET1FVTAEvD+RpLokwyIrWrKTUdPuK+5Nb7bKDORX8GRZ09tjZ YoJJFFk2R3+qL1W+9OQPfuqUzuUT3jw8tuhGMGKAZOCUzTEP9LFuP4JYazU03eg4n2OoV53fa+3D WxRgdRvWk3jKhTOH2f6OCJ7dLfDPvWc9mor+BR14hoMsYFyAjojbTzBctPi/v7f728gRdGXSxSSj FBHjsFoeTKjF6dgIanDOgvqLbGBpIlQ0Naa7tH3jrCjZ7CGtNAo6yY7wyQ9FQEEH5w48V8OkWrJ5 FW3H4rnr+XleQE7Isl1e0gVbCOzgaOBBdF6gcr6q3egqPZkEMU0WHDyNz94x3fgxyjKAkor54f3L jNzMPHsvn0QCsL4iYmnbyBFlMiVHmtcwQ9//i6PUaJQUSbWIDeCaApSo5O+5h/fe3hxF0KWEDtTK Oo3kLNpj4AWx7ihyHlKmx2T0ebERyPiiPoZY9p8MR8v0PZruXMbJkHE4pJTbN8k4Tpy2pHaEBC3g LizTwHfUBHeeTwqQ+ozfOAzUY5kDHngHVWbjf6ULl0wuovEU6sUxJhVuLxWuSZ9jMY1ABwBv9b+J p17yNcke+/3rrYfv712g3kq/RYSEtBeaJVHJUv7DSzSXgqnKM73KxPKER96JKb2f/1+UnH8IMeBg 07zk7tI4scWbDDcA6huqB4VHaaSFOdfowDvkalUwGiQBUgAxp4h8KEZ+pyGgZhmARveGATaWd9DJ wnuydXvaeFdlZbj6q5WQdu3uShlbzaiBWygvk6rKgAyJ32rrR4REw8VDhxrubS2Y1A1x+iNcepkj DOOEbBhfkYtE3ILU0jC3P9B46MUIGXOC/eC1Jbtjc2Fs9oi5pTaEGaB31kNmHUAZ6UZmj55IhdDz ouxJWGV5iUMMmIuVndV8ibTlKJL2zYg0jLLCkLdwx3cSNDza/FUcDgWCvhRPiZgAGXxMmD/6voSk l6DxApiChOM1EIdwz9xqq0J8ZfUKLl6GoinplrBHDjHq4ni3VS96oj7kzOJtIrC/5iafHiYk8zjv 3wFyrUyqzQmt8uNfkxROwb652VUsrneJVHqW9x4S+AOSa4sQ/TIYIf+tK1Vpzl4zP6uk6KU2n9j+ uPqLaOR79cIfkaplv23uONTyflfKqlJkW4yrE9anieANEkOCE+QaXqJiA5Cz2NsJxJCqQ47eL8yN SNGnK1YXlD7yZAeVU9mk/pxKaeZbO3qspHvGqQBcFv43XcMbkKCo7fqN9LBdmlvl5fLqXuyrLN9B mxhwIi2mqYLH5dt9GBqkLCETkPQ9e9s61gL4EcByxyKHMl5GjoMb4pgowpIPBW8xiTF6Yosc/Z3i aGiPrcp/dvZBK+V+3ALmyt/B3a03T7xgRVDk1hv4R1kVHrMtDYXpdmVLd5f5y2tOQ5mYOhAgVbQR PqWFTGwVv9K0bDH9J43ZLanNRvPUYpFyavaFcGnMiamG5flv2YiZj+GRMjp8O9wqQKqdHHlQxdjk agrf3WN2I+3gI9ognc5X451FKSEHkugqJ5O6Ojq+b44kIO3ddWpswwMs8/NdICb9oh4Ad43BtGJp 0q1y98lEMSFXW/eemK/KWlOTn6yq2q7GqQKD0KMtytQbxPKUSy5ny7JjoavUDKvShYxc95FBnVZD aZhPZ44GKlYGQ9LgkNk4jXsaPLwopInumwMr+crV6qwHsqyIUd/qolC1zuw2erYZxUXOYhEmwN2p KLk/HBUYMle31V01tBYLgHcJHKl2TvfLuqp4vUnFkK6eV3pON9OK++a9lu/tH0QRKJnOQJx5Tg8z JoAp+67laCiOdSbd1aXF06mx0NE+54+pz4lLwZkF38ZWRhs8G7KBPYuF5SJXx/EMk/qGcn7XoVPV uxXBgGaHznjBgRr+KdHRG9LThn75hqumGWA8IeSlj2+vfWjx2pUhvun18VmfD1OWknKwvMBkGofX T94cGUdbW8lvYChU1Ds4w3q0ndqdTABM6qa3D0zCsKZY4VTswvt1Yv2bVYl+b4E5bCj26EhYxxTw fRDsqZfch6RCibhPolP0nb9Wm8jdPII2cG9XSV/u9TaBVnccEu9P4YR2if4+uWEQ4BR4YguJ4wjP RCxsBtWTuUWKuaFbUhrWk6PQ+yWyZ2kWSaP5/J94yqqGMcTwAigKc1kUcL3p7+5PWeVCVNkPI8HP AF6D39tPe4W0BfRVQNv3J9UGNCWJx2Zz49mNOMbOGLagrZS9jOLrse1WzYMa5vY80C6XEGmT9Xur kR8PhDVmD07luwHHbQ9fHwnHMFmZcuy0qTWWMWt/VfZeslVTYBuOZf1akPEX249VRwfYd2NQnhj/ A6SX1892OuKDoWl6cAmqqzfTu+MHybMW534uQxj+r9+F6qVkgSag9k/L9pebtUJsU7WVM4qW9yz0 wLpUUs28CzQvKyEE3Lyq499F8GdfZgOlXpmTeQTmWAHZGqzi7bUkEoN6VaV4oxJsjuOKqOZLeOG7 jsmWRRPf3nNO6hecr6b+yPVMwh2CYNgGF4u7rTAqWE2SV6j5NDEoVW6Qi+955xQkgIgl5ZmrwZVj 8oLbAkbPgY6+g3DPeorJBv+K/sAZxFqEeyw8OgGekHZH0I5RQIxQvbRKX7pWq4t8Cow/1Yhlosj6 QDb6hYxy9hX3K7CyYHWSgbPQN90x2IgVUzHjyFdztJsR3fyizEM1pyYNdSmeEaF7tOtK2bWbEDEk o6fdc/ZuWhz3jhrISfVnDzShFuZf/05CS914eYTjSsNqbivpi/BxbOI0y6yHn1hX5NwIdE/hz9DF Y5kOKKY1HOSLXlgkIX2eW7GysazNhhkGKyfQIxR+9tLoKFTNZF5Sj/WihzIHxMRa8bckkU1HCT5M KmtbeqQ68gFJzhsyaP49IvwYnNsT3NKECmCqcdvQxG6kN/vAVPWhZoLZuT5K1zZMAoIf1CndtBq2 +cHMOG+Ip2vWYzM8lPsGezVR8xZUL/z3RwNy1cBVSWcg+ins574WqCpE1aPZ+WkOPZv1xsUPEh3K QzKf6MoUo0zzWJJA4Cg7LwyvSh7KuGuaWzUdauMbVaYF5+WcF220es0/VGLIsIZeg0l2Wqcy0E6Q hBuujLsPeJ+lodRD20HM1gClwWEbN8vFHd4r5+PdRNLqiCA/uS1Ckgg+wuD07cUOU4G98J0IjRmF mV+L+rDnRsbejsqz0jSMMmblQ8LBMW8hN30ClkHhGSfIB/EO3FLVP49dK9tyvHy7UdQRxxKrPA47 2zddXLvV/E+Y0mvxFVfnnaNXc2i74sR/Zg5VtpSuf+UKmOu0bkQKcmsXsAwKldfHoeV2wQWInnTu TR4Ow3za0OYti/NDhLut/cDqPXOToPzf9V/C4C/C8mHPJKI+O5k7hic/5dm/Eys7emEktc5fJ9Ck HoOJo4WZtOIgXwQnHQrJEt1FiEhG/izBdLE35jplkU5UfpOQPOf68+t7WVl2XWONEwqmOU9cR+eg ox9oYf5WnOPituMnLl5YgDdjGAUL0t4CME/Vhpz97YHjmdNjhRbHiyxmZCrFZv1eHDUtoa0FMCfQ r5AiYgJx1Tvk5LVqqq3Q9T7gLTTtanIv96YF4Oz4kMYLB6bzcPLKsQryPAalkhVYgbOhp+oCjPn9 tDWdlcNY3gisf6qTj9yrzTth191seuB5dlpHz6RiwlhCHG877KJNcEKddHXpjQ9NlAqxTDC03Y04 Avscu/XX2qTnw529R+UMigMS5Eb0AxbbwsLG/Ax02oNUR/qP/BcdmlLhtU+0AqlvtyP2MJucq8vJ 7uaAJlfx+I3eMrmEejYh8v34wCfTiFPfwcKTopm4n6XaZ6UygYTbJyApdfs7QgZSq2xlofn/XpsO 1Z02EbDguaof3jr/NUQkbDX2/qCHwjaRZIHh6q+0JTFita9t4l9s772LMmCI5IZ9lid4XYK65Dow 4TwkXpOhTz8Hf2qsemHivEDKrToDZMGfOKo38Huiw1BXZhhqzApvmbDw+NOjUOHBsRWfkuOr9dil Ixo6KedIWgKH8u2AEzSMi9rNzxslwHBUqiUn/nRYVBmS2X8URSFfrnfrLqcntgw+uXSg3oPRZtD/ ZfvBBWZJB1kaYV7V0KNGj+VSoknCKbftHRZjmS5VlHTUNFj12SUOpPVmEkV+Ga0PsvVh9jUrOqJ/ +rFmE4SwRI0gAo+qITyflWWIqpKaTEkABrP5PJab0AXFwEjmkA1e0rJxttnwOO9/CBzDwRZKcPvc I+0Zs7lC90XLb0I+hGwJv8nY/s4MO9RvSBWbf/MIck6WVmYtiqRICnyZDCj2Ycw+EsYUBZDy01+3 Y5cHzxuOcJS+EaAK2KHbFnHB+s7Atiim/18twHcOpT9udx+uDFY4iVdkY1c/z0Y1cFS3/b8tm4K/ 5Ya3Y0p9GyyS6SHBIL0lkcIMAreKGuN5lLjpw4E6fEnHoEYrhyRf4sICwTgVt8eNhD7aZ6Yc0P9I yuGTxyxtVw4HJ/DqgueQ8pwHHWFVZlDqEUhk17ocCIr/Elw97ETKQhwsZcFIlr4mcPnJFz3gUx+A pKrq3zmU/XIREdG53OqaESUF5Apxm3gWomzCJZMK5bHxR9Sw1/h5rOtWz9WVJ+BzW8dDqs6PFVYX l9xKsDNVE7rGNpn06UfkuRn4F3ntnORME2B6NdVGX4T6G1f8yX14KBCfbjIwvx9XWDWElylTUy9n hUh8kuqXiVXmDDtBJq5RVner3gG4PHpSd6AukT09XARppLUyTIoUfgRqtaeVLWMVDVURL0mKqbct ufRt2suLkDorYXy52oHN2V5YPg4dpJZndJ6d8pA29aGLMwWN+IaRNcpLgHNK/J5DIMqilEg2XWN2 UBEQpBH7swmCTeZ+AebY9sYxgO+hgkNI5fJwZbrcom9YZakTOt8niS1X90lOqBTS+8xzjRSsSTAh NDLfFVfa14Mh0Q4LvpQZVqNyfc3QBJK6PVJRWpah9alxp6L3sREVLHimn5nDXtp/S3pxCokDUYi/ oUIAqmTh/k4/qjiuPFf3rriSz1jrFohwWs0fzSXTMYGlGjBUTgI1vpQ4oHOoFNCdf7uSHa8LpOmC EbHaYPRCPGhUOdi6ELZza1OvT9Uqp3a3rtL75qMBN7q+MrylrtjTeC2LRICLk7nIh83eziDSQ/WR nA92amHTIWCBf5g52WVHUKI78NEBiy3lronfwrlwd5p3idgUhqYw8dUn5VFknf3jzE1sTpGghCHV kLxu9kIrCaoFN33lhW6zAuZX+bXNKBDcM9fbUXw9fJbZQdKEZIkDDhGXy6bzL21ZXM1kCbgdA7g4 HDnM2XGXaT4ks4NapemQW/VdxPq89oeDYa77NMl3SY5adRVjFdMFMhMzDSjH/Rn7ZFuERHsJO4jA qtOhsTqNFLcOHg2IzegqWd+ulADG4g5PlI1Mkg/taTo/11y/2QFHZz3OkJkKp++pHxNx2a2Pknj/ WOXxOssRmsUVJhfaOReYimU5dPQrjuuYHmbACpNmtfSbmRlpW9dcHwFGKmMgAxquRmu8VMa/bGc+ wC9dk6mWdJkw8IeHsZjnqvbZC/bg9vwOm9Lb9UwCQ8mzV2JOLoh2Om3JKpACWNtcfNOqbG8fChkL Rn5Xe5cx37GXw1Y= `protect end_protected
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb_cnt/synth/dcfifo_32in_32out_8kb_cnt.vhd
2
38925
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY dcfifo_32in_32out_8kb_cnt IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC; rd_data_count : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END dcfifo_32in_32out_8kb_cnt; ARCHITECTURE dcfifo_32in_32out_8kb_cnt_arch OF dcfifo_32in_32out_8kb_cnt IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dcfifo_32in_32out_8kb_cnt_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(7 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(7 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(7 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(7 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(7 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(7 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF dcfifo_32in_32out_8kb_cnt_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF dcfifo_32in_32out_8kb_cnt_arch : ARCHITECTURE IS "dcfifo_32in_32out_8kb_cnt,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF dcfifo_32in_32out_8kb_cnt_arch: ARCHITECTURE IS "dcfifo_32in_32out_8kb_cnt,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=8,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=1,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=253,C_PROG_FULL_THRESH_NEGATE_VAL=252,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=1,C_RD_DEPTH=256,C_RD_FREQ=1,C_RD_PNTR_WIDTH=8,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=8,C_WR_DEPTH=256,C_WR_FREQ=1,C_WR_PNTR_WIDTH=8,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF wr_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 write_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rd_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 read_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 8, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 32, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 32, C_ENABLE_RLOCS => 0, C_FAMILY => "artix7", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 1, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 253, C_PROG_FULL_THRESH_NEGATE_VAL => 252, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 1, C_RD_DEPTH => 256, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 8, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 8, C_WR_DEPTH => 256, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 8, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => rst, srst => '0', wr_clk => wr_clk, wr_rst => '0', rd_clk => rd_clk, rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, rd_data_count => rd_data_count, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END dcfifo_32in_32out_8kb_cnt_arch;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/mult_gen_1/synth/mult_gen_1.vhd
2
5547
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 7 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0; USE mult_gen_v12_0.mult_gen_v12_0; ENTITY mult_gen_1 IS PORT ( A : IN STD_LOGIC_VECTOR(11 DOWNTO 0); B : IN STD_LOGIC_VECTOR(13 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(32 DOWNTO 0) ); END mult_gen_1; ARCHITECTURE mult_gen_1_arch OF mult_gen_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_gen_1_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(11 DOWNTO 0); B : IN STD_LOGIC_VECTOR(13 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(32 DOWNTO 0) ); END COMPONENT mult_gen_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF mult_gen_1_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF mult_gen_1_arch : ARCHITECTURE IS "mult_gen_1,mult_gen_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF mult_gen_1_arch: ARCHITECTURE IS "mult_gen_1,mult_gen_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=7,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=artix7,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=0,C_A_WIDTH=12,C_A_TYPE=0,C_B_WIDTH=14,C_B_TYPE=0,C_OUT_HIGH=32,C_OUT_LOW=0,C_MULT_TYPE=1,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "artix7", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 0, C_A_WIDTH => 12, C_A_TYPE => 0, C_B_WIDTH => 14, C_B_TYPE => 0, C_OUT_HIGH => 32, C_OUT_LOW => 0, C_MULT_TYPE => 1, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => '1', A => A, B => B, CE => '1', SCLR => '0', P => P ); END mult_gen_1_arch;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd
15
90319
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rD+dVeClxa5UKiAeGPSos59e0yGruYVZi+W/E+0q3fZeAjTB+esh7TgdUdHfBjzrqSij4ITE13SB S2JTA0+Lxw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gOFW7NYGrBefbvEmNP/RoKVgAM+JPbz39U+/GYb30Z575UtDQulr9SX4XJnY7uSV40YUJ2ArXd24 OY4Z5AB9fiMNGA76bpOHLvGgHnu6l/objBS/Wz5AG5Y605zXoFjje4C6kA6X3UqKBfHsY0jz0hsC vz2foTkPJrLM12y3Edg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fLzV/2Lx//cfK0x/bUaUV2CBkd86pxFH92BuYuZcuFu1oHBj7L8oMae44nU6anOJ0bbfks8lhzQC b8Cj35a+SBYIfMz+sN9vYNunT7rmzw/eE8HnmaJuglw7ycr07dmRuTnvJKlhMpMQtBeQIl8CHPnK eBV7OPQuSxPWiDRYJx2Rj0mYaDEUCB/UHXHbdM1har3rDftLp4or1Gta45jMXe53D6DwgVHTmFQ0 QX4V5IcmNfof9+Pp6TeaA7jiYyecJUx8c5VkS+MsJvtyKpgT7BvlsO9oZknCfvRvQBu8sKk+Vsrc XVF26jvVYm5WKyAgbsLkZIc7Sw8V1Z+tu2Cx9g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Hk0C5QdySjsdnqNSf/TQ0ywVrxMSePJS+YXzTTuNiNV3smgIh3MuTQCTxDwEtkQBwTirzdj0UAY6 UcL2Z+7AQAECIESNxFE9S7mQNtq+KnQMLsg+PkS6RgxdeGsVZ79GnzMmQZ5zFxMVyu4g4OgrrCNb gvnN9Czy5GSbkjvKbHk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nkoloWRMWd2XlHh/zsh4/7c8WPJ2mRzQLi/+v3Fs1TviBbuQPYRFHjFiQZAFZg1bkP7UIGFs8fOT K7j8xmPkWDnFlSLPFJ1VXXiwngN+K7IqRaqeJPl7mfp+Ll+BfRHfZ47EzOyQil9Wb2u+9lYytH2h NT04IiYZ2Mpra6Mx/+uc0FwWwrOMXIjqneysNBX+iMgpzEl2h3LnGTr1JSMXjKmK/VoO5ZFfdFd/ XnppjEUCeylPd+dlYiGH/kbBASgoUXJ7mt2nQ9ThPtuojx/qVb52/sS6tCp/oCehxkf9cRKD8PA3 psxBGy4Qs2dEwMkU3RphG9PLPzc2nwbZUA5PTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120) `protect data_block EUukn7t7KP/vTXvThjEUCNwrvluZkaoSeie9Sd+Vmu6rawdqpt1228VINxUZUDLtoJrW3Gf4Js+l A+ah2pHXnEwgQwRK6RdC3trGiZh0Fc/uVHqK5+ZXLgK3WdZrA8VOL4eWbnocrUUzenniENeiHzOF z7X1p1novRWBrwWYiP+8kNJyJThlomFZcPBPlFp96lUGETCkGEpqotnaC0txASJ//QGrEI5X0x3x p4ZvY4v0swxEosH+3ahlttOk/xBFWMFhd2YXoNXxgXnqrJLaZnUXdncdl13MRolWPMEgUWKqr+Py 8TdTUxO/01WbHVS1iAWJyC+Lij68TlhfMpWaBw3QTzTEbM5uRREhHmzFsFvP36WHQNqrbCuRAYt7 jusVpUQrKBBOyErje25Zc7DIqMkN8enYHAEUtZf7bcFpH8GEtaieRdSkX5FKiEHl3iWblGhcAPW5 +83Gp5EIA5tAbqFWeC8v/gXEaPPFngFHkAVQNcmEjuf77f8IYwdskTorYv0kZLGORVoEGjlPKMWF qi3NYRblhcWXg7zzLW7rRcOputOUugj+blh6sbWSJgo1PuaflH02flA3HUdt73ESFGoHmmvPNVsi 5O2c+cXHNu7gEmvTEGcEGNfMOMySwR5o5JmaTYDgYg8Cw1yHJwlndrfPfYlrTVH8opMldbImVwfv MUFiDOrq81ng+ZN4B8L6t/1WQwkhi9CMrlLjIL8ijUSPu3WJvVUAjBzKUoD2F6WKGJSD6SI8mx0E cV0lkRFEIpwFD97lN4E7QM/AwfNrsSxMzKQsJxtVLFuBzNDlOlaKFXhOzet+GGFEif4cs+bK0jNo XYU7F3XyK37B9+5jSgvFKIupqbm8GbHmSq38oK+8GKji/O6clGago4g6pF+FQNMIjGWYz2zw0JFJ mU03cd2XxBkD3iF2evjhmJoLbfZl1l/6dgh20hi1Pxdh0aDzCkJKTaiZfThEiTLBURUMe8awFgvV NjFLIgEBqlEaw2Jtc65/uTWmRXzvblF508B8UAfZVLGD85WuQdiR3T8zNQ76CMshPTH6PryXwpuS XYkTf+SLHVOroSM5o3UC1o/tDKzF9kGSAf2hi99OeawfYZmFJicK9MFsrj3auVwYEvRW+QjUSXmb /E13IOwciVg5yGdDQP20T1wG2A41AH3TNtIlXrp4b2PRqMKKaqPzAII1Y2SXIqssQAxQapTJlCM5 BNobnw6tt+p7QKTcWSP76sdjIsK7p5Y5q93ieOxDbtuPDJ9ONoqCrDo/ZPIrt1kGYJzwOogICRaB rv7ZWsRfmim8e8veEhGG4DeVpY92pBWsOpjOtEn1tWLAjdQOLF/JYS+NbNvqom2gpBolMLLYfsMg ie7cYZgW/tLmN8tLLcwGDJ+p9s4uJdfirir2+/yTigcHmoWU7vkzaGMZ8l0fLWEUJFzrlkiSze1N kzpYEFi+gMMVRTRHY9wBodqTpBGFwK3SSE0Fu6XB+VFw0CAyOdHVba99a6MPI87sJzvcAlUVkjxd 4rhg35DOCaFEal/bGlbv8ewyo+mlXs4cShUV8qZ+DHVy6X4Xv5kJLpQNULOQFjyllwhW8OiMWPta WWTOlV9jLA7zN/t7sRaMIuh0G8aVfaNdQaDOD3VtleWEffuY3yTTepjWCZVOm0Uj/XZ/gPOy2b/2 BK6DRY4IteehUJx78zpnlYYcVhycWPlrTuJBNXhpqem0Egdbpu1B5lgSditBhs+SaggRNbO/nDPx ZiSkqQH+BXl5Kp951QTPW8hRVHglGXQALx/jWazqdmDWL41/hjLy2xvrA5TW998hLc7qH3KU5lns D3qzeBLQeaDMbCecI/IFdHWeCBWJiZH2r4slLmvt5YjQbcJA1QNuhgngFNsdocomgJM7EdHSwqZV k3ZkP/zBTxBIHqxY+TRVu8IEUyRc2SZhLHsJOcB931xUuzxMmrYAxL6fA4jzvFLrseqnarzQxfkU reM7huRCf0nhXQG2JHa31+WVzdA8njCaoRZsuPtxE3JEShtvVXxx9W3jKkspZ3lDqZFjVa0Imkko XFvUXc9lagvqLohCytjtuQsvheBCaCnGiBjsN/SjoruThF6vD+58Nl5CXmJZeNOLFEMvMOqoBKvI JTuizZ4ZV9QDCNee3r+lt846QNOspMJjX/uBsriyj48oOAztIHlhjBkNo/OrBzo3snPJQM3E/YNq QaAk+TBFfzYJDcvjOnnLzvj+WoqkUjjtaboVhmTslg6KrxbX8QVHW8mpCE63Dox1JKpqkg7Mp3ga dHWLm1m/3PcuNzF1rhzOR0Aw4dqnOr02MKATN/50fXn4gFlVSbMb1lVQyAjV0vzEGSUmeRNo5z16 J/nyyls3Y6xzF9Wk3BLEEgDHMyN9A5bS8E946+EsVStvZeiYFMnCOxmzopSkqCmBDhyMGSqEd+Ba biFXp60/rBURzCFwkj4ZKcs/1KbrpbL90EqxD5B+158ae8kWTxySu7P1dz7MS3OcJJh6GBFrDW8+ CyLuVhJ+WrYU3VM5ki17BBHclnYJzeCq/AI+y3Og6Sh+9Lpmlf42fK40DTRJCh+jdq+1IH2E+vtS U3QkAV3KHv1MmdexUq1+r0ONC9cTeYGoAu4eBQJ8J24uDUTPhU+r/dNajmO11sXDy0spCgg6UXt9 7bo+PQakbFTwapz3CM1hCVY5Vkoz7Q6FVpcSiAIujhH4Sz0OUfc9cDpymbY5x6++brGkz8ajuHxL gKBqk0EeVURK+kbI15ArwmZ1kddywEppnxiTLMC6fxnPUXx7Dp8hzHqxge8fpHA+MWzuCCwWSb0t tf1OdLb4PzEzXPsP5Q5hDlX2hVQDvJEcMXpRRbq99OkGtu4TS9t860DM7MbIDkUJlaEFWNJ8N6eW sjCO5TJ6Fd3oRsvVnyXeFk4h8sAv0UT1eqXNUIIquUcVQ1MAwnEDrf/08nSDzN3zjnBo86fJdU0e JNlI528UV2iBnpb/u0m7l2Il/wdlY2azeyTby05hv4B29GHCSSuEmunPJ548Ag6gLDkkhvYWwwOq jQB4/UTHOqSp9yfuVLh/nRUi/kn8FSsvCuxtD+g68LhEdF3AvqVHEAcgEcaJBXtCnI02dqFSWVo3 L8lQ/OzRkCobk1BCSpX0df/EBUj3Zwi5PlnmtZZIWe5MGCtEdf2bu3m+H6uz0h21XAo+pgA2i+jG hhAOiklrHbjRXAk/qp+xkmOzKH4BBjwcpideJBEgCLA2YzEkUMGlCp4bHALVweYTre6PXrSJ4SKr 0bsYsBua8jyGOfwRVRfnd+QrhknB0Lqys7yjvzAicS+iomIb77Sp8qt0wEdw1ST7dbX69qsF/u+S JnNO8jR16RsFGWRXUbslY4hzhwp1bk8cUQoL7OBzDHE1iiQuimPtrXo3ZlroowQ2jFnZ+PRl3Cc7 /Iy9VBIGvfNiCPa7GTagvYhz1YxWAmJ2SDcXpzo3adpbqNSjkGCOkPF8KKrWF7zbw5vSPO566vS5 ErXJvIoz8i7gbOKPlyf82Y4qFgw7tNoBofJ+QSEq2R7rYScTbYwwCAdzs3REZB+xqIAa0hiEetMV 4plLjY/freVT5rQFCJnWzDhYdqqifyACzpZtnpomtsqNkdq6jIEW83lBvgcIzFwk4KzO/ncOv7Sp F9lA9yo37S8R2KCTeUbYbt/3RrfYcdnpp3ytKd92RaamjazF+Nr+Q0SZ01mffCn20Qc1jU6gQaXs GLL2PdvejkA7RXJRd9HfySutvUVjqi9QOoCkaUK0Ph45Jwpvl2AG+FrsW4zeVVm0Rr2Q1oTtp6rV XQfoGnwhKHQV42CO36bWHvfAmPPLDYU7Ww1BkVFfAZCO53GNc89iUt8ke/+MH7IKl7hGt2DEWhQI OJknUrqdaYPeSZKQdNH1FhhxMcXBfOQO9z9urNOlUfT4hElJQQ9ICFSvQEAg+DL36mB/FFoFMaDG wquf4TfHkWDuweNIgsOHppGNRm4+1rylmfJ/6e2A3WO1uOcdEh3tz6LBIKK0bP8cLaJV6ePAgGzS M07vr3ThB541P3xAt/dQTnr6JbnUoQGq+dYKdGJmOUDT1mStzCHeXbSRaSoNV+BnH3J2TK9PSMNw DnCjQ2bMCDAOCoSKoNnV4s2EQ4UroUZOvzrRpC/po6zQJ/ZsKWkK/sR/McmSFQY3Yjo1uw4qfiG6 SzN5EADtY3WbNMtg+sLzZH8oUii/acsjn8k3GVF+o8uqX83mQuTBK0uNjMuF21KdyhfEJq56g7Z1 bCsFwNghL7QAErP5y9mZyY/DwyMn5+hFbfgBxDn7v/744J5rOrBjUZ5gQp1LglpNSezvGvu7wl+k W3FmS/iFFdTq584oHd98NLCN+HSaJuDeDLYUT7xvGTb+g55Qhp/kVFEhmTM7iPeGsLV73fADPJG3 wNuzEFczyYEUMCDw7iQnjMZWZPUC6FQQkKe0Uo0pfgf8Jy3dVXFOwa/rI1JHhOlNy50P+kfMf98e ICc/ImCuwPb9pq2PoA5fkJUnRkhWT29XiSOpnUTAL+hgdQqXvi/x48di6BRGjyQQ7GJHvtV3RvYW 8F1a3H9uKyjZDUsDH0bqenmDVMG4ZvY8zeUG2UK7kehVqs45nXFOlv4ae1FYznWjkm82RX+gHi1d L4hrjKl6r0AUd9smcsjRQGM6EWwVQ9InSjQHGgnGhVhBtEZUYdxFFNo4vz+vkQwHH/fMkwx1p7NQ 9YiGfVBDGCdFCRPfZekm8iC+YgMtJSngiVW7MjPZ47masT/bbk7IYYua3nEMiQmR3t6aC2pp99oH RPRx4UhtEkTPeJvc/OuBTL/m2pfJ0+dgPdXqq/URDswz9Pyy+ZnQtjoBwlWOpCeHPMATfEgyuqK/ KxChCpyy7I9pWpGGgdLg9LPC9Dq6EAUlflV/4LcY3jcKNtxhd9HIzq0ezSNuRRVQsB9a+DcHcCa/ Wy21LH0YNKp470ymCImXh9iLeuCy64iMss1EKJXDveTbbVc/1EmX3nPPr1edd2s5grlpjz2Tt00o xwBpKky5+n2FMNO6MBhyrQovF+pXA1B4OxKxODSP7XYMsHdVTtXe6ZxXMkCWCEwA4yi8rs2grYT3 pwVpC571/yr4dlDweQzz9zphuWwgxz611fTbDebPsfVsUJ+P9laswW/cJaKlMXi3J360gHPvO1JX UbFvWCXFkC5z+B/myDwV99TOd1weCgOobrCSNeiDwepWOkpubwHzcuZbbeO1pZi5v5LCWAN9H6iJ yvoC+CWzsqFB5OGrTyxiEwYTg8AaEzdk6P1l7NEXRhZ/w7veZmvr++n3AluVlBxyBOJADiQalAmP um62hJyVOAO35zG2NpPQ+mHBjSeXtEIirrKsTeKLIThN8nGIpaEE48I8A0U3UTxYLlwZoAZAtLJm h2XBsxAKzdHyoJ0KWxCLsShI5u5F/0cCX3a0T5CP9R6U19VAFepK7q4gHyCiokOdX1bi4AZtInnZ NSnov0w5HsMLhi6Cx1J1rXzKyagzgHFDdNod6SgTrHxVvoP9bVbCJWelF/zelbZIlmkL9z0s3brd nSLWJFthtZj5yYWLRBT3zZoy/VTHNTqIGi4CD41gQZ38XmOzvjO71XziSLE3sRnQlozBVj0+Y2qs WFEGFzUdCOmWDWD+AnZGHA6c2Jqv0luHL1HktMeegQi5PxUA5Sz7YOYHQyIZVkPF+z041QEk22sv aMNTtIezrxFt6NKWWNXYnU5q/pYYQQqykcOk5HACs2je6nIba1VVRXOYiWnD3x+q0jYyRQMkUjef b157hSLYZ/wq/su70R/W2vvv9MIeUk+uLrWUzFsrTQo1Gq84IwaRpcG2LRedx2gVreylwPzAxJho lCgWFtngJXLkvjBvPwXp2K2I9K75TGMM9je/sh5MFGWlYt9hrOP+qhIECneiwxfxNNaRZ6kMC19v fYteuzqNaS7LY6P3Hym9anEesLn+wW/GtDdPSsAbK2NVIEzU9wumpYE0buiCjVXji9vfeogmVspa bWAplMSRypsbC31jn9gaw8q3Revj1aaM0w//p2X2AZNDRRpB5caPTBjLin4iwd5THGPD6Fn4aABu 1tZWzc5sq5tlh1ob1yioqbkIWfuYWSRiqUELVX9ezyBz2apUZPLVKCd0maazGNc4k+r3IozFzbxZ hOQkTCSSqRheA5NfSEkzT/i+woTSSRaTp2mVWYS1Z+yaFM9s1DXr9QLas7nyeTOdehyvku++UOz+ cnaC0AKPJd94HhflTA+BTz4FOPZeCnxIf7dKtCidLxxXqpAAjfW6H9ab06+mFTgJS8ABJoeOrKDm uq8Rtd0mGtkhDReZ1Z4jSxt0aMIgqS+dai6x5TiJOgxnaeFABMrjCf5jC69El4tNmDl82X2pkqxK hxrjuBL6bhpr4cDymMbqFcVZeIJzGs8BFt6wbnVKe8BzAgkAFb8ife7YO3n1uufpj7DZNn4lSAuM mWX+WBRnCxvbEvKW0My1d3Umwp3jBKFhyeNgT4WJQ6LAmTleLDtTiffpGVpuu3O6NeIDNHzZkjvO 1niSBuP4Dck54ajHvNVKiU+kCEMUgwm16Jf45kF2mKKJgFElOrlcm+jPnMlznYebGAJO/o8M53KO RxIKTU7yaQM7G9xWnFWnK47Xz0xbr40XqjpW+smqpJ1lcU/hLuY7D/6hMMWDnwy+FhsEYMAFntCv 0rZc9ZqaTp1Yu1MeXpCCkvnpJPZ3so6Ym9ttnyPoE65JIczPLZVtQYpKlKttf/yxHOOUthwqDWSJ h1aNfpVwq9yIAUcsoL3HpjZV8R1mYYz6q9Qd9D7HUuMW5ylS9mC/Cikz0EvBXOwKImNnJmonOai9 AQ3cvHfK7wLKBnXiBwocRZoYMdW3snFaKoLDoCyGkUU/MqwvKA2slPHVMPlyEc48UBHlx6tiuFTg cmdsjVz1YNLxXxhRyalGFU4/rGViDDgjl/KpO0RKF0GhvzuBx3vbn3gHNYGyl6d0gOpDkcDylAaC 9H8gRBq8XldGEkzKX8cDCgk+SSogAX/65GyDo0m5WY9gjlGA7bDC/HK9nbKNTfKARLkOBe8QTOvU xZwWpuLy6ZU1BIokE4HaTNL0s/G4pqoQWu8UKC0jXWJ2Mfz2JkuAd+9gwFg20BIDiGiHBqVmoDUL ahNnFFHxL9sL0fc8wx6jsCsDvgIEesxb6vyEFysS7M8iqkwldlbKxh4IM075BKsa1HsDA5UMgvwB eCW7g2gvwXpxMBAff00Ge4gdFM6F7hbQqAwqBVdFhfvLkM172hOuPYTzWqw21cKOFaTTSDAOOtki CRXlFiah2oLfZwTtHwQQUwdsXT9LBPO1cUq7e0TaS+dOAf21pxfOBuZr6Y0zcOuXJZ6MqbJU2epz KwrWhVQObDyHobsKvzZd8P3PJ5f92JZLsMzhUM+YCr/n1BMcmClnbZ5jImtJ13VIlOrlg1U6xs6h fj3OiIJwmYd4/jPhP/aTKu4Z1+gfUCNK7UI9OjT5Xp41gpM+RUMkCOY1ePGO6Gpa/xVA9m3v7+XL 7m26bteAS9TF0qe24m3YBUHRrRwfTKgjaFWBpBY2a/3qWbtrRMocKiypEYfN3csWOetjZRzs2him d8qA38goWR8crMMS86hFQg7OOaysNGYfwQU0r4ZTh7lEgJ2B+KaUeR5dfe6PbJM2N/je+3KUtXS/ G0I0K1gbJTpYoN9SqubRL3hfFoNRqh2JvzlVjPA35P5vNedWMVXqAtVuR8iXHctnIUwMhua4R1ql m3vQdkC2AOwSeXTE/SJ/iu3O+qZJ3YJw1HX9CggIEpjP41LFwOCujSzwzR5cGXuU981iUAd/c/Rg SOYUdtr0cWNHORJP/TQb93jCVhPP0gauVBRRO/m/T+CZf8EyCaUB6jRfKjfCIpTk88LXXmdmTioa wt2slu4XGy+wcEFyIV1Bj21m/HYjZHl6o1dK3jEg/fMrq0sJVcg5GsSqrlWmCMstWnmBkGweBNie jLl3RjVrLApc32yQtGv3YgdYDjTDXAFwChrQp6RWBijAT0hO2KfDTDI7ukWeV4K0vk+iUaANbKdj dPrtQ/TRj29I4YM7xq3UlyVadw3veAkXQ2TSFpxM5Idrs26HvzfUE79yVoUDBGliiGTXmvfXRjSQ opHUhqaQwpHuQ4YQLopZfxXNFSW8Q4HwOD+aCVs63nKMa54krSXD4jx7BO4+ZQ92x7DLfNj8Kfx+ zYtGlDXlD/SvQaL3HmJfn3cJHZ21z2O7opF4dJ9BjbIB77rmL8oBXn8FnY2Mcfc3w5PkWWvH1dnk jI3kNF0Zg9actRTwWfLChpnoIwdzw5J3NLr3WG/m0vnxniSfBsFmgEzI6dUmYoNk9BsIrkByGY/7 GEXw9O/9UIoVkjpdZVCdeMYBNwrjrQEQgVX/KNxlp6qpj16OkyFiOGGnJwK8mg8+BMyfKJH1lcqj CoNVKmSq6P6dNEZPSqhRaXM3Yr/byhmXdUwHMInGCGGJmMmPT9T3H+h5ebWCYExFfeJ89F3T+FD0 2pTVdtrQNOjLMh9eIMNr6QK4WbjJORI0DVxXcv2qldIW7mZ9BkWLOo7Y6Fxu193Cn4SHNjvzmVRl J2Xyg7ol9M7YmMXCPY1f+oRpSH3JVbrMm4IoPFi3bh0on49utxP81NGzZ2kGlfU5c6Y9vkBkCmEy hTS8GPbHNReyP0VRdBbz6uFCfkNy6JPdkIlfScmGYqxClH7bkr/4O4+H8hkl/A7nRu7EhHH7VsXF F75FYArap667mV9OkuMjfOTRkLtDWABdJtPTPJRneEGe2qRfpBSM1CTbT0dwCKmtsILP4Jqqk22Y JKEIkJAPj4t2+6kE1RL2OGdL00scLAQYHq4yO04L0zgYTM8tii3tS4Z9oE9ZvBeXsRNlGOyOgmxL lWd+TstVBSpKUd5gnrioUz947GwSqAmRZ96Gn7rFPRwQFsT5gE1u+naxRD2iwCTq3w9jcnX+WUaZ l8AVm7rkZelW02U6a6yC4gqBIxv0sG7xtMhP4uBXlD0S7lU+SESIIk4b6iuO4I4jsDLu2LmDJDNx oPy8NYzQ68AzU2cHpfy9pyCf0UmzCsqCobNTrnCQ7sdCIi2PzWngwzTbP3ny51szaeY0ryAlrQxO HViJop2IU2vISs6fdQQ8kO5q7LWEaACipqeA/2veyttuq25qP8Kcjf39lkJAvRN0m46QLeQgarja oMe2sVdO+SJhjYax1IMxXqZ4j9jQRIn/eZFyP5zOc3BH4DvsZA8atuzNAlow/mnVJcT/j4N5itbe BaFsAVykfpd1Fv54Hozpfh6W9CqjxQU2k6QGHCVqdDmRE8C/QG0KB2Bt6hXmXgYPTdsKUu1aHXDW WDYybG85kS0msX0K3MrZH0r5Vbwn0dMlnIGhxPQqpAnYNZNL2WUq4g7ubZYVjPyB9nwUSyJ4oGag AFWUCC4zGyImyVoA8SuQM3uYHBBZNDnXpbpxLB/I//NgmYKNbyJOQ0Wf3I/p9zxScfnyE2pH8Pdc xQ7t04A0gRQ5iNt4IREIe9ZAoe/5MQ2oJ8EjzNWSzVe5w6VtLYEFdO8Tn1SSU0zkkutMmLZ9PFgJ iiAqvivwCFF7Qlyyz6f9mLSSxRChXeHe58TKTARd6+VJpHTA/qfpjbzjwBPzyqPT4YNa3GqUDYcU p6ltbSK+2jt1Wja6m4jPYUqOMV2JLULTcdq0LKqCZd45+lC84VCzgLkosNspPDBXNF0FlFv8tdno SBxZ/SVthoAWsHG0ca4kErJ50smQXza/kmfVe9TkHMy/iD/XEOVfsJZFtF/OVqDb5ty1+EBrZRBV 3+wIk0a3FQWqmMB/HwRItYXshtc5FRvwS4IgYpBCRDE2eYdent0+5/lU5D8WYun0jWPLBsHADTNj b41ylaGZ6X9Q5kQ9y6TRk2et6sGrqLxBGmGtxRqL0rIRyjbh8hhcanfPPBdvGyrbw8dUSQ7EuHIc J4z/x7BKCIsWr5vYMGwFgnv7yoagZYDkvlBYv3++IrSpnbhl4mkDaWdpcvWF+Qha46nOg+2bJhFV HT5+7prTecFGT04e1PZOjJo71QCGg8MGiaNp7W8ikM/W0zCMEAKhhVRVAC5loeRKnQRWpKMrUCQs S7yW9Ro7v1jXr/U9a327UTXY9QC4Ams5TnAz4V29iTmynlYKPLc2HGpsFAjsTZyq+hw6GtJmcCzx eL5VLmIuVW7cM7Q/+k+qxyCowVnTlSVtRyA4bUWdZRT/RAbMgTCkoXpH68HNfarmJdmvnHNrV4cn 0NgdWO4z31xM1MTZtULwUMN5APrOmC7OFGxhK/X8E+bZJuYY6jbP0CoUU/RRug9nsm6O30fa6fag vvnE/Eqf0mk/+LXbuVq9tk/nGLS8cu5mOQJ7Zu4G6/isjonQiRK5PcdCTvAw2cG6J8M/ZUsbHMTr 6bJyqjZOxX5A9N9o1d9tUsa4jZAGkegda+43hHmfGmY3BfVUUX/B/xEUGkMU7d3Za7DGoPt6HG1g Q8RPkNc+fWccCn/coySffg22rZItVOLotkLNcwDMDuhfcTMDbLnWviJ+x1KmVg0NiRMf4PjyqwVt yXJ8B4ZjCPM3cCfkoQmSnF1Avg7pJsRimIkkJFGvf8ObB/bNf7RZwbxNyPQByX//Lsh/P1ad/09H hGvAeBlon0x9m5rn9namzyYjoynJTN02CQDIyueO8DzHjFZ8n0qMe1n1gRoFkDmmCBZgpoehh+w6 P5moYm8ZeMmYFN3eIKcKj0AdMtRL9HZ4F0wZCjJGZCoV9UU4TncX6iWJ9gU/ewIitkrpp6pl29iw wn+m0t7Rw8ko0v9UOTr8K+YnERGCB46LJ6dBhn5JCNb2u6jiQrjOmWGnKdfDWlBsuE5pMekhMc4b xHglDbsDLOkBPrFc7gVnYC2oZdlUgsffkq856rqgLL+jsIKPXfkWboQt4eLpkFT91iL1RaBxC2NW 0UFoqxFuC/VvgDOm9sv3G24s5BsVC9/+lW9wp7O67dZazDxcn8SzD/NG53Ca+toGfVD3vaEvaNl/ mi65L0yWzwDhsKTp2c23Py4xC6BaQO5fMSrbFzDVhBCQp5Zk6iTaDYZVcF4ryRtOjRJyGhSQ9+bT pBCjfXVzypFkHEAkyFjY56+okg4UhR/01VZSbzQ5p83Uk8u1Mm75hOrbIydNUs2XXrDCKAWMg0AY 6ydEaZLbfXMvH50tHZ7mY543UvhX13q+F5U42HhH1S8Tf3HdwMweqQDYxs0ElJ/Xh/DEq7B2egPP tlxH8siqdwUQKzQKtqWHlCIZGQ0oUWEDGpSVtlifKJ2kQPCdc3EIAWAp3grFhjf7kXTSqR5+atV1 GPF/k/4xEQGkMXYVqspwwc1vh0bVUeQDTszaU2v0tnqqCmhA06ZSHqBRvA6tUIqm2sJow72ycOsa CI5A8IlGTjS/zaAklrMH/xarAj5/enXyqG9ZgxNazPLoI0fpJXwo9I/QFttH29YD2wRp/3wRPnJE Hd8bi51q/K6dQpp/XFPWdEpb8u8SYReshQ0j2iZBaizQK7pf1m5wZrdpS/miwv/CS+hkwgYH4iI+ vxgjGNh7/gD4UW+EgcSEWMQLqUypUbx+8Ur3b1owspb7KmxULrMs/yH2v9y9U0Xa3fb/JYMfIAJl C985h0Bfl9cSsn1YNoaafkL4GkLFMhKp3ye8hHqa2yIeVDtTi6oJj76jS05MeAIYL7qXY64LJcNT V1rOaLCc1rRcnMbmWJSq47rtUMLkjfUcFZaNUtr5PF3C2pnFaI9feEOEkjsHASJjgEtdmaIOHoXx O/A1JZqO9TFL3+vIR0F2YiT2jRUFIUwxztILvJKODKa8JmaHqhwDp4mr26nA8bCheEoaPWqHJlC2 JRJXloN9beNTkymNKf9Td3sb2cSemHcUMsPwEDppXWhjok8MgK/CX/M93Gmvno8vfZQBOMkrmRi/ 3oVPRkmUjnkFk7bCKVb621PnX9+C/WJpyOGxRAVunx2t57HlnjUgypcG9el0jThhjk6KXUR29AGn wtgvhsVI31OAjX2e2VaWlhyTDdMpijx4k1yUcgV5GktNVto8UrfhrW6eea+JMtAzubPbWLIbGYca BaM+BTOQZeCd30ULlBy3PBLw5vjuTSVsUbGBNZ7bpey1KMCsq5i08gfAz94dMZVQ/hER67GeKI9J EPSCPHLDVlb33cpjpnBX1lmdVSMTenygnDPZF9uMVMwZJ7nsGtEt3gNwKUOEIYgJMeG/SUD32RO/ mzUcOs0UMig6/laaGAAsgivoNjCP1jebV37pixEGJUs/CpePDoMx7FmHNvgVz77zIK6Q8Jq06lJK 6sXFcRLql6qCL7X1UTEp0tc9NdSaai+9Ue7zO1C89E66SzT727hC+hz7CsO2TuZtWPRBNxiiw0k5 3GflIOMJscnpOnbWdk8QsjP8y3Z6YgjfTn0O0mXUuCEkfgXXYx0knMEySFJ0N5h2veAVhLIMfQIT yZ0AJQH2gjwy4YatNvmmk9a1DoMk3UatmMW+u6M6UV8ErE+cUzKRrP/DD42xwidPy5GygfaqRQG7 4eNbqm5KDWMu4rx7LZj35yt6V+1dhNuzF4Yrph1Vzqv2JAfCxXXuImEzGzqfqYbQQ1Yqmm42oPhR HTEDuiSaC/Tyot+FdOvRoQ/Gv29DeufrdlTaY1AQwRKhdtAqdouB0ptuE0zRcUbS5jiagkqkC6Nd aTrlRaRRz0U/rJr3tISWDHZ7mkWpS9doszkSPs0ihRVhTi9BHHDs2Cb6Z5xXLiXVoA1f58KsQFY2 zWGdYlAsyWuJdldtNOqB28HYyDX8SLFjhlaDCln9EVLB3ptfL2Ku7NrF/4XeftgbGqJoEgLJXyUN alwCQD9Rm39rP50XURRN47OhJ/hG2B32efL2BIFkoo5KJxzqGR2wUxV7LWNYlbTKka/Zo5erlAKm 7vMrbfJbc/SwV2diYrEjaRCN1aMYYa+fHmPyTVxbEiVXSTgaSwqz52/JwpcDOFYKBXsZHj9XvSdF bViGE2bBo8NzfmyStt7PbSR+SC1HUC7u/jF5+1DN25Fm4lInLCOHhuP2/O0iaMmKa6hgG9FiSvcU XR+9CIiHUsapTP5iF4P8/x7v7nfI2cgp9Lwf95EIWp8TkAOyXhZdJA1jf5cfpm8IepSfeCvyYwPK 1PimCgCWACUilem7y6q0wgX/ncMj0r1sWzVn1A6aiwYneoYpt8TYvkrvqdcFqr99EN1Y3YZKQwxm cqJfL9widpbRDwfcIBY7CHBMRL0wQkVhOQFv7HtASD/EEBQMs4SgaIr2xPcPL9u/E6R9rHmxKYZL 92qIynpKtO6RhvUFSx7CqjfriJC7kfRx9bP7fXsG9q4Dz5B6R0SaZejH9YqRYyYa5MoykXGmlaAc DJcSKL9aUT+Pedz3T1PKfnIlR46Css/8o+c30/umSZ6Cwmj1FoF+mg1L601OBNEcgEMmYC+UVPUo R/sFsdtBSuXtRm5FePVbNpHsaGLsIgtnV1HpnB/F20x0YKIPAFpHzgevXIbMjiMo1cF87yUGXfXa vhvgqGf/JykJzd7x3OGLWmkNehmJQYbKZUC+0SdxHjESY4Cj/jT2gw0wrk5vfoF+aEQRsjRXWmmz HRv65e1xREd8eGyoXT3wtxGApuygrBbtrAe+iMb5JqCP1vsXN9rRG/Ng0TtPzhYuPJrP3r5X8cQ+ Brj2KCi2h0vHwfZkYbATUtJnyoTsCECPY0uURhcBpUSPvkXURXDaSwPl2LofIXzef86lZQFS17Ts QfoZcGCkm0BlWfNH1AlreVFFABpLaQBhPSdTkP0CgfIWxhPgdT/feJW96g9RdsoUxgbfhO31X7Zk JunRsytQ6NH0LtjWSavmHCQdVsqzjnxvC8nziFAA/iGE+CGsqGBFjjz4Ssh8x9g7PAreqhbeEsHM Sk79Qwra6eKNaVL7dK8a+yKVsLrKzbJgDJh9ar638jUBHpWbFUaAzfX8YywnEMIXWcRZyi/2Z7Ip MhU9d7RqPRESOJpfxLfUVJSQL4zTXaeXKKh3iM6Fi2pMh/Or7olmAdTfzdFDEp7WRpezpocqFDY0 350JmwFqEz/ndprDMiCuR2dyU2rLYJy5vV/Ys3wmU3uw2qhVZSttsZKmLRCygA1wFdtu3B6lH5ET cY//+tvpFEfupJKCAlAnLSJBtcxgAvkXBePXwmsvXYS4sKQev3NBLg5V9bBKrlOA4SmKrjEpjFaQ l1Hh9w8IALMyomK1ltONxg1D5q1XPu8/OL/AL28/sJV5itoHAoVi6nkMBRDTjpO7aR++iJAeAVhy B31IX3vEsyBuZWmvkOHJuuU6R6FtTE0JoifSVrNJ7jLTeha7zo6xUXotTJHzeTNDWuMwR9IetIKx 38DmdOCvBI0Oe9k7H4Tnrj1sXDIW1gnTXmCUa1CHEZxZ4+hIG2qzs3hVudr0MIEiobaGdGimTDCr mrrGScoWMWtJ6gV7PFxeeJQq+OPM3+V7XC8p7TZiZsquGHIeGumb10BvXV1Nz2ESmz0DoVH04TYL zgeh3LwlxGxHdS0Xpp0d8Co0zOYHzAf14zRqpsvqZ6mt5P3SmHk90nvg5zhUKioaj1fvFICci5zt TXyURejUIcUcXxTLpN7OIJzq0yW0RdnP18P5RJZQMhD6r8jFTTkEhmJnCYCeL9QsZ3r9U+ah33Wo 9idtRF5Bqhkqko4QziClepfH9BXyp8JUNaVh8b/dfa2m+awDTBQ/XOmssacTxI/Jhc9C18u6thDL TZkGexLyWw4hTnGErXM4NdaSNQpMGw8AQOaahyF01SwDwTffth4bOZY3pdVkhA8K+EZQR0ReLVSX rBvdxl+K7nG8dNJbG/B5bvPfR7sOcxU6NChI9ixyBPa+u+w5F1rVEiDlhQYEnihCk7Rdxe2YSiyI CKOFfupkwW+hHJxU0BrrkBQKknKCXySbL/Mhf/sgX0nQWTlc0Nl1VrHj20UVKs6B9aKtkrnAv+vk Yi9uB6l49B2cOtkIkmZBmKXI20oF9jWIdH9ki/vwUWJOOX0JHibaGssb3uFo01jkd1fwq5DMQOMd +wOlXUdiHs07PI39MPoPw89O3Zs2SH+MPuUTOAjJSWCgAqfCJ0DDBzmse//lPwqlHPQOItkbfZVt 1v0VO26V9F8n6421ZsgZgw3F+fsoY5KzYPiLqvF/3ykvmT5JaOeGHARHa+yfklTJG83/Y57hI251 qaZS7kDOZU8KeKwYrKmLzfqDjuE8EbiYA75/wHW4v3eA3gw/1uIkQDAeTrfd5oyez5H2Mjl7EqG5 kBe2LeYxbwGYv3mo+WPgNV3r0AsBxYrjwyQQvB7FhmzZKM9Y17/HRjzI5luKeCHj3DJTKV+I93Xv sMC98XYv2KCEiSJzc8F8Fy684NtW+RoKlQgz05G7r6hkmMo0NgQoIbBPcMXrifITdfpvX0h19lEv 3Xc8fb/qjwAnXZQcliZT9Ld7rBAtm0npgO9M1fivyii7btrTcHcLDwMgyziExpVN26ZqX6De8bdC hZeCBR8RMssKWoEETK8kF1EqBgFZk3uzZ8VHqdKT9UZx2Yp9lO8e9Ww7ZIJI2Hv+eFI7EuUVYy4A mFZLLIh1yxhdBPRMM5RjhntyCj9xSwVlIQvB2sya6HNm2DgePzqwfpsD8ZQIdmT/BFSZxNsxHQ90 /O+uS48PRZmbHMKpCGYI1sKeAdHJdJOIZ1sNrvilaR1VLYjBqtJgv9lvEpiqpNBzqEsew9fvOCfR /c7zMP+6/iW/1ZxqZxTbpdzc8KMi673heS6ZZOIe5tEHK/MtI1ZM/BFFt299SR6Mv7x2cYc0D6Zm eKlHYJj1tT0UQjNW0qrODA+CaJguefZhB3cIP86X7+jFo8DOq1bdPSE1C90/3MnbTedDyn4pLTt1 VDaL27Clqfmtfx6m2MZQsKW6DapNoNPEJWYciIYkoeAIyQoQGFAT2VzPaKgedXVbcXBub0QsJVCM LW0+37MjY2OmObfSodnMXva82p9o6rnHccC5uREQMulS+A6HR6/IzECp8QOlyt1J32HozD2oN6P+ jnKcKsuS9t4xcn16JbiPaIjdmUS2BgW4sUPFAok21hdOMnB2N6ujTVyyi/eYtEWek3yuK/JxcfRd zR4E7KxVzbkM/BI0WrILiXF3M3rSiAhvJRCqymxr/4h5GHSpN9rZEeBS+zalrycqWPuyyXNGSyIA Xfq7GhApj0C+P+iLgv2CPTQszJxn/XfjdHuLqxHlm1GLQleh5uszRZbpMhiV+2+Lz1p1D6QElssY f4ksxpS+jTjY4nIIe7IcAtLUo953zR3sU9cl1wyMB+vSlVCVpQFp9MkX4rbMuY/PdgF+9IbEf8E+ uKosq0Kb01trqxTijiGyYilQZaKr1UNjunY9KRRU8bwWR2LBpD4O6oOKdHfqrXyLL94Lg0p2SNgZ AuP7rkmQQ/D5NwP59CvAzIQXdmiACJ6BPTYA+SIKsZyBdgOLvFwmdppIyKUo5LfvZUUT6nWzNvlh +Bqui/I8KJvGrUjgIqPNY2FugfxEu4A1hT0sayVfeDZ1RRN6RokXNPvSYn4cV6csH9LMTTFXrT1b +E38nfx5oESuq3UAc2fKUKbK1DeX286SWFDDBH6XVij2d0LKoLc75d66ULgi+NN50KGOT7QidFCJ xst5X4J9Vm9f6MS+eQy0hYK6JghwxlXXQjJLed4idhaGJ6HfJXgF/gpcijdoMm61oY4JRWm3o/Fr 4PMDp5Mx5ja4+xIbSP6hKGjbrV/nZ1rtsXadmQpubLPDi+O2MAu8KhBH9l0XndCGneruc0+Ra5qS gn6NornNPIYb2uYiF88PBlygGAm02SkGua1aLgdipziQ7QloIuBFVY2m1+j5AVQH/MWu8Yeo7ZqN tuS0DuHu7PHl7EKsDaNQ2vlGZtEwyzds7bfGZSqCyX3I17iKUCZyvY8rOXdLkhKwARZ1Lu8OVLob kzrGnBxics8IHjnWYqXR2PJIdtvcfbT8bcBJGxBBQ6RcfjUQmQfz2bmLvg6AnDqlsPz+3mz54FUT +4Wo0Mmb/0N2n/KDtWtexbnQSJYs09dunFrhfjaGA4CzaJi0QNWF82M2G1idyUCbygm09aQuNn6e lbO5Vu3cI5tPtcsXlZgvDi9egF4Ru8THhGB+Geum9sI574uA5BSLa8G/cgKbts3fVe2Vms6tisng hD6bVsYco2db2Js30AoSFrboWYLekGarN9xUiFkvB0dk8mSAFUmS0hu3n1f7QCRF4UlCcSikn+WE Zuh2dVEwpA40n5P+Pu3UJfrIVn7vj+o9F4EJZkl5Aj0tkOTDwz/O2JVrwUS3HGENo/xc9ET2rVVd TeK+hv22vnYdSMLOt4tLikVb7RIC76obTp3TypPiwEpowdHJxUNUF0zR61OaYSOrMIvRNjJseJTJ zrpr+/z53w3S1+JFIGjqbuC29FmwO+08uPt02+n3Il3L+I+LZk3BzggX5yJ193Dn2jfY/8f/lqW2 EY7bUrwXmZP5e3n7uwoASljplCwcWrC0aJ8CB1WSztGEVzE2pwZ8+dpU/L0Pgd+hdOWXN0bASj17 x5zbPLKKeyCXXBLt0ostfM05TQ78eZTMonMMMTs/6MuuRlRvezEHMXbjUDEjDVYkAKIGOSeBQLnx PX0UA+hUWgUsjH6NH5pBTkrmESLgAUK9aOA8uTtLmisIJkNTJK41ANgjsBx1zmOh4hd6ytCfBzxB A6cpgn7CwLNExkNodlqBEs6s/3vmHAKsO1jInYIGeWsqSemFN3paRn1j6a5uesmahi5VAuNwUIZL ebRhDTnDSGV445ZizB7qJ2BT9YQd1NxWivi4y5WFRf1ID0/zVpke9JugX40cs/HCVkIiqnDzhOu4 muzf3VuQFMwDeO+bUlvo5CMVokt9YO6q7oTjj9jKGmujobIMGUUlN3PgKd/anoBUashchS9OIln4 YDM4lEpKt5ulciEYg3eHppbwFK3IeRmym3BLEFgGPR6RrL7bYHMqYC9+VUs9r922FJCMgRIAERu1 jiN6Y3f4SZPIjtaPjkskeU0VmnUDxpAAvRuh7ufk8ji2Iswrp2XI3ZWrV6NTVrRp3UmWTg6XKaTU forc07h7cXi2iSgmx7BNdLg4DhL47TfbPRQAUHkH6H2UQTdL1RFpiUl4pvArSxvQq7qq2lZHkgAJ XkJw0S/MNetRncZ6+3GLjzcdnUamwGqTksNFuQO0Bi431lO27KKIO1f/EOqCy9mxLi1CoDGl1oLg vY9pXBbnKS1RvVsYg2LkP2noSXHjt9sBGv8ZAY8n3/qAv5Zk6fc8AOnxLCSuVT1bkMPVZx/2BAjk XP7tW2ObsR3k7Q4TEAiy5Y8ed1UBiXBVxPsaC7jf8AAr5E0izl+K7kU7saMH1WkZSDMhrOC35rbf 7/OvfohPUQLmSC6zZY4FrmdsABZj7Il+Th+gzFzExLrq59z4HdKSbAFBVALllrzuvYQuabDuGPep 4KHwtxmNa27ySXukIXIaAhQtIelDg/UgNrBw9jVYjfw+ivt5HcFsB0ZPv4YAre18gQOF9AX6A3Fh EBNwwPywDdjrnSQheDdg75rtB2y7dhs2f7fv55WAZNRHjSGdZ2sceLyHCNQUuXGOfObCD+q8+6m/ SBPhFpSeHcY+yUNGMEj9ZezLyxYTrn7Iu9fNql3CkVFyxUZq8tR6DHeR2MRpGSV+a9VJfgfLGG+M OKheQX6lp72h/AshNILFRin9EztI6Bsqo4aRevuI67Z/E1jgH+MHVdla2Ru5dmGs/3HBvtqtwCLk Nxd/rIySj5lbp1+so0XLUwL1SbcgUO8rYsEtLBE/ZPRU9/2OIILjq8UJZmMzkAn62Q24Hq+VQgwd hWP3L1C2tY/SPOFP8lo/IIr4Oj+T6phJv17hpPqCf74wxTkZ5Qs1VkHA9MIZX1qeKGdymndQKyXN ilh5jg6BxXA9JRbBao3utaZnpUYZ0BWJruJ6EubH2OjFcHZcoDlrQkHxknAESZlXZtMTjwBjLjTQ GUTU32yDySFmHDb1j/D81CoQaJlyPFcNVmm7tbMQyFfFa8kW9s3whpeHkwwwSdBZEgwmh8XXq6Ah 2h5HH7ry0E1klWfjv0UCsbC6lDO6DDrM6EJnUsV0zIMRV/dNqEC4Bz3WH7VzLykrtp96l4ElfPRf E8SQ9eDgwLCAYljleAiOoHcxZCEu7sEmfMlIDwXbNPlFcsn3sDFbrcEGJVMODq7MaPvFQIH2dwJQ B+HMpF6SweR2rmdpogC3LpR/mE1wiwOAnXOny+zaLB5PBEHwe3hXtCELQTCRYCoW7BFJ0q2K41L9 GeZOv+87usxyqKDqBH/ZMiUI1fF8pr8Tur6ZpmsXtc88I4TogGhRE1T/jSStJ2LwdxPTbAueZIe4 0q9kxETUF52OqQ5u99kL6eWhZR5a8x3tevtjM/PtC9I55xfs2uSISIFly7Qw/ww0k8NjBDfaGN2/ ewb5869wgouNpzxjz57ob0W1vY4vdjxo72+9+TIT1tpvzlDcNCdF3pBm87iHm/j7KLem31uD/oRl 81dIig3BEd5UAlmoJ51Qo43FYETrsVap2qGNYDj4L1ekQjLDzYXlSXrV2029TdM8iiXm/4Sev4z/ KTpocS0IEh/VkC6EJ8WjmLushSDxxyYkxwpI6dDzD/JcS04tWHRAsrUDMZlfgO6Ze18t4wk41a1n 8TauePrDTGHdw2GXyDx7ie1sAGPy06wftkl/k8v0E1PXAkZlwOuVb7g0dhAqXy2+vIGIm+JyyX4W nl4wdXx6+lCZ6SBnhWXq44VTh0taSQubwiCu3DvBG2o0vEhVgAi8r8Gj/z4U3nnPUipmwSOdVWaX EYcYguNV9QhDGQ8fej3S20eRvcKxdnq3+Mv977wBjfWnuflhviMATn2U+J2JiXN7UlI4RXZtYubU D+c4EoIW6BtwnBJz3byXUzRAH9bg5NzCd+odvjN2syG8bjQF95UOC2f7cSJBwQ0dPwI732pQAP7Z MwVdzA+FFybUJ0Q8t/Un+dbkEqLuHw3YSiEQUYZpJFOsY9NdGqx65anEf+VeLb69exWdcYfk/k/j 1byP52vbibHTjFeWNapaRfk3eaLLYpaHQEh8jG/7Tbndi8s2gkkduVXpeHgpjWlGWsd6acpv5Cq+ 9zt2XRWuQKQqUFy2TQdWv166iE0OgPvPEox0XQSZMQhw/4QtgrIuDmi7bK4qvlsHZ1dtFUfgliQL y0ccNbhX11A7SA5sTSDAZUQkInNXEmunYNncW1xTHTPXtcoeKxv3MVStJLZYjpPA2AZpzYrfWwvV a7GN5ri4tkPTIF3rPThh18qMzHivw6vWBqabbs0AkJNm63hDUNMnTm7+onXe1uE7u1aJ4QJtlHtn TCVgb4GcxLCM9+/upn8UBKtMs2v9US+8AYIHhs2XQIChySi3X0kr/B1k6F2FUFEqF0Jzz4q2kGZQ RFiSQR4whmcZPMFfx9cc0oO6czg3bifcLv58+VQR+vQewFm9YZb3gxfux0lpgOzeTKTzhyT7BDaD hxU1auLv/nqvF1q5oJ1VAcWmijbKu+op5UzdVPTBlqkzyPYhARIHJy4eYWElMsrizCb86wIs+h8Q 6I8PAtB6qXCn2fwfm2Omdu3ip5CzAZs0LPIAWhGc/Z/J5vJmaAa/TtSNMwXC/jm/oN5ZTJKU1wI4 xpHGiM40hJgwa3OpAxFCXtieGWPZY37+lrqTUdbtfr6/WZDa5+95XiXfMmbbYPQ6MQ52jBytX0c+ iCM1jzL1U959j9PQhrgaL7C6DJfulJTCtpVBdV4beo8+w40wCYOTd/LdvE0s7XNZ2+9rONrpIi+E gx7VyAvh9DokM4jKj08TIndGasClVvcgzdOnzhuo0PVsw9x6gvYaBZqiAtxL6eMlt6D6MCllb+Rc mv4MwOE/jOVZvcd68x9rQ33Ik+3UrBo96jQAcW6GLSeWbdht0mhXb3VIma8+BMvcytpxvpqafBg2 vln8WofePxrZw27ud/xamZfF/D1z2bQ/ksnd5iwNQKh2rkFn+gRMD3GEF5sixiTnjKPxYOXqKY7n q6g5QItYlC+azTBkY+QFpprr2GbytSBrIM5YPOuO5urvunmOvBwTYcSzpAv4uS1ozJ+q3odywzqF J2jCMHRMYRzsL6k/St4Wbcf4vZchM/4n8aITZvvrXaNziPNx5ztps4djBetUUR4ohQGkjLsrnxVb lFmZ9ULUOjNr//pJWiSfQIHhgWg05dT1kczvpiixl9j52jSfK0b1FwHacTAoKJAJeXpXV65mSOsJ gstcxrEYIcZABlTlrvOm/tljxwHCWTuDxgq/ZW2p7BLRY91LCBQLeq3WSTSFMi12FdF7MHf6YaaT wTucQbZLUSMnqh6zb/4PT04nTytnFjEd3KxEyESX4aXXQX/Y0yFftyWKoL2DRR1FR1fMVSRxljze RPOO8PujYK8SPdPjhtaU9Jvueip0H93j5NPwSenDzHEYiyiuQfk+/UFHqggYSiBpZFFEFffnUm+y fNdGV+nknU6Zy0ONZRCLl8d2K/SxhZu97y53Uw2TztRK6AodWhtzl850gn56br14wmnEBpvuf4M5 Kgxn57c9GlTQG3xXVuVzg6r7ovckVV708AUg0djlXgTQbzl6KwjbzRVSYZa7ak9+EHU6fK0B0Y9z cMkXljKcw+CFwUyoWI5hdqXRfkDsLJPcVdswiZRMtD+CcVlFzieuPKbuti5zXVu/+A/6WTYeIEpF PbMBcSGpw0J9ghGUdkCle/VABBis86POESjVvGq031zLBVpRFFMuhHAdjYeO6fpp3PVOvBjzrxYN ullwDeL89/pfxijnIJ2lo2DyPWeGofFRjLJSMq2ZUXlZWFAd/7/Q+n4z1ICCwX15k8Lx9T1h5vvR DTWDZcCePRKX4nsA4IfJpTA54Sa6s65rtLdL8TReQ9FgFSAwZTaz1hGGif0353eMJQkMcQebKAIh amRVP5M2drHxBHnMTjhj8VbK+gpm61/OzGieEuTKBAUAV9qc53lotzEhHe6N/mtTdQlyqNHV/6ul O5Sj21p4sxShEqcrxY7LNVY0gk5vE3a1WCPRfBKGfr5BSsGS87T1C+umz7fQf3QKHw9NzrK9/iit r96yiXJgpbl1zFnXjpXu0DsabnxFzmTSA+EH+3vntwjQus0BLzS251YR1lRve+uvwjwOeUL++OAF 11MMD9G5covLwo1mW8WiWnYKN0iQq4+R3bfD1/NWAPjhwamdQF+jHidlpz25aA6A5tmU1dcrgD1X JfpL9gSdM3aoIgx6MFHr5GuGSGsGr6F8BjgNTEhmfLYSouQY5spr86VBakGZjfezM2JhfLmUEU1M 8lscwTNlz5zSGRixBDDXQN/GCWJBTFno0WkYgmMsfF5cxXqGXjIPPxJwZoq/KeV6kl4YUa6d9dJg 769FdnqzgzG4P/4uAWZ82+L33OrV6m1rnf4qhuXYp0eWV5/Dp9WwEVgQPrGguc7mYC/XqTTojwAu kMtnQdWXJLef6yPaiibcVd2x2RX8Kkv5ec6T+vKHP1qFFA3HGY6tiHv/jxkOcZEpNIMC5Oicg7qZ m23y7c5F5JgIcJlCo5YMHoqzEMqxzkvrweSpVbbio4OLnbH6H03EEDr0Zd6xm+vsyGJERwD96k+q srrekGW6LJC06k71fYq6qKxkI1ewooFt/Xd9I+eQtyoPZTZuEsoX01yS4fL3lBIa6HNPFTULFEM0 56lkISlzLzldSH92Vfj1Zr/7SGc8NaX/ANNJZ6ZZUmXuaJ6gIJgiS4Z+s3orjVwdJaUZLomEejXg wa0k1TQFMpJ03ExqGRk5fn7IJ6HntM7TpSSeQwDXXTn03pGwEmZPAu9J+S7f29U+DMk0P0o1UV3Q XboRAztv7J0MiOynYajyXAkwthNQzEEFtzwiOeIAWP7jLni2IzYxgTf6AE4plubdfNDJLBlduZ/F WHJ3sw389AFt2x+S4xvz+zBfIIKJ3mNX4sT9xwRyg2NOOB809aP0cxUun3VBWvIMkkNlKoV/8gaS I5vJQmlrvCyMZJc4oSLAktvH63SUydcmwpfa+y41FsHM6wAepdUsw6yaSdW0v1dGlVftwP/vl7/y th//GMDYtYmXh8WuGL/jWpFFgahwN05egQJQKJQP1vuv815mWG4t6X7axsw281qVFZZprI0cOzZ1 fNW20e4DOMT5IQU2mIhjrEkZcM9t0QNiAYBFQG6KTGiYfXyJZNeiQcUFHHS46I/AcJb/I4n7umtg jaclrbGzaZiHCaEkWYSpI4DAeKGjPfe/H4u6FRO7FpCbScZb25T0bcbQ/twK6Wh2Y73BwhFkVhdv tzzP7D4aeO3FYqWdbiGf34//BmiZ1c33NvFmUAVp6SffPFoJ3WgXfH7MhfIHNcb6Si+zL3dlP/z3 Xb31DpFAZ6iWvBV+lOuLknxVazzEQ9F8Z0hEP3NulG42FtDZZze41auXEz8+VkBR/MPJrOrAghfs wwBw6V7YUUebGxV1blOznBnWriJXqs8DLTcgYvGPk6Wz30XTHMa9bOIJdQlddawvUCEvQY9q15E3 T2ASTc2RDgmsN0+bbpAFammACK7Zaxr6yDIUu+jk8H83DXU1ALdcin4r36BAIhCHRJDG8vUlF6+Y x8uaeD2DiXfhT9COEEFQqpQH9zMZFY+lc51EVARvsGyJbcVsKnh9YCyf6VL9dISWGVx5fRiuiHns iCvD3Bf9MVUOIgOnIOBJqwdd3IpxdvwpPl5Zju/F/c7xXETGXVNUCbf2g4NQOTQ0IgARFSgqBS2/ 17tVUO+rVbpsK3PMBteiB5OrQXKqnk/u3x/dsg79V0pZfcRkpFmWUQVeaVagNSDn99lOu/OKASOP BKBRuE4uEAZsT+qhTY6XWc2yzgyF7TaXRp1U6n7ovMhJtyiitlyNSeR17L66loq3QdXgikMSO0hA 87LoN4f3vJCwTpWMmT1ec+duf9HUvWNaryGcx8wYaEfrScsYQ94Y71sWrMOvwYtWTl7qgiF0tgb4 RF6ppueAZZmEMQzwq+/DDVycoS4mNYK+GlpJcr/z1mGUYaOYhBQLEGDyJlTWKiWcbzVBKtG1Fe8J 0jJOFx7RcX7/YTJa4Vkl1AqWhlQnobVVc71JA1Aaa4Yb3fDShu1QEI30rue+i1ZR//Dc5m8RgYkW DE2hor3dkDot4RK9DhitsV5djFl/BTTmYL3iYDW684R2YqLrdnumavCCcqJgkLb1e/ncKagl/RSQ I1z9XjFIOLhKhzRu2ZFeWwHBOS4lmZHFk7s3MJ4+BifnCSI5akXcRBobn/ubcS2hlLR90Ru26d81 e+W9wlRNWE04lyC3v+/MTRf9itaFxAiLxJMQtp5G6iDvrRUX63YTPDb9ToklBO2r7Vbmnfrp/y5M 90YeeL1vQAxy7dyLq3l8+iOks1dpt6jsnTQ7aiX9ykDxZxMILGcjrcZy56R0kmTptT638RAzMt22 8CInnOkRttQxvVUqBZNDQdoojTwJ7g2qBhglPgrZhBK2Avu26yVhBIVcY/TwAhlbWNqkNOEul3b6 gp8bOZfmoAs76gtiC/6fHsP0XCb8UEKhCr+P0mcEyWrRcRzUP3T920XdFAfTEI9/xqBOmcGoACq5 84ZKxoBChErpwpEuYR5Hpd8FdqBOmWCjtSkMvHKo+kK4n2DmxIJ4G1MzW6cGwULf0WjUcsm/qrm6 +Bgj0v+La5Zql91xigpiddVVxBVXL2vabrsouTaSLuzjQtF//svQceB9bCdxXg7OnJZmcpUOsdiG isHUxd9QEsQWm/nffvL5z7+bQRQYVDlMs5U1Mc4yVLEd+iQcq4hPP1M6xKPH8Udmw1Q4zKgP05bi QB/MoG0CnV+pxjFx56dFagfrb89QVmGxtFmL92Xf/yRCurhl1FGThxvki/J+EIACqtv5lA88Zo2l C7tmFDdvpuOczb4zyQsjp1h5MQoliSScuntLQkRZ/yRbaor9qPi/jRzIaQkjJ3h6VbYmRtbnw6wZ Gtpzzmik/l+NdQK6tgzwR3t13xXBwGv/mNIeX1fGzYF40yVqIGCHHX3zinl+Rs6QD1nbr/Zpd6bm LNeYxUpDRkhpAwLGt4N+SV4DflCFcECH7JOrhtB6I9yL8aVEhQUt/ces88QOK6Zns0jJlEj9nqeH R7kQgV77OwJ+h7oQWUEmtUk4OL1+SRdaXPLP3WNKA/RBzOccZVufCqxnir3k6qvzpMAr+D1qAtSB qzquYvHgUB1LtUrzV4OjRnH6xtWvGMcSKIB6MTGyz48FDKyv+nLCZD1GshrddExBW3c4Vu255PL8 kZI82TNI11/0Pt4gDXWLbJIOciLmsZKYPf8T3a12AELuI3/D3VLw4hqzARkspfeMDqFfrs7OT6MZ jTKg1vP+poby2bHN1xdQURXaXy3n/9hbdfLlwpHWs+0Q0LCOtDKEQfvIovG2MwEK+HOBnHbHRRKI o+A+T6/c6xjP1YyJQBAtV/zfqzXZDxiQsT+l+bEV8rZGtkUoQGhC+0RdUdC7wt3syKW5M9MVcnKB 7OXcX6sZLSwQ19ecW+QAuCmiSNkVSG07ZyMbZ8Qc622GjjbpxzGUGHLBQOlemB4yep6EYDSWozaB dQEOkkI7t2SXhassSo2g0H7sz5JPxK3UUjF0GcBNLpcMF87JLP15AAWj1LmPHr+Smo75bq+MpLSH vJrMNip3RPAqVmiLohcR7hpWTUKc+zRJxypblc/gm3Z11/hld3DOKqePYm4aWGe7FOYN/YPW5NN4 7CWWl6lLFXPBvNKfDzviErFLnqcTCKqrP97kacer/ti7h32p9Y0Vb8xHo20ShEJ5QH16N2EaQeIS npL6cRFxrirTr6Wmfx7aTGjsLykGNVl9c7v686SHHfs/j9Uc5Hkh8ZHBR+wQAWmQJxluyp8Qurje Elwxh9X9RREOiMaaOzfhuWyG2TzL+IpN2HPn2Sgb9OzcJd9CpnhAWtbxH3IkImuEs2NfEXh280VJ urHeyntu3F1rGrGLPuMdCAgFqVNFtkod+OYFKHKtIr2BZ4ES8sYcUlzEhHFbgYX4V1ONbJhaIQwO YElQPfFY8qO54YgtHGG3UuBa+et7crFV4+Mhd+1qUAHsms8tjuupUjhD9EYYszn9Q2+JZOKv0u3m koSgVu4jTVcEORxPFz6eZDtTEu/PJu+AjV6QiB83keSA6x051JeWl4Tov3Ea7+0Zwb3dASEPJhax X6N4R7li5pcyiQUsRFcVAZq2je/6jjHN8QTx/ZJHCKTXdNgryGJDedSTvNeGYIxfgP6x3VTfO6GR NDXXJiE4qchnumYfzW3Uj2VUqwrCjCJ4U+cgAXm+fJHVnH/esOsq50DUNwyG9zWXITIeNwY+HE5a dCCtAKbY9mtF1JwlVJzra2FXsLwtH2mxC3VFVhhWNeh9oYLJboQFrTNmOQUPknhRd/uBDqKkaCDu fb7yP1PoE0lXe9PwSS5XuS5ckSJayIHRBoSC+hW0DCakwNJD8jCtGMopKbLjPyZFEffMbHnoNkze QG0t2eoaiFI1GebgiLWsrXsiBuX1DBahKIC7WjkHgAEgsbbOpQqG8B+/aVOGb7J1RekxWt+LZ16n qTWs9DJNo9iV0yVJO4xC9Sknt96bjnholmOF+SYV3tqJHkLrZx60eeKkGArcs8ueIEE31qTsp9RJ WtZ8hrYoH4iHStFfc7bFx1eeeEUKt/z6+Kq5uAEmyrZuJomN+R29bbdUBDH2bMUJ15Fp5+f6XxtP 8vS03f/DvYcXm+XphoH0kIJJcj00Zq1v4EHy9FO9tEPrkkdvn9iDnPhQPuCtDu60AK/MJIZBUaA6 n7aVc1g3ZJG6FYGf+uA0aOQxNcsyadni+RT7pjFjM8YjgnhCf9Uj5GJ7SM+u5BWzTerY3B5FvGGB 2UIUAPoo17Su8eYchOSiQgFqIk2/EalqfrfcRm13D5gY995Dpt24PNb3kAk0xTMYftsNsCYypc6A ITy8sDbemxxYSwu1rGszoLeoCLjhCZvuW0hlE2TyA368nCK3VEYggAmpkxc2hAo0XydWZeXPSDRK LWaCV148bxFAqtqkuWpJGxy76YPWmANmXjpH1yqz5vCdX88SLKNoiH3wAkjKFPkctySVWsCHiajT i/fMq1MWbUwV/NsjzpArpacCo7U12UfdVEd0w5j+lOXDPgwO0gvZMqBMzesR4h052gZ5nqnZhsdN 0pPi8q3robEEHVL7M3EZ1XsvomIxydKjOb2Yd7flV2ycosvweDzo3DR6Q/qZxtnzEEl+u6mbfWyx ngEipNjGjx+bxNj6zXdMKqouK49IUlqJCMGGTACk92zJV6TnQ3fY5h46aVtX9PwJTit8N6xHadZe AFXT2k0dku9gZ8iOGx/O6IiJn/4GxQ16nJdx2QQRndwHMe1NyIod5gfJeLqvg7UAmtBqu6rBUPYE Kh2WAp95FBxLKFDg1VvUc7iYVMLB/bZ0ldG30c1pF0FhiSeoRZDhboZkwL5xnAyhrWS1rqOijpPK lCJ5eQ7k1jKHOPlMgLLPVRiCUds2zsRlTtcWHstWKK4QyiycmrJRmJzcx9pf9OomqChUT+pZIJVw t08wxjG0AXA+3f58SrUBir/iRFbRnjqVqo2A0bcBNdFVFKeHd9301XaLRMy/LKbWzIiVjqW2WpzL 7TdeUunF5cO8FGQipI5mNX+1JKM8B6zGBo7frEy0mxRiEc1fQYechVX3W9vJVukM/kZvlKTmaebT RkWAmq3n5jThprBGE17jbRcI2kIPTZwq7bGGvFEuMCqwSQQzDQnmmNOm2ONf7lNa2SLfyfia8iow VSa29lrz7K3GQnc6fX8+pE4/4wPI05TwOkPgtl7YQ4TYwXS9c3b8SvVYCF08GwYmsq2dn3dHdqUk 2SuUy3BEOr8dIL/uWke7+jG1gSQLCCMT0ux+BrJdGPEbgBfVbwgTgIXpBdSsTrZUMdmrq5utyuhN ORRsBBAraTPhQ8WmdglSCyJ6mu/XJlA84CGxe/kc9tL0AaK1C1f1kwiPBHsaXFbcw372+Hek5H8P iPlP9f2XZ7edO2T0U3rzpzXTVRDSmhpIMN7KbfUmDCcNYXZ46SzGzj+YKv3+nJvu4+LinN35BJ7y MbRSO6EYyyfBOeVJiU4RFh8qe5ZHPi9HVl8AIoxtwsN1RZnVQ96bW/+fne78wIZjJqFqi53KwxMi 49vaH704MzNAMSAOTHrmQAJxwk+b+uNlSPqaElYEYRNdKIy9FgwGKLs5zvAPPtsV+iP93uLCyHi1 bzeE1QHe586NSNQC/nWpTr6CkLgZue2FoekQacDglAyblEUOeWBQd05qVX8pCIDM/kO4Rj1adn+I /iZBqt40zUJsdsBRbtksSN+NxRBrLraip77P8f+fDstExuWX21AzTtee/JJE89Zvjw/YlmEgshrz LqpFM/rUq3RJMDEPyZZxRDX+ugTjT9V82G6TfMxdt5IeOByaW1A2cubToxnl/bOZVO5McMgqSDy2 MayP1dJIzZeanVkoc8xce0KwsdSpsUkq97G6lyGTA0On4ItChyYM2QPT+F3NnP+L0s5l+yw88vYu QI2T9sDS9+Jjc9wsdppl0De4a8CbOB4HmxvLZvKFY6fGMliRDBOVpONgYT/qhXCNRXx4woWYaQap MsMJwvQxUZAFy3BgX7WAiIl9tEOCGzKVQRd8GUEA52LPGVfVwggcxRb0B2XFHjV6q8WNOZZAGkxS PnryH1SS0/6Kau4doWArv3QRzQKqXeQO+hm4DA0hKfrZEfbQ/OlCsvEugkiHe6ksOf2Um0BQKFjG Ag5fREd9yMxpnFeAb3RZJVfRklzSHokfJkzlt3d3AqAkM0ONqdOK1q3S4pBBQZVb4yoLnrCpVIB7 5pbMk+6UYxbc2SDY97zJxHd8Ndaehpt534dzF9z+glgcXSz9XwHYH7ti8pbUmgdCuGi8n/7jZ4iw ITZgHtsV47OBoqGyyjVQAcGYz/06/QkDHVsiE6HG8o+nRp+6/Td0VM06pePI6uFi1K7lL/apyl4X kim/RAsxv+FqER5ZSxmTR6dzgogG3EZPM+j9UsXdxJdt/Oizd9hctic/pV1nCgv5rQ/WUZL2rR9t 3ED9g7N7wH5/lU58hQDXretYx7kUUbDjTOnKUBkgKGIeKNlEgjA7Ooa55S7I/YPHVOAh8d0knaE3 NixdkjSrbKubVdHv6+CDctOvopcTUR4vQiuZeQtFREUKBI/QQoavnqLmV4CTktBkNYl32wBHkaFn NsOfQq4GgQOzZXVOpDfjuC/0FzDAikeS3TDwihVAmGIE6XuU9BP1JjmGHXQpG1ohdKTQbQgBwhCf X0Fij4D++ngufL+x5HYktGjfVRn1b/5Nl32jQuzxTMemyD0oRGNOVGGpIH0lBzDT6aYr2LXP+xNk OcRZioCbSGB2gFlx93hGK41nANsztidynCtY+VJGYwSjOScVf8YBLGwdz1K1OHDMqcKRrkba33z5 4H77nbMygCxsxkRW9KhWmA6NG8G3M1LTjfscs063jjVC85B6KTXQj3QRC4IBzXqGawSg1T0ZqVvX zsD3bZSpfS6P3/JGM4LxaoopPty7gTpeWwHI3d9Qk1uQhh0005fYttfDnt4ZMu5SxoxSjG0FraiN MTi866O9mO45LJxprrkKz/pXT09xi+B7BI1gKSMGs4sFgORW0cOcIT6AZCClyOujF68ivHn2kWXM jvh6m8I9jUEkHryUrdbkUNIuMAybEFnNCKjlRamsc3odIbDP67sD83FwtmERp5bbSM0IwkujxCKc 7palcIgWOZ/r+iN37t65O2OVJoEBD7YGRlW2QFfufG8RmYaq0+xZwaCh8Yk0mC2iyuL9mQDd+ZWJ OzzmG0yHdyVChMzeXHFK5233fTHZgbXKBjcOlQTVtPkntq71+QbT0cCDs0JZxKlTWOEPiua+t6uO VvQ1drdY2pwXEd1tD6cMRfLgc/DggboTq7NNN9HV2sOBASGc/uCy8q+RDiMu6XNtuRfh7VKmu1Pm OLV2r2FvU2ocFnJXU6Lb3WgYrBMPK6nJRw4GOiJ23fxHrdbb3LWPQX2SUBprW2w2uWfJOz6qmn0m dFU/w9G9wHTSGJGSTbALut6Go5L2Wo84m2UBrwvG5vQkQkMEdYUXhLmQxKVNBrvKVWcQvAFbRkOw reHMUtN1MtZi0ZuThTtE1AUVdNVAdrBpdhUEpdASAQWh4WiZUfpjqNHvxowOwvj2TCBPoifZKvJ6 +Qik1f9eWr0DVczTqNEC5zas0CBGTjt6VqGATwjJ3YahuZzThzb8PI9FpHjBa6Qb5kKEQ5aQTpG7 jniWgnzw1FfiG9qjRKyuAxB3UbyDMZLcS1R4ck3EU+gUtgcRy31+oxz2hEDrdr1CcaYbNO6IBzMU rY8akmCkm9Xp6RB11lbb/YXuAspP9XedMTNpUUWiRBESehvuoNdcgQb/Piw5OUTY5wAU5vuLPwKa z3iB8x/0sNvLlFMCdIdFcQ07azsiwcyv3mFk8G33S6uJ0j2RJWD7jMYcH+VXQSNAmAJgAUXNJiHW iLJ0MiwXKXEANUzs1hj6ho6/ktjxC0EYklYRSKmOLLuSek6f18ByVee/IpfwuQk8F/tfAitbqp1n Ld9wsD+8NluSfP5j/n7reUgYATaBocDkJWcO9CpCcsCceAQoM+vpVQXfC+0h4vfwYeB62KClDYxo xZFisAHHsMDeo3622LmXPoGXuXh3TKnefDI11hNOHdwdQ9Rm7QvqjUavyo5XLytCACV0MYY+0+rE /6kdjlvPw28XbE/JBNMkpRIVnBMTyEqbR3Myu1JQKUy55d0cqLPKNi2YsHVRXNplktAB4ZHZBEQV wSCwPHQjwrn2n+Pu4AEzGYCTYvRXs3Jwu6q2+mUZvFzlKOGdY+MuIx8iSLT/8rdVqo2p1+1S6TCF jsGgY3r61ps58tpXqw+Y9Qy30zRAYH9v78NvvjBRj3PfXOP8yPv1V2oB00bcrdkqsUghItCmuR0Z E/wFsRKRBaXoqj0c58rfuV8GQvHNe740Nzli4RHngLoJ/Da1why2C7RMGrCOCr/nzv1e6HsCHE9f T2xf9O1gHRDIoY/ldvPQCy/OtQQ+46Yr06BGe8OMyqoMEpZFWlD9Z7AejHXkUW2mlfwey8B6156G eal2nBiCYRir21T4DIz5NNgTEkLQDP2ocTrRHxgFOcphDTf/GYC+jYzo4lKHYoNAahgiETCFeUDe 1XMlqGw2ayBrI60MdrqrE6WvLcFRODiFh8hVnUOko24HyfeST/qBUCVf3a8ZUWR+mt1L964LcAgc SQ1D1b8+KBabuLo+GSxy5mH6EXDMLwYo3UD9FuBpnueyuVyKi6hv2qIYeJ2kLw6Y5dlkwXHjlRmN KQMmLVPMgOr2m0hdllKE6Rv4FPHMkwRl5abc1hOn2UcfWfzjgUsZCJJrfYyC2x+POc5KEoKns469 /LqriqmwyhXdtgDZ4vMmsFlrX+r86iguBuqECCfdeuXi78kjgaTkpbqxYkM+3+LmqKspfRPHl5n7 cQJA1ZNf2HS2PftSnsCNIsmIWiOrUBwxsQobqrKJdIv4+qNqsr4pN936YFK74LHP6znirUbtHxKS C+WY6tS2OBVbaeKfDW82mNx/RK4ZzEtylRm591WMkMxG6+93IIKogiI2p9XcJlCnypMUel3FbOFd zbwS9ike3OJQtTHS8ecxrPqea/krBytBVUgxX+0e4Qn88NggOjnkSg2Spv15zOPNoChz/pL6JuJV zxXGv2vqhueW56DbiEFgTtXNE7j2TefL6SUx9Ssbo4I4/AAbnH9JVzJythP9aSF0YqzFTCRQ6jY4 HT8vjbMqVMR3HfviHkAnSeRTHyjyPzuG/57zSs3h3tsVzel0bH4f0pRn8B5ZvRNtp4U1gkpsM0nl /wapgVkMj+trWW08w6pJPkV9SFUrNPlHZCy1UWaDsxADoIg6Yv/L6k3bejHOe+Ec0jTE0Np27XHq vFTAOP7RcQ8rg4fUsJoPIMwxaHUvQdGO5AM3YgSd9uH0aYyV9THJbRBI6EqK5l2SKX3ukmA69dEm OkIe59eKTXkAr5pfspMtVcOkkWqKcJjbg9/thdEKAUmoxpD1oUU9p4US4qAdI4DOY98wiXQsTgcX hUWnUlwAPtk+XP9YYy6VNga+pQ/vs0T9Ch2hy/zOaD99WTMLxf7sKeRMXPqbx7hUXTviXodzPV5N MCSLV1kYZW++GnWXLuZdFPCpxgyDAzuffnvKxLy8/UQ3qcsL4oTX04NlWnNmnT3dc3x3eniHafrT HKBREnqPOwEIqMC9Dj2k35LuRzW4rDezyW/wy6yL3tk8VWNAWH6nV2qDJ/y4Kdjr5tP4b1vTIZYl IUFRpiagouqbtZ0LkaEAiDd2PU1GsN6xXPsiy0ZEJR7+zuzlXhVVrt/UWB0Lq9tW1/NPGgPXcJGy 1cvmvOi3tbNORrJOTkDXy4/I+Y/Gi8KgO8BDzWOp7NmCV+g0bFdXq7cpCfCrZ9ri5lxSI+mkWCHt Cu1qPS2gYVwpesOcHkOaQMFqeL0SsRIrgS5vRb6d4TSjeSQcir8qMFo9ZIWalmkvzbnNYUo5JX6h vu/N6UWOFLfHurpUYMx/Qop3hhRMkFervBpZdO8htGtK/JAPl5XwMwh/YE0d4DNEOoQv2sXqO6JG pzgRH72GXHwpSKuEjGaGbk9cNA08V7MFYJcQAF64KQDnt1rH057DOhdHv7OpoGlUWJoDz9viN3Ba kb5SEzoYQ+6/LGtAuL86/LCOfxZwWBCYB987niKTvit89fT3aDU5GRwTq9W7HMV+hVDnW8f0b51c 7eww/c3EsLLpMSS/gj57+GT/tedXXO4z60UmZxvyjtIfhftNKHLfAXR44nPQK6Rf89IViwc8k3W0 RDQ5ipBGgHAs6o1sq2NsCtGAxWZ/h0CMllrWQnIwoXfXluE81jEW85JlSMQ/Mf47M4RmskcBwUeN T/vXgtb3+kF6OnU42UtuSGbpC9JTYVfsM0rdV99EjNlQwRv2Se1oVROubLJDz3D2wbZTil0hM+p1 MgWTiQ+Ic0Uow5qkXNCqixQdKIMPFjJxBSghkksjlhAddM9N5jrNW9HjZya7DO9IC2m+TPZklXAU Y2cfXXA0fZtnMWON4xqXX3R08g2SfRoS74FXIt0CEbA99SZ+cryot2ivnsxW0+zxyo1SMPq1AZKU AVjUn1i5eFVWYIs04HygYIJVXmWAYi3F22xuA24VtxUw6KdjF7/tFhGsKxO9vkDX3Xg17vPp9Yg3 GCwD6Tm7UYpWW573RRH6Lkkc6XFlvk6VWA/zF9E/y1IGu+cLHFd9VzjQfuIRNBZaCTRwaaYDQIV6 cnbCiD6jDAjthhhBA6j/ORM97tnPCxZ5V7nJ3FEXam4YV363Wv5tc2ZuvxVDZxm2i2QWIBO1JP2k kjPQzYOCPZ1WYGB0/qpmK5oCZjBPpO3Fxvd9VppyU28fpfjtSpZZL9I9y/MnU7oQ9Qh5crRZteSi qBIl1IGIlQgsK75hQpE8l6an4T0cx4vrJFYtnu3iPu3Tz9cdEDXB4yusZTK1YQFRzC2JhBg8pgw6 8vSg7ZmzmDtaQiUCmOnGj0twpeAhgQIMl5ux9xO+ZrwOHHi6+pOyrfapkW8mE0wSZj9vQIT+Uffc Z7HZConztONnGFDwYgNmrSUPyLQqJ5Ce4d8yWFBLBCh8ApqU8Z+eSXnTpJpMjcUw+o9DCIuYj0BD iXkaAGalRUb0rMefiUiNKrAC4LPVGnhf8sIpNeIdEPf/cPq1gzVrKBIg/WQLUlYWqspRh5xqrN/+ XFwqi4IwZjn5kL/7wVqfFIugo6yGrvBf1OVMo5zMoyFzxdyHWb73NcxDHIS6HolsASdLd+h7JOIC ImbdCprnmafeilUYCFa8i9R7ARdoOAz/gM3YcarlhAhzIlaL5VvVNEMRhI1/Jp9fDawCQIMAcLHJ FwYH5wQfZ+wwSWH3L8BmSmpe25ajWTISVJ8VeVP0HBX9nzcpV+zzJhXD2vRwtTe9G6VfXJY2RRT1 t6TNhoAFi24rpVARpYnFXeNN09TTXJ7/5dKoyQdFJJg3Ox6Mqex8dqu4vN60SCFTOjtRMtYJBsQs jvZ7Mw2RFp/FHP34z1Wr4l32g5tejEvE2mFJy5eEjX4E/1Xigwgc5OPf0giNlg2Wn36lkUJkrcxR sZvl2FLSKJRL7xJbDzp2VQM8vIIuZgcHLvVCs7bq+xW8Spf66KrzQgcDzIri7C6hAzJft/aTiAv2 /uhtZrSDp2zSJ0GC2ckpmq8EIZ2t27vrG2xh5IWgawjH/XYj2liEHC8t+DJcD7MDoLjbA8ACEdY+ sUpsSxkqRwndVqqdu9TMHo3e6eAcBAhPKIIr2+u1upMJDkS5jKkgrrJZVRbKlTkW+vD7sROftArY wIdUpl3ob2Y7aClO2uxk0fm5UXQGB4JUf0678qv9HWMoL9guFfZNf9bPDJ/JLN8wr7jF7SMfsv2g iEU0vWQ8Jeqs5eBSKuA8g9vqbLGKrInCCstIxegmfVV53TuqDBM3C0l3PfRn9+KbjEdSovsrNqy7 70pha+3Ob45PcVm6ZO7bQfFq/u8pdjXVOEL4PESqz5Ka9vtBOVUINExaKw6KBsgTHIklHs0TTGsC 79btwfT3cyah3f/N4C2sjmjPdc0Q5f7i3mOZ6IFYWwtsayvLd+yo2IvwjjUH65/4dYxg/5y+hV0J KToT9aGOg+TWp8C8IWAPsPy+GSZXOaoIzK3gZxBobjdpV1hs8w0mupokxXln3KNRwYgFC6Q/35r6 gpaMcokosI4eDShe/9ZlHqXFXwvJsiV3Xe6W4SgK6kMr6ndeuW84/KPaC4ni+HHClIy1GO29VmK9 g2n9MjklmTfgeyfjDg8NaZjzdCaAAbPZdnagZFhFju6m9ukmyN3RTC7H1yygHVj/2uyb20kktkgt Cw38/RkLXeENEpOlOd3SB3XlEfp9BbLMX+vjyEpbpqlnkKxnXjjQ+tTnjRZ270D2nyjQCFEmgJQe vfvJ/HOtecz3DohvUDF0JPzIgU/gptcKmeO8w1YdvNfBW2Rqur6+kax66kimPIHc2FwZ6aMRs0zs g2/Wbdylzu8LJJiRUhHFb6JxQXyOIaBFZxIkVF5SmUXzmlpnKVgD8y81gp31qZKZPfAskJZlWl7x ryhPf8ZSIEw3+eO2Z8uWiUi4zHcn9yYb7zySqf6NhQZ9bhhw7gDGd5XVi8LPs9XHZm2ZkgFKa/Bg B61CEmGqiThmajAT5XF2yXpNBTmDTZGQUduDVEalzhcIqzaGyxgYGv15ZeGuCSApnzVQ/lCYFrak TJ45zKicFrqeQa2JQOpMwr9pRLGNIZ7P+NmhSEjbEliswpDNSvJMY/Td73kEFHp/nWNcl5scfgAD V2YB4y1lAq0GYUhGbuoNk/Q0JNnalfHlCm7bQyF8a6zMdC8YC8vGGRGuhtloRrZU5hj3SvVLTFFm 2qXUdIMVvz6vZF9AYNPVlidSbHMqgCqwlDFreGo08D/gp57IRsl/S/LhWu5lZ/zNkEIXku8JKv4K svYy/PDI8g/lUKo4h+xG4/2wAK2cZuGfXYNv48uvmke/NOPN5TO+VzbFNaZqPiFQWmIFqLbhGoEr 65OX0YPbGwzopvsFlFAKERbkG7224BG6eyXXvntf0TbDmy1PSMpUIW92z3423JvkACELivwHdxiP jzixmd1OfebZW5VTnZv1Asp3EkOmwgrZBD75XSHYunniDIwgvRNC5Tk1kRLD2YRKPwIssTRaFXky 01Jmk5nyIoAwp7CWwf0BVl4mPhCyUOLmRhcxPL4XE+z9VnPhj+AcoZWhkGllMaap5qL4/zO01jbq UmJwjBwYlRLE+15NT0a6/KEvWTugNBxQj8Hc31yyQgwGjF1Lm5YWdv1qQhNhu8w0Kj73sejuaMaJ Anfvi9sqMoKfZspHAq4p7ImtvuPago93w2tvSjcNFEwrJ1nSprVgCB6w1JdLRS+G4q8dvm41A+Jr B9mrXtaig1OpDCsa+EpMJqOQPkL/c4PkWyu+GkW3LfpxN01Iilv+TwAxzdX3RFXHyCZA3avJ1Hky DfB9xS6WYaF84E1zzPhq5XCKNy8tGdbmL4G2+mL9LK2yXLrWys2IvccYDL4gl2jCd4ii2Ck4qhkd SjIxzSIdeB27u3qGTX3lDjMCOSG5mX4U8hb0u599rZiR/u6yB4zBLX0Sj3BSXybkkTO/xRHTeIv5 JVCvP38J3Yq+ST6Dnu+npkxigQ4vOCVDBzVtZq6fn+f0R1Hw9xZRIAv0Xsj+OTF+BhczE8j6UjDr 4blBNB6eSllVla/0WaGb3gAGf31wVBpY+BciSc5WAs4ksYQbE90TZMoxS0n9rN+OMBbWnwVT5kAF ZMijTEIAbRVqhMDDic3zB+d6nwSsMXB/YN7kaqzE4JHglbJfjhsJvhCfwOEQjJOGTCBaUFv/nbnx gJcVO/e3mfOdnHK3N/b9fZikiFVaUbdMMhgXf9NTQtVRhvfeeDY6HaI3BejRHo2AI5BtEnV9h5CZ jN1gJB9NTLS8NEAkDU4W0qMc8ltrCac9AZHWQCgegxsDP1V0wwXJWciOwzUQ9FDQSpyHcavjifMv wCD+/+JmFe7qH79hsuXhLlcWExXsKC96WbxEGT0Yblx63dttbK4LBgVtjqlMiokjJZNwib90XBRp lM5lme/5UOJm4u+dJ6GBwq9BNzX0x0HZRazFXc12tL5nsn9ifsv3SZ3GURj0z9cPia+Ga5p7Y3cf kpSg8s67cO69f9gSIsz/vYmTe5TpK4mvKbqlqNUd64bvg/r3HiQPGM6uR5QFQtsZsBJAHtU8LKHt yd6XXeQS1ilSsDn3HWGU5SCN+EmMa8cNfKNZ+Hn+M9Lk0tJTSYg1UmI4+kfnghCmvvHsOTfKYpFX HZEzHm7RcewL91qMp+aK/br9pQvZnBDEwtpdAx7YNstgtXfB8NmWfQLKjTRUyixRX/1jcGcLDWWn JfSZJjpwb7VLugDLY3jnP1yu98SxjKRVPguUNXh6/6X6w8nwGRW3NU+bUI3Fcv5HEgRxzkgYEf6t 4GgUQvA8ynPeH0niVNuY3IUw7ST9UW9oGIdewXzkm2VzMYA1hd6JVUrBvpmOt9z3paUWh45YKxkP EPEC6Fq7Hb51IYxdfKYLoWOLRzuL3bHb6LMcNR+G6h38GJc4RoJ/BosclQaJBoEEfcHMx8mLcxY9 9RQQ+FXG/IdVXKnqv0xAQEivpNw46q0ATpsQVqqI95ibJQCCZTQ5HVtZlivKeodQD0nx0CmT+DCm djnfPCjmg5um+phNGyFtIzd8KY+aTlMiAne/2hP72H7LiCC+nCocUNAP4hrCsTowx2mhSOwU/KYP QPefNaRFrZh9HYctcbtxUy1+Axh3sX9J7CKyCEOHwv/JqsJO+iCZ0LW4BDJdoHOSjpDfVhBZLwL2 xSEzMbSHd0Cwwt9JeIAXZka2++C+zcSt7xNttxozXYqNfbAREsd+AcAs/2yg7fSrVP1W0fqZHxTe SUGsZtaQW/QT62jTqRSWUVl9jiK1n+R6JdAARUuuBUA23oYzFFFiw5Fm7c5KGn8xazVBK++3LMgr hYTNzZsJEsG8JYKU6eKm3Qp40DTZ+j19ZnD5fDbA5IJLays3MDWMGHcR2Fsxo1SqgvNZCU3KhX+0 F9mhIYTrWlFZe4/YzybHIaaEpLxl7S+6Rwp7+poLQNDdCTzB07OB/utCT/gXV5yOw9Q75ttdtQdc x26iT9dVXYhYWQ+/tgrkQ/0Aehr0FQzAzcCq0FUqADMmRlpNPblPNQQMZVG+foDvWXYVyOoP+8o2 wSuEUs6XBWv+V6kwdAinHpcSovTiCxpNixLjX/YyPXPi/mxYeiPn5GX3OcDzneCohiEB2KiLJZlW RHmlHklDg040QxhksDfKa1cja9nNTFiUE2BXKNdA4RDfcf6Y7Ehpa5sKb0T8DOo0sedvdQqpTp60 UmPhSROBb7ESdoe4Sfn/fmfq3BCjz+Aw+tVilkuuvmzsSjzpWUrwTQtNKvR78TrRgcQJ4VdX9Hjs DNsOGEBK56I+rdMDrvhrn7MGtI1FgpPfWmNpBF/ULpvdQByJdnvBm+Dl2HNJ5sQ/k8l5fhSYbuF7 xmaJIC/41/s7bmio0Q9N6u/Hef+1MT9xRviPT0kAIEhZ0084fE1ltEWRqpB+XvH+3ciUeMCJ49Y4 zjELS/YUdRPj+J5Tk0jmbB1Z0nDllFtDg/FwLnef6siAa/dEHRfKt1rqEbgd17ti38ajCKw4xfon ke4Anfr4LPSLtD/XVHe5QTHrexa3fOFjgKYs8sHO8jEQ0yOXUo4TUt89GSeWF7NEpH+o0PVHJSXw ZZf2TNbXhG/IdP+9zRslxOJr5AYfSTumHaX673u7+sEcUwqTjXlV4ucPcVvwFk3gKqcCcHEWAcHY RACC4rnuVuWjzrVPBz3/bT8GTC8+nOt+o0AaTFp8VO1u1QUyv2PRFafO6auwPt2ROewze0QUqWdi zDCkx2QHx7KpdyRWp6qBkIO3X6KJ7S8VUOu9UZQ02ud1Jg4t11qQeI06DazLyWZFyWN85fWgFzfU abzr4ss3N1N50i0ntnutlo0vXYkkIMCNKkSLuxEsg/dMNrkhkj5TbmRsieX3iuWb8+SMoU6+hLGc PtPeDHsFAxTdiFixamwgkHR7Lvswc4o3sXJ/sR6WQRypovLh0RQKVrzXNvlwQ46owfmUAfy0aWBM 9Uil4NS3VMmpTkyed5iozyS0C5wvFQ+xOa/Or0Hl4Msgga2OFh8Ilv89tAQT3C8lwXiJQ0d10SxT uG0Qa6DTxb2VBZZrAblf1j6lF+/pVuppbiCGKKmPPNeGo9NLNkNyEVODGjkQEi2nF69yMN/KrjF+ N0xarYnjJKCabiX72HQfNPyJNZxJ2b5yHDD5j2evwaIots1nvJMW919/YfgEjRmqD7vyWC8nkVtQ shCukSPN+lTwxO7pNIVYf+jOK+Hvi/9+SyUeo2VxB8WOq7NnkYdroNY++l7DEtwkKfBDhpbMp6Y/ +18IU0jxrOb5nhb5lYWcztuGFYHCC0Lt6LWZ1clXEek4kQB1M6sdsUzDb6+CA95I/wR6pn0muq0c 1YQjLkL2TXq9bko80qMsf8ywYsmIPOXiIeSlBfS3+rXuiAZ88UcswgjaaYdWrDaXlgrjjytBNIe3 EWCvQfDA6zfqbjxPMrqPihHo5PNA8KDYeRoeHHvDMWJ0cS1yry2lA66OX9HxDcAKGf8mYw7nOnFA N/Yy6oG92HVUgzLPnG49W4Q8ZvH/JQCKQ2yk6Vuv849p2feztSShggfKdCnRcyodNIjR93Kp5GdX scflFG54O0ICt4GswLoJZXW7ml744XvsZBYuCqqU0dX+zB6yrjEZRzdaNHU8febagUxyu8GtJdG4 GdtrPX8RhZlr4Sn3VyvVl2kgM3EHpNe4wtLmFNmJ4oREAdeCTYR5K0lPS9ai+CS7n9DAPf1Aprht SupMnMFJaS5T7i5QZO7Zr/661QZ9CSeSyHFj6mUoWKpfFPX9Wn3KzOPAyBtr3MOomiO2BrU3t4Rz 2ZlTPR+ruOapEDS/NIdf+KU/3utzdXFMFCLvDhoxVfjc0gYhUFpNQNbB4nG9PmUAfRXa+HWSKDXr fVySnpIlv4mxf/QgEPJh3BGPmlm6JiKDCdHjNxL5M4LzvXPE+ICxz1Zm2kI9rIKQe5faAghXlhyy qq7y2SZk42M9pglfFaweDNFPXze/lLmAaGVXWaYkVDzRpdMVEsMzO6Y1eWlF9jZ9+4C6CEKxDydC Gw1t/T75/cGqA89RGLB7KeuJlxCe7trix5ovGSrcNOx896/XWXUZ5swlr6IYsPCB3Blpqogw60x6 d7QTtDEKvVRVlucctzg+X4tn3Z/elerxxLMcs+PZsZmJNPs1OwTMNz+q+KV0L0AWVjIh82X3tp+3 9UeYefDGNv+f32i5H7su5mqF/JcVGS1ZghAKAwoSp8DeYODVjs96xdhQPVO9tvI1nzhOx99zixuJ RXJ4PvEeXl3p4jkw25bM0tvKqgu6436iOb6L1rVRzXMbruaspPf8PkYPWQPzm3p+R2uKAV/8/7FV LfHkJj3pFGWGfDaFhe5h3CaaOVmCTBf81lB5w1naJw6iPBf+614N282HdyY+mCdTyyTjWStOJU5Y WhfHIn5P97cwenkBZaUNekkn2GOsg8iW6A7TGzO6RutU9oZvg47XaUpjqFg9yuwbd3XrdFlS+9+9 JEIjaSXmyisrZmVpOWHb9jtNZ65XQokMwYlAUbuPmJSDvADjBVNbWhoB5WtTNy7uz34lm8f47dgn V0+83/1ERqmlI2mGtdu179C1bYhdxlf29L+VwiXvXHbLR8ySen6BmgaRx79s5anggGWaFssNPayD Sdv4U1sTQhWnH2hFUGnOXLQ3moy1jJEnaYxqOaSRBKzCB7KHafHOmgmXA6L9M4e+F7l7oinGNUA7 iv9MmNOsPqiHdHyMX73J4m6twv9fn3Ne6sx7VztSr7V8J9UFtsBnfG4leB5p4fCEJ0TiS/eNSRhu jore3hv/lY+3wvvyPQVT40CzSYVmuyqgFcc+ktcF/E4LuFr782Y0R18tDZaosaq8hvZBNYye1R2B zGa2+o7dMc6I2EKUg4LFgi6b2RGXDL4BZAh7SVEqTwyaKr84xftZFxdBOdWbg83Ugs2ZhjSr/s9D IJGwt2kPe4fN9ceHcKcA8LpT1RCgayXs9cexTaOSjmaC9kCEDFtqGxOamTthsvBNC3mjULUSdJQ+ 4p1WsRu56nOBKxTPNpAgnPbxTp7KfWBl/9k8FsUgrZjYUzeHxPC4x5VQWNUh8Qncr0lPMqUJ4yWz AsQh1T4sIajheml4iaEvi0w64CXDcvhqi+1LyGVGhFiL4yWuou+L4SIOR0208/8Sqn3HjVSmCfIF r/it5MEV26eq9FMt6LDswA+6LGUOjxs4einF4NviyJVxs8EnM7m7TMbaLFDaQ2KE1CZtjQDk5jj9 JHg0XkZfk7DFMn2CscuEJ4wKOQkOne4vVshtF0A4aV1D0ot7iDBnSYjnt//Tgbbv1lgm9rn4ynN0 EBZxRGIWx9idVRc4LdvOwkkqDqo/INKzIji+WTlklBVE+0hI077a4U/Zv6LP7WcHy0tvYvWsh55j 5rL2LeCYs0VNGtnqEf4Jcq2cJDyTkTwbu2BACufMwONtMcf6BSNGLK0mwMH2e2XLb0Q1WHGj15f0 N3/lZj+Y/VR/KoeAClrtcw00WntxF9DHUuYWuFWt1vkSQXtIKj2NKnUaEtCeKs2pSJAzgQnSIyeS wl9o01rKIsBzeCerwuFyVe/ggUgvKaK8W3zr47mwoNh8tON1LqjhM6rrEWWFAKW00Xo6R/lD2FQ7 1WMkkXT39R7IOv/77Gw6Nx5ES9sFaDUeRMaD0WT7SlXKBJKUZoHWPOkPAlujG5V1Tb8wMMNgpdEI 3IFu2Nq9JKLutiJjgImfGpPr1MGJPISp8p9HKiBIq0zwd9K4+Au5QwhyiqwTkBFne5CvO96eDww0 MXb/twohFjy1QJRV39qfFsLlKoJax8X0ISCcys/WVrvYLz46v3DtM15l+LvaZH5D2OmqgMo2O35a yfvLSbttKwfGABZi1ie9VMo2+hBFekeGXdyIsSQAyw3OeBpawIEe5lfQS1oUdVrmVGs/OobEvTUH 0W0aAGNKve/qX0BeSTseuqHERbIUZIDVp//hZpBE2NHJg4Lef/53IRKiE95qjsXiLqlauMVdKPbM sS/lzmO/eJ0ftxu6CipOi55tKRZkiuRBsW7LEeqYEfBVCR0gN3To3mPM1PdTzWTSfpKrqB7NY7fQ uLB2RXzJWSI+i5IwdaIJeHWq4Lh7xz2qiix5HNEvpfl4XUVdiFuXzemE+N5EHdbzx6mUT679+lGv ybnTAQ6fbP1b9axORygpkjJMUTL0fZWSEO5MMqfQcIwPRBzovXGuP36m+GdrPk9beteFdOtHWC9a kZP3BmZjAkQ0MrQjf9BjdsKGT+T0uYXW/3hKQs6xAFg8yk/eDW5eKfmuhqWm+ALbDiFH3HTukH9E ngOHg1v3WruSvZHEsvl0y+gqQUgpQ+sWdbJN9ph5plzLE0ysjEH2vwR0YIKX+o2s1vEJdQv5bW/m ODj0lMwiRfmX6pBPZxmdpG+JxkFUi5TqlP92ki+z/at3omsw/rcNj0JKJeXE0dfukaObryx/0+e+ taA0X9zvmkCa9tkcHkDGW5V6dcNE3eMEDaBW+CV50ZVSPsowYT3Qg3nhMxXlOznITLUks8ziFiRc 3ud86750Q3oylBBH/lZi34q0LMKyLXkLAykWG1CadLy1FI9kinw6dcmn6kj2Deu4yVsHO4i9bT/0 s+2Wev8EOmtyXBo3JDxKZaC2Pn5xHCeK6c7BpC27M+30zo42LRy/26aFsuVDfdTNqDxGYpoXCFCK 5rJjYUhaaaNvg448+HSOVSrZkCrQ7f3PYxxuBMFgNIDAz9NSJkRZSDJdrgUENRVm9LEBzXfraVVT DR9klc9GcQo0PVza5i9S3lrFawCjMlJhbxF2kvTduf/+v8KHeNP4nBn1xvrKch4Txw2yZsMmQoxX YH2bbheOCkJl8GxmHteP7UTbjjkQiI9yJtulJApM5QRn0nR20p0hg7oiER6eelHj966YePJAO1UV t7MTVThyz1ABMJRO3KYXdijF1HJhA5NGpShemsApj+A8Nr6TJUCBX1ssoJ7I4RCHZTd67hQnfjt+ xgTGpeRDfkz/3vy031xzHV7oIJ4UiwCASqurPY/Zp8HbIvbQMAGLSuWxOh9kwGBbXRbZX8oLo16a BiDVG3GOJf95/sDOL/JBUz4f5AwryKgz5eJfAa4cw3WSfpxcP4lzkzs68XyOu3jTb3ukoZ0MTzA9 CaJSwM7iC0ytrnb23jPxwMsEl/Hi3HhmMHPFz15+hZpHVCJ7gxRG3G9/DXS5xbYR6kJEI1Hr3XX8 YhAIeFvJpQSFw7UxnANCkwIovvi+ih5gjSWwG9QDWiXXUo8RDcxAsMjyP3DM7iLNM55FSzS1+dvi UiSYW+WnvTz1+lVJHfx4AViMLVhnUIJH5dOF220Be5nXT4mXuqEcVi5486nmrDtQngJiWGudxY2s r9gpsPdjeBlsw7nKHYG0HzvrsYqNblk6KsEGrl9owp9+No/Cshui6tHnclwJhApUT89NASot4yxA hrOKuecPW9zehyJKTxfuU3I5P95KE2dG4NY4nRBmBR35u+bsl7HVSsu/sUh7TdGwealWY7CGgm67 GfTkRCzp081itos/0UFbecEGfjdrBe9VoYo42x3kIG/fICzr/hsnAVGKGuxst2q8qqQkaXTzJcg9 s8hSOSeyD8Xj4emYs2LDqUQmwLRfuLfVvR/p5xAIP1hFPwLWkX3DF1VM4ZIxNTTzGaOqBKkxCQYH XPC4Vo3HkD2B7JX5G+G8z8QAPwSbvF2Rrzo8rYyhBn2MPdnxDeIG7TdOQf4/IyuaDWaIV+SfAp3c Rz/en0Ld0RpV8dBujigXyE9VF+1OybOsct5fmEUyNBui0fVoYRbTJOL+rnhvKhJSBz4I+/g1F3oA QaqHWuXpEHpp624nrCTBovPenC40LwZIuMbDgtS1BfaOEvAk3QxPLO6NuSl3mzybFjuvAhDTHRq7 R4Hj1ZKG7spS77bbEIDe+xXgJuUom4bqc98idp8kLXAUIo36HfiBrB47BhNjknAoKP6rc62mNgBg QPad+u7I8/S6vnmNWxDaeSDzIrX+sgbbwTKNooZd9i3T6wrgZzhSxOHqmjGC2IeLlOrRp09sElP/ Jnn6l/7IP7vGaERLSmH0/jRSAwXhd2BH9avY+Hv8QZeOWQVVbvb114t62hBJHi6oeKRMgoOl069G cd9t0G6HT1bQjgLZIYyBMW4mEbZ02YYP4+FkqNcKsp9h1C2l/eXZZQgdtxlfSK0BlIY3uUNbVc5u B7XGly8Y+X+8ZCyNwoxWHgmOiNHfg+JO2/kcxopgvQbvg1MdxqwfY5lVFBkpkjmcxtvU3MPNMQPq /ZwBm57Y3Ui0DqOK/Qg0KiLb6MnUJUAoWtBBqmN85HGM6zm2zhSI1Gg9QtDVA4Y+2P4tbeDebpvj 62uyX0Mv9dUsdvuiAAOhvSqt73PAZKfqtvEhKt2kmfHFJ2B4//GXjJAqO9hInt4AhDNVMMIsQ7bT /BqK3RzaCgYpXil8aEQpXsx77MwV+9xiZ488xgS8RjUpuRHn6H7SiMZ4Pte06VbccGFVhJD8/zLY e39PJVGZlkT5WZMiumtTVIcycaoujFHJvQNaTkmLd8zksAcWNxT9NSNI1eZcjL06FK35R6IGCH8H 1mUP5bu9R6mp0D+aGNEpSikseAIrNSvXNsrFEkUkCN/fNt1CIpDBvbXwDPTEXEbXmIIJNLRazln2 gmDsQFpYatZ1XQRdMiwyUwZB3v3ahhwhCl6unraIjALoDJkRQGcjub83CBPhogNzCnfg55k4AH0P ItHb/XanxRfjHrqmRrivKCfythaItgJbFsxNMGawFdk5fHQ9X8WTjtJE2JdaCrLQC4Oj2s3/pm0W 70dOr4IqdemQeeUp0itHbQBy48N/V6+ymUaYbyRnEKyOamoe5A9Z7YM2w9rkBxSt7G/oSpQaafCo XLP+mU3AQ9VhjGgnmjsUJuHuJf0ds0eLRErTCp+x6URKOgvUnw5hAYo9iolNNU/k520ixJn9t58f a3nRzqOwbvKS+4MYmWQaRFsRHi+gcKTeXkNIhL2JjlfnWinP5bebJR5OUmfQvtJ5nKZioDUtl/hj wOqeKyZb0RKuMC6c5swlWj4tHqm5RAHX+e+DN0DWwREbnb+YiHszOuDPzpccQUtKq5OBOIxqlK47 jKPvBwt5M3VH/BsJ1kpcemlhfK5/ZvJ2Q784OQPgT1qHC2/tXa+pkdeGKoU8Oh83lyDFunLnZ6N+ lPofGv+V7Cg8SPbXUmfHI32FRHPeJov4+ZyLeXoyH/xWIC8wfGOYTmTYhS2xT9wiyncoChhqpSIg IVPqwngWq13dSMUSPryRcrGLgy7Y/PCgdFJgVdCzPBOAPrfXEZv0GV9pD5BBMNEQF8u2Lxlubt/8 6B9bapjhJ3gxEAPpRmRRHwk9U6xd1CXU4YdLVEKNvpKC34vKaoZjoBkILxYymaE624qdtBCMq9wJ M2B9tP2DngZ3y7BF82QHJP1zIDiVA/RiBtN/8KAtOqpJl/lxBi55/hDg0wj48Lfc9b30nPxYVzXo rZu1vjIzy712t+Nw1aYbjcgsTBitTKVhNR4S9GBnYOpixKRnU/fV+nQ05PQiFyU9r9FyVWE4Fi4n 10b2KeSjb4G88nf6mdBci5MbHC7I51mMUL5zMWJpVh9FtB4DMDmOQxwLaYYyi//UXkPc1iGhFoiN n1sW4iXuR7/3lXLV165ldk/Y3VR9b8NiLz7VafPpmZ8kX5pGmr4yBkHgmz2uJB+KG5e/Jf69a3fC 5SLYfxwu/p0ioQZxG1MZFd1S1PrDNHMHOTTTWNjZiH32yuSpyS1uSP/pfr895vyvGSKfaaEkXVOg GUrvQMbrijK25S7UZ2ex7TUP/xq+qHdFTUoPIQT6tmaM6ktW0l2EIYBw4rlNSS3BZ2yhba9IAmT0 vIq5rp0bcS6HgAk95bkazNoB3C7JiGApIBOQsSp308gE8AMCMuCL7ZGWoS/Z63XlkcxeFgGE6Nrx b3azClkc7PwHNkDu77jeb4P4NyVIddBCeQhlIt1qfBtQMKijv+a8VIPxiUx+SNcjoeHqr1G3/W7/ Z9mUwlgFRoFXOpCXfIbVhRCt4aTbv4Hg2bk+1AyN2Q6QkK2orpxiK1evEDkQEM4IavQRUSZJepL3 7zKDmvgeEpdHI6TPLphueoNdGmnvd4qcO6BTlUik8Q4dX8pWSbiuS2l05ZbPTQZYNOqDvsHnGhYL KTVhl02N4lKsmtQWNb3BEUn0SzmEPhF5WDpOuzkaCwPKB7UVHskAhKd4nof9v3rj7UL/3oLmIgJK uFfsraGcVxwb0pXTYWqLUXYFjmNw1JxdK/VlumgL5zTXxYuZlfljt5OK8dsDevvnJelUBQLxY8ql Oeq51aBE9IkoLq2boODeLZtEPVBArabhCi0Xe3UBThbYkCmWUGMMF5Pwa78PUXaKw+USHHLsgL+Z +RbeZFjJmWgrgYcB46tdTgwuED3fej1zmN2nry4yj81M67MbuHpr6fvKFHFmBjHBH0FKtxWC40P3 75BFZznzHlWIttn33f4xiAi2ukklCKIgT/imf5bZ3Sk3ElMj48pW+EALxHNRKq5hv/tEPQhrXWW6 l4UWQYdclP7LzbYWPJSqP9/Nd+wZdV9wIWv33GtFSu/lR+zOOqM1OQ9TX71pZ3Qj7MASFaUzUEAW 80I8ssHCymGQfhG2QY9xEcGvQtxKyXkyMwG2mIUncAx/BkFE74w/JXttUaiWYoV78cfrG+zNXdxE 7AY4s3tNbXk5KEqwoo9+mak1chqPWyDbexkqezR41WzqJ0ScGhkIkT08yHryB3XuX2iuZvxhhQA5 +K2IgM25NAkWVMxtURC/aZYEf2f36pfxX4bzPWKuZUi9F9DhYSBv3XHAUIqvaXs9Hwz1KnWk9Gqh AlfbQZJwcJG2+j98g9hpo4q8MrvrmdDsz9PCYgk70XIshfeDiBpNsm9Kttl4sVIVXkfeel/ubIEt KtZxN5Hj6gz69I54pYglQZ0fr2oGvVHkXRzPAc6l3LOX0QzPchJCYfHyGt9FQXfrQqyzKcOIcvj4 xE9/j5ofrXzSXYThIyEyqkwUrzX5cvwhnJlTcHwzw6F8q4iYekq1aEnXpHQrBBVrKU4LTfksfpC1 2ZfZdz+/+HNMN5K8BCp878N+obgkufZMiRzPaBuDueTiVHI+woq1kc2hpZq198OR7dZqbImWzUnV 7/vR3ohXX62rrLqC/KDtPUjakCdFTqkJnCnrmlS47k3z2kQuv1vLyYls0qOB4rWz5UTbn70Y765V IlvPpBZNFtgFmwkKYHNgfjQE2HVahBeGDExs/wNa2MXKw1j+hRSJjAPB71S4b87iDNyb3xGgR3NQ AB1pMU3Zl4A387XHQWe3ZxgeXuGDXjq9Q4H9NzaBljDHuvsaiLFsYsshYo+BJVEUlvrfZJ4ApbQ8 NQlpJMAuHlsj1DX1aUtMmosx+thwnJUs9Xf1tQg8rFUSuoR77w/s1WZw3PClHUGQtvKP3BgO8x7u crKK3CzPRFy7rTQLXmzlKdTHxsqUTUuveRpEkb1J362G41TrAy4Brqt/yrfFJQwC+fqD+44A7pOP 4sH9SokM90Z5hZVSU8428DbdSSZOCrJCjcJjTbCM/cJPQwnh2SCxiwpYbKJp9pkOPHc1QDXsFAe8 EmOOyJzQMZu/pBPV58ACeTxCSmAN5LHigsch00B3FwnC2PvpHhPf0ObTHpHwZMUG/sendFAgYmUh WyyhbU5ElwRkAjWofxVqzzr34EvpfZv91wTF/4a3FX16tcO2AjNqSBtU6Xa0LRa57T0V/4f7ti/u 0TqPCkdY1KchXkBRsGi1g7hl8o7EsoE+kFOQp7+4A7EDA9IWMpguj+2VcL0xMXixEWoTe54wUAqh H7g3vMjPbv3PBTqS0q5VNX2Iapv/rFOQidWGEQRJvHhikqfptboheXA/w3f8qIPPQkEm9la2A0x/ 4F0rFTPCJTwY3ohv7xeF+zM9HRjkAuoajRE64hLMLL9snUvHeeJzqciDF3i1mA60UdRzvDl9THhh Y8Rb0Ee9k5MoQfmTOXWjyDCIJYOr3mX/BLiv7DrxvdCJF84vbxKacAc+NronFhGK/ywaxOnD60n9 SBqXp5j9cQpAL3Gf33skLea98wU0iQbH9ooN8JGd4LoL8Yy/ShAhzYtFO5+hN2aL1Vpd0OhYszPi en703G7672HOKSIB8slOuzJg4YKmsSCZScFDpp9TS6RL/c2NZ8BXz1qfDItOTU+nQFSQLx20gfh6 +6L/MfNGbpHIkbU6lLBKATvgl4XCeth0YE1ortibUdfPQEuv8QJrgDNpRgvSpmS5ssVW/9BtlZJd IU7nRFt3huBZWlmN17gWkhzAR47eVcjXxYvon9MShlqsjEVy0I7uvjhHJwxZThFVROu+/1jM3L4u Bl2+T8ozxm+SO1KNti/+Nqa6gFm+OaorOFmpgqvBRmXgiiT16Hf3o9YXDjle74phnOMdPjmw4X1m se6PsfUSmHQ7biex+bPTKGJQEAvq4gbRfxtPGKCT0/luCicZu7JYayYodMghdTyYkuFLUkZYJcp6 oItkDI1p31sVbU+age0Mm/Ej3Gg6QQBb7B7uFx0wpWULMnV8ee62vgExBl9RXaGLkLihvvJSM/na rm6L84tUuLn1S3XzP1UegQTPhcgDr5/OyhaK6b97k/9jEFjFIqCGlNzJvqZRmL0oQRwfMyInpx7c RlsMNj39ASJs5eHMbe1NKkvpyiIHBdLg4FeFmhx2KBAU2bJ6SVAtMLE2NUE3p0JgfFWwqVHZxaB6 faxDTH1WloqZENLwuOld1JONzrs1svSOXSxOwUpHfB+hnF+1YWi4eA8vNyBM3XXDhemRnm9lslzg M58qHbY3Ju2015Hk2coZKVbz13cSx9aXtQTtAEILUKW7NfVP02VCZTnDrwTHsBvUQKAdy0W45Wqc L/K8A9z0LD1RUVIHJ6/Ob8pu8QbKOXIshB++a3xwj6295pKZRYPo0APLfLIl+c1zpnoOaN0035Lc /zUt4WXgyTdhdIniaZBbnrRPxrbNHzKcblW41ii2YSfO17edV4NkbR8EkAZjT6GgsgYSxzGtXU+Z K/6h26FE2mGpFLEgED6XTPx8qCXvu55hhMLM/o1Ribuuwa54vzjhzikLESRebDSOa5qxr3Zxj1Zu elcnpdo4/yzpeneMrIdi+9ntGKtHycjxPK+uFGkr6EGk9kY8f1QVX90RqOgV7fLVN+V6po4+eTGh lAj9LcWtNADJtoqMC3TyPDXIuQDqRR5+gK4ckjwtUK5a9ZIC1ojHDIjHT7yty/R+eMs40gSRj093 pZepIa7IkXJDQoTdmigPs9iuXiZW4r9dcXrkS6jCsVghpS4eCMLSa/xsSD7OCxga3/oyvrmcqCj3 fYShBr1+2CFhRgHOhAOK5aDO/GlNwRAKt+CCpymRl3X/SZDBMD9xOsQxS53pu/lAFBAUkUrVGeC1 8UZDj8Tqdwl8YOkp309gj2EIoA2lpa6dKbZvELSXbWsN4aF2HaWuamL61SyVFuHdCYVC7O9cwgqE 0POYb4oyFoZiTIVZEpB5YSDtuYwheoOl2ywUWkCMc1ajbFVfeFcv+Y8KkYsXTEvufbPO16iv0nOJ HVu3z3g9WzfD8yeXZI+Powk7YfUyz2BNFEhtcKaZmnkCva3DXxcZriS3oUaETxd7wcoyyX9Icv8g DVcJeo8dT3NxBEyYMGI/N2iGc4xHfhVEA9LwhAFzFwG6syqGL/fAmEFErb1lt5oAk74ElRUkBrYh njGiCwpqWtLkM6nStHIv+QTns951r4d4vjkx5AycNUs1Setdnz8qI4V4q8Aj7xa7y4j0WcRsByMH 0FGlVM2YwDSUxwOeZlXs0xQtTZ69d6QVtAy4EYgQHpU9krls1IgThjb3DBbw8ixrEGrX9J2TLMiI purUVsDnesYGwAAAFIKFRzVuV8w4yS6zH0my6U05jumRHDQ/5SXVVu9ni4YrefrhQDDaXIgtUj4v sMsefl/ZhWCe3ivW5e9aQTty2XtNWZSmjIKyIZy/fLVzcaI5WsTN6zEHbDUxdQE4X19tSOA7w3L4 RvTlVZ+i8iRH7Cnpj4IdoUieLNf14Rh3n9DxhEnSJ9f6Hjep1sDCxKEN8fgk5xh2OZUJeDyFaaZl OpnB6lH24qOh+RzfeMsm1mRLipMTDYjJA6F3hkHy9TgU3AuZPhm0D+FfzbzBhhywXjFgS2riYacC olL8S+OyTAqT6YqZ3cISrU4PbDgq3cmdYgFmuXC/TDtkiXQ6VNuUX0PpaE3fCHRakeobfU7UrCkE CmdA9qHy+MAXHAy+La1MM243u76if0yWAmBQw/aEcURtGK7cMTi2QKhJca8LLlMiez4FBfmYWFG/ G9L5Y4/mi3bgOxcvYzcWCn9ErHPx5WvRv8JFs3WS/KNxO/bqwJ5SYxFVlXzHp6Ny/j3qHEvS0kEf ZPLf60gSCa/mdrg2w+10NwWk829luz/RqEcnHN3plnvhasP9hVibtA6/M94BmYXGgcIxm7lN0gb7 RejzUT9Z1ez0iqJ4YTmL9v5UongW4amxvKpEEzWQRyDYg5LYMcr/9DXCR8xZSCCsr2fZdZG3NkTE GcDFjF3EDqaA7lBJhavT/WM5SH/mtg1LooGbM47mJWEfZr98yyLoStYXVQC8GzISt/BX13xmvC6t HSSIosbUIgKsCLGFjNB291qK0/3rqI130KouRsXrXHEaUQdbsba97gjGbH4q80jeyh339cM0DJvc SUNCbkroUq1DSgH1ut2iRejRzfMoIRRrfhYLJ2t0W3ZKBAf3r3txAg65pmFOk87Ehuc45hMPpJ1G XPPSCwvWwUXS3OMxBUgbVn1gWQ9NkgDCrMuoa9WfILIjtiZz40C3Xt9WuX6AFhEO5lL+s8P4oKse 4iOvgryiB/MKOpXmiEImhtjzKo9rPXN7ppLNoxp06y5neopOp/fx328m5Y/0awBFJxn/hSRcHXsz NIl7McAryPbWE2tjsfgot08tJVURbLSN1lDTS1CMh4UT/QOEAebuy62SdEJM0Fvp4NzR8/Y7P6QW HC+VP/wZ93OKdROHdX4FQ8b19MEXTBsoNaBw6RPIkRz1VoIAq4NJu7TA6Xn+2J2Ve5C+pXE19ORp Bbvy32cwm6FuCn9tplJANLOL+yTlEQQ6De7+cE7IYYmlZekmV6C2IRtGMnssH8RLazMoRuR3todA MghlcOD+yq6HSncvqRts7u311ph8YgbNO1iUQt4ERs36V7yCqebLoWEMhwvLZ8QHbA/qwOiu6Pey 5oP6UUmrqPz6y379+xZDeCqdVmmF2h1wYqM5ATweJkVOQeBSjjVSOUoF9JG/EfBbv+tIQG0KGBA4 y/qoWccQODfW4f6JqO8iwpUFuiGwoNRt9/kUcrg9eHm5u+BQ4A1QEkMfZXIFFIxm4mmZoGIspyeo YfWehAb4ho2WUnTNHQdmrXUtErvkAzwAWZyt+5djBxDJkirnowlcAiT1tL/gEWgvIg7lNPcS6C8Z s4UAE0xuFvCUkiSupDFjghOL0Jc1McvklonIdoKHf7qoHZakxyOTS3uRnm9CGRAuDaXS89Yp62zJ IQSCiSh05tn4OAowcjfH/ED2+KRmGivixONvhjDPTwMIpW+i9LloSQrcWo+FHp9iIwC+Hgiu+UdJ 5A+QtN73s4ViV4McVrhQ6pv61EeFJEUhB0kJf5EBBKjPxGgyS+D7OzgNR7xHONbHNLkgFZUm8dGi iCuyTYOnPnWav2o/kNvIC6vnpd6p1zmHBP3jQFCuu+QivYImHcKtyhHNMfpifVJSQAYT7qik7i3g Len45n3NDwr3QMO9HQ9QJTEv/+FCQfU1IUHaHzcFDpDrngZHOyEBkiuX3/XPaKmVsWIr09zXpHD2 XK9yo1obIi/KgGiFZlwtuwvwgswfD89gY9Ja7PIGotHPxhwX2J49y3nzxZ6LNF7GlsI2ysE+r0jh Ycs2PMqWBlIpSqwGl3rFu2HLfAG3HpNEsphJh5D959iI9Cf0HJ3DJpjDLojNt/5QsMe9gO0lWCSJ Af9SJzsrG2xE3ZHyK1YP9WsLpO3mxRXAXyKEEiNC/BnPUq8kzGnBkp8JbU2tVWVJlkU8oETgiFuw 2ntMG8Mlbu981/HWWwd64YZq4qBylTZxD5UJiy/lS0Q59sVGW8pmYb1q+pJaBJXGMtD27kbd9DaC tLSZpKjWEEkhaAK3RtE1By+HUbXdbp+m25o6j1s8LAa4jxBjtz57H3AdLs62LrL2h+1jM/2HU7wH xoAvHP5JqUq8KdMM7hQNFL6WMoulEhXIXVakqYnB4EhGdAKr7P+W4ICvh71tWnTlZIkScPSVeTqK qz1it2yICGMLwE23xV9c+2DncOKEivZae7BZhJi3vdyjlLrdWr/EVRejqgP6NA4j7HEQz7DIvX5d Q/Vdjxxw8Vqu8bXM8ypizGl37BNKbfZUORhZooDZc8bSuQSZeKg6u9F9gqsHg8+65BsyGl/E0QwD aS1RwA8A7t7zf5UnoRiGgDdQL3I8YxOlCzllLKUpVFTh2GF+rl+z5pTs5W+1JM5duKq1pwg9OWsZ 5fwU/CAjhSHsXHmbaDBBmt2xcJUNq+oQiiqUEJsl/bQlSjnwb/el9g2cCLlFjIWO3hJDZGWsEfO0 rN90ClPS7FAP14jtkC5nkGPJWfi+9nMyAuUWDwkQ1WpgEwyzEdGJ/iY8C61GvI7KQ6yRShabXfCG Pb8e7QPEiPfTfULzE5WkcmJNumZSqGpGjGaiuzaKlSHWtJFC8buY9ObMlUXQ2G3tp0BhUhcsaUaD TqlTjUNjHW250mDszsxvK8Ar2LQC0aCHQr/Wwgd/QS4RG1h+q/WE3At+tLiMnIwoU5a+wdO3ZOmS jh8qgLH1Ct66Nj/wMutOcEsRkU3bjUqpmN3ih2prfFg3PKyJh4nj5Bzia3XceQjvXkctGixlKVMj L1VE8WCP/VPT6qg8RvMx+GgJIyV+zxcRhoSLPTsL4qqEpbwNRR3ZUADLPLFadJXtokCObWZcyCHD SfjF2t7QoEdeHu4RYhbE4vXbOGpz1Fb4WD55fljZ/QnKDo8XV0DWQGRhenwzOotn8nhy2HBdkTUY Ec0MKxzdeBImmTXBXfIxJsB/a7FpGIuZx8Dnm6hBPmh1T2our9Z0ncyhPA83MLBpAxeWnTCj70nX IF4NE9tOeWCkMaxchApQzJ/MxsAmUldSoAnPpZJT+44vCEXvH+kxIBVHVw/M298OYAKpY3fIn6cB W17TIKjY7itcGfJHKcVIHkS/ik0aAJjIsuWnalhtFC/7TaHu1cEXcNtt0uV/AmoF7AzVK2YprmQV BiwwTC9+wLNJ4LS7sbQUy5VJ4nrvZ+pteqRzVZrNvwARzCku6epXG68OpHe1Q/s9e+cT9kw7OTCi ePRMiizjq7IsW6iZox/R0mVgYCHfl2jFc6mc8v9289dNm2FCOX4U8pCocUH/ipSRg4PA6WLCq+0H V0VpLaI3Dz49dQCdRFyyZKklA60j0xCSnA7IWOrzhuw1hEOoQScnGHvAZsCnb/eLjtpNoixEat7E IucgqWhF20SfTxlouc3zMvZkwWw/WZKYldmZIy8dbbXnhnhWU/nKB5NFSitH9dVrj3/72VByGYcY UhYYJBz4PbpLX7T7TXNK2FV3622oVi0yKEV7fGxZqkuMrunCfKmDsJrxOe/7eVlWOgWhQrmIjgho u+9XLT2/Uwny8fJZGVGQX4gm0bevTyJupkW4mppnv0EWkgA7Ah7uGHmZ66x0+e0x06N3D0uTShJi SG15Xy0yKA6lrTmwiSg37CPLLYj3h25svLCgJCpZnQ42Maxkp0yBhjQpkBG4tV3tJ5pqFUgO/5+Z ti2oB7fMxlpEbvysgimTDNiEFjl20AALeRC1LutGbsukVSGGmPpHqX/svIm+1Fp+gsZAQ84oX3TT arQDtcUBAsFA2q/m4WKMc0AX9iaXSB3Baqtj74nSWe1Y5ByFYJVHaJMK5Qye2C1cTCU6yFi4wn4X nUb77sDN9ah+nI84S16U8pOYPhENL/ulT2ASgzokALzJAEW74gUCXj8aweeWa2gser16dveNRnS5 R4qPVEF2dbLoXK7JYyrPtUJ6Xiblh06AYMap41mqXuJ9dsiWr7ePzO+dBqJ8kQEk2NF/TuYHRZGY /MTyRhWnMv6CselBY/tC6cT8a7MXFjBVDPlQThnd0FrjFikNlfY3C1K1mwpt2lg5Nn972u5Z+se7 1c4c3FqyjGibARw/i3joXK4oAIlX5PpWTlyHGKy+MYMPs09uXvtii8oaMv5mmSD4KtI6kE4NN4bm 75fPTKVyZnnFPbOsETu48bswcJ7FndLWoW7cVDo7kneE0Zhm1mZoangZ1CKdCp+7EKmc0V9qE+Bl nnOoG0TU6ioGqjJf7x1KC/wLvF1ccCm7pTnNuomc6EjNTfjX4F055N4y1t+aYtFUSE4MQhl0dcod /jT0yTtbivfgfFBCBh6LU85sOz346XELzD2sDoyv74ony3V3bIEeOXb1jplQ1GPXZcfxGZkCGddO AoI/zYkinkSI3p51tAVfg99Tuex22yKcW3kmB5a1S/S+yhDPuk/Y1vVW+sTxCwAGM0IjHDr6N5z0 JN+4+kVnApS04dMd5pIFeN/jqvzscF0iv5UCcVJaV/rh0pJGk0LCW7d4/CMsEFv8Knlm9o91/0I+ N0CL9U6XloePu385Tv4E8UHUvMTPGO2RVtFoztpAK2LmtGsDRPlbVdXtUvf2EohITM2h4BpJ+hzL oOCyFSi8IiRMbh3lAWbhywE2dsrPpJxBZqfKYMmJSii3hRqN2wyPoqbLfpHMtai5nq9Lu3ZRJ0Fn PCxgrhFiRMYuOyNV10HQMluD13j9vVmYyIAEB1q5Udk/RPde3z9XgaIC1Xp6ERSwAATCETSo+yVO bvZfn3Oaa4pfylOk7+Dl8+Bu4kPcwKNMf82BknXQEiduT/xO9w1OjCeeRo1liNVo9FWBnfzWhuTA NvOYxetCeGSk5l7u6Eh6EiloSxxOBjWB7onITP6dZSlIrCZcS+BvX9u6JAzzEWgyM3H9cour25+i ZUB9e5kA44akMgKGQaFI1UADZVl7mRJUL5uH4RHkjc+vvPTQr5PMBpSfAveBhQGJ+coF2EravTMz KDuGewsSvP4E4FSWJMs88tgkNkZuW1nPOWoka4bEsc/5cOQWnyPtmHuNbdwPnOwNHgrC0EaN0SdB AdReV9yCaVK46K8c4IAgJXQ1C/pv6LoyS5gmvU1bQELbNZc1zY+ALh0KMoF+EH2bI8LEFC7sRPrT zdWXFZk0cCD0Vi+oiTnXy0IB98r3jObEm2oBc3Jt28hPb062iWMjN6m7RFEw8X2qUetEyD3HaTia sunPBsPPk9Kn5UHsJ2LBHSAlzIAqvUpVbw63yw7YAPD2XKiWli0epEXD0RGtuVf4kdru7Pvr9MHs MdLDcCZUGM/WDDtKqIvXrjUKSakj4N+9gpQivt4r/8sSVkc53Jd85pcZnav+rXd8HhJxUl3XBuWA ItR4T8o5pdlXrVrnn3KOG3KozRnXh1oE0bHtsIL149KhG9Wnzu9KJVG8x2QcAg0V69UOfqb0nO9u lS0mG9dRPSjPjhYWbHsu57WEivrEmGLIgFJW4tOAvHXLG3pU5I+NXyxPKLRc3Kelt/T485FCSkdO xIMKgWwzinugtXvbzStGuKMFtR0nBE+vjS9+8QW3tfEWR/1Qpuwy+d0BUOlCf6AuFMIHO2o+rQW2 B3xoIDcZZwdrhaG3Y88mX2sf4NL3zU+RfALnwfPGkLuqwjnRJy1S8DjHsZ+PgSpgUQQY91s2njiU 35lamr6B4UCPJlAAlLtAd40B1cK3gC+yxtOYrCyH7pBUxWi8/LhvQcBzaDBdOAwRfMXz2Zgv3OyQ Cd2PvZu4CJals/LdUyiIMHtZX1oPxCnf86NEEwmy47Y0N1Xm+VOvD+Z6fO/qow/qZuGkNMJ0PoYk ljxzLw1+mJ0aWZOMMfxnlJhUJYUA96lV1aCh45NRJTgdo1/OdIbFR4CzY9Kez7jpRiJqSwzlHYnh bbR9YYBEENkh0ED9Ge6ooUPEWj67jo2I/2fMdTp3kmDY+Ikam0tl6r0EOIgy9T8KWYOMSy7GHfd9 nk3f36lay6sSRJVAgxlKRaLZA1mwLnaQrXRfUMBUTPW0wXG+IAwOEYW4D9mq/gawlgYGF5V9sr+M ZYuUW6nicIxjBztxOnqqKQuJeAhId4Xl03CzkcYY5JbNF0eyYGTj7qz1dFHWPmP560qIazJfQgML HKb9AycUKWdZ1osZcJsBnZQ5UOOw0k9PogTVLevoUV6uYfM3ugXzNYrW3xXwC40fO3vSl9zbDPHa VeD1Mgq3xEm1sNquHuGYqb7IB4VtG46h9MICVHoQfCukFl1bmCLgqJ4+i/MuCcxBAg4AkMz8D+qz 7wIoFSvsMc4Y3Ll0pDC/bz58IoelbPZbtCKPBniIgIyrbT6Z/abNyXorEHFz1BmgkEgREi2AdP60 P0IeBsBnVvfRWawoLpQq4nDXXiEBsg6JHVOELjjdt0NDtPoWq3cJQq+Oz10FiygtDsTQsTPKHbWN V+Oo8w9wRQ8qUOcFHcHYgO0NteJs+sP7kAVBsf6+LKRMmQ4rOVBXZMm6vsXzZHJeuNGW6hADaDvD hC5oON7AtwA9NmMe9btSAIARQgi4RukBhop/w0spVikvctMOCUohvEEHLup+dIt47Lv4726OHNrF zL13Hak+DWkQpqsLEQV0WYEwoz39qlsF/f7yrTMwz46kP2XV8BXiKlaIDQZOb9aQpAr0NY/iXgxU cOXpCEETF/cL3JhXkGZnsidnWF+xfbIzejd6UMHZCo/PweA4tQz8V26rdkeE6lsxg4Nvz+4RPg9j lCNg4KLuA8j1tkvoPvHFzvm4ClQU0LhzB6zElo0RCNONUGIkioDtAbUoHPXBg2O3UgOQRLOAR0GI mQwGHtmFmwizDurRdpMDHjVlNb8R4Cz0NW6epG67HLOzMymRxwQgh3ptoPPcPcPq7vA59dJnQHpZ qUrxiIoeNP+VdcYVgs8vSZkPuo97IuHS40w6LmtMlZemihHDg7ZXh2KpJ/1tS1GXh+pqH6OGl7Bd GHzPQTV6yfkP/U7nVPoaCwm99+vfLOC5H0a9Xf3iPwwarXbhqljPqvEY6y+xPcx1/iE2kPH99H/J X5NR2R4JH9HPodxE++Sw7O5M+ORt+iYf8ITaI47Ml//BK0Y1VwrfIbk9+fiQt8r00CLQevDPCfeH S4yldM2vLsPEyiNv2sRCSjxRRo60lErooqOfdGoPOqfgFNCCoog0GnRfrcYsYSJiCIKOPrG//LjB 45hzxy1t/yKEUyfQ/qdV86cZiVjspsQKqVuHdS9R02BcAU4mbJT6MiYpFzUL9E9xPm9hByodlGGt Y3tVs7inUkD4e+AQwimY69dAt3x83qJyMegZhrEv0yK8Y6PpoAGWfYFz5wjq90hGObbv14mb3/YI QN+NqFMGZMrp8diM4fjxUzDm4K3MMTw2yopZqAyFcbFDNxkPYk635l3xS5FfdDYLHWykrdZCRFGf HrNn6edhrLjSpDV21lMLp57h8Jyizal/U+qlOIcRnuCCt99yuXww+fydrS+FXguAW9Hew26DNf+D jTW6Ks2w/LT1xIDGZU5MJ7/QpcOWY9ACrVeY+sGn4k0UTKvSN/OEzQxyeenNK0xo1Dr0iRKZ06pg cpoyxlgx96570rC8t3oXBwcFyRBKAysH8D1ESxh7Dv2NupZvn9fRz7ag29eYxvhAatVijKMP/Csq ngG5quHDCHoWKAP4UWIgCOmWXe1GZlhRxv8ApIXNncLKmBGo8WpBD42OcqjosGcJp3Z6523BQ4ZI k3MYpQR8ohMGPqgHzR9x+cfiDZBEr8xLGTTLBPECu7uS6bmsil/EQeCykJyvw0Uej1g614OGD/1r 45RQwfJxY/fwuxWHmzgEJW/QkYGCUzGyg0m6A1QCVz9jdKElEeoGzvLIgqe50npJ5Rqq65s6Hwd/ 0fqXxSk7XMLiOcD/KY45rfAAsEnhB4ePww94FND9VAsR9z8krIYQPfP+d6wiU2zorz0c/6Wofhq/ vPc8VvYt/G2byDC03fvzotoC0HGIPcC47aHwTqQ11I0sc/BtAz4LoPh2BS9DgP/WdbSHMY6Fp8sj 15jQIcx7d7B02Mbuwqhx4vk4aXVPCDTNftCoBU8+IwCiTdvuaf+sQt8LsfnqOBkxWbOJQ/ev+/1F 7U4M6y4ksG1uNTDGsOCWaHeUBNX6ZWTkxVnoF8BQTdaOqpD4MqrFnXLbKKcw5PT4sxXZVmPDXhLl 4WPCHjR0eR0xdR7fllrFSgbVTzU3WC+8dhGnbI4hpJKWWqsYz18YJv7p1uMB6l3rl/JwjuqscN5C 4C4MrJVci48UYA9u/Jyocum3Ca8Datkmqttne5tXb+nBTkbP18zcalR+DRH5DDri/qyuOMU+38gr RaqFASwXfLdgPycAcCZHslPVspsgYZp6sDxiaYU8YZlmDVvJz5AFJPK4IgggBGjiDvOcNOY2PZVf pkqHCLN0fzGaQhtiX5hEb5mFr1AO8hlGkw6ZGDK759l8UOZqCRUaNlUtF/lZ547C7iCoPdKBZcsT NoUXC5A/wGB20xviyRTS5cv50f8JYmdPfQTSWJgTKuBVoapETQmn6/oaeJVgVKzamTJlAJy8DA3p DZQoxe2hpsMBGCVv9m+1gfEzemeZsIU/lP0UCybWk7xk9eafu4G8TZ8jxa3xLkE51+O63T3hWHyn R7k0VgcN4ZJFLQJ1vrKTKVW7el4pKwMFfTUQLs7T1hv6OFUUlcmPaOGW/zLTHsoa1ZC4WY/L/eUK KHa6z4MAEpig/Y211I5DhtRdR0rvzWR+u7cy4J+becAwhSUaVh8Oz80TnO8D1LRr/tV9dRtbeYDI gAwscw2DByh+udjteCATUoo4nOa8WOKXR4oUNhJcGYaokLH+CQdAxkK24QPW5IRGG5SgXMSfOuSF xp2jgzrhL/poBtKpSkwaLKIn9VKimZNx7DovAghEiWGas5nVzGvLX4OlcGnfxwa4cB7THWxsVzi5 3MZzwhqHOiBRE8OwYhZgUDZLxMQ+4BiLw3ZpTk45/c4sc1B2BvW7U4QHmvzxfZdBv0EDFy+7IgXO U4bMI9ilXByk70C+6r9yJ288WCWxNfshCaV7hLhv3io6+dTtzCdSfLmacSFGQmqItIgdGqU0rlL2 fXctlbvCEtng2zWRaes+wxF0xcA47PP4a0Qn+dk2KC6wjnjRbZuxATKZRf6BEstp/04hVsZILqTU q2S5h2YKHfxZKaHisjuRJAnrdas8t408YGhDxZ+qP2S6wcVU5biA5T+KmT2ly5m9ft+E4mTfvq8n mbEm/g2m79hXpXA0XUmurUWTDgk7CdZLYyiYb94RGRu2XKrUb8Z0ik9hHI8HUloz3OD5IUaV479L /QoWxENu7MLGKHwIZyLjIgv1pvXQ8RAgcJO9oAa6XZbSPcqzkxL+XltHxPiHwqgeNaX81FJHIVsY Ek9tz9nMXF9nS/LN+cjbTJ3XpqXSn8wGpJHpjUpef7X+JXs2UB4u0JvCAypKgKPmGYkZ1ZIa+2PV 5stSKf7cquHqiCJPVG2GAbI7RofbEmgEdsV5F4VNbN8bURDHrvNM2jlnsee2zGcKpZ4gL7sn1oHf 3iBC25XTK5YVdXN/zifZlZV9VjvYW1f5nUtI+DKZhhyuOcI+nDLnh1R0wkTJVpufcOjDccZ61vyd AsHtAzdSXRq1Gt/jgWx8g7f2FMu5tXlDsoE5QGWDf2nMx/dw53GkSzdQlixvBWvQBFzxYa931mvn uIkJdbmANFwd/dYdJBQEraLjlPom7vSx3jKrtFPIV68iwdCwKr1ve8t3WADi0xo4Hk6j3NDUdQZQ 6H8+6+u2Nfyjp0myxgexJEwvtIoCNxLc4FumHCkpbNI41HwCDr2Df6Wp0DQpfEkwgiI3L4UQ163+ Gl2v+gu4gIGyVAwo3vHqzojS+Igg90B6I/FtzTem8iCfAjoPqqpJD9afnByI8k93KwC8STdATEVm rN/0vM9cR0mGvwaqdXs/TbB755tUu7iqvWcy8kvviwaGV7phvhVlf2NY5iH9lL+c3olrnSq27yar 2Eq6oEhgGMnvUDfXhA1+gvv8G3R0QUKGIPtZ1okYiWVeRpTtqWSD5HJEqHV69Ep+2gWk+Y16ESKu xFXwCj9TJ+xKYFyaHWUsIDPrDh23yhF2b2kwpWivicCb91dIGorr9HSKWcWkkY7S67flVd5ZQSw1 9ogMUcaPIcmJCxPo1YXWD+zCZTT518TNdAacXA13+vv6QKCssbF2zXlYnSL2FeN31dQNzI4ktKBr jNRTgmzRwgUBJ0k0G+b1r19EUCgKnLEKn46S41hpZygqDgG/mJDxxNY6cSKMrob4KopJ2oWXbsCs vYTGl+rTbHv/Ke0w/sxqNjiH399KKFjh8ZXYi7oJsZvq6exMPIRm7vLqPhNkr0AOBX38cLgvhrGC rtexQK15CintcBTKPzwMaYU76O8UaDjWe9couX4/suUdM1nWRjZacmZvjWJqcxstcNmp/dA3m/fJ yzBJ/L1rMWPxpcRpXZNZZuMpIV3nZzH0ybBlxhojPqLg87gSp9eroZqiALd/0GIuhJipVtBWPXG6 j2x0mvDzkMhEClbEtH+bHlYnTu16QCDHYIj/kGSc/TotzleyJA9LwDNpwndVjvFBN2VN123PVs3R JvZJey+P1HCaoW0bP7g52G4qMYbAzEFvnK5VXVa0uewaokR4isGNoA1/2/x8OtsLGC844NSnMwjb bzKyNQoKeDdphz6hrG9sujoz9xAfLIa3dLGJQ6DK058/iJQ2zYl6oM2tAAbuZ9NzjEMpcJax0GNN FDpNFL6Vw+ycW1G0AT9wxVDFPrLeAnM/CuHxdSjjOeeCEa2b6wXRU4AwNyWflv9EKL3/AO/PucQV VlZ1YUXwfmEEKPHM6TNwk2qQcaQ9r4pmeH2SSFfSWTiL5NORRGphiMw8RuZoS6eQuBzm6xU4xWGq 3D2a92omNmYS+hhLwebPAt2uAm/L2kHV1tSqDCQsIWSGIEpEmdIp2LVWuf+E+R0GdUCbkBLFX1jK 2+KU5hKuB9ChbVMEjBoVglri3kKeQ3oEKrm4FQvCujR+9/Pr6XQl/0xcb/xJA9i1+2L1Rzy9JJns nSIALralBIOTKxlC/R4gYOwjoYOEAzDUAODhxEF/OcJ+/lSsj4rRariVb7Hf3F/4NjfNXmaZMRVw 4LNXMDbqSkOg+e+xIuqN61y/RkCHjEORQicwVFUKtD22c3oUzrrRjSDHxb9EbQMbchrKcjiMNebp AX622tmNy7stuxlfAIDZ9Y2sMHPb3Rm+q2UqIB+QA0m09i+dgsxFx1ycDXZgofxXEBglC85IN1ah 14QwX4R3mAIXQkMJ7gyHtDDzKDFWKKIE0A0chUZB45Yg4SSMBn5nmcmp0E0ufhsPwDZcQLzdd+IK 2L2CqicvoVnENPlFPUjxfTXUs8O6t25RhwPUHaqVtl6jkNEFthTiipsDBMcMwoK5/Zvg38RZtYi9 WsatiDMTBSm1tgJqwSmIFQr7hfwzXF0BNxjlClvHo9h/J9YD54bQkzktwZTbGAACC+u/A9Z4ifWo +Y12VAtlz60J2F7Ek56p1OugsRNgrNwCxO8UuQHDzjmG8RHfvLFWvOkWwYuXBUqSHBmpsxebXxt/ cnNs3pC6cwvvPTacM3XviaA64aEJRnI+p9yaA2MFhsTO4/RWxRFu+qthRIKFtt44pF8SWjsIEWT1 0hqxZKs0qPvEtB5d7EnRRoXJBXjnLMrG77ZTplKsBJ41O6F0vtVfN2b8qV0qRYzt4Lprnwtup0Yd Hypmt6elO4pOhPa9+guSBUZB8UTOrDWJCYZrc3chxI5y/p6LyqbWX8OpoLd+gm4qnLOfNF2m0sF6 Z1Y3ivDdNvP5I8DEdaQP8miLAP22r5LJyDN1ISC52Cy6jc+4fRbNkvv96Y2odfJu+l0T2ubpFPc1 YxvbaZ2x5NFH2ckiMxCpUiDwHGaVVmzMmpU94xCNGOrkfRQz7+pYfomjWTSItw+2sexJyHfDOFdD /ZoqW5bys1wn85GUy3X0/QC9baVKqQjnO6IMYUUbOwx3IQoVbSvfMDRxNicKsNBdmMB9C0cyZ6HH pceBmkJPoUP+g+tDZKaQToLXQ7hk1JWm7kSwpO+uft4oY0KLDxfE2tmB/FfVI7Lw0yBMe+UVbAYw nrou3UZfYVoh0Kb3Sg4Yx++XyrYZ8X/8eRGkMKnmamzCu5kxsSxJbI5g65VZqpQn8yj/Ambo/Bfs KEO4chmyCj/mdsdldqBa8mffqzoa55fNyXXKgUjStQH+7djmAIJ9r800YUM7Idrkh7QT7pwi95WA dw0URnATeZV48IaVRv+pIR2OudEBIQBHT2QAXEmvhpgrDztoaNSkVATn6PV2N5viCV3nBglihVeM ewuiQk8Nylz35LoNlqsaJI+QGzlGyGpdnadOhq4QYxhHB1Kml6IFT/FD1feYWc3/goCod+i1RJkh UaKiTXkSEqAFK1Ji/Fl4ZwiH0wCPd6Mzl/r4EBKRoXQFjCOAUOIkTbOSWNcb5lwq5HmBoDlEcjxr eR2i+RQHfcrO5qUM/CQ5x+ZKgUvczGSfWYyYCA6xhQp44LwjKp5B+/VxZpao6MlJvMZMxDBEvO28 2DtMSLW+vcgc7UAMKXQrtjFilkVIPt7T7CiZ7GDYooqR4ONCVm221fwytZ5Cf69Ub9OkIorHC7DO wOTff0rT+kZlBAMFDms4gDYpk0ib6kLd8+g5MhO2cyEJE53w1GGLSZ2osYokvObzX3RvlDcOY+4e U57wOn5SsGjr25n7f7QA/LlX5wz9gsmKAl7XNzvRDwgHTeaq8xoev1YDJ/dBD4BTqlTbswXPmJPd 45RXJRmhVPVZvvEoxfAngy+rI5gkKUEfxmVQYu4kpqJao1f2PgziuJNNM/ZwFq1r4yK0+55P+Ypg DaFu6CBnTgNEGFhzl3OeSZ0kwslsms6CY4uNjiE/yRG7mUQKk8bPIqqRegttPFjrkjO+R2dubvm7 TGhYFp+S+bmH+ZuDFG2f8vwP3flHc+CfhftPPA1nJYF3EGHYquzJ9j3qYYjuIb0Yp9ExuFtnc8hn Hf/VPrZ2U2Upm4WDMIsx1nt55/6iKWsv2SCabnlzoj/u6EqvuFKPMOexXBnLe5MG67Ehubx8+bYa 0GLB0JNpTSV3TgnapNmRuCIKWDOB4G0I0KYHF6XSWxCkUsCkuOStGNv0Zm++znL1UO5TUk8iOMQk iaPiRet9cHChniaaIJYRknrHhLybu8dF2oXTKMcPHJ2/0/9kuzALtThJB46e1dV3M5mO3hJurR1Y o8K0B3nwEIumN4klXnJU1ineBVWJnsfPQI56kQ2PxHzhEiaM3AsKNeqh3imzEjmdMm6nl25HJfpA 8V2/QHK5hl2swnA5qHPvXWMRTRs3WaTZk0741D+Xbd9DCq5Ob10aDwEta06ZvALY+k44aJRbdSr9 c/hBH+Hh4acAi9ywppoNm83LAufxz0T512AAf+gvL+sw/SDK2uDh/JmZCXv0t90B1+h08iOefRRS olXT/Xnc/elL9MXFVqWaVdC/TL2WFlqYkNcVyp/H4FvOsLujNd1jQEllFKodeUBLuVQKLlG6KcN5 IerxARTp3j/XPch9n0UDlp7gZm600AsEHtJQ9Jxfe5jrdAkxOTn9VHpm6Fk852p73gkr0G572Ts2 fQLLpUla9X6NrcqfY+uEFmUmYSwfOMjPL2iIKFM6opKH6tHxecD5d27YeNmXQrr44I+oUbTD7yxn VKYPd/er++U/1TxwGaKKzbxyGNNLKbR4DJPoT/xsG9T+QUSCeOe6BWdXgbUgSn9thVuJKI0/oIvc ulJCWXQA2yocZIitdEc6UM4PcmVaixYymdDdAi/nBG1/5J6Hc3r75uRgHY9KcWGOi46/lGEgYSJj mRe+O3XJcBwuG9YlXH4iQQK27irs35UvVcYCSwgJbIwgAkX7ynIMtbUQPAv25FAEo+I37jxETfzY F3UcAgpM4QPDzAqhGy2hF+I7iFls0vVQvqsdCbXGioAj3KXhNL1n7bj3IMXL2P8Tr6n+KOJ8VB8n 9RRZzb3AVkz6Z+6E+EmC2JPaUEo5koCWOGB7WOdh9qDBQd5qpYw1DNooB3dOdzL0c0fGbpZoUEQQ z8DT4B+fdb2PQ5obCIbpCzQn3POeVCfAwQKipoTUZvsXG0xsgN/Vjic9OL84Q8bUttW2QAH3EJIv /a6ySSYjn2qGjrczwAyD+5zk7OWCp09pCU5kk5ujVNazXuHwUWNj1KiDxg1ovGFadyAyEiDuVkur Uvm4ef12ol3Sa8QFNYdQGVgOXq4srW02rNId0dhd+t0kW3fnRw60R/5OPzsvzQq9BtoZq2+khiZT H2CeJMFSlNjwa9CXkHPSPPys8pYzB0xWDqwzo69GKQG/RdhZvuetqsXIo2VzMEDqf4u3soTnsk8x FRBpqhcmiz9GpfcUlUDIIe8qPIigMBm6zHVPXEVQCPZxHLMssBxCo53j1+h4LdWAFc5yT4PoBU8C fRZNuqjfunOCHnA3L7eG8TnQE23Cms2cAo45//nmtl+Yq+DoN3dAvy+qBAdODk0kyBFsE5pPmu+F ZF/jLOq20K2WH2FP2vHIvW56/l+bizY7ZL3e7uMMDMLGv6EmCHRz6zjC/Yb8d4kinJ2l+8qGLWim 5Gu547N9wAF/ZSFOsi41xmqXOx2oJm98bPjpyaA4Q2/MZv49sijav6c6XEVA839nV4M6BVAOMkhf vL5Hg7YGIiXvK+pohNTRR8TqcnteF9CF68Vz2NhIlNZQZsBSKNbmLWDMjIt+hvUef7aG7wJLzSLA vkViWc8xUFJWDP3SCBlt8ntcGhCvPiDTkwVkx7xG6MbGzb2trfZXuhTsz946HhM38sPwuiVyYRY8 MwS4qd2O9yRKjIBLGfNS1aQrx+GSUCiL4ojZ2+yuWM+Xu369/h6MfX5Ym/P1SpJrg8owjmBL1Atv atjN2gE55mtoQ4OAus0thIW1OabDIXSyDgzw/Hu9WoI/2kspHnrJ9cQDON/MZhB5+aLKYU3TrssW GYRkoTdQtiPyMocVMo8M+QhdKBKRVNGGwKWwWPSuAqQqKECHzl0I2VYd076PkSbSzGN4eUzQF7k5 vpzsIgiMd/pTF1uRUhLWBeCWgLUOPDlN8mZ43gqav/OA2jppm8oGNnSZzHBSU+Mw6A7Ui5/oqPtf dhFF0zM0ylHYoLZ7Bg0VXQz18AyIpKSdwEoovceNDm3Pv8x5K5X6A/J7InOZ6hv8bMNoBnpa/5y3 gyh0Y9VPBVsCprOlxjjfs8341/jRSwX4ulW+xUASCeq6IqDj3ox3O1oVjhYqlKNU8z1IsaIzNbp/ AOtmxvpi+zUdbgoKPZnd+g84y1AKdUldDA1+YhaVz+TqpmQwK3bRDJDmwH0F8QJ2GAjKQ9v16GG7 2VJ3+KLOo0DILiEcy9hHNOfFJ2d1tu+4LctqLn04LN1gsNAMMuCAAzo77SFs01Y1GoC72xXStlnK deHESn8iGBjAgjQu5MhmS5HjtaLSPmgE0fD1ncq4OrccYxOf4uyPEZRwsBbdF4jskQ7veU2Xl6p1 Pda6c3S1tRvkfKxK3E4hCeoE3mIQDGc0pvicBovAVmoQsGyYFZlqTuBQWcO91ndm7LUsly28PWA8 4CVW9Wk8IQHEEQCWMVtnzcY36lT+yUO7D8JMQ5SuM5af1MLH/OukdqHd7OrJsmYoDXW+ed8KmsV/ 6HnUKSb3RwmNxDAhz3ZMkUaFIDJAYaKJNyN4d6eYX/6WvY7I8MWAyU6r9v1kfbtWHl/dl24Y6HF4 IdNxUtKQYxe20gPiZSS+NjsNezl5GIZoyqiPqycm69KhpdXGAuS4c/np17iCn6Ns+hgPYKfuXf3Z weMDJyMYIK2y7JQEvQR6O1YqRRsvhb1enreFqOTpp0fkRUu2c0OptroTegEGqSKJTAN3RZLeqwnm TA0H3W5LUlke0TisARkdEHXscCr0HVfPEN669vET2oOqdeyhbmwmyrq5WeIMcNftPGEmb6LupVOQ 05e9VVllYZPZW2IzRwDe3V2wv5TSgsHNPnDTnYr8tOL2ikjyZEdpNmv9AaYtUUD0dFpa1nRJ9D+t qRAZIOlRpnrofMmL0BZcbsfjfih+sbJDqEH/Ah2IMuwzu4HYvSxyuZP/fWqYcYv8NC8NNRLVZ03c lEPfbct4pqNmHbPFg8K/VnnCiC0ciDX5SU69rhd4NhCBjPsIytVJm7EasiN7JSnLsYw74i3iGhOH ZCWPIJIxqFqHvhMFL551HJNzSv/YuYQTeTsRgeANlRWC8b/nuF9rZCsCr1v5gG/cfRXPaNZziaNq +tNR+bAgXB9cBBZ8Ec0hnPintvWIB5QkjKL+5L2Ur+latwZyUc808+TVQsKCRLBDXfeg5VSGxNsE uhTljh0dEBJzcky80kLThRd/50boL0yPRtuJr7zLuaThrAY4v8WiphKPwn/FAEMKgCM3An4lf3bl p4lQyH0TytU8psdDUAkMXz+Jj3O4fCHmCK+JHPoFxhKfMU0gp9Xl02SZ8FNC00Hk/4E0cnUlLy8A yayZVCqVTEFA6a2GYp0DumrKE7MG+peO8xZOMmdXHHkc9QLEFvWqSTMHGMd4j9Goz/a6aKwpg3wt oHnGLqSOCGb6NQbjkHbEKqnobadw7a0MsoLZWjVt1U/VayFFsC/HM+hoA971XWuTXFCRoepo5bSy nFcNVeJJhvMKyLsMB+jmKCsTmSzyThYUdeawjHcwOB2hM6Hv1kAIJ1PHNytjBGimpa7PlLyKeni8 zwaOiuA+VkPwrXmRJphj7+TXzfC/oB21cDakpfZn6ywie+lal+f16h26BGdR9mV/u8SzgBX7hQ9s Gs3r32p4+e8EpThADS7n3u/6QPlWU/Ot0G2PBv1ZyS7rxPXuPr/eOMWw09Geoa2Z6nFKugaJllM0 jpin6qBjgd/mqFBpWDDQXzVjuk9z96trseyl6VJY8aHQlHcok33PPSNaEQCw3fQe6Rhnts0J3c6t d/h59Ma2uNjtxPHfwRp5olizYc9i0fnBcc+NGspG6E6zTZzcf+CpIbQHyE/eGEMaMr0/4oq6YRP3 AA1lOZ2b6KnqdigMBVPNmJWKNK6+3dgSCNoelQ/gdLQ93xd+C6ahh4YnJAdgBbYaEEJ2G7h1Nb29 wWAK+alnXXF6ix07t2y/XmWYZ0FIctiqK+ZUzmyAlJmH4bGZSDfTR+I5Q8yCJz5IFi+gx8vsG9k+ gh3NEWwXdIx+HKtLqLH5tx4ubFnubfzPmd+Qsm5qAXjFP3qGmnGEsd8C57MnxeTAJQcTyf0kQzuq 8YvuNixaoVnUGuj9iTyKVYtia4loYFNdRzyg7vceE1JMGi/EbXrrGp4vSvwWDPela/WkObuwK0W3 kMyU8NHdpWQKfSQpbxMR9wmXaCtUFcT/JUNJq6Q0ShDDc56oRosWSm5ZTBjImRYz/0cTuzNY0352 x3vAcwp9phWmvqYL4kfIpJIqhJKyBqiT2e/XxjB0Cq0+2fjaWIuAsA4pFmcdqM4f6YbkenoP4nYI KYXOOemuKcOHHIsl6KaPujHoFaDegSbKE2tdl9GurvaEeGPe+fDf/8aU20+3NV7JbiOKNqTYLKCW d3qILQA75FGz/vVIyYhyHWeyKnu+nch5eY5KqQg/K3hnCdwnYBcg7jNFKHwBmIfiAgybXkGaDDJC 92W2+Ffs1cFL4syJs26AMXwGDsKoG1WyCG8Ngct1noaZgr36YEpQILIYZbauqMw/JU4KJwpbmtJq MTZxMcRrYT1Bw4fAI0PYBGKHffEk2jRlfYsz5pSaLQRHbTXvQSMbVgxHHIaMd8b/Nz8g61Qx3FaG ywlRAATs3raTJVIVAcNaWRSEdMONHtrxyDG9wYrdlqGLzQcJboXv+IWgkxTfZ92CNWpSzICGDwV9 LpfcX627xPeDJSfqa6dE37gBr7P+qCrg6e+px41WgiRKSe1QU8Vvv7J4CTwDL2/rQtSylPRsDP7v OhLxmVtVjZIjQm/Wl9WcNdLkMqNsrzzujFpYK2shOPNChTMiZ5Pd7wJtQEaQhyuJ8UuK96CCHshG Sa3DM1CZ5j5n0XZYNFMcq5LhOq62fK38EJNuzjXqPM5VJ5qXwRQJ+207j0KVsSigkOV9AMd4od9j RR0NCPWh466Zqg6N4lM3j44FYa4fim/4Fc/eaFilF9M71Fk6Hsl6qSaVxGIJagAk8QLCJODrkSSV pClbY6Rh61xyDXRAOYUDyLpBJT0HejjTA9Gwln1c7gdRAJe57R6ygPufrRV/SBb7Y5Zcn+PGKzXI Ve0cHwXC4GCjDBdRv0Yq5oygsV13pBKYP5nul3lNGXOfsUnjLJ2i63C05fPVlSlhwrk13tIXhCON YnyslCIapV5yk0reNvaaq5f9xJedBE9A6XaBXKRf1y30YBbbTbqHdniSRBUMCUgjB/F9LRtEG3mq F22lv1eFq6Wx9xcTvle3Uu3b8Zb0MveaIZyM8j++vdNARtgsdiTy9UrwciCO6ukgurmmQV2G8l5S zmWxryDgDK4cvvCn2++S57Ah8050oOjQdpqSkPSPLsm9/noyFV5ObC4SDBFoR31q+dHZkEDl3BZH mDAG4kwlflrT+FuunCqikT/xBhgFywUSi7zJh7W7m9lgiMmHl3mVfOy6O38XiVjT6vw2VgTfNQwX m0y/R0+1AL8+mP4PRmgD0AU4FoD7Trxq9xHOcgwQLbkWe6N75KZ3KXU9/7F7STZqGAx9zDHma5+1 1GpgcxWydbhqp/YY/jZkBwneW1bojkDjFmPMghdLhL2CSIRVrdB4C7Opi739hPHIgaGt3rfdSu7H QP3sY9QT7uVVgxLP7qCL4+r+cyjRs4D7bneEhkIjTFl/S3vsqG4CKF6qhTnH8cgt9gNnZhesfL86 uyrP7DS0d7epFfXx4jfd5osVMWVHQKwI/TEkepeV5nYqz7VhX56HFD6B8+EC+AtIRe2nkN0keHdm /wPWjCgNA66KksLjDM9q2uR1Ou07YEHpAbhOQvvd9ho77o0Me3yTTjgdIYbC9K6+/45ngs9zxQEA 6YxE4HZ8C/9sJXeGyPZiDmLUEpySFebyCb67NYG/SBXxQggNoHaWn5N7N5rACVehKPhIK0JafC05 27HyKvwV48/ddVR9aLZL6Bidpqs48eNL1ag10hUO5aTjXRpZ4OMFzA/coLTYgb4AjbgrQHsj74pG IjfjLJRWCwSZGQ92HlRH6e/f7rsgfqeY6qyE74iOHKNwrd04lVTV7rus3iw5SATqBZDoTFbrvwDP vgfwmUnuxuSdLA1h08JVTvjU0dvNXsonsGl9GembOq2CQP1uLTvivrvNaHtZv6/4Byh2ATRrZn+R dwDEouAAPKxm4onCbsCH97rtPFybprkNzcaIEBR3iboGmhsDRngudA1T8Heoln2Hq+rM+xLJQF5s 77BFML6Z3deMdZLlRXxXERojn3rykULdwuuOl5G9kcwXN5EdMybK8kFG4dGuZ98AuapDv1p9tlXN CXlO/KPLosu+a3XqE988AVFlYS0iQE0rjDSHAb1mtImye2oxyxAMvYBZPcDOTejrBQwO6BaQKpuq hZs87yPqlFqXYb3IpuhIaknlVqNN9SdRrNDd79SSYVZkaf8MxywFkk4jx0EMrAeYdUT0r9XhbZkn c6RGvEGeHr21HQihmF/e+dOa0bUfT8SbXbgegvslwrEV6S2HdMzj0P6oQiSMuwQv+8R3ebEtJsHV rCPV3l4SLdJqGbhZtqq8/GdjIxPGIQ7ViCEmAfI1U1dnv+UTD5LZJ9zpLz52gEdkemdhVnb3fRew UM/Vj/wTlKkfWl9RYq04Q2glLC3Kw47HXfYgC/EJ2LGdZTuqvEcbIkQeaiPD6RmX2I/YAGvEELP4 EBSoglLU2lHkn1r+r8/3an7lDra3P/khRFdfNqfEjRSGX2iIIjj3NneJ0nDGrcy2GoyGN1MgbIJX LJhWBwNoy+WrtGna/xntcq6UA/p4/idV56N6htN+mrpdFnPVzEt+suKjrkKM0aPrBqnIFwNJsNCW NLL/KuZqW18w5SS0j39kexVj+w+BQDdFbMff+Kdif45f520rqJZk2TWv3ODcntuDuDhOaponI8P+ j6dcAs/d3gqu/ZRRiGJHRdSiwZ+I+0QXDjpkcbzLLtfh7uMkWDLa1oKHFb4n3Jk7HUgWBz8TyzJ2 QufbNlMx8YM8IS93YBHL68pDhsapLA036JOnzFNPKYj6JuEj4T2u2KSOBNin7eB4mydHpm7jihMe 56/HPIdGV7msuwlHtYkrdAaFOFlBTCVSwDV/ZUmsJ1vcsZLpBMNG2stMqnvYOC7ETTKYUEpGPR08 nH1VAs+ICP/NfnrHnogQmGf86O1lUqTwtN20VKjjBORdtO/RUK6kokYWMfMQGlQ/ABrbPP0tkgDY lB8iivd7WQ2ycr+Wvqrv+HVJ5x8pdMjgVdUkG1g6x3/ldm+3ESg+NPvg5xCopDFzRH1w/SOyWGry Ytx5msgBZqPLgJ4udtLmCrY+3HOwtXmvDcTJxGH3LCEv0L1WAC7DOiJUjGW00m6uNKA/n2EgMUVO xQ7xqFJQp+Sk+qaE6b+RttLQ0IkwMGcwgNSUBx5IzJUIuH8PEDRY6UHdlkpbiBdjV2tltTzdAZvk GHX5wpYZNWYBP4s+OnEm6Y02WEluwJtvrbCanIh2rDtPIAb2/smLgrZd8udxV9566QYmcLvwyDPM mXWTC/G4Xn1p8sUENaOm8j1zGEBxGRYYhTmrgTYUfzR5v8+JVYsFOIJdEK/Kczpk1u06um8kYTJO sycCoD5d6gmOjhbK+OHDBCXQ13FOS6f0V5VbwSTU8cWcP7yInlkcMOjP7tz0p5jyWacbwNq2jxR2 SrCuS3as8AiEdpwqiUvzTfsGQyS0hoYOARFP1UgcNKwxrE3UhdptrZCYe8si4nBKNJjcgRcaIdqW 6KHkNMzjT+Q6/KMS8w9IPsoIkinzrNJft/QDQBIw6rBO1u4i694O70KIcHFnGSJggQ8tZZ87fDTJ I2chlbTBoUkNOAjZ162wD4AEJPndjCY66e622YUTBorjSY1EygQv4b22QJtiCQsh+nljxriPDP+N ojcOaWDsp6dFL/lF3TFCp5OkzJzCCo9P9zSN+QgPu5EOg65Zyu/y4550oQmduLjOIWhn2hT75IQa BH/yGpgHClDERzg3Fk8wnW2x2QK0b/YCvVok4GbyY1ljQlTmmfFi9SYeuwnbvbcqtDLHp3SiO1KO u9FGqShNkK38o2rFRNsB4VujXPRRkuABZtSxNqb63kDTgkJB3OTQoIfWNnaik4DNVm6/1Gh8xvjK agrCUn4cNdfA4UGxpsKk6OHS4VOyLIDYD3WCUArZzcSHXM6LZd3iqGexGWCbVwTrNlYSMKq04RmW erj7V994jaR5pbVOgDyuDY+1XjTzyPpX6wgaV4iQnqkDzgAaJTza2skJ0GQSgsDE4duaNiP7mTTT iB0s+TJsrE30Fcdh6K7weGCkzlapwjQPLicIZjU+5a7dV4ud0mQmVHmsvtMaZhSqoipr8Y0fnzTg YeCt9sFip4gg05rJFrEd2CFkx20w8gKYtiFBtRM/SQiQEKN3QeyZdjwhtPthujnMcX5BN2bmBP4U TTi8YMCLdRnQD6k2XaiImA8mes+Q1LzkkuGYQ/uQb7UrKKAbymBz3O6z2iCt9SSInrsm9M4o/pLP +Kqk0fXSxHXwhwLlwcXLXWlLv70hQja/BNwaMoyfB0tq//K+7wTd4InltAisvkh6+kdRLNjCZYFt FetxBNrzDcft3Q7BXKggTMkdEUzc8NnEcxHFd2Xm+uRTX664Z029yhT1tGJxUHM3wyNuM1gDpUFd EjaMCoQa1RWEkqcosH9Q287/S1HbtH18QazZb+5j3wkmrtNq14VYbJSkwgLVuo7+Ufxa/IQuECBb ajdwDK4Y9dJvyYXFuFB1V1PIHLLvrcVdUhh7p0I76lh2Cpg1/GWeeVSpAERgEUnM5koIzytyoAVb ijiWlzSoyzJlMYePWVmhs9bYra8VUgykN96Q2cd9qke0YySo4eyxdYs4uLe3VwS2z65d4HYS67og GxLQEzKfn+hiZgbRTvM98SriPS1tBXdS8scCgvkRcTTdfQ0tguYQ+e0P0XF23+MkbLN1kDeF8As0 4DfkRLFGsEEyzwqClTzEpf/GLfAY6iP5UlXJyGdG2we9LdLZlQOjs11OKU2ZHFMGWI68xMPpjZiO Y6myMRHVohIAn1mh2YnWgSxpkSjbRY5pnIuiL6aXpIiB4lpueveGCakaqmnPQ5orn+DuEFPSful+ C16lfneWZ09IcYpLJU5tREmJCywxyEbmr7sBERAi4vtodETGOinVdeEIDUoiHw2yPwQEe5duJTth tbs5VsYwu1IQEfECudGFfUW2dXYMV4h8PLGvp25MxpvIM+gV4Fde66/UkbDnBwG+ufjz+CVZThGI /ZbFfjSCOeXMbvHRd2uQ9Y0uFxQ/ajU3oMVSk1hIZDRS8tIVccElCfyjWUpGUfKL5AVhDAFsB2GY g4xceQy7LhV+2qKfapYuPYAYETbFcF8OHVGbNDtb9+ErWy4u9Dhd7nawEDgoVZdXe0J3/b4Rj8+8 smlmT22+08RhVZxyLS+AcV9TNSf5nEyHoviYo+qkxuTmKz817LrRh/mJlHEXsU/MTpCfMAq+z0+q HD7z4doCyYnGv6t+BgqsUOGnRPO+gDp6f3+v6Vh9I1gIMuNFccNZRPqxDOG3qXJTJXtrOslqyfj+ gQ80SwWWkrS1JywIRuLcurN1XPrKYeJXkfufnV1T+DKbOjpARNGudF7Sdwav6DSCVr2itASMres9 V/kGOvd23YjVluD5JoOaYAhIcxpgwkApC7U4UHA6bGPoINIG0lIe2sw58mpTrfElgP8WLiZtP6me M29hbDqimEA5TJoxfs2Bj4pSqo5ApzW0F4Ti/MLYRY07D0ZUjKlzpWAs7qt7K817Up4ECeDYmQOv Mgcfipp+aVg6dIbNFM1hj/sTwIKhyFftqGxcASI3gXB6QGmBe091Rtr4hmHMHEjSyM8Gw80cWaIZ hkIBFy0cSdpw+8DZh4ed45+yJibEnDYEMPCyrrZ1x6Xg8RCv+B1VGbjOJ85Og9XTaFTx5Q8xpObW CfZqEcL9yAC8jN0aiGocYG3laRBPVfoNmcszanBKIHyeMJcL0Vjnuazbdr2m/r+IhzPdV2BPrbPY G3ONswqWcP/1oLtOhwpl8jhhTmVts4cHC7lKA1Pu6xCzOnjPGGvgT1ZnRheV82K6k0g1b1ECQbNj l7rAreY28lIcR2RW9McXxEr3lVU0jcSDhiVF6d+Va3jxgCD/O88H+pbvgzVY3sTBFNIjihuvMP7L DbjLBgWB61DBuZe/S0BNCVN+4yfGlyAX/NcDut/sOIXI/QljfOybXU8AYzDlkQyX2mLlreGlUWcq YHyZjxo8Y108rVRWm2I1iDKR+nxwGgYMgwgnM+CWxp2JK2D2QRsGFiP/XIlcaneiosj2hyE9/Dsq nyTtPImpwZgLRAemnD8V2vM/GrOotPWpNbegIfcyCcWWIUbS3opnYNnMJfVOTdHOOQvEfahISWZq BayCncTT7kKL5g37r8x94sCV5RX552kDweJvpXdYucWOJnpM+o4i+39lL+hMg2gHbp1m9yEt+4D+ cP8NNlcUf0KhD9DjStPrdf6f+eCxrwFzhpj+BLLPIeibWu1+U5GmW6V4D6zEotVFkTgTu8FYe4I1 J6XX+1EsSsgMT5hy3AUVU/9/3Ey5THW2TdjcBp1c5QBqJp0XKP51cpKWrpFi2ZLoPRqXS1sjstAz E1K4GeghwofPKjpIZk7+1DM1LDxSMGeEnwSVGeN/L+q2rulo1fzhOjPqq9KfEqNeKDu3xLfR/rS8 wudnacw76gLI+r1ZlcDMWVCx7l9WHV2GPHfU60IibWy2LKLmJnT2ubIuWKVYzrgph/3rv6Had+/u zvi581fl4Kc3JHGY+0vXuK6Ms9NCIEAwyBiR+RK7a66B6yNcGW9QGNeb5L3+YTmhCuP5BRucLenU ujZMXQqffezhlnxVZnGoENLpmfbkiHxuhpd2VfRnIRp+BmoR/LsMEeqpQ7barygMTavom5R6bMvH ef8SvPLCsHvi5LgZ40w7Xb3eXq2FW7z7MjX5BSLNeD/kyZpA6dN7lJuumZrB134YF9UAc0aiCnpX KchVWw9xnNqePjYWtI6y8ovBcheS+3RXzcCvVRa44m370vSRYkGIlq+uJihc3GHiismgbeZ5PxMt Qu/x09NWzwrlonVCssvrmd9Jg6UM4gz1XheTDRCop0opiDkc64XMRyoDDvgl8BPECK+QnUn3QaJ7 l+pO+oHzm7Ip9g/171srTK2PvdnUwNal+Z0Scw1ZlHGx+pBBVrMPr+ZjvvW5qB94IXobfcBjNDvK X2YZifL8oANXZc6WgprzL/w52GnY3vm7e0qqCyWD2JmyWfo/QsbxMjwqRB5RjKqOgZL2hqY9r4II fAGF2Lx0vKZznuCCM4Z+R7eXhwP1uI9tMc9AzE7x6ZHvivwD4meE3rhMN6WcAPbsqzH6SpQbRYgq aOTUT4RJ8Y3w0bBtE8rUEGCYBNq4H+kv6XkxUEspS2nCchra8/RTdNBNmlqob+VLnJp6ykHu8tpJ aPR6bc85U+En5OHcHM9dZnvOS0Zs+9jZIEDLIDQwSZzrtJZ/5gSceifyF7VyY1/CzsE9eOHuAWhH davXG/k1Iq719kutzhF3uyhVOziJUB6ySrir43BPWnkHUHxoLAP/ORD4g+zdIwgleOCfHqhqyn/p /e7Pm2st5s3iBBnKdEFObw3DFa4/Ukaa6h/M8TncVj2p1kJmihB3DezEVuTyb6NVYDHPizV/l39L mJVZjQVYm2d8J4HP6G2TNf9/9v0CBoU4OuFhTtMT4vuyp3liKwFyf1NLFMRgo+sIOG7iUcYZQe3s QkE2xBlhbIXWOHp+ckYizcpVukFDJrt5x1kVdTVb5u5DApke1qSYsqW+2kFW+PU4uk5uDoxwt+Up TKf2n+eH5x7a5xGVscknXVvKvOiBnZFFbG82QpYv2db1IOXM06PKJIA5eMTh3aEfkborM+33S+JZ ldTo1jMFos9bLU+6GdmxikJa9Iza3Suk1/EA/xPq0/P4B+JjVrnjTOrOCvddfmD5Wmms5A/dwtOC NBmmeYRs+HtJhB+jqSRuRnq32/rGZeddTkbw33Mvd7UTZvaQkW8T1Lv4wrzdUOhxUqGawOjXfIpw U8frK6T27OZ7MT58lDHdHQV5z48HwSptwdXFXVfy63KwpGMVY1eYqg1nrHxDIVxPAnqIwLe+49wa pMQAe69muhIpDjhnH8lLWz6pJOPx8VaUjbiwMT2PPe2RFKKoUzJPZU3Zy2XNCGuyhhyYQ7Q7eGLk 1ycSF/VS2inmK1Ruo1hDo6biivaNe707rBmVvqA5TKak2TYeYQC248o5gPgx14IQBDMViv/oE/ZZ x8P86+cSNVfHZRbaPnlrslZLDhtkyE25s7f6Y+gM/84o+Z27MzIgrd+9ILToAgJ6IyFcSt2nyg8Z osj+Mdos6zsyjh9/4b7GScWlom8/Rd8N92m2s0GHz5BvEzlCQrfxoesm53tWXf8yoScCPfLxKMO5 u+deplOoyiekSOS5fXsmbY7fViyd94vqsDtDZHzfKlCTIitsMQYYIi5lEznZgMllN3W8gzPeDcS2 jRhlHzQeiGPCHdovoKasTJUNoQY6lcEhrbBb9BlufwMbmLuyOtaDC3MI5l0tPZuVpFALZpc7Jv5w kkxwf2IEJTM5E6HM+mHi3OHy4vyvslJzyO4CfCnwVsdPgJas97pKIYcWqgtlcPMdKRu/7nhkYRY8 gxLMKz4tKwOInIU5NNFb2ISvjZxHaoKdAsjIyJDKLhuhwlLFnSVGiBWXlplq+zDgEKN4MBifS1f9 X4N26egCdHSybyjzQPf73JM7QVuxRand0yepo4q1uml7tIkRdy9X9KfqIZ6fqne9fO3vAgNBGxCZ WT3hVI85XpzsXQtJeMHHLJx1MiSMY11uvr3nxSveFgBBDjvIY6zql4zysKgVRznrQheE5zQ8GUgc RhWlmSZaUHjrp+fndhDYf2t6cML+BfhaEsM270Z/fKXDSFp4IQRxRxVkw4OvoqEql/LgKpJew+Dk JvyHMKb988uEEvIFcewk6FKAp/vZ3862RJSgfwkXrFYiSJdKiM1XIDhdL12/K2w3S2ONxgPef18R tchgY+Z76Q8z6b8xEH5+/U6yiK+syVIL/eT4c/EYEafoXszTI6t8CbNt27f9wQW1peKcesOJWw8r LyMIovsKrcu9pkpFqj2ksE6t7AGnKO8jUr1Xirt+vTSzGVrmWS3SQzWusyw///V1sthZrVYjpCk5 FwD4bjUHEpvEcTTX+21GXJB1haTB3OVPHa6DgLIsJrwx7UotuawNe1Lj0lV2vB6rZNARtoidtjGw nv1lnpEFVM2ZZuWyo6Srna+za2Wv88Fk5O6O2wEYhrq6f/1aWrgr84DeN0qHYiWO+zIIQFWeQGzd nTp7490xIRbVG5teuxVwUGIux/4p6zNlKjW8RUZ+1e+RqRAo/AR89krGNksqKCOEQcAzBgfxJRvQ 2IeeCySK3+C9uifovydk6nyEAkyJ2fXXJ7597VWRRwwpkllzm4wH/YMsFLlX9Jcx6J8ApLxUVkh7 LtF+4VzCNOZBY0qTuYjeUwMLpY7Gp6TlIhKgqqHdljCjbyf+B25tmV+JeAEKqOVHeoVWtj5pm8pu 0VEV3CnYwjSMa0htKOzCOPLndqGPmAnHEWATe9KgfhRjJzI9RJyHMfPIT8pDlrDa4jMB9E7QiPZj YZUT1SN1qPvmlSX+UIXGiIEBi89TatKwkYqFaulUKF90pDO5UEqyfuaatGtccyMkATWEz4w8BY3W wu3R/m22ZToxK02ZVq+Ougu0QlVas6Qiq6Ay+Jq9Cwv/2qFgEFfxkUMEx4chopPjzmGbNEgNujNR 3qBb0LeThqtWwj20iGfQQPkk+dV9GT7e0/5SnGxkYyjwQIpOu0/khX+Nq0HEysLTUGrTVgnBtjjN DvTzZY+uybySxveLO+gJofIA2vm1BCYLTvxm0+rNQuEHCEHMgKYB+FA1QqazBa4K0rUmBjiM8xQT AQx88bQFWjE65bITpLiGegylHGEKNJYvMfpQyg6NuPInf00QGyQW09HTTnYg2fMbwritGt4K6Ht7 Uj/Y7O4KDA9k8AoAAC7m7PP3GIiF4AfYAlpvSlDmkj2F9nz1cJkejO3oeLhcayNcTpMnqbyK9+Ck bXR88MFB7a0L8UqflV+4FXNsA0HMU7vP/EHSq0GYTcQAq8wy2J59UlNQCI8nBeZYOoeyfbWoVaRn +W6ID7QlPoSyXnLH6OsYATvQcPckChn/QnfU8rZ4TWQInHxHJoUpoXu5fn4py3aikvqF4rtR6wcq ViRCVP7+NleieziHWbMKIOq8BqMhsMSbCfowaxPPYbK1z8AQUhdHpy43Oij1RGyKVX+V6jq5232d kDKGQkrotG4pHk/ODPTywpOmnK/srC/Cbq+1yfIyVab0yTV8xLkawq9rgPRd+CIq2jC3BBMo/N0Y fF/KINX6eOnzXD0jU3gTBKZseZddPzK7bczkBmf5W/d1eFYmxZIo0FnVB1yJNS1GdBHm+ujMUk5U o8pE8vnuNIFvtuUEio4v5ZJQANxHTWFw3ocqEBbolA6Oj8q0EHYrzRK4XAc8HlBDUMx2qai0jT4F m2PO/VfgKmhpg8RvM3DFXAkqhNQGX3RMLJOv3+/gaBRT2MKuD7ucH91pwzMXTjwzDRDDgRi8soiK loTmUsxegXuDWKNdC2am6KPrEO8B0WVxRDQF+eEJO21zp+2IPNpzcVdYH1ZG9ZqDHLYHZ7gZJROO aKjr+SLu123fQsSl9RGCRf9PrLmnob9xSAbtn67oWVn1k9grDfGy72jkv/W7HRi7d1A05FzSmxQ2 4uvGANDD2CsmuGrL7Kq11s/JuzIIvQVoh0Rl++NfbMgp09LyX+HaAN/Ov8aYYi3qrgFn11HttysJ Z6r0H7oQ8W3gYUyOyNsZ8VNkrjdJmzKBEDot8i7xfTb1DM8BaUb4tYGzPn5DI5IrelX1H6FyRNcF Ou1yldfAJvaIJJ/kTtHdMAOdSmvGxPnn5SIfE1gSEMlwNmfmO2m7qN/0FeobXOBcqjVgu6j3JCvx Wpa7uHtAy7G+812I9GUIreoK4B0SG0ktsqVWLcoyuTiWOOxnoBgNqbMNBIZtV5WUwtnee83nLLaO Lw1DSbHq8cGD+sFd5EFXccIwO0eKU6YpWGHQt6p3FGj01EbP3qkB3gM8mPiIsxObldt5gJVjYt+E HKgAtwmCiKw+L9dXOrpRvF1j1j7nh2cmmgEaJ4vWUWeOn9dggRA9+Gkc+M0MGm1ABvygIcAEZQ1R efKSWqeY8CNKLopg9AkDXc+1E2KdcoqMkc6Aau9EAhUqRmKMbuT4j8dzfEnFkUOhXtovhgX7ice+ HIcED+KLZOc2Un0GD5Af8kKFqsDmD9V1tLQ3BqinsgvpXxjBRRIxg+zjQR3wyXmCOzQc04ODXO8d 0fzMGMA6+TnWmlM6Vt66y3fnLYCcBWOxZgT4n0sSH7dTbNyDoW6Sr7fq1YlNsRWlX1i/f9hFMfWa DqKVYTRBoNssYhudHzyXhTznYs50RYVTl7kYQmCyhQyREoj4i99hOv8PlhaMU2vbV6tsswqn6Uzt UbKY8kTmmWHoozsGBiNqurIkNB6xGXtXsEnzZVAe/j5gUmtiViWd4mqwU0e27gpriCNV+owm7p7l GhnxSh9qMaLHdSyM39wVzSlihVvmKndVF0n5mCuWUlAMClWZctbW5Md9+iGx5XUU75o4fBKSKpnd s1ErQ08+TtaH0ZIPO9fNi/PKfa7ipeboZ0AA4alm4brIO2xmriX4YKWWGnJNb0g7M9t8VzdSqesb l79KEbDpoEtFvO3t+PpmFzIOaEONFkxhnYi7iExtkCXNh4MKlfSx1kRAP3roxukmOzNMUu630vtX F9dyFfhqojmtWFYfaG+RRxuPDMddQMkSRGyj20EMN2fLgtR8qR+V0/FS86KjRCqBtWQF3vFuhpH3 srIUKHfyAndP57vLKyATbN3dcBiMZWulgsn2uepwYrxz8vRaGZ9bBYj+o96j882ikGaaYXmyz3r7 YB6UMth7BGpETZ43fvgP5lgMvppcMAUiXSfZ/yzSVyd7EfiCM7VXbE203uOoeVmH4qtbWMvQ1Ler YfPkaX5S8iY+4Hmt6I4qoMihyx45Pa8bpnlWeYE+5NpVmbG1zsB2T780zD1vucQmEsXxPmY8R9W0 xCJK+JZLVb1TDlCy3yGK7uaKw9rBpquzf75+qbNVlbBfSK2cWtu6xE2NzkBBQ6VItc2p2BKtnn4B PcRmxVwKz3B1h4FpikFZT7t4tlteA1OqprZ/dacMDjz56kp8R1ynz6EVKYHeaSO3ShWylvHhxELi R8XysOyuzoTXCAqFS7Hf5txrsHAVALDe9RDtUcnd5iBiu4y9ylgYV7HU9eWwbGY1upKJEWKo8J0E eUMZU9T+rBrH3dO7tYHRK83FPgdwrszVOYYSB6ORfNwawpu61RklDGxmW7ItMtLWI9weNeZcUV7q b24P9z5MIT79wjPKsrvwLnlrmqhIBM7UVT0mrtSSE/9EktgSQLZE+jhG5z39HtO7vUIhbNGymN77 lBy5FYpXZo5/5CnlmsR92H4zBjuqdDfp2sKIgU9bXWiCUI66+sjkmheIQ+Q3Kb3WskUBeGeoYyke 2i79L2VDfrGLweoMXj/SAxf5JMzrNdEvV7ZFNHi6o56/j3IGYcvpoOLA8FeM0Zi7mQXVwAgjtJ0x YF22R1gWnDaFRfy55OyHg8phtMZFg4yySIgXsP7thLb0gIIUhRy/RU2Kbsm9pjCxc0ifvRmjb5Ek CJ5moYzDS2OVERLroP5x4/rWu6HbTgts3EKh/KwE6RDDCv9KSIyFAfHvFrji3tPn3VifywSFDAEw pgPwRyI4j4hPNM3w5bFHu5XVXq9H/6DgtP6gkEJrt1k/2KyUk1HMBCn44CCudvUjxBaRekhpdO3/ einIZv5u2y4mTIae8AOkuO88TZjD4gZLChdqD7kRA7HcJj3pmRRJv8rJwPpE+QIPYut/MVyBzYzo JFh010jvgLF0RIShUBAtbw7GbP8ndPhO78oQ8fSTqN8ost0w+4aApvVbO8NOGv77R4voZ8MoQ7C3 3kmYJKIpiDVvOix2EpQnPJR7y/H+Q+8XjtwPFw8Qj6vGKGkXswS3r4z9bm1MKUbuKjxczU8lbFtR UwMTbCb9xP7x0MkJnwBqlzSOX8AKw8VAoMM+ef0Mu8lGxXN84yBmI12L6mWbk20lZcVFLsqyfMFB tg3PMUJz3FmeCkH8AN4NA7xwkg1shBm9Tmr6soTOZC+mlvXPmzj+V7ZsutMJ4l99VL9qm3mE/N1b lYomRk4eqEreIiOYCnqo1S854aHq70X3pa6TDEwxi4RiBxn73NWuSz8O6Mjb3eVh1KSlO9dY+9RP U/0BRA/dMDeHBtZiEOsfrR0ItXGia3upHemDe8f2QnZ+jkly04jDz0PweVQclxinWoV1xcc6e7FA B5Pcfz3mRYK/ppXQqSUCfnBdzH2Yr+jZLkxfXsJ82PwmPPN8HiOHjG8Ex6L0Ov6ZfPYwC3ZQFWQJ eFPZZqYHwLHI3TZyrNoxbA/J6oQ8EpRGnvqk69Fo7fAnP8Ey1rgwDbyYKAcInfNe64lHppINm6ds UN7WaPLCzlvk+0DT8ifc1FkPIXYmenQnBaL+xxAQPldXC8r3n/kyuJa3SqpWksDCOuBnBt01U9Gy 1Q9JnUrdCfn3CJfibci3fvCsHFkWiX3ocYRQOnS3IBPDuLwgzkaCxtqSqsWXbaOKiibeI0vfOXBr 9gJniW/CgbpQhwVoDfZJIqd8entL7cKxqLjOKiMHZ7ij2c8/1sdFOvnaioSJGJBssA05egA4QNDt yaL6SSL0T3HzgXTNl9k5j34UPknH00LGbzDfdNEaAaqFvBiFFSQcjAP2mfEm9yC+Ywc4hlnybn+T D97pcZZ9SrZw0befOefa/b0KFChhdIXUAPvIFKO4c6DwsewH6mBXN3r4VQbSNYwKAbrijV7+A3qR 2HbXydDRhVbXOxgzudLemt7nSzp7gp/zOTMOEFFkizq9qBBdlGZNa+MXzAnSbuX5nMcE2nz5wYWx 2PzwylGMJj83R8ekbcoGVK5UjKIgArczguL4MPeag2FI2VeWHyv3b2Djqv9u6NC16iFXq7qeZI0n yrcNUNsVkHwNZmNnhaKnDQxi6o5K2g3yTpRAoEXkTljVdFA1RlP567w69j90T7W3ezxqjlpPIxQ0 tIF3lip4f6g5YSIGh6h4xbmq7BbbqnesxKzd0+bH+WdMqzZU48wAaA19BLuFMDldD0JMfbOKCuk3 ErVWv2ajsvV4nFKvwJfr22zWHS6LCTsgVPfSNTIkBioFPeMDc0em1xwoGoTAqmnIk3Ipv6a5eqPx hQ2PtYuLqSoNWksqCDMHvpvgelFMzxYXfdVGAKGsQFUVHNVLBpFnlxoq0TbHBJza+ORaw8HVqRLu kBTROo9nevy23sDD+JlerEGURuuxKVxpEgTevFVuNVQ/tBavbpyKnD4LnYzqCM7KycKDjIyuY7hU /bqxRWoxqNghX8icOR7Frxd96SoZGCQNEqwtalisCIbRZw77u3KdXgtCeGLnqyy5cIpEgrkAXtrY aDmoAtv4hqREakU9brF2pslPKHsBdNakRZqeg2XxtUpcn9nIiyJnEOAVOeuDd48G/CWAilJIb7KV Hr7cptkYsG6RMyuzDE8zKyXthLCd0Y+adhaDliBFjUa5PFsjLvtyhK2KXEablXpwz5vVisYyozhQ hPGKkmiSVKwUYGf1Ohmp5DiUQT36MqFtcoRpzDn0zhSNgy57XH2EQn7rTY/G5CiVinvXg2XF5mQt Gzm7Wsi9R8G6IBtcJYAZaiw5aogW+lIa3Qxtu52sVMvqlErK9StVPcCjWrWRrpdyhHKElVOrFh6e 8VH6qOEFpx2HcdmmEgaseGmPK6NnwP7KVLTYAo/BLjRnzI+6nmRj2LwQxrkGRcIFeWdGBXUayKGs 5AuhfDtppzCiVOVvTLoCOLKgHRIdQJS9Qtz/p4IGyJmT9QdO3N/8r0lDsdvoW9KfyC3mbs5Ydcjn K7SALFcV9AIlFn/A5p6Ygc8iMJmM2agIKXJfqq/9zusZKMt5c+yfenXxze12A0pQB71PWiqG+Z7I xuH3FMZX7d7Wrls7aA/5iW95LPMuKXOXiz3IBZgk1Hl4CgvCKYNEE/57M3kLN9MqAai8Hh1roTem 1d4rbswAtP8kLAXXfJ12hDZcJZuwqiU7PVZWF6PsfBIwhi+VDeFx5kfRbIyxoVoc0ICcMpn+OQIc zh3zdDgKGTeMLEtAUC8+gPhwV2qvzsYOvuXNp7nBzdM+Gr9VvJJEBew5f4xD7gA3n35UktzPRzCr CPsLaFrkIp7w7Sv7n5+drBlhNTMaURzSxggEU/KybPkTnzRzr86NQ1Bk5jkJHuBBOZ0ltI+6NhgA WyoxrEYe7kEvALKahXgQRNYUeoV88e6Kb0sf0BRVQxw24qSgCjIe8Y5UbL8LmkZ2K27urfMa8GVn qHMDgWisDwiJivzfyaQx+Ch8VKv/2gHu3/bxWl2X6KrbWB/irUiVkRlaQynxAwHXMJEolUfcVW4v O02HpyWd3+wt+zbFaUmhY6rbrtMHV6vratQwhadg3USkNKFHOx/bfehLBOMdH75O7rZ95mvjBYkI Ev6J1Q3p2tXB0bFpWlX2v2CHrHpHDWzaVgVuhLmYcQgAkohKeqR04ojpSmdV8O2eG2LyhqUQ6zdJ fkMYM3BN3tjWNvca1NeXuQuyShKz8cJe24/u4DeLvY6f7niHju/GLXVaPfzS4Zez+eBwntFcwvfO Z3kAtRvVUlV2fZFjIERb9JUq+kCWap2qsjVGFUbtIK/s9kbL3RVbpLGTZjCvZgarXaB3N3t7PRbJ JTc5NkPG94non5cOwbibluoESy7hORAMhMitWHc2RjEFeam3uRZBEGEF0mCct5pR7tdGXCBlal3C NykCo5xo1r03M502omu1gdZv328CGpt0JzGbueNQyQt8mFTJgyvA7O1QW3ExQDt5hSJVlgt/OjTG tOmVU6ta5YjtVdkuhfjXYgiDRVG05m92Z/d73PNuiw1k3vC+6q6JDyM61+SgV7DDM2Hvf3Ohw469 51CT2XZsn6uTWKAA20o5oMGvIKeyt2GbDsFkD1qArOGKUXi/qW7MgXBuO8bTiFm2UhYfBYlh2BUT dRFGNJaiDGUsoNB1ZM7KXnS5twDRb32+8Jd/YwLVgnwGqSwEFMlL1aTKfNLNq0GuD58b0liUhssh btoRg0Cw9wcKL9kG81UzlMcSLj77wvq4eXWEW+akoxH+r7pjMNXXiSGxSEfnK4A8yzMC2OBREO/8 VlXLcv6BZYONMmSfvmVsmjtOfjRA9w+wsLRcJDhGcdrtYoZ1Xdo/CnFmKeTO4LapfdFtto/cWsXt g91eS2kWHnm1OroWdl7l30VJf5a20r9xJR8HHxR6Umd6/rZkeYr4kjyvMZf64pUtmIQRjA2gSCIB PxlOsVczr9NKU2FKODR7KFqHsKDMqc8HlxcxGewNkdJNsq7YCOMRJ1yURvGExJCI/Y/DquRiS7sI fuW/XSifATIv7niSdSN1p9r7H7XakhDmicQX1pAU6GTrZmMBM40Ax1A95cK6dacrI9qHKJVLzWru N2rR2nFQG1vT0cjV46Y51fl9SL/fcE/m7A/SSvHG6yiSIovyGJ+Bb2EE/j2QAtEh8qmOOHts6Em1 FA4lTuuyr4QjnNpqJcc0I0b6FZImIgG6x3m3ebfTo5WxK7SXM68oqi6TTAPkxIxPswlB6on07fhT 4W9hMiG/7dV1MwUITxPnu2Mt6tDnB7VGCWzD7DEAiGRQEudOWsItsRXSfLDttRs22GZ6aPi1lL6S UNA8eccajCiPHMk4O4aAWrZQVClELrjt6/tRB671FrGX9RoeOjb1fnlfLwq4VJi+ffvrnbXfuvy4 a1xqlmdHvBJxLEqBKnK44kMOJnDsPaUhXsRUUa+Ial/P+hMI7FQQ09W+b+CGhnkaZ/YCDbDYMaqP Qkk3kYtvSWCB73xnISP7N8pX/CZHc/QLHd2QiiJ3t62LxpJiMqXIP0StINVkUFGygMP72fwqy42y LuiwoSOw0FVoRDBFRJRfUxIZpm3asVufOXMrqBYZOFr30bnSrsiBMxg7bClQ8p5GZ/0iXePS5VdQ /M70VF+Z8LALKamWs6PtYA9HkvSEH0wVekNLbjHvQcrlf7bs0DUi1nnSWcR/EFwQXHnML6TXFwgS d5hPM0UmSoiU5C+fwkfMi+fwila4MB6U2K29mbUDyU4VVT653Fne1N6Stq7Y3HLLGhS193qIhyix gNcNx+wDqhFLsyCBjCIYD3xYJSRl2Xi6MIxfuAaESjPfxZALatR2URDvi4ng/UdOG1AX0rEtU1YF Q4GIwkCqpAxxeyQ2xTDj8aDrRwMqw76FNMdYnmB6r7x94l9ooRBEbbpX1buls08vf5iNsl+3mKAg qTh2ZJax5lE22o/BnvKv5eROr0y1sIJ4wb8A6n5ltcXoU+hpdsbFjHCGgldmFS408GBjFuC45V6n YWJ4sis44m1aE0GNzN/t3uTu4f5/In4F4uXwlIIeq9FO+X2XGuclNj2xQ2TTOiSY3JfshV6oidIB izX0jLWb/eBzIJ7wFNxzjMLdicVz2baSPPh8cijWqHfKeNUyPp1ruOwypbyTaxKXIJJiiOPdQInG BqQNY5MntrtGi7S4JO/tpHpddz3wEXqPfNXuHDY/mhtfGsRnM9JiNsc9rTArAtDiwIh2RdbRIQoJ WrBO9vpGl50iASwgQH+xtk2K6revXBC8TmbICzPMMzmsL8a2x7rU88jpzjW77i2DD1ZErckjQYjG IgnWskqml5ON4BdKMkTZ75JoToSnpij+KIrlePUJEyVzn6gw5PeOfmitdQQ0rDX3t+3j5M6bx0Z7 OSFwRHELZWqEasRHyn7xNQXajT0vYFJREs/6+jTf9s15pHaXZe/w8Hyu6ND3VNhA1/hpJMTL+BZ+ 50kovV4EPlkqwIDxpqXCq78mRj4QyRcHLpieDq496dNyk5tw7ztobvTvLcwFUGcUsioADQwW2JWT s7JNP2EANG2oeGnSmfMBpvkU1fJ/j05n1BQ27X2N8KMhi6iPP6u9S+zNzucBBlM0UwS6c2Z3AsAm GoHVDvtfRL596TF6VyGp3lxHvE15+DfsoncVjGvpJGvRVotCrgG5suDHgdn4V3qlKG7BH6R76Hdl RZiZX7hkTzFAqCw37QCOSKyiHWKWewmBt65rMz0Pe/yksskd3phHmNyRU+xfHNdWhV7nvPea7+Eb DYzxj80vv5UgpnEsl4hBKaYvora4WOOQ3SFZyAo1xF2qQ/Uw5bTeVbbapYENe45U6AZ8NzJvL2sG wunvF2YRRhCLyvG7goEapymVQ93BI+XNnmrOTiTwpzfgUWzJWK/Zmo5Bv66OZw3YQHZB7LDPufhB YqECxG6zce3JkOXE5XKPCBJIsLDURZu2CY1+Q23LK2k7K9yS6nvP7dcprtmBo3eq+H50RxItlfOC WPtz5LUIeM91fhGyvn98DBZlXEiLiTuM8/EhHmLWTICKIB5SgnNJZwRpjK0aHM/Pop7EZq+RQ6I6 mDMCaJRAc3reHnwTZFSVqfjShcuiiVjlA6C7xSyAKpDZ7Hn+iDnOswledrvuB/ZwmA53DQNATPoS LiDoQJgJugd/2yI327+AEX7tmXzpJ7OYSH+mRGdUUxjG7GTjKxUyKG0J5tkQ1vWapM3WW6xgkfmu MvqFtbRJd2tuvlgFkvRmJri3Vn5D9BswHV0OG4pVk6D2fl3Rs8Zf05UnZ97IkIfk6n2e79D/qe7z sXaQZrSc+nsbwRsCnQH36TceSyj/u/a1zZaE6ywunFyrUoIyc8HDhef+CXFAXNMgh/uJ8O0kLNB8 JCCCAK4Ej7RBuNV7P+queS+sg0Grl3haPBzBZenzQmJd15PCQou9sYzN2wc6Wkj4Uoyz0MMPSnUg eEQppo+MNUKSmlizpXnnvFIPu7ifOCT+OBSv9mdpeoBvpNtTDAjVF5v3wS460bK59r1qWgP1SJGh Ng3aZ1TuVbEhas7O0HvVT8133d4bDy7fz6wXqI15PtS1ueVSc8EmIBZE3KA42iodSsgw3bLm+i53 Ah5FGmXTjBLrQ+a4ZmWNxsBB0puT5Y+YOwLp1h2Q04s8OQxTqWPr644Bu6ftjO8r9OUBBqqfII8N mfzr29iPptHKZf8GOU2WGSc+NNwfR/SxR7TTkzoNuWbNitr7hOghK+GthLQlZ6kOTKRq2+n0ryY9 iUz/w5dXN/JcJ0s1otYWtc9SZ2hxXY8O7UQC8VK8Bguyl7BPbFMtDHhbPmSLvokucbduyQXbI2T+ BvQGc12EbTbf08ZriENVM0xtTIjmnSZrtM8VT9YGE5RAMoIc2CzFM4HXq7Nkbeplzv1KShm7DlC9 6OCGThBVgo1qJ3ALlTsc/4j9huPTRL2tntswjSY7WbKPuKagjdyw57gMTPPXXZApKgNzuE6yeuI5 bUDoLpQWH1z5eumJuFwm5zOTmFIWO8jhCp5nksrpWvpLEa/Q9slxQaUFEOpdZZ+dwXZt730IMYW4 FgDWJeXkk+x7UbSSrA0fuzn3zm5tc2226yk= `protect end_protected
gpl-3.0
ASacanell/University-Projects
VHDL/bubble sort ASM/uc.vhd
1
4001
---------------------------------------------------------------------------------- -- Company: Universidad Complutense de Madrid -- Engineer: TOC&TC -- -- Create Date: -- Design Name: sort -- Module Name: uc - rtl -- Project Name: Practica 5 -- Target Devices: Spartan-3 -- Tool versions: ISE 14.1 -- Description: Unidad control de ASM ordenamiento burbuja -- Dependencies: -- Revision: -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity uc is port ( clk : in std_logic; -- clock rst_n : in std_logic; -- reset ini : in std_logic; -- External control signal fin : out std_logic; -- External control signal ctrl : out std_logic_vector(6 downto 0); -- Control vector status : in std_logic_vector(2 downto 0)); -- Status vector end entity uc; architecture rtl of uc is type t_st is (s0, s1, s2, s3, s4, s5, s6, s7, s8, s9); signal current_state, next_state : t_st; -- signal cmp_i, cmp_j, cmp_mem : std_logic; begin p_next_state : process (current_state, status, ini) is variable cmp_i : std_logic; -- Senal de estado del comparador cntri variable cmp_j : std_logic; -- Senal de estado del comparador cntrj variable cmp_mem : std_logic; -- Senal de estado del comparador mem begin (cmp_i, cmp_j, cmp_mem) := status; case current_state is when s0 => if ini = '1' then next_state <= s1; else next_state <= current_state; end if; when s1 => next_state <= s2; when s2 => if cmp_i = '1' then next_state <= s3; else next_state <= s0; end if; when s3 => next_state <= s4; when s4 => if cmp_j = '1' then next_state <= s5; else next_state <= s9; end if; when s5 => next_state <= s6; when s6 => if cmp_mem = '1' then next_state <= s7; else next_state <= s8; end if; when s7 => next_state <= s8; when s8 => next_state <= s4; when s9 => next_state <= s2; when others => null; end case; end process p_next_state; p_outputs : process (current_state) constant c_cntri_ld : std_logic_vector(6 downto 0) := "0000001"; constant c_cntri_cu : std_logic_vector(6 downto 0) := "0000010"; constant c_cntrj_ld : std_logic_vector(6 downto 0) := "0000100"; constant c_cntrj_cu : std_logic_vector(6 downto 0) := "0001000"; constant c_wea : std_logic_vector(6 downto 0) := "0010000"; constant c_web : std_logic_vector(6 downto 0) := "0100000"; constant c_debug_mode : std_logic_vector(6 downto 0) := "1000000"; constant c_zero : std_logic_vector(6 downto 0) := (others => '0'); begin ctrl <= (others => '0'); case current_state is when s0 => ctrl <= c_debug_mode; fin <= '1'; when s1 => ctrl <= c_cntri_ld; fin <= '0'; when s2 => ctrl <= c_zero; fin <= '0'; when s3 => ctrl <= c_cntrj_ld; fin <= '0'; when s4 => ctrl <= c_zero; fin <= '0'; when s5 => ctrl <=c_zero; fin <= '0'; when s6 => ctrl <= c_zero; fin <= '0'; when s7 => ctrl <= c_web OR c_wea; fin <= '0'; when s8 => ctrl <= c_cntrj_cu; fin <= '0'; when s9 => ctrl <= c_cntri_cu; fin <= '0'; when others => null; end case; end process p_outputs; p_status_reg : process (clk, rst_n) is begin if rst_n = '0' then current_state <= s0; elsif rising_edge(clk) then current_state <= next_state; end if; end process p_status_reg; end architecture rtl;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/scfifo_32in_32out_1kb/synth/scfifo_32in_32out_1kb.vhd
3
38647
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:12.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v12_0; USE fifo_generator_v12_0.fifo_generator_v12_0; ENTITY scfifo_32in_32out_1kb IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END scfifo_32in_32out_1kb; ARCHITECTURE scfifo_32in_32out_1kb_arch OF scfifo_32in_32out_1kb IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF scfifo_32in_32out_1kb_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v12_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(4 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(4 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(4 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(4 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(4 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(4 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF scfifo_32in_32out_1kb_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF scfifo_32in_32out_1kb_arch : ARCHITECTURE IS "scfifo_32in_32out_1kb,fifo_generator_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF scfifo_32in_32out_1kb_arch: ARCHITECTURE IS "scfifo_32in_32out_1kb,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=31,C_PROG_FULL_THRESH_NEGATE_VAL=30,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=32,C_RD_FREQ=1,C_RD_PNTR_WIDTH=5,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=32,C_WR_FREQ=1,C_WR_PNTR_WIDTH=5,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v12_0 GENERIC MAP ( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 6, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 32, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 32, C_ENABLE_RLOCS => 0, C_FAMILY => "artix7", C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 2, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "512x36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 4, C_PROG_EMPTY_THRESH_NEGATE_VAL => 5, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 31, C_PROG_FULL_THRESH_NEGATE_VAL => 30, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 6, C_RD_DEPTH => 32, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 5, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 1, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 6, C_WR_DEPTH => 32, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 5, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => rst, srst => '0', wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END scfifo_32in_32out_1kb_arch;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/dcfifo_32in_32out_16kb_funcsim.vhdl
1
238366
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015 -- Date : Tue Mar 29 14:16:28 2016 -- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/SKL/Desktop/ECE532/quadencoder/encoder_ip_prj2/encoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/dcfifo_32in_32out_16kb_funcsim.vhdl -- Design : dcfifo_32in_32out_16kb -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_blk_mem_gen_prim_wrapper is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end dcfifo_32in_32out_16kb_blk_mem_gen_prim_wrapper; architecture STRUCTURE of dcfifo_32in_32out_16kb_blk_mem_gen_prim_wrapper is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\ : STD_LOGIC; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 36, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 36 ) port map ( ADDRARDADDR(13 downto 5) => \gc0.count_d1_reg[8]\(8 downto 0), ADDRARDADDR(4) => '0', ADDRARDADDR(3) => '0', ADDRARDADDR(2) => '0', ADDRARDADDR(1) => '0', ADDRARDADDR(0) => '0', ADDRBWRADDR(13 downto 5) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), ADDRBWRADDR(4) => '0', ADDRBWRADDR(3) => '0', ADDRBWRADDR(2) => '0', ADDRBWRADDR(1) => '0', ADDRBWRADDR(0) => '0', CLKARDCLK => rd_clk, CLKBWRCLK => wr_clk, DIADI(15 downto 0) => din(15 downto 0), DIBDI(15 downto 0) => din(31 downto 16), DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(15 downto 0) => dout(15 downto 0), DOBDO(15 downto 0) => dout(31 downto 16), DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\, DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\, DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\, DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\, ENARDEN => tmp_ram_rd_en, ENBWREN => WEBWE(0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => Q(0), RSTRAMB => Q(0), RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => '0', WEA(0) => '0', WEBWE(3) => WEBWE(0), WEBWE(2) => WEBWE(0), WEBWE(1) => WEBWE(0), WEBWE(0) => WEBWE(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_compare is port ( comp1 : out STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_compare : entity is "compare"; end dcfifo_32in_32out_16kb_compare; architecture STRUCTURE of dcfifo_32in_32out_16kb_compare is signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp1, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => v1_reg(4) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_compare_0 is port ( comp2 : out STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \rd_pntr_bin_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_compare_0 : entity is "compare"; end dcfifo_32in_32out_16kb_compare_0; architecture STRUCTURE of dcfifo_32in_32out_16kb_compare_0 is signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg_0(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp2, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \rd_pntr_bin_reg[8]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_compare_1 is port ( comp0 : out STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wr_pntr_bin_reg[8]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_compare_1 : entity is "compare"; end dcfifo_32in_32out_16kb_compare_1; architecture STRUCTURE of dcfifo_32in_32out_16kb_compare_1 is signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg_0(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp0, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \wr_pntr_bin_reg[8]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_compare_2 is port ( comp1 : out STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_reg[8]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_compare_2 : entity is "compare"; end dcfifo_32in_32out_16kb_compare_2; architecture STRUCTURE of dcfifo_32in_32out_16kb_compare_2 is signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \gmux.gm[3].gms.ms_n_0\, CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0), CYINIT => '1', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => \gmux.gm[3].gms.ms_n_0\, CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1), CO(0) => comp1, CYINIT => '0', DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1), DI(0) => '0', O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1), S(0) => \gc0.count_reg[8]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_rd_bin_cntr is port ( ram_empty_fb_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_bin_cntr : entity is "rd_bin_cntr"; end dcfifo_32in_32out_16kb_rd_bin_cntr; architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_bin_cntr is signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gc0.count[8]_i_2_n_0\ : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 8 to 8 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gc0.count[6]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gc0.count[8]_i_2\ : label is "soft_lutpair11"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8 downto 0); Q(7 downto 0) <= \^q\(7 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => plusOp(3) ); \gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^q\(4), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(3), O => plusOp(4) ); \gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \^q\(5), I1 => \^q\(3), I2 => \^q\(2), I3 => \^q\(0), I4 => \^q\(1), I5 => \^q\(4), O => plusOp(5) ); \gc0.count[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \gc0.count[8]_i_2_n_0\, I3 => \^q\(5), O => plusOp(6) ); \gc0.count[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^q\(7), I1 => \^q\(5), I2 => \gc0.count[8]_i_2_n_0\, I3 => \^q\(4), I4 => \^q\(6), O => plusOp(7) ); \gc0.count[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => rd_pntr_plus1(8), I1 => \^q\(6), I2 => \^q\(4), I3 => \gc0.count[8]_i_2_n_0\, I4 => \^q\(5), I5 => \^q\(7), O => plusOp(8) ); \gc0.count[8]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^q\(3), I1 => \^q\(2), I2 => \^q\(0), I3 => \^q\(1), O => \gc0.count[8]_i_2_n_0\ ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(0), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(1), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(2), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(3), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3) ); \gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(4), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4) ); \gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(5), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5) ); \gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(6), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6) ); \gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(7), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7) ); \gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => rd_pntr_plus1(8), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => plusOp(0), PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(3), Q => \^q\(3) ); \gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(4), Q => \^q\(4) ); \gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(5), Q => \^q\(5) ); \gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(6), Q => \^q\(6) ); \gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(7), Q => \^q\(7) ); \gc0.count_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(8), Q => rd_pntr_plus1(8) ); \gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1), I1 => WR_PNTR_RD(1), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0), I3 => WR_PNTR_RD(0), O => v1_reg(0) ); \gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3), I1 => WR_PNTR_RD(3), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2), I3 => WR_PNTR_RD(2), O => v1_reg(1) ); \gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5), I1 => WR_PNTR_RD(5), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4), I3 => WR_PNTR_RD(4), O => v1_reg(2) ); \gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7), I1 => WR_PNTR_RD(7), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6), I3 => WR_PNTR_RD(6), O => v1_reg(3) ); \gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => rd_pntr_plus1(8), I1 => WR_PNTR_RD(8), O => ram_empty_fb_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_reset_blk_ramfifo is port ( rst_full_ff_i : out STD_LOGIC; rst_full_gen_i : out STD_LOGIC; tmp_ram_rd_en : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); wr_clk : in STD_LOGIC; rst : in STD_LOGIC; rd_clk : in STD_LOGIC; p_18_out : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_reset_blk_ramfifo : entity is "reset_blk_ramfifo"; end dcfifo_32in_32out_16kb_reset_blk_ramfifo; architecture STRUCTURE of dcfifo_32in_32out_16kb_reset_blk_ramfifo is signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_asreg_d1 : STD_LOGIC; signal rd_rst_asreg_d2 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d2 : STD_LOGIC; signal rst_d3 : STD_LOGIC; signal rst_rd_reg1 : STD_LOGIC; signal rst_rd_reg2 : STD_LOGIC; signal rst_wr_reg1 : STD_LOGIC; signal rst_wr_reg2 : STD_LOGIC; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_asreg_d1 : STD_LOGIC; signal wr_rst_asreg_d2 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; begin Q(2 downto 0) <= \^q\(2 downto 0); rst_full_ff_i <= rst_d2; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => \^q\(0), I1 => p_18_out, I2 => rd_en, O => tmp_ram_rd_en ); \grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => rst, D => rst_d3, Q => rst_full_gen_i ); \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1, PRE => rst, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d2, PRE => rst, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg, Q => rd_rst_asreg_d1, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg_d1, Q => rd_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d1, O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => rst, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rst_rd_reg1, PRE => rst, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => rst_wr_reg1, PRE => rst, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg, Q => wr_rst_asreg_d1, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg_d1, Q => wr_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d1, O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => \gic0.gc0.count_reg[0]\(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => \gic0.gc0.count_reg[0]\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_synchronizer_ff is port ( D : out STD_LOGIC_VECTOR ( 8 downto 0 ); Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_synchronizer_ff : entity is "synchronizer_ff"; end dcfifo_32in_32out_16kb_synchronizer_ff; architecture STRUCTURE of dcfifo_32in_32out_16kb_synchronizer_ff is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; begin D(8 downto 0) <= Q_reg(8 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(8), Q => Q_reg(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_synchronizer_ff_3 is port ( D : out STD_LOGIC_VECTOR ( 8 downto 0 ); Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_synchronizer_ff_3 : entity is "synchronizer_ff"; end dcfifo_32in_32out_16kb_synchronizer_ff_3; architecture STRUCTURE of dcfifo_32in_32out_16kb_synchronizer_ff_3 is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; begin D(8 downto 0) <= Q_reg(8 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(8), Q => Q_reg(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_synchronizer_ff_4 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_synchronizer_ff_4 : entity is "synchronizer_ff"; end dcfifo_32in_32out_16kb_synchronizer_ff_4; architecture STRUCTURE of dcfifo_32in_32out_16kb_synchronizer_ff_4 is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^wr_pntr_bin_reg[7]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; begin \out\(0) <= Q_reg(8); \wr_pntr_bin_reg[7]\(7 downto 0) <= \^wr_pntr_bin_reg[7]\(7 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(8), Q => Q_reg(8) ); \wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => Q_reg(0), I3 => \^wr_pntr_bin_reg[7]\(3), O => \^wr_pntr_bin_reg[7]\(0) ); \wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => \^wr_pntr_bin_reg[7]\(3), O => \^wr_pntr_bin_reg[7]\(1) ); \wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^wr_pntr_bin_reg[7]\(3), I1 => Q_reg(2), O => \^wr_pntr_bin_reg[7]\(2) ); \wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(4), I1 => Q_reg(8), I2 => Q_reg(6), I3 => Q_reg(7), I4 => Q_reg(5), I5 => Q_reg(3), O => \^wr_pntr_bin_reg[7]\(3) ); \wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(5), I1 => Q_reg(7), I2 => Q_reg(6), I3 => Q_reg(8), I4 => Q_reg(4), O => \^wr_pntr_bin_reg[7]\(4) ); \wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(8), I1 => Q_reg(6), I2 => Q_reg(7), I3 => Q_reg(5), O => \^wr_pntr_bin_reg[7]\(5) ); \wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(7), I1 => Q_reg(6), I2 => Q_reg(8), O => \^wr_pntr_bin_reg[7]\(6) ); \wr_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(7), I1 => Q_reg(8), O => \^wr_pntr_bin_reg[7]\(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_synchronizer_ff_5 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \rd_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_synchronizer_ff_5 : entity is "synchronizer_ff"; end dcfifo_32in_32out_16kb_synchronizer_ff_5; architecture STRUCTURE of dcfifo_32in_32out_16kb_synchronizer_ff_5 is signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^rd_pntr_bin_reg[7]\ : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[8]\ : label is "yes"; begin \out\(0) <= Q_reg(8); \rd_pntr_bin_reg[7]\(7 downto 0) <= \^rd_pntr_bin_reg[7]\(7 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(7), Q => Q_reg(7) ); \Q_reg_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(8), Q => Q_reg(8) ); \rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => Q_reg(0), I3 => \^rd_pntr_bin_reg[7]\(3), O => \^rd_pntr_bin_reg[7]\(0) ); \rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => \^rd_pntr_bin_reg[7]\(3), O => \^rd_pntr_bin_reg[7]\(1) ); \rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^rd_pntr_bin_reg[7]\(3), I1 => Q_reg(2), O => \^rd_pntr_bin_reg[7]\(2) ); \rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(4), I1 => Q_reg(8), I2 => Q_reg(6), I3 => Q_reg(7), I4 => Q_reg(5), I5 => Q_reg(3), O => \^rd_pntr_bin_reg[7]\(3) ); \rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(5), I1 => Q_reg(7), I2 => Q_reg(6), I3 => Q_reg(8), I4 => Q_reg(4), O => \^rd_pntr_bin_reg[7]\(4) ); \rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(8), I1 => Q_reg(6), I2 => Q_reg(7), I3 => Q_reg(5), O => \^rd_pntr_bin_reg[7]\(5) ); \rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(7), I1 => Q_reg(6), I2 => Q_reg(8), O => \^rd_pntr_bin_reg[7]\(6) ); \rd_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(7), I1 => Q_reg(8), O => \^rd_pntr_bin_reg[7]\(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_wr_bin_cntr is port ( \wr_data_count_i_reg[8]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); \wr_data_count_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[8]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 ); v1_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); RD_PNTR_WR : in STD_LOGIC_VECTOR ( 8 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_wr_bin_cntr : entity is "wr_bin_cntr"; end dcfifo_32in_32out_16kb_wr_bin_cntr; architecture STRUCTURE of dcfifo_32in_32out_16kb_wr_bin_cntr is signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gic0.gc0.count[8]_i_2_n_0\ : STD_LOGIC; signal \^gic0.gc0.count_d1_reg[8]_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[0]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gic0.gc0.count[8]_i_1\ : label is "soft_lutpair13"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8 downto 0); \gic0.gc0.count_d1_reg[8]_0\(0) <= \^gic0.gc0.count_d1_reg[8]_0\(0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => wr_pntr_plus2(0), O => \plusOp__0\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), O => \plusOp__0\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), I2 => wr_pntr_plus2(2), O => \plusOp__0\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => wr_pntr_plus2(1), I1 => wr_pntr_plus2(0), I2 => wr_pntr_plus2(2), I3 => wr_pntr_plus2(3), O => \plusOp__0\(3) ); \gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => wr_pntr_plus2(2), I1 => wr_pntr_plus2(0), I2 => wr_pntr_plus2(1), I3 => wr_pntr_plus2(3), I4 => wr_pntr_plus2(4), O => \plusOp__0\(4) ); \gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => wr_pntr_plus2(3), I1 => wr_pntr_plus2(1), I2 => wr_pntr_plus2(0), I3 => wr_pntr_plus2(2), I4 => wr_pntr_plus2(4), I5 => wr_pntr_plus2(5), O => \plusOp__0\(5) ); \gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count[8]_i_2_n_0\, I1 => wr_pntr_plus2(6), O => \plusOp__0\(6) ); \gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \gic0.gc0.count[8]_i_2_n_0\, I1 => wr_pntr_plus2(6), I2 => wr_pntr_plus2(7), O => \plusOp__0\(7) ); \gic0.gc0.count[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => wr_pntr_plus2(6), I1 => \gic0.gc0.count[8]_i_2_n_0\, I2 => wr_pntr_plus2(7), I3 => \^gic0.gc0.count_d1_reg[8]_0\(0), O => \plusOp__0\(8) ); \gic0.gc0.count[8]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => wr_pntr_plus2(5), I1 => wr_pntr_plus2(3), I2 => wr_pntr_plus2(1), I3 => wr_pntr_plus2(0), I4 => wr_pntr_plus2(2), I5 => wr_pntr_plus2(4), O => \gic0.gc0.count[8]_i_2_n_0\ ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => wr_pntr_plus2(0), PRE => Q(0), Q => p_8_out(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(1), Q => p_8_out(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(2), Q => p_8_out(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(3), Q => p_8_out(3) ); \gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(4), Q => p_8_out(4) ); \gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(5), Q => p_8_out(5) ); \gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(6), Q => p_8_out(6) ); \gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => wr_pntr_plus2(7), Q => p_8_out(7) ); \gic0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \^gic0.gc0.count_d1_reg[8]_0\(0), Q => p_8_out(8) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(0), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(1), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(2), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(3), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3) ); \gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(4), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4) ); \gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(5), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5) ); \gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(6), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6) ); \gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(7), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7) ); \gic0.gc0.count_d2_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => p_8_out(8), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(0), Q => wr_pntr_plus2(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => \plusOp__0\(1), PRE => Q(0), Q => wr_pntr_plus2(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(2), Q => wr_pntr_plus2(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(3), Q => wr_pntr_plus2(3) ); \gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(4), Q => wr_pntr_plus2(4) ); \gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(5), Q => wr_pntr_plus2(5) ); \gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(6), Q => wr_pntr_plus2(6) ); \gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(7), Q => wr_pntr_plus2(7) ); \gic0.gc0.count_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => Q(0), D => \plusOp__0\(8), Q => \^gic0.gc0.count_d1_reg[8]_0\(0) ); \gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_8_out(0), I1 => RD_PNTR_WR(0), I2 => p_8_out(1), I3 => RD_PNTR_WR(1), O => v1_reg(0) ); \gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => wr_pntr_plus2(0), I1 => RD_PNTR_WR(0), I2 => wr_pntr_plus2(1), I3 => RD_PNTR_WR(1), O => v1_reg_0(0) ); \gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_8_out(2), I1 => RD_PNTR_WR(2), I2 => p_8_out(3), I3 => RD_PNTR_WR(3), O => v1_reg(1) ); \gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => wr_pntr_plus2(2), I1 => RD_PNTR_WR(2), I2 => wr_pntr_plus2(3), I3 => RD_PNTR_WR(3), O => v1_reg_0(1) ); \gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_8_out(4), I1 => RD_PNTR_WR(4), I2 => p_8_out(5), I3 => RD_PNTR_WR(5), O => v1_reg(2) ); \gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => wr_pntr_plus2(4), I1 => RD_PNTR_WR(4), I2 => wr_pntr_plus2(5), I3 => RD_PNTR_WR(5), O => v1_reg_0(2) ); \gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_8_out(6), I1 => RD_PNTR_WR(6), I2 => p_8_out(7), I3 => RD_PNTR_WR(7), O => v1_reg(3) ); \gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => wr_pntr_plus2(6), I1 => RD_PNTR_WR(6), I2 => wr_pntr_plus2(7), I3 => RD_PNTR_WR(7), O => v1_reg_0(3) ); \gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => p_8_out(8), I1 => RD_PNTR_WR(8), O => v1_reg(4) ); \wr_data_count_i[8]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2), I1 => RD_PNTR_WR(2), O => S(2) ); \wr_data_count_i[8]_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1), I1 => RD_PNTR_WR(1), O => S(1) ); \wr_data_count_i[8]_i_12\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0), I1 => RD_PNTR_WR(0), O => S(0) ); \wr_data_count_i[8]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8), I1 => RD_PNTR_WR(8), O => \wr_data_count_i_reg[8]\(0) ); \wr_data_count_i[8]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7), I1 => RD_PNTR_WR(7), O => \wr_data_count_i_reg[8]_0\(3) ); \wr_data_count_i[8]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6), I1 => RD_PNTR_WR(6), O => \wr_data_count_i_reg[8]_0\(2) ); \wr_data_count_i[8]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5), I1 => RD_PNTR_WR(5), O => \wr_data_count_i_reg[8]_0\(1) ); \wr_data_count_i[8]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4), I1 => RD_PNTR_WR(4), O => \wr_data_count_i_reg[8]_0\(0) ); \wr_data_count_i[8]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3), I1 => RD_PNTR_WR(3), O => S(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_wr_dc_as is port ( wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[7]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_wr_dc_as : entity is "wr_dc_as"; end dcfifo_32in_32out_16kb_wr_dc_as; architecture STRUCTURE of dcfifo_32in_32out_16kb_wr_dc_as is signal minusOp : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \wr_data_count_i_reg[8]_i_2_n_0\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_2_n_1\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_2_n_2\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_2_n_3\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_4_n_0\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_4_n_1\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_4_n_2\ : STD_LOGIC; signal \wr_data_count_i_reg[8]_i_4_n_3\ : STD_LOGIC; signal \NLW_wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); begin \wr_data_count_i_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => Q(0), D => minusOp(8), Q => wr_data_count(0) ); \wr_data_count_i_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \wr_data_count_i_reg[8]_i_2_n_0\, CO(3 downto 0) => \NLW_wr_data_count_i_reg[8]_i_1_CO_UNCONNECTED\(3 downto 0), CYINIT => '0', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', O(3 downto 1) => \NLW_wr_data_count_i_reg[8]_i_1_O_UNCONNECTED\(3 downto 1), O(0) => minusOp(8), S(3) => '0', S(2) => '0', S(1) => '0', S(0) => \gic0.gc0.count_d2_reg[8]\(0) ); \wr_data_count_i_reg[8]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \wr_data_count_i_reg[8]_i_4_n_0\, CO(3) => \wr_data_count_i_reg[8]_i_2_n_0\, CO(2) => \wr_data_count_i_reg[8]_i_2_n_1\, CO(1) => \wr_data_count_i_reg[8]_i_2_n_2\, CO(0) => \wr_data_count_i_reg[8]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 4), O(3 downto 0) => minusOp(7 downto 4), S(3 downto 0) => \gic0.gc0.count_d2_reg[7]_0\(3 downto 0) ); \wr_data_count_i_reg[8]_i_4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \wr_data_count_i_reg[8]_i_4_n_0\, CO(2) => \wr_data_count_i_reg[8]_i_4_n_1\, CO(1) => \wr_data_count_i_reg[8]_i_4_n_2\, CO(0) => \wr_data_count_i_reg[8]_i_4_n_3\, CYINIT => '1', DI(3 downto 0) => \gic0.gc0.count_d2_reg[7]\(3 downto 0), O(3 downto 0) => minusOp(3 downto 0), S(3 downto 0) => S(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_blk_mem_gen_prim_width is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end dcfifo_32in_32out_16kb_blk_mem_gen_prim_width; architecture STRUCTURE of dcfifo_32in_32out_16kb_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.dcfifo_32in_32out_16kb_blk_mem_gen_prim_wrapper port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_clk_x_pntrs is port ( ram_empty_fb_i_reg : out STD_LOGIC; WR_PNTR_RD : out STD_LOGIC_VECTOR ( 8 downto 0 ); v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 ); v1_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); RD_PNTR_WR : out STD_LOGIC_VECTOR ( 8 downto 0 ); Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_clk_x_pntrs : entity is "clk_x_pntrs"; end dcfifo_32in_32out_16kb_clk_x_pntrs; architecture STRUCTURE of dcfifo_32in_32out_16kb_clk_x_pntrs is signal \^rd_pntr_wr\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_8\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_0_in7_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_1_out : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_2_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[7]_i_1_n_0\ : STD_LOGIC; signal wr_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \rd_pntr_gc[6]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \rd_pntr_gc[7]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wr_pntr_gc[6]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \wr_pntr_gc[7]_i_1\ : label is "soft_lutpair3"; begin RD_PNTR_WR(8 downto 0) <= \^rd_pntr_wr\(8 downto 0); WR_PNTR_RD(8 downto 0) <= \^wr_pntr_rd\(8 downto 0); \gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(1), I1 => \gc0.count_reg[7]\(1), I2 => \^wr_pntr_rd\(0), I3 => \gc0.count_reg[7]\(0), O => v1_reg(0) ); \gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(3), I1 => \gc0.count_reg[7]\(3), I2 => \^wr_pntr_rd\(2), I3 => \gc0.count_reg[7]\(2), O => v1_reg(1) ); \gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(5), I1 => \gc0.count_reg[7]\(5), I2 => \^wr_pntr_rd\(4), I3 => \gc0.count_reg[7]\(4), O => v1_reg(2) ); \gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^wr_pntr_rd\(7), I1 => \gc0.count_reg[7]\(7), I2 => \^wr_pntr_rd\(6), I3 => \gc0.count_reg[7]\(6), O => v1_reg(3) ); \gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^rd_pntr_wr\(8), I1 => \gic0.gc0.count_reg[8]\(0), O => v1_reg_0(0) ); \gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(8), I1 => Q(8), O => ram_empty_fb_i_reg ); \gsync_stage[1].rd_stg_inst\: entity work.dcfifo_32in_32out_16kb_synchronizer_ff port map ( D(8 downto 0) => p_3_out(8 downto 0), Q(8 downto 0) => wr_pntr_gc(8 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), rd_clk => rd_clk ); \gsync_stage[1].wr_stg_inst\: entity work.dcfifo_32in_32out_16kb_synchronizer_ff_3 port map ( D(8 downto 0) => p_2_out(8 downto 0), Q(8 downto 0) => rd_pntr_gc(8 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), wr_clk => wr_clk ); \gsync_stage[2].rd_stg_inst\: entity work.dcfifo_32in_32out_16kb_synchronizer_ff_4 port map ( D(8 downto 0) => p_3_out(8 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(0) => p_1_out(8), rd_clk => rd_clk, \wr_pntr_bin_reg[7]\(7 downto 0) => p_0_in(7 downto 0) ); \gsync_stage[2].wr_stg_inst\: entity work.dcfifo_32in_32out_16kb_synchronizer_ff_5 port map ( D(8 downto 0) => p_2_out(8 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), \out\(0) => p_0_out(8), \rd_pntr_bin_reg[7]\(7) => \gsync_stage[2].wr_stg_inst_n_1\, \rd_pntr_bin_reg[7]\(6) => \gsync_stage[2].wr_stg_inst_n_2\, \rd_pntr_bin_reg[7]\(5) => \gsync_stage[2].wr_stg_inst_n_3\, \rd_pntr_bin_reg[7]\(4) => \gsync_stage[2].wr_stg_inst_n_4\, \rd_pntr_bin_reg[7]\(3) => \gsync_stage[2].wr_stg_inst_n_5\, \rd_pntr_bin_reg[7]\(2) => \gsync_stage[2].wr_stg_inst_n_6\, \rd_pntr_bin_reg[7]\(1) => \gsync_stage[2].wr_stg_inst_n_7\, \rd_pntr_bin_reg[7]\(0) => \gsync_stage[2].wr_stg_inst_n_8\, wr_clk => wr_clk ); \rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_8\, Q => \^rd_pntr_wr\(0) ); \rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_7\, Q => \^rd_pntr_wr\(1) ); \rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_6\, Q => \^rd_pntr_wr\(2) ); \rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_5\, Q => \^rd_pntr_wr\(3) ); \rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_4\, Q => \^rd_pntr_wr\(4) ); \rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_3\, Q => \^rd_pntr_wr\(5) ); \rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_2\, Q => \^rd_pntr_wr\(6) ); \rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_1\, Q => \^rd_pntr_wr\(7) ); \rd_pntr_bin_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_out(8), Q => \^rd_pntr_wr\(8) ); \rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(0), I1 => Q(1), O => \rd_pntr_gc[0]_i_1_n_0\ ); \rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(1), I1 => Q(2), O => \rd_pntr_gc[1]_i_1_n_0\ ); \rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(2), I1 => Q(3), O => \rd_pntr_gc[2]_i_1_n_0\ ); \rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(3), I1 => Q(4), O => \rd_pntr_gc[3]_i_1_n_0\ ); \rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(4), I1 => Q(5), O => \rd_pntr_gc[4]_i_1_n_0\ ); \rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(5), I1 => Q(6), O => \rd_pntr_gc[5]_i_1_n_0\ ); \rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(6), I1 => Q(7), O => \rd_pntr_gc[6]_i_1_n_0\ ); \rd_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(7), I1 => Q(8), O => \rd_pntr_gc[7]_i_1_n_0\ ); \rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[0]_i_1_n_0\, Q => rd_pntr_gc(0) ); \rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[1]_i_1_n_0\, Q => rd_pntr_gc(1) ); \rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[2]_i_1_n_0\, Q => rd_pntr_gc(2) ); \rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[3]_i_1_n_0\, Q => rd_pntr_gc(3) ); \rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[4]_i_1_n_0\, Q => rd_pntr_gc(4) ); \rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[5]_i_1_n_0\, Q => rd_pntr_gc(5) ); \rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[6]_i_1_n_0\, Q => rd_pntr_gc(6) ); \rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[7]_i_1_n_0\, Q => rd_pntr_gc(7) ); \rd_pntr_gc_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(8), Q => rd_pntr_gc(8) ); \wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(0), Q => \^wr_pntr_rd\(0) ); \wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(1), Q => \^wr_pntr_rd\(1) ); \wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(2), Q => \^wr_pntr_rd\(2) ); \wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(3), Q => \^wr_pntr_rd\(3) ); \wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(4), Q => \^wr_pntr_rd\(4) ); \wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(5), Q => \^wr_pntr_rd\(5) ); \wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(6), Q => \^wr_pntr_rd\(6) ); \wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(7), Q => \^wr_pntr_rd\(7) ); \wr_pntr_bin_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_1_out(8), Q => \^wr_pntr_rd\(8) ); \wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(0), I1 => \gic0.gc0.count_d2_reg[8]\(1), O => p_0_in7_out(0) ); \wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(1), I1 => \gic0.gc0.count_d2_reg[8]\(2), O => p_0_in7_out(1) ); \wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(2), I1 => \gic0.gc0.count_d2_reg[8]\(3), O => p_0_in7_out(2) ); \wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(3), I1 => \gic0.gc0.count_d2_reg[8]\(4), O => p_0_in7_out(3) ); \wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(4), I1 => \gic0.gc0.count_d2_reg[8]\(5), O => p_0_in7_out(4) ); \wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(5), I1 => \gic0.gc0.count_d2_reg[8]\(6), O => p_0_in7_out(5) ); \wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(6), I1 => \gic0.gc0.count_d2_reg[8]\(7), O => p_0_in7_out(6) ); \wr_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[8]\(7), I1 => \gic0.gc0.count_d2_reg[8]\(8), O => p_0_in7_out(7) ); \wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(0), Q => wr_pntr_gc(0) ); \wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(1), Q => wr_pntr_gc(1) ); \wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(2), Q => wr_pntr_gc(2) ); \wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(3), Q => wr_pntr_gc(3) ); \wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(4), Q => wr_pntr_gc(4) ); \wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(5), Q => wr_pntr_gc(5) ); \wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(6), Q => wr_pntr_gc(6) ); \wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in7_out(7), Q => wr_pntr_gc(7) ); \wr_pntr_gc_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gic0.gc0.count_d2_reg[8]\(8), Q => wr_pntr_gc(8) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_rd_status_flags_as is port ( empty : out STD_LOGIC; p_18_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wr_pntr_bin_reg[8]\ : in STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_reg[8]\ : in STD_LOGIC; rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_status_flags_as : entity is "rd_status_flags_as"; end dcfifo_32in_32out_16kb_rd_status_flags_as; architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_status_flags_as is signal comp0 : STD_LOGIC; signal comp1 : STD_LOGIC; signal \^p_18_out\ : STD_LOGIC; signal ram_empty_i_i_1_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count_d1[8]_i_1\ : label is "soft_lutpair8"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute SOFT_HLUTNM of ram_empty_i_i_1 : label is "soft_lutpair8"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin p_18_out <= \^p_18_out\; c0: entity work.dcfifo_32in_32out_16kb_compare_1 port map ( comp0 => comp0, v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0), \wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\ ); c1: entity work.dcfifo_32in_32out_16kb_compare_2 port map ( comp1 => comp1, \gc0.count_reg[8]\ => \gc0.count_reg[8]\, v1_reg(3 downto 0) => v1_reg(3 downto 0) ); \gc0.count_d1[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => \^p_18_out\, O => E(0) ); ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i_i_1_n_0, PRE => Q(0), Q => \^p_18_out\ ); ram_empty_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"AEAA" ) port map ( I0 => comp0, I1 => rd_en, I2 => \^p_18_out\, I3 => comp1, O => ram_empty_i_i_1_n_0 ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => ram_empty_i_i_1_n_0, PRE => Q(0), Q => empty ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_wr_status_flags_as is port ( full : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ); v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); \rd_pntr_bin_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; wr_en : in STD_LOGIC; rst_full_gen_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_wr_status_flags_as : entity is "wr_status_flags_as"; end dcfifo_32in_32out_16kb_wr_status_flags_as; architecture STRUCTURE of dcfifo_32in_32out_16kb_wr_status_flags_as is signal comp1 : STD_LOGIC; signal comp2 : STD_LOGIC; signal p_1_out : STD_LOGIC; signal ram_full_i : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => p_1_out, O => E(0) ); c1: entity work.dcfifo_32in_32out_16kb_compare port map ( comp1 => comp1, v1_reg(4 downto 0) => v1_reg(4 downto 0) ); c2: entity work.dcfifo_32in_32out_16kb_compare_0 port map ( comp2 => comp2, \rd_pntr_bin_reg[8]\(0) => \rd_pntr_bin_reg[8]\(0), v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => p_1_out ); ram_full_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"55550400" ) port map ( I0 => rst_full_gen_i, I1 => comp2, I2 => p_1_out, I3 => wr_en, I4 => comp1, O => ram_full_i ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => full ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_blk_mem_gen_generic_cstr is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end dcfifo_32in_32out_16kb_blk_mem_gen_generic_cstr; architecture STRUCTURE of dcfifo_32in_32out_16kb_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.dcfifo_32in_32out_16kb_blk_mem_gen_prim_width port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_rd_logic is port ( empty : out STD_LOGIC; p_18_out : out STD_LOGIC; \gc0.count_d1_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); \wr_pntr_bin_reg[8]\ : in STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 ); rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_logic : entity is "rd_logic"; end dcfifo_32in_32out_16kb_rd_logic; architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_logic is signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_14_out : STD_LOGIC; signal rpntr_n_0 : STD_LOGIC; begin \gras.rsts\: entity work.dcfifo_32in_32out_16kb_rd_status_flags_as port map ( E(0) => p_14_out, Q(0) => Q(0), empty => empty, \gc0.count_reg[8]\ => rpntr_n_0, p_18_out => p_18_out, rd_clk => rd_clk, rd_en => rd_en, v1_reg(3 downto 0) => v1_reg(3 downto 0), v1_reg_0(3 downto 0) => \c0/v1_reg\(3 downto 0), \wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\ ); rpntr: entity work.dcfifo_32in_32out_16kb_rd_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0), E(0) => p_14_out, Q(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), WR_PNTR_RD(8 downto 0) => WR_PNTR_RD(8 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => Q(0), ram_empty_fb_i_reg => rpntr_n_0, rd_clk => rd_clk, v1_reg(3 downto 0) => \c0/v1_reg\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_wr_logic is port ( full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); WEBWE : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d1_reg[8]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \rd_pntr_bin_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_en : in STD_LOGIC; RD_PNTR_WR : in STD_LOGIC_VECTOR ( 8 downto 0 ); rst_full_gen_i : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_wr_logic : entity is "wr_logic"; end dcfifo_32in_32out_16kb_wr_logic; architecture STRUCTURE of dcfifo_32in_32out_16kb_wr_logic is signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^webwe\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \c2/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wpntr_n_0 : STD_LOGIC; signal wpntr_n_10 : STD_LOGIC; signal wpntr_n_11 : STD_LOGIC; signal wpntr_n_12 : STD_LOGIC; signal wpntr_n_13 : STD_LOGIC; signal wpntr_n_14 : STD_LOGIC; signal wpntr_n_15 : STD_LOGIC; signal wpntr_n_16 : STD_LOGIC; signal wpntr_n_17 : STD_LOGIC; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8 downto 0); WEBWE(0) <= \^webwe\(0); \gwas.gwdc0.wdc\: entity work.dcfifo_32in_32out_16kb_wr_dc_as port map ( Q(0) => Q(0), S(3) => wpntr_n_14, S(2) => wpntr_n_15, S(1) => wpntr_n_16, S(0) => wpntr_n_17, \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7 downto 0), \gic0.gc0.count_d2_reg[7]_0\(3) => wpntr_n_10, \gic0.gc0.count_d2_reg[7]_0\(2) => wpntr_n_11, \gic0.gc0.count_d2_reg[7]_0\(1) => wpntr_n_12, \gic0.gc0.count_d2_reg[7]_0\(0) => wpntr_n_13, \gic0.gc0.count_d2_reg[8]\(0) => wpntr_n_0, wr_clk => wr_clk, wr_data_count(0) => wr_data_count(0) ); \gwas.wsts\: entity work.dcfifo_32in_32out_16kb_wr_status_flags_as port map ( E(0) => \^webwe\(0), full => full, \rd_pntr_bin_reg[8]\(0) => \rd_pntr_bin_reg[8]\(0), rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, v1_reg(4 downto 0) => \c1/v1_reg\(4 downto 0), v1_reg_0(3 downto 0) => \c2/v1_reg\(3 downto 0), wr_clk => wr_clk, wr_en => wr_en ); wpntr: entity work.dcfifo_32in_32out_16kb_wr_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8 downto 0), E(0) => \^webwe\(0), Q(0) => Q(0), RD_PNTR_WR(8 downto 0) => RD_PNTR_WR(8 downto 0), S(3) => wpntr_n_14, S(2) => wpntr_n_15, S(1) => wpntr_n_16, S(0) => wpntr_n_17, \gic0.gc0.count_d1_reg[8]_0\(0) => \gic0.gc0.count_d1_reg[8]\(0), v1_reg(4 downto 0) => \c1/v1_reg\(4 downto 0), v1_reg_0(3 downto 0) => \c2/v1_reg\(3 downto 0), wr_clk => wr_clk, \wr_data_count_i_reg[8]\(0) => wpntr_n_0, \wr_data_count_i_reg[8]_0\(3) => wpntr_n_10, \wr_data_count_i_reg[8]_0\(2) => wpntr_n_11, \wr_data_count_i_reg[8]_0\(1) => wpntr_n_12, \wr_data_count_i_reg[8]_0\(0) => wpntr_n_13 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_blk_mem_gen_top is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_blk_mem_gen_top : entity is "blk_mem_gen_top"; end dcfifo_32in_32out_16kb_blk_mem_gen_top; architecture STRUCTURE of dcfifo_32in_32out_16kb_blk_mem_gen_top is begin \valid.cstr\: entity work.dcfifo_32in_32out_16kb_blk_mem_gen_generic_cstr port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_blk_mem_gen_v8_2_synth is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth"; end dcfifo_32in_32out_16kb_blk_mem_gen_v8_2_synth; architecture STRUCTURE of dcfifo_32in_32out_16kb_blk_mem_gen_v8_2_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.dcfifo_32in_32out_16kb_blk_mem_gen_top port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_blk_mem_gen_v8_2 is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_blk_mem_gen_v8_2 : entity is "blk_mem_gen_v8_2"; end dcfifo_32in_32out_16kb_blk_mem_gen_v8_2; architecture STRUCTURE of dcfifo_32in_32out_16kb_blk_mem_gen_v8_2 is begin inst_blk_mem_gen: entity work.dcfifo_32in_32out_16kb_blk_mem_gen_v8_2_synth port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_memory is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); \gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_memory : entity is "memory"; end dcfifo_32in_32out_16kb_memory; architecture STRUCTURE of dcfifo_32in_32out_16kb_memory is begin \gbm.gbmg.gbmga.ngecc.bmg\: entity work.dcfifo_32in_32out_16kb_blk_mem_gen_v8_2 port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_fifo_generator_ramfifo is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo"; end dcfifo_32in_32out_16kb_fifo_generator_ramfifo; architecture STRUCTURE of dcfifo_32in_32out_16kb_fifo_generator_ramfifo is signal RD_RST : STD_LOGIC; signal WR_RST : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_2\ : STD_LOGIC; signal \gras.rsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \gwas.wsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 4 to 4 ); signal p_0_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_18_out : STD_LOGIC; signal p_1_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_20_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal p_9_out : STD_LOGIC_VECTOR ( 8 downto 0 ); signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal rst_full_gen_i : STD_LOGIC; signal tmp_ram_rd_en : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 8 to 8 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.dcfifo_32in_32out_16kb_clk_x_pntrs port map ( Q(8 downto 0) => p_20_out(8 downto 0), RD_PNTR_WR(8 downto 0) => p_0_out(8 downto 0), WR_PNTR_RD(8 downto 0) => p_1_out(8 downto 0), \gc0.count_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_9_out(8 downto 0), \gic0.gc0.count_reg[8]\(0) => wr_pntr_plus2(8), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0), ram_empty_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_0\, rd_clk => rd_clk, v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0), v1_reg_0(0) => \gwas.wsts/c2/v1_reg\(4), wr_clk => wr_clk ); \gntv_or_sync_fifo.gl0.rd\: entity work.dcfifo_32in_32out_16kb_rd_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => p_20_out(8 downto 0), Q(0) => RD_RST, WR_PNTR_RD(8 downto 0) => p_1_out(8 downto 0), empty => empty, \gc0.count_d1_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0), p_18_out => p_18_out, rd_clk => rd_clk, rd_en => rd_en, v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0), \wr_pntr_bin_reg[8]\ => \gntv_or_sync_fifo.gcx.clkx_n_0\ ); \gntv_or_sync_fifo.gl0.wr\: entity work.dcfifo_32in_32out_16kb_wr_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => p_9_out(8 downto 0), Q(0) => WR_RST, RD_PNTR_WR(8 downto 0) => p_0_out(8 downto 0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_2\, full => full, \gic0.gc0.count_d1_reg[8]\(0) => wr_pntr_plus2(8), \rd_pntr_bin_reg[8]\(0) => \gwas.wsts/c2/v1_reg\(4), rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, wr_clk => wr_clk, wr_data_count(0) => wr_data_count(0), wr_en => wr_en ); \gntv_or_sync_fifo.mem\: entity work.dcfifo_32in_32out_16kb_memory port map ( Q(0) => rd_rst_i(0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_2\, din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[8]\(8 downto 0) => p_20_out(8 downto 0), \gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_9_out(8 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); rstblk: entity work.dcfifo_32in_32out_16kb_reset_blk_ramfifo port map ( Q(2) => RD_RST, Q(1 downto 0) => rd_rst_i(1 downto 0), \gic0.gc0.count_reg[0]\(1) => WR_RST, \gic0.gc0.count_reg[0]\(0) => wr_rst_i(0), p_18_out => p_18_out, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_fifo_generator_top is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_fifo_generator_top : entity is "fifo_generator_top"; end dcfifo_32in_32out_16kb_fifo_generator_top; architecture STRUCTURE of dcfifo_32in_32out_16kb_fifo_generator_top is begin \grf.rf\: entity work.dcfifo_32in_32out_16kb_fifo_generator_ramfifo port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_data_count(0) => wr_data_count(0), wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_fifo_generator_v12_0_synth is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth"; end dcfifo_32in_32out_16kb_fifo_generator_v12_0_synth; architecture STRUCTURE of dcfifo_32in_32out_16kb_fifo_generator_v12_0_synth is begin \gconvfifo.rf\: entity work.dcfifo_32in_32out_16kb_fifo_generator_top port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_data_count(0) => wr_data_count(0), wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb_fifo_generator_v12_0 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 9; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 32; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 509; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 508; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 9; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 512; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 9; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 512; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 9; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_fifo_generator_v12_0 : entity is "fifo_generator_v12_0"; end dcfifo_32in_32out_16kb_fifo_generator_v12_0; architecture STRUCTURE of dcfifo_32in_32out_16kb_fifo_generator_v12_0 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const1>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const1>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const1>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(10) <= \<const0>\; axi_r_data_count(9) <= \<const0>\; axi_r_data_count(8) <= \<const0>\; axi_r_data_count(7) <= \<const0>\; axi_r_data_count(6) <= \<const0>\; axi_r_data_count(5) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const1>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(10) <= \<const0>\; axi_r_rd_data_count(9) <= \<const0>\; axi_r_rd_data_count(8) <= \<const0>\; axi_r_rd_data_count(7) <= \<const0>\; axi_r_rd_data_count(6) <= \<const0>\; axi_r_rd_data_count(5) <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(10) <= \<const0>\; axi_r_wr_data_count(9) <= \<const0>\; axi_r_wr_data_count(8) <= \<const0>\; axi_r_wr_data_count(7) <= \<const0>\; axi_r_wr_data_count(6) <= \<const0>\; axi_r_wr_data_count(5) <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(10) <= \<const0>\; axi_w_data_count(9) <= \<const0>\; axi_w_data_count(8) <= \<const0>\; axi_w_data_count(7) <= \<const0>\; axi_w_data_count(6) <= \<const0>\; axi_w_data_count(5) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const1>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(10) <= \<const0>\; axi_w_rd_data_count(9) <= \<const0>\; axi_w_rd_data_count(8) <= \<const0>\; axi_w_rd_data_count(7) <= \<const0>\; axi_w_rd_data_count(6) <= \<const0>\; axi_w_rd_data_count(5) <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(10) <= \<const0>\; axi_w_wr_data_count(9) <= \<const0>\; axi_w_wr_data_count(8) <= \<const0>\; axi_w_wr_data_count(7) <= \<const0>\; axi_w_wr_data_count(6) <= \<const0>\; axi_w_wr_data_count(5) <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const1>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(8) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; m_axi_araddr(31) <= \<const0>\; m_axi_araddr(30) <= \<const0>\; m_axi_araddr(29) <= \<const0>\; m_axi_araddr(28) <= \<const0>\; m_axi_araddr(27) <= \<const0>\; m_axi_araddr(26) <= \<const0>\; m_axi_araddr(25) <= \<const0>\; m_axi_araddr(24) <= \<const0>\; m_axi_araddr(23) <= \<const0>\; m_axi_araddr(22) <= \<const0>\; m_axi_araddr(21) <= \<const0>\; m_axi_araddr(20) <= \<const0>\; m_axi_araddr(19) <= \<const0>\; m_axi_araddr(18) <= \<const0>\; m_axi_araddr(17) <= \<const0>\; m_axi_araddr(16) <= \<const0>\; m_axi_araddr(15) <= \<const0>\; m_axi_araddr(14) <= \<const0>\; m_axi_araddr(13) <= \<const0>\; m_axi_araddr(12) <= \<const0>\; m_axi_araddr(11) <= \<const0>\; m_axi_araddr(10) <= \<const0>\; m_axi_araddr(9) <= \<const0>\; m_axi_araddr(8) <= \<const0>\; m_axi_araddr(7) <= \<const0>\; m_axi_araddr(6) <= \<const0>\; m_axi_araddr(5) <= \<const0>\; m_axi_araddr(4) <= \<const0>\; m_axi_araddr(3) <= \<const0>\; m_axi_araddr(2) <= \<const0>\; m_axi_araddr(1) <= \<const0>\; m_axi_araddr(0) <= \<const0>\; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const0>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arprot(2) <= \<const0>\; m_axi_arprot(1) <= \<const0>\; m_axi_arprot(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const0>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_arvalid <= \<const0>\; m_axi_awaddr(31) <= \<const0>\; m_axi_awaddr(30) <= \<const0>\; m_axi_awaddr(29) <= \<const0>\; m_axi_awaddr(28) <= \<const0>\; m_axi_awaddr(27) <= \<const0>\; m_axi_awaddr(26) <= \<const0>\; m_axi_awaddr(25) <= \<const0>\; m_axi_awaddr(24) <= \<const0>\; m_axi_awaddr(23) <= \<const0>\; m_axi_awaddr(22) <= \<const0>\; m_axi_awaddr(21) <= \<const0>\; m_axi_awaddr(20) <= \<const0>\; m_axi_awaddr(19) <= \<const0>\; m_axi_awaddr(18) <= \<const0>\; m_axi_awaddr(17) <= \<const0>\; m_axi_awaddr(16) <= \<const0>\; m_axi_awaddr(15) <= \<const0>\; m_axi_awaddr(14) <= \<const0>\; m_axi_awaddr(13) <= \<const0>\; m_axi_awaddr(12) <= \<const0>\; m_axi_awaddr(11) <= \<const0>\; m_axi_awaddr(10) <= \<const0>\; m_axi_awaddr(9) <= \<const0>\; m_axi_awaddr(8) <= \<const0>\; m_axi_awaddr(7) <= \<const0>\; m_axi_awaddr(6) <= \<const0>\; m_axi_awaddr(5) <= \<const0>\; m_axi_awaddr(4) <= \<const0>\; m_axi_awaddr(3) <= \<const0>\; m_axi_awaddr(2) <= \<const0>\; m_axi_awaddr(1) <= \<const0>\; m_axi_awaddr(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const0>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awprot(2) <= \<const0>\; m_axi_awprot(1) <= \<const0>\; m_axi_awprot(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const0>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_awvalid <= \<const0>\; m_axi_bready <= \<const0>\; m_axi_rready <= \<const0>\; m_axi_wdata(63) <= \<const0>\; m_axi_wdata(62) <= \<const0>\; m_axi_wdata(61) <= \<const0>\; m_axi_wdata(60) <= \<const0>\; m_axi_wdata(59) <= \<const0>\; m_axi_wdata(58) <= \<const0>\; m_axi_wdata(57) <= \<const0>\; m_axi_wdata(56) <= \<const0>\; m_axi_wdata(55) <= \<const0>\; m_axi_wdata(54) <= \<const0>\; m_axi_wdata(53) <= \<const0>\; m_axi_wdata(52) <= \<const0>\; m_axi_wdata(51) <= \<const0>\; m_axi_wdata(50) <= \<const0>\; m_axi_wdata(49) <= \<const0>\; m_axi_wdata(48) <= \<const0>\; m_axi_wdata(47) <= \<const0>\; m_axi_wdata(46) <= \<const0>\; m_axi_wdata(45) <= \<const0>\; m_axi_wdata(44) <= \<const0>\; m_axi_wdata(43) <= \<const0>\; m_axi_wdata(42) <= \<const0>\; m_axi_wdata(41) <= \<const0>\; m_axi_wdata(40) <= \<const0>\; m_axi_wdata(39) <= \<const0>\; m_axi_wdata(38) <= \<const0>\; m_axi_wdata(37) <= \<const0>\; m_axi_wdata(36) <= \<const0>\; m_axi_wdata(35) <= \<const0>\; m_axi_wdata(34) <= \<const0>\; m_axi_wdata(33) <= \<const0>\; m_axi_wdata(32) <= \<const0>\; m_axi_wdata(31) <= \<const0>\; m_axi_wdata(30) <= \<const0>\; m_axi_wdata(29) <= \<const0>\; m_axi_wdata(28) <= \<const0>\; m_axi_wdata(27) <= \<const0>\; m_axi_wdata(26) <= \<const0>\; m_axi_wdata(25) <= \<const0>\; m_axi_wdata(24) <= \<const0>\; m_axi_wdata(23) <= \<const0>\; m_axi_wdata(22) <= \<const0>\; m_axi_wdata(21) <= \<const0>\; m_axi_wdata(20) <= \<const0>\; m_axi_wdata(19) <= \<const0>\; m_axi_wdata(18) <= \<const0>\; m_axi_wdata(17) <= \<const0>\; m_axi_wdata(16) <= \<const0>\; m_axi_wdata(15) <= \<const0>\; m_axi_wdata(14) <= \<const0>\; m_axi_wdata(13) <= \<const0>\; m_axi_wdata(12) <= \<const0>\; m_axi_wdata(11) <= \<const0>\; m_axi_wdata(10) <= \<const0>\; m_axi_wdata(9) <= \<const0>\; m_axi_wdata(8) <= \<const0>\; m_axi_wdata(7) <= \<const0>\; m_axi_wdata(6) <= \<const0>\; m_axi_wdata(5) <= \<const0>\; m_axi_wdata(4) <= \<const0>\; m_axi_wdata(3) <= \<const0>\; m_axi_wdata(2) <= \<const0>\; m_axi_wdata(1) <= \<const0>\; m_axi_wdata(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const0>\; m_axi_wstrb(7) <= \<const0>\; m_axi_wstrb(6) <= \<const0>\; m_axi_wstrb(5) <= \<const0>\; m_axi_wstrb(4) <= \<const0>\; m_axi_wstrb(3) <= \<const0>\; m_axi_wstrb(2) <= \<const0>\; m_axi_wstrb(1) <= \<const0>\; m_axi_wstrb(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(8) <= \<const0>\; rd_data_count(7) <= \<const0>\; rd_data_count(6) <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_rdata(63) <= \<const0>\; s_axi_rdata(62) <= \<const0>\; s_axi_rdata(61) <= \<const0>\; s_axi_rdata(60) <= \<const0>\; s_axi_rdata(59) <= \<const0>\; s_axi_rdata(58) <= \<const0>\; s_axi_rdata(57) <= \<const0>\; s_axi_rdata(56) <= \<const0>\; s_axi_rdata(55) <= \<const0>\; s_axi_rdata(54) <= \<const0>\; s_axi_rdata(53) <= \<const0>\; s_axi_rdata(52) <= \<const0>\; s_axi_rdata(51) <= \<const0>\; s_axi_rdata(50) <= \<const0>\; s_axi_rdata(49) <= \<const0>\; s_axi_rdata(48) <= \<const0>\; s_axi_rdata(47) <= \<const0>\; s_axi_rdata(46) <= \<const0>\; s_axi_rdata(45) <= \<const0>\; s_axi_rdata(44) <= \<const0>\; s_axi_rdata(43) <= \<const0>\; s_axi_rdata(42) <= \<const0>\; s_axi_rdata(41) <= \<const0>\; s_axi_rdata(40) <= \<const0>\; s_axi_rdata(39) <= \<const0>\; s_axi_rdata(38) <= \<const0>\; s_axi_rdata(37) <= \<const0>\; s_axi_rdata(36) <= \<const0>\; s_axi_rdata(35) <= \<const0>\; s_axi_rdata(34) <= \<const0>\; s_axi_rdata(33) <= \<const0>\; s_axi_rdata(32) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_wready <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); inst_fifo_gen: entity work.dcfifo_32in_32out_16kb_fifo_generator_v12_0_synth port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_data_count(0) => wr_data_count(0), wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_16kb is port ( rst : in STD_LOGIC; wr_clk : in STD_LOGIC; rd_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); full : out STD_LOGIC; empty : out STD_LOGIC; wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of dcfifo_32in_32out_16kb : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of dcfifo_32in_32out_16kb : entity is "dcfifo_32in_32out_16kb,fifo_generator_v12_0,{}"; attribute core_generation_info : string; attribute core_generation_info of dcfifo_32in_32out_16kb : entity is "dcfifo_32in_32out_16kb,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=9,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=1,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=509,C_PROG_FULL_THRESH_NEGATE_VAL=508,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=9,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=1,C_WR_DEPTH=512,C_WR_FREQ=1,C_WR_PNTR_WIDTH=9,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of dcfifo_32in_32out_16kb : entity is "yes"; attribute x_core_info : string; attribute x_core_info of dcfifo_32in_32out_16kb : entity is "fifo_generator_v12_0,Vivado 2015.1"; end dcfifo_32in_32out_16kb; architecture STRUCTURE of dcfifo_32in_32out_16kb is signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of U0 : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of U0 : label is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of U0 : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of U0 : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of U0 : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of U0 : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of U0 : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of U0 : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of U0 : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of U0 : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of U0 : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of U0 : label is 9; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of U0 : label is 32; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of U0 : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of U0 : label is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of U0 : label is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of U0 : label is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of U0 : label is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of U0 : label is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of U0 : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of U0 : label is 32; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of U0 : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of U0 : label is 1; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of U0 : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of U0 : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of U0 : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of U0 : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of U0 : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of U0 : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of U0 : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of U0 : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of U0 : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of U0 : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of U0 : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of U0 : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of U0 : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of U0 : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of U0 : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of U0 : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of U0 : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of U0 : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of U0 : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of U0 : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of U0 : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of U0 : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of U0 : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of U0 : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of U0 : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of U0 : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of U0 : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of U0 : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of U0 : label is 1; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of U0 : label is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of U0 : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of U0 : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of U0 : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of U0 : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of U0 : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of U0 : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of U0 : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 509; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 508; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of U0 : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of U0 : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of U0 : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 9; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of U0 : label is 512; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of U0 : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of U0 : label is 9; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of U0 : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of U0 : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of U0 : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of U0 : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of U0 : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of U0 : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of U0 : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of U0 : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of U0 : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of U0 : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of U0 : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of U0 : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of U0 : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of U0 : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of U0 : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 1; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of U0 : label is 512; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of U0 : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of U0 : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of U0 : label is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of U0 : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of U0 : label is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of U0 : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of U0 : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of U0 : label is 9; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; begin U0: entity work.dcfifo_32in_32out_16kb_fifo_generator_v12_0 port map ( almost_empty => NLW_U0_almost_empty_UNCONNECTED, almost_full => NLW_U0_almost_full_UNCONNECTED, axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, axi_ar_prog_empty_thresh(3) => '0', axi_ar_prog_empty_thresh(2) => '0', axi_ar_prog_empty_thresh(1) => '0', axi_ar_prog_empty_thresh(0) => '0', axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, axi_ar_prog_full_thresh(3) => '0', axi_ar_prog_full_thresh(2) => '0', axi_ar_prog_full_thresh(1) => '0', axi_ar_prog_full_thresh(0) => '0', axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, axi_aw_prog_empty_thresh(3) => '0', axi_aw_prog_empty_thresh(2) => '0', axi_aw_prog_empty_thresh(1) => '0', axi_aw_prog_empty_thresh(0) => '0', axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, axi_aw_prog_full_thresh(3) => '0', axi_aw_prog_full_thresh(2) => '0', axi_aw_prog_full_thresh(1) => '0', axi_aw_prog_full_thresh(0) => '0', axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, axi_b_prog_empty_thresh(3) => '0', axi_b_prog_empty_thresh(2) => '0', axi_b_prog_empty_thresh(1) => '0', axi_b_prog_empty_thresh(0) => '0', axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, axi_b_prog_full_thresh(3) => '0', axi_b_prog_full_thresh(2) => '0', axi_b_prog_full_thresh(1) => '0', axi_b_prog_full_thresh(0) => '0', axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, axi_r_prog_empty_thresh(9) => '0', axi_r_prog_empty_thresh(8) => '0', axi_r_prog_empty_thresh(7) => '0', axi_r_prog_empty_thresh(6) => '0', axi_r_prog_empty_thresh(5) => '0', axi_r_prog_empty_thresh(4) => '0', axi_r_prog_empty_thresh(3) => '0', axi_r_prog_empty_thresh(2) => '0', axi_r_prog_empty_thresh(1) => '0', axi_r_prog_empty_thresh(0) => '0', axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, axi_r_prog_full_thresh(9) => '0', axi_r_prog_full_thresh(8) => '0', axi_r_prog_full_thresh(7) => '0', axi_r_prog_full_thresh(6) => '0', axi_r_prog_full_thresh(5) => '0', axi_r_prog_full_thresh(4) => '0', axi_r_prog_full_thresh(3) => '0', axi_r_prog_full_thresh(2) => '0', axi_r_prog_full_thresh(1) => '0', axi_r_prog_full_thresh(0) => '0', axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, axi_w_prog_empty_thresh(9) => '0', axi_w_prog_empty_thresh(8) => '0', axi_w_prog_empty_thresh(7) => '0', axi_w_prog_empty_thresh(6) => '0', axi_w_prog_empty_thresh(5) => '0', axi_w_prog_empty_thresh(4) => '0', axi_w_prog_empty_thresh(3) => '0', axi_w_prog_empty_thresh(2) => '0', axi_w_prog_empty_thresh(1) => '0', axi_w_prog_empty_thresh(0) => '0', axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, axi_w_prog_full_thresh(9) => '0', axi_w_prog_full_thresh(8) => '0', axi_w_prog_full_thresh(7) => '0', axi_w_prog_full_thresh(6) => '0', axi_w_prog_full_thresh(5) => '0', axi_w_prog_full_thresh(4) => '0', axi_w_prog_full_thresh(3) => '0', axi_w_prog_full_thresh(2) => '0', axi_w_prog_full_thresh(1) => '0', axi_w_prog_full_thresh(0) => '0', axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, axis_prog_empty_thresh(9) => '0', axis_prog_empty_thresh(8) => '0', axis_prog_empty_thresh(7) => '0', axis_prog_empty_thresh(6) => '0', axis_prog_empty_thresh(5) => '0', axis_prog_empty_thresh(4) => '0', axis_prog_empty_thresh(3) => '0', axis_prog_empty_thresh(2) => '0', axis_prog_empty_thresh(1) => '0', axis_prog_empty_thresh(0) => '0', axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, axis_prog_full_thresh(9) => '0', axis_prog_full_thresh(8) => '0', axis_prog_full_thresh(7) => '0', axis_prog_full_thresh(6) => '0', axis_prog_full_thresh(5) => '0', axis_prog_full_thresh(4) => '0', axis_prog_full_thresh(3) => '0', axis_prog_full_thresh(2) => '0', axis_prog_full_thresh(1) => '0', axis_prog_full_thresh(0) => '0', axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(8 downto 0) => NLW_U0_data_count_UNCONNECTED(8 downto 0), dbiterr => NLW_U0_dbiterr_UNCONNECTED, din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '0', m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => '0', m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => '0', m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, m_axi_bid(0) => '0', m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, m_axi_bresp(1) => '0', m_axi_bresp(0) => '0', m_axi_buser(0) => '0', m_axi_bvalid => '0', m_axi_rdata(63) => '0', m_axi_rdata(62) => '0', m_axi_rdata(61) => '0', m_axi_rdata(60) => '0', m_axi_rdata(59) => '0', m_axi_rdata(58) => '0', m_axi_rdata(57) => '0', m_axi_rdata(56) => '0', m_axi_rdata(55) => '0', m_axi_rdata(54) => '0', m_axi_rdata(53) => '0', m_axi_rdata(52) => '0', m_axi_rdata(51) => '0', m_axi_rdata(50) => '0', m_axi_rdata(49) => '0', m_axi_rdata(48) => '0', m_axi_rdata(47) => '0', m_axi_rdata(46) => '0', m_axi_rdata(45) => '0', m_axi_rdata(44) => '0', m_axi_rdata(43) => '0', m_axi_rdata(42) => '0', m_axi_rdata(41) => '0', m_axi_rdata(40) => '0', m_axi_rdata(39) => '0', m_axi_rdata(38) => '0', m_axi_rdata(37) => '0', m_axi_rdata(36) => '0', m_axi_rdata(35) => '0', m_axi_rdata(34) => '0', m_axi_rdata(33) => '0', m_axi_rdata(32) => '0', m_axi_rdata(31) => '0', m_axi_rdata(30) => '0', m_axi_rdata(29) => '0', m_axi_rdata(28) => '0', m_axi_rdata(27) => '0', m_axi_rdata(26) => '0', m_axi_rdata(25) => '0', m_axi_rdata(24) => '0', m_axi_rdata(23) => '0', m_axi_rdata(22) => '0', m_axi_rdata(21) => '0', m_axi_rdata(20) => '0', m_axi_rdata(19) => '0', m_axi_rdata(18) => '0', m_axi_rdata(17) => '0', m_axi_rdata(16) => '0', m_axi_rdata(15) => '0', m_axi_rdata(14) => '0', m_axi_rdata(13) => '0', m_axi_rdata(12) => '0', m_axi_rdata(11) => '0', m_axi_rdata(10) => '0', m_axi_rdata(9) => '0', m_axi_rdata(8) => '0', m_axi_rdata(7) => '0', m_axi_rdata(6) => '0', m_axi_rdata(5) => '0', m_axi_rdata(4) => '0', m_axi_rdata(3) => '0', m_axi_rdata(2) => '0', m_axi_rdata(1) => '0', m_axi_rdata(0) => '0', m_axi_rid(0) => '0', m_axi_rlast => '0', m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, m_axi_rresp(1) => '0', m_axi_rresp(0) => '0', m_axi_ruser(0) => '0', m_axi_rvalid => '0', m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, m_axi_wready => '0', m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, m_axis_tready => '0', m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, overflow => NLW_U0_overflow_UNCONNECTED, prog_empty => NLW_U0_prog_empty_UNCONNECTED, prog_empty_thresh(8) => '0', prog_empty_thresh(7) => '0', prog_empty_thresh(6) => '0', prog_empty_thresh(5) => '0', prog_empty_thresh(4) => '0', prog_empty_thresh(3) => '0', prog_empty_thresh(2) => '0', prog_empty_thresh(1) => '0', prog_empty_thresh(0) => '0', prog_empty_thresh_assert(8) => '0', prog_empty_thresh_assert(7) => '0', prog_empty_thresh_assert(6) => '0', prog_empty_thresh_assert(5) => '0', prog_empty_thresh_assert(4) => '0', prog_empty_thresh_assert(3) => '0', prog_empty_thresh_assert(2) => '0', prog_empty_thresh_assert(1) => '0', prog_empty_thresh_assert(0) => '0', prog_empty_thresh_negate(8) => '0', prog_empty_thresh_negate(7) => '0', prog_empty_thresh_negate(6) => '0', prog_empty_thresh_negate(5) => '0', prog_empty_thresh_negate(4) => '0', prog_empty_thresh_negate(3) => '0', prog_empty_thresh_negate(2) => '0', prog_empty_thresh_negate(1) => '0', prog_empty_thresh_negate(0) => '0', prog_full => NLW_U0_prog_full_UNCONNECTED, prog_full_thresh(8) => '0', prog_full_thresh(7) => '0', prog_full_thresh(6) => '0', prog_full_thresh(5) => '0', prog_full_thresh(4) => '0', prog_full_thresh(3) => '0', prog_full_thresh(2) => '0', prog_full_thresh(1) => '0', prog_full_thresh(0) => '0', prog_full_thresh_assert(8) => '0', prog_full_thresh_assert(7) => '0', prog_full_thresh_assert(6) => '0', prog_full_thresh_assert(5) => '0', prog_full_thresh_assert(4) => '0', prog_full_thresh_assert(3) => '0', prog_full_thresh_assert(2) => '0', prog_full_thresh_assert(1) => '0', prog_full_thresh_assert(0) => '0', prog_full_thresh_negate(8) => '0', prog_full_thresh_negate(7) => '0', prog_full_thresh_negate(6) => '0', prog_full_thresh_negate(5) => '0', prog_full_thresh_negate(4) => '0', prog_full_thresh_negate(3) => '0', prog_full_thresh_negate(2) => '0', prog_full_thresh_negate(1) => '0', prog_full_thresh_negate(0) => '0', rd_clk => rd_clk, rd_data_count(8 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(8 downto 0), rd_en => rd_en, rd_rst => '0', rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED, rst => rst, s_aclk => '0', s_aclk_en => '0', s_aresetn => '0', s_axi_araddr(31) => '0', s_axi_araddr(30) => '0', s_axi_araddr(29) => '0', s_axi_araddr(28) => '0', s_axi_araddr(27) => '0', s_axi_araddr(26) => '0', s_axi_araddr(25) => '0', s_axi_araddr(24) => '0', s_axi_araddr(23) => '0', s_axi_araddr(22) => '0', s_axi_araddr(21) => '0', s_axi_araddr(20) => '0', s_axi_araddr(19) => '0', s_axi_araddr(18) => '0', s_axi_araddr(17) => '0', s_axi_araddr(16) => '0', s_axi_araddr(15) => '0', s_axi_araddr(14) => '0', s_axi_araddr(13) => '0', s_axi_araddr(12) => '0', s_axi_araddr(11) => '0', s_axi_araddr(10) => '0', s_axi_araddr(9) => '0', s_axi_araddr(8) => '0', s_axi_araddr(7) => '0', s_axi_araddr(6) => '0', s_axi_araddr(5) => '0', s_axi_araddr(4) => '0', s_axi_araddr(3) => '0', s_axi_araddr(2) => '0', s_axi_araddr(1) => '0', s_axi_araddr(0) => '0', s_axi_arburst(1) => '0', s_axi_arburst(0) => '0', s_axi_arcache(3) => '0', s_axi_arcache(2) => '0', s_axi_arcache(1) => '0', s_axi_arcache(0) => '0', s_axi_arid(0) => '0', s_axi_arlen(7) => '0', s_axi_arlen(6) => '0', s_axi_arlen(5) => '0', s_axi_arlen(4) => '0', s_axi_arlen(3) => '0', s_axi_arlen(2) => '0', s_axi_arlen(1) => '0', s_axi_arlen(0) => '0', s_axi_arlock(0) => '0', s_axi_arprot(2) => '0', s_axi_arprot(1) => '0', s_axi_arprot(0) => '0', s_axi_arqos(3) => '0', s_axi_arqos(2) => '0', s_axi_arqos(1) => '0', s_axi_arqos(0) => '0', s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arregion(3) => '0', s_axi_arregion(2) => '0', s_axi_arregion(1) => '0', s_axi_arregion(0) => '0', s_axi_arsize(2) => '0', s_axi_arsize(1) => '0', s_axi_arsize(0) => '0', s_axi_aruser(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31) => '0', s_axi_awaddr(30) => '0', s_axi_awaddr(29) => '0', s_axi_awaddr(28) => '0', s_axi_awaddr(27) => '0', s_axi_awaddr(26) => '0', s_axi_awaddr(25) => '0', s_axi_awaddr(24) => '0', s_axi_awaddr(23) => '0', s_axi_awaddr(22) => '0', s_axi_awaddr(21) => '0', s_axi_awaddr(20) => '0', s_axi_awaddr(19) => '0', s_axi_awaddr(18) => '0', s_axi_awaddr(17) => '0', s_axi_awaddr(16) => '0', s_axi_awaddr(15) => '0', s_axi_awaddr(14) => '0', s_axi_awaddr(13) => '0', s_axi_awaddr(12) => '0', s_axi_awaddr(11) => '0', s_axi_awaddr(10) => '0', s_axi_awaddr(9) => '0', s_axi_awaddr(8) => '0', s_axi_awaddr(7) => '0', s_axi_awaddr(6) => '0', s_axi_awaddr(5) => '0', s_axi_awaddr(4) => '0', s_axi_awaddr(3) => '0', s_axi_awaddr(2) => '0', s_axi_awaddr(1) => '0', s_axi_awaddr(0) => '0', s_axi_awburst(1) => '0', s_axi_awburst(0) => '0', s_axi_awcache(3) => '0', s_axi_awcache(2) => '0', s_axi_awcache(1) => '0', s_axi_awcache(0) => '0', s_axi_awid(0) => '0', s_axi_awlen(7) => '0', s_axi_awlen(6) => '0', s_axi_awlen(5) => '0', s_axi_awlen(4) => '0', s_axi_awlen(3) => '0', s_axi_awlen(2) => '0', s_axi_awlen(1) => '0', s_axi_awlen(0) => '0', s_axi_awlock(0) => '0', s_axi_awprot(2) => '0', s_axi_awprot(1) => '0', s_axi_awprot(0) => '0', s_axi_awqos(3) => '0', s_axi_awqos(2) => '0', s_axi_awqos(1) => '0', s_axi_awqos(0) => '0', s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awregion(3) => '0', s_axi_awregion(2) => '0', s_axi_awregion(1) => '0', s_axi_awregion(0) => '0', s_axi_awsize(2) => '0', s_axi_awsize(1) => '0', s_axi_awsize(0) => '0', s_axi_awuser(0) => '0', s_axi_awvalid => '0', s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_wdata(63) => '0', s_axi_wdata(62) => '0', s_axi_wdata(61) => '0', s_axi_wdata(60) => '0', s_axi_wdata(59) => '0', s_axi_wdata(58) => '0', s_axi_wdata(57) => '0', s_axi_wdata(56) => '0', s_axi_wdata(55) => '0', s_axi_wdata(54) => '0', s_axi_wdata(53) => '0', s_axi_wdata(52) => '0', s_axi_wdata(51) => '0', s_axi_wdata(50) => '0', s_axi_wdata(49) => '0', s_axi_wdata(48) => '0', s_axi_wdata(47) => '0', s_axi_wdata(46) => '0', s_axi_wdata(45) => '0', s_axi_wdata(44) => '0', s_axi_wdata(43) => '0', s_axi_wdata(42) => '0', s_axi_wdata(41) => '0', s_axi_wdata(40) => '0', s_axi_wdata(39) => '0', s_axi_wdata(38) => '0', s_axi_wdata(37) => '0', s_axi_wdata(36) => '0', s_axi_wdata(35) => '0', s_axi_wdata(34) => '0', s_axi_wdata(33) => '0', s_axi_wdata(32) => '0', s_axi_wdata(31) => '0', s_axi_wdata(30) => '0', s_axi_wdata(29) => '0', s_axi_wdata(28) => '0', s_axi_wdata(27) => '0', s_axi_wdata(26) => '0', s_axi_wdata(25) => '0', s_axi_wdata(24) => '0', s_axi_wdata(23) => '0', s_axi_wdata(22) => '0', s_axi_wdata(21) => '0', s_axi_wdata(20) => '0', s_axi_wdata(19) => '0', s_axi_wdata(18) => '0', s_axi_wdata(17) => '0', s_axi_wdata(16) => '0', s_axi_wdata(15) => '0', s_axi_wdata(14) => '0', s_axi_wdata(13) => '0', s_axi_wdata(12) => '0', s_axi_wdata(11) => '0', s_axi_wdata(10) => '0', s_axi_wdata(9) => '0', s_axi_wdata(8) => '0', s_axi_wdata(7) => '0', s_axi_wdata(6) => '0', s_axi_wdata(5) => '0', s_axi_wdata(4) => '0', s_axi_wdata(3) => '0', s_axi_wdata(2) => '0', s_axi_wdata(1) => '0', s_axi_wdata(0) => '0', s_axi_wid(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(7) => '0', s_axi_wstrb(6) => '0', s_axi_wstrb(5) => '0', s_axi_wstrb(4) => '0', s_axi_wstrb(3) => '0', s_axi_wstrb(2) => '0', s_axi_wstrb(1) => '0', s_axi_wstrb(0) => '0', s_axi_wuser(0) => '0', s_axi_wvalid => '0', s_axis_tdata(7) => '0', s_axis_tdata(6) => '0', s_axis_tdata(5) => '0', s_axis_tdata(4) => '0', s_axis_tdata(3) => '0', s_axis_tdata(2) => '0', s_axis_tdata(1) => '0', s_axis_tdata(0) => '0', s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, s_axis_tstrb(0) => '0', s_axis_tuser(3) => '0', s_axis_tuser(2) => '0', s_axis_tuser(1) => '0', s_axis_tuser(0) => '0', s_axis_tvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', srst => '0', underflow => NLW_U0_underflow_UNCONNECTED, valid => NLW_U0_valid_UNCONNECTED, wr_ack => NLW_U0_wr_ack_UNCONNECTED, wr_clk => wr_clk, wr_data_count(0) => wr_data_count(0), wr_en => wr_en, wr_rst => '0', wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED ); end STRUCTURE;
gpl-3.0
tnsrb93/G1_RealTimeDCTSteganography
src/ips/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb_cnt/dcfifo_32in_32out_8kb_cnt_funcsim.vhdl
2
220711
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015 -- Date : Sat Mar 19 19:16:24 2016 -- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/SKL/Desktop/ECE532/repo/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb_cnt/dcfifo_32in_32out_8kb_cnt_funcsim.vhdl -- Design : dcfifo_32in_32out_8kb_cnt -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\ : STD_LOGIC; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 36, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 36 ) port map ( ADDRARDADDR(13) => '0', ADDRARDADDR(12 downto 5) => \gc0.count_d1_reg[7]\(7 downto 0), ADDRARDADDR(4) => '0', ADDRARDADDR(3) => '0', ADDRARDADDR(2) => '0', ADDRARDADDR(1) => '0', ADDRARDADDR(0) => '0', ADDRBWRADDR(13) => '0', ADDRBWRADDR(12 downto 5) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), ADDRBWRADDR(4) => '0', ADDRBWRADDR(3) => '0', ADDRBWRADDR(2) => '0', ADDRBWRADDR(1) => '0', ADDRBWRADDR(0) => '0', CLKARDCLK => rd_clk, CLKBWRCLK => wr_clk, DIADI(15 downto 0) => din(15 downto 0), DIBDI(15 downto 0) => din(31 downto 16), DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(15 downto 0) => dout(15 downto 0), DOBDO(15 downto 0) => dout(31 downto 16), DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\, DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\, DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\, DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\, ENARDEN => tmp_ram_rd_en, ENBWREN => WEBWE(0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => Q(0), RSTRAMB => Q(0), RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => '0', WEA(0) => '0', WEBWE(3) => WEBWE(0), WEBWE(2) => WEBWE(0), WEBWE(1) => WEBWE(0), WEBWE(0) => WEBWE(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_bin_cntr is port ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); ram_empty_i_reg : out STD_LOGIC; WR_PNTR_RD : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_en : in STD_LOGIC; p_18_out : in STD_LOGIC; \wr_pntr_bin_reg[6]\ : in STD_LOGIC; \wr_pntr_bin_reg[4]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_bin_cntr : entity is "rd_bin_cntr"; end dcfifo_32in_32out_8kb_cnt_rd_bin_cntr; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_bin_cntr is signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gc0.count[7]_i_2_n_0\ : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 7 downto 0 ); signal ram_empty_i_i_4_n_0 : STD_LOGIC; signal ram_empty_i_i_5_n_0 : STD_LOGIC; signal ram_empty_i_i_6_n_0 : STD_LOGIC; signal ram_empty_i_i_7_n_0 : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gc0.count[7]_i_2\ : label is "soft_lutpair7"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => rd_pntr_plus1(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => rd_pntr_plus1(0), I1 => rd_pntr_plus1(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => rd_pntr_plus1(0), I1 => rd_pntr_plus1(1), I2 => rd_pntr_plus1(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => rd_pntr_plus1(3), I1 => rd_pntr_plus1(0), I2 => rd_pntr_plus1(1), I3 => rd_pntr_plus1(2), O => plusOp(3) ); \gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => rd_pntr_plus1(4), I1 => rd_pntr_plus1(2), I2 => rd_pntr_plus1(1), I3 => rd_pntr_plus1(0), I4 => rd_pntr_plus1(3), O => plusOp(4) ); \gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => rd_pntr_plus1(5), I1 => rd_pntr_plus1(3), I2 => rd_pntr_plus1(0), I3 => rd_pntr_plus1(1), I4 => rd_pntr_plus1(2), I5 => rd_pntr_plus1(4), O => plusOp(5) ); \gc0.count[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => rd_pntr_plus1(6), I1 => rd_pntr_plus1(4), I2 => \gc0.count[7]_i_2_n_0\, I3 => rd_pntr_plus1(3), I4 => rd_pntr_plus1(5), O => plusOp(6) ); \gc0.count[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => rd_pntr_plus1(7), I1 => rd_pntr_plus1(5), I2 => rd_pntr_plus1(3), I3 => \gc0.count[7]_i_2_n_0\, I4 => rd_pntr_plus1(4), I5 => rd_pntr_plus1(6), O => plusOp(7) ); \gc0.count[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => rd_pntr_plus1(2), I1 => rd_pntr_plus1(1), I2 => rd_pntr_plus1(0), O => \gc0.count[7]_i_2_n_0\ ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(0), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(1), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(2), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(3), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3) ); \gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(4), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4) ); \gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(5), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5) ); \gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(6), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6) ); \gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(7), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => plusOp(0), PRE => Q(0), Q => rd_pntr_plus1(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(1), Q => rd_pntr_plus1(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(2), Q => rd_pntr_plus1(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(3), Q => rd_pntr_plus1(3) ); \gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(4), Q => rd_pntr_plus1(4) ); \gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(5), Q => rd_pntr_plus1(5) ); \gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(6), Q => rd_pntr_plus1(6) ); \gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(7), Q => rd_pntr_plus1(7) ); ram_empty_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \wr_pntr_bin_reg[6]\, I1 => \wr_pntr_bin_reg[4]\, I2 => ram_empty_i_i_4_n_0, I3 => ram_empty_i_i_5_n_0, I4 => ram_empty_i_i_6_n_0, I5 => ram_empty_i_i_7_n_0, O => ram_empty_i_reg ); ram_empty_i_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2), I1 => WR_PNTR_RD(2), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3), I3 => WR_PNTR_RD(3), O => ram_empty_i_i_4_n_0 ); ram_empty_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => rd_pntr_plus1(5), I1 => WR_PNTR_RD(5), I2 => rd_pntr_plus1(4), I3 => WR_PNTR_RD(4), I4 => WR_PNTR_RD(2), I5 => rd_pntr_plus1(2), O => ram_empty_i_i_5_n_0 ); ram_empty_i_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"0090000000000090" ) port map ( I0 => rd_pntr_plus1(6), I1 => WR_PNTR_RD(6), I2 => rd_en, I3 => p_18_out, I4 => WR_PNTR_RD(7), I5 => rd_pntr_plus1(7), O => ram_empty_i_i_6_n_0 ); ram_empty_i_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => rd_pntr_plus1(3), I1 => WR_PNTR_RD(3), I2 => rd_pntr_plus1(1), I3 => WR_PNTR_RD(1), I4 => WR_PNTR_RD(0), I5 => rd_pntr_plus1(0), O => ram_empty_i_i_7_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_dc_as is port ( rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 6 downto 0 ); \wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); S : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_dc_as : entity is "rd_dc_as"; end dcfifo_32in_32out_8kb_cnt_rd_dc_as; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_dc_as is signal minusOp : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \rd_dc_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_0\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_1\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_2\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_3\ : STD_LOGIC; signal \NLW_rd_dc_i_reg[7]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin \rd_dc_i_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => Q(0), D => minusOp(7), Q => rd_data_count(0) ); \rd_dc_i_reg[7]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \rd_dc_i_reg[7]_i_2_n_0\, CO(3) => \NLW_rd_dc_i_reg[7]_i_1_CO_UNCONNECTED\(3), CO(2) => \rd_dc_i_reg[7]_i_1_n_1\, CO(1) => \rd_dc_i_reg[7]_i_1_n_2\, CO(0) => \rd_dc_i_reg[7]_i_1_n_3\, CYINIT => '0', DI(3) => '0', DI(2 downto 0) => WR_PNTR_RD(6 downto 4), O(3 downto 0) => minusOp(7 downto 4), S(3 downto 0) => S(3 downto 0) ); \rd_dc_i_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \rd_dc_i_reg[7]_i_2_n_0\, CO(2) => \rd_dc_i_reg[7]_i_2_n_1\, CO(1) => \rd_dc_i_reg[7]_i_2_n_2\, CO(0) => \rd_dc_i_reg[7]_i_2_n_3\, CYINIT => '1', DI(3 downto 0) => WR_PNTR_RD(3 downto 0), O(3 downto 0) => minusOp(3 downto 0), S(3 downto 0) => \wr_pntr_bin_reg[3]\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_status_flags_as is port ( empty : out STD_LOGIC; p_18_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[6]\ : in STD_LOGIC; rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_status_flags_as : entity is "rd_status_flags_as"; end dcfifo_32in_32out_8kb_cnt_rd_status_flags_as; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_status_flags_as is signal \^p_18_out\ : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin p_18_out <= \^p_18_out\; \gc0.count_d1[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => \^p_18_out\, O => E(0) ); ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \wr_pntr_bin_reg[6]\, PRE => Q(0), Q => \^p_18_out\ ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \wr_pntr_bin_reg[6]\, PRE => Q(0), Q => empty ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo is port ( rst_full_ff_i : out STD_LOGIC; rst_full_gen_i : out STD_LOGIC; tmp_ram_rd_en : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); wr_clk : in STD_LOGIC; rst : in STD_LOGIC; rd_clk : in STD_LOGIC; p_18_out : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo : entity is "reset_blk_ramfifo"; end dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo is signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_asreg_d1 : STD_LOGIC; signal rd_rst_asreg_d2 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d2 : STD_LOGIC; signal rst_d3 : STD_LOGIC; signal rst_rd_reg1 : STD_LOGIC; signal rst_rd_reg2 : STD_LOGIC; signal rst_wr_reg1 : STD_LOGIC; signal rst_wr_reg2 : STD_LOGIC; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_asreg_d1 : STD_LOGIC; signal wr_rst_asreg_d2 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; begin Q(2 downto 0) <= \^q\(2 downto 0); rst_full_ff_i <= rst_d2; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => \^q\(0), I1 => p_18_out, I2 => rd_en, O => tmp_ram_rd_en ); \grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => rst, D => rst_d3, Q => rst_full_gen_i ); \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1, PRE => rst, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d2, PRE => rst, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg, Q => rd_rst_asreg_d1, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg_d1, Q => rd_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d1, O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => rst, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rst_rd_reg1, PRE => rst, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => rst_wr_reg1, PRE => rst, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg, Q => wr_rst_asreg_d1, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg_d1, Q => wr_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d1, O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => \gic0.gc0.count_reg[0]\(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => \gic0.gc0.count_reg[0]\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin D(7 downto 0) <= Q_reg(7 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(7), Q => Q_reg(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin D(7 downto 0) <= Q_reg(7 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(7), Q => Q_reg(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^wr_pntr_bin_reg[6]\ : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin \out\(0) <= Q_reg(7); \wr_pntr_bin_reg[6]\(6 downto 0) <= \^wr_pntr_bin_reg[6]\(6 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(7), Q => Q_reg(7) ); \wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => Q_reg(0), I3 => \^wr_pntr_bin_reg[6]\(3), O => \^wr_pntr_bin_reg[6]\(0) ); \wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => \^wr_pntr_bin_reg[6]\(3), O => \^wr_pntr_bin_reg[6]\(1) ); \wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(3), I1 => Q_reg(7), I2 => Q_reg(5), I3 => Q_reg(6), I4 => Q_reg(4), I5 => Q_reg(2), O => \^wr_pntr_bin_reg[6]\(2) ); \wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(4), I1 => Q_reg(6), I2 => Q_reg(5), I3 => Q_reg(7), I4 => Q_reg(3), O => \^wr_pntr_bin_reg[6]\(3) ); \wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(7), I1 => Q_reg(5), I2 => Q_reg(6), I3 => Q_reg(4), O => \^wr_pntr_bin_reg[6]\(4) ); \wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(6), I1 => Q_reg(5), I2 => Q_reg(7), O => \^wr_pntr_bin_reg[6]\(5) ); \wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(6), I1 => Q_reg(7), O => \^wr_pntr_bin_reg[6]\(6) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \rd_pntr_bin_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^rd_pntr_bin_reg[6]\ : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin \out\(0) <= Q_reg(7); \rd_pntr_bin_reg[6]\(6 downto 0) <= \^rd_pntr_bin_reg[6]\(6 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(7), Q => Q_reg(7) ); \rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => Q_reg(0), I3 => \^rd_pntr_bin_reg[6]\(3), O => \^rd_pntr_bin_reg[6]\(0) ); \rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => \^rd_pntr_bin_reg[6]\(3), O => \^rd_pntr_bin_reg[6]\(1) ); \rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(3), I1 => Q_reg(7), I2 => Q_reg(5), I3 => Q_reg(6), I4 => Q_reg(4), I5 => Q_reg(2), O => \^rd_pntr_bin_reg[6]\(2) ); \rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(4), I1 => Q_reg(6), I2 => Q_reg(5), I3 => Q_reg(7), I4 => Q_reg(3), O => \^rd_pntr_bin_reg[6]\(3) ); \rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(7), I1 => Q_reg(5), I2 => Q_reg(6), I3 => Q_reg(4), O => \^rd_pntr_bin_reg[6]\(4) ); \rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(6), I1 => Q_reg(5), I2 => Q_reg(7), O => \^rd_pntr_bin_reg[6]\(5) ); \rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(6), I1 => Q_reg(7), O => \^rd_pntr_bin_reg[6]\(6) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_wr_bin_cntr is port ( ram_full_fb_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); \gic0.gc0.count_d2_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); RD_PNTR_WR : in STD_LOGIC_VECTOR ( 1 downto 0 ); wr_en : in STD_LOGIC; p_0_out : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_wr_bin_cntr : entity is "wr_bin_cntr"; end dcfifo_32in_32out_8kb_cnt_wr_bin_cntr; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_wr_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \gic0.gc0.count[7]_i_2_n_0\ : STD_LOGIC; signal \^gic0.gc0.count_d2_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[0]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gic0.gc0.count[6]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair10"; begin Q(5 downto 0) <= \^q\(5 downto 0); \gic0.gc0.count_d2_reg[7]_0\(7 downto 0) <= \^gic0.gc0.count_d2_reg[7]_0\(7 downto 0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => wr_pntr_plus2(0), O => \plusOp__0\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), O => \plusOp__0\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), I2 => \^q\(0), O => \plusOp__0\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => wr_pntr_plus2(1), I1 => wr_pntr_plus2(0), I2 => \^q\(0), I3 => \^q\(1), O => \plusOp__0\(3) ); \gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(0), I1 => wr_pntr_plus2(0), I2 => wr_pntr_plus2(1), I3 => \^q\(1), I4 => \^q\(2), O => \plusOp__0\(4) ); \gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(1), I1 => wr_pntr_plus2(1), I2 => wr_pntr_plus2(0), I3 => \^q\(0), I4 => \^q\(2), I5 => \^q\(3), O => \plusOp__0\(5) ); \gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count[7]_i_2_n_0\, I1 => \^q\(4), O => \plusOp__0\(6) ); \gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \gic0.gc0.count[7]_i_2_n_0\, I1 => \^q\(4), I2 => \^q\(5), O => \plusOp__0\(7) ); \gic0.gc0.count[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => wr_pntr_plus2(1), I3 => wr_pntr_plus2(0), I4 => \^q\(0), I5 => \^q\(2), O => \gic0.gc0.count[7]_i_2_n_0\ ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => wr_pntr_plus2(0), PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), Q => \^gic0.gc0.count_d2_reg[7]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => wr_pntr_plus2(1), Q => \^gic0.gc0.count_d2_reg[7]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(0), Q => \^gic0.gc0.count_d2_reg[7]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[7]_0\(3) ); \gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[7]_0\(4) ); \gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[7]_0\(5) ); \gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(4), Q => \^gic0.gc0.count_d2_reg[7]_0\(6) ); \gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(5), Q => \^gic0.gc0.count_d2_reg[7]_0\(7) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(0), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(1), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(2), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(3), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(3) ); \gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(4), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(4) ); \gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(5), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5) ); \gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(6), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(6) ); \gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(7), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(0), Q => wr_pntr_plus2(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => \plusOp__0\(1), PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), Q => wr_pntr_plus2(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(2), Q => \^q\(0) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(3), Q => \^q\(1) ); \gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(4), Q => \^q\(2) ); \gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(5), Q => \^q\(3) ); \gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(6), Q => \^q\(4) ); \gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(7), Q => \^q\(5) ); ram_full_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0090000000000090" ) port map ( I0 => RD_PNTR_WR(0), I1 => wr_pntr_plus2(0), I2 => wr_en, I3 => p_0_out, I4 => wr_pntr_plus2(1), I5 => RD_PNTR_WR(1), O => ram_full_fb_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_wr_status_flags_as is port ( full : out STD_LOGIC; p_0_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_full_i : in STD_LOGIC; wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; wr_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_wr_status_flags_as : entity is "wr_status_flags_as"; end dcfifo_32in_32out_8kb_cnt_wr_status_flags_as; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_wr_status_flags_as is signal \^p_0_out\ : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin p_0_out <= \^p_0_out\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => \^p_0_out\, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => \^p_0_out\ ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => full ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_clk_x_pntrs is port ( ram_empty_i_reg : out STD_LOGIC; WR_PNTR_RD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ram_empty_i_reg_0 : out STD_LOGIC; RD_PNTR_WR : out STD_LOGIC_VECTOR ( 1 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \rd_dc_i_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_i : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \gic0.gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); rst_full_gen_i : in STD_LOGIC; \rd_pntr_bin_reg[0]_0\ : in STD_LOGIC; \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_clk_x_pntrs : entity is "clk_x_pntrs"; end dcfifo_32in_32out_8kb_cnt_clk_x_pntrs; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_clk_x_pntrs is signal \^rd_pntr_wr\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gntv_or_sync_fifo.gl0.wr/gwas.wsts/comp1\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 6 downto 0 ); signal p_0_in6_out : STD_LOGIC_VECTOR ( 6 downto 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 7 downto 2 ); signal p_0_out_0 : STD_LOGIC_VECTOR ( 7 to 7 ); signal p_1_out : STD_LOGIC_VECTOR ( 7 to 7 ); signal p_2_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal ram_full_i_i_2_n_0 : STD_LOGIC; signal ram_full_i_i_4_n_0 : STD_LOGIC; signal ram_full_i_i_6_n_0 : STD_LOGIC; signal ram_full_i_i_7_n_0 : STD_LOGIC; signal rd_pntr_gc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC; signal wr_pntr_gc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2"; begin RD_PNTR_WR(1 downto 0) <= \^rd_pntr_wr\(1 downto 0); WR_PNTR_RD(7 downto 0) <= \^wr_pntr_rd\(7 downto 0); \gsync_stage[1].rd_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff port map ( D(7 downto 0) => p_3_out(7 downto 0), Q(7 downto 0) => wr_pntr_gc(7 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), rd_clk => rd_clk ); \gsync_stage[1].wr_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 port map ( D(7 downto 0) => p_2_out(7 downto 0), Q(7 downto 0) => rd_pntr_gc(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), wr_clk => wr_clk ); \gsync_stage[2].rd_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 port map ( D(7 downto 0) => p_3_out(7 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(0) => p_1_out(7), rd_clk => rd_clk, \wr_pntr_bin_reg[6]\(6 downto 0) => p_0_in(6 downto 0) ); \gsync_stage[2].wr_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 port map ( D(7 downto 0) => p_2_out(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), \out\(0) => p_0_out_0(7), \rd_pntr_bin_reg[6]\(6) => \gsync_stage[2].wr_stg_inst_n_1\, \rd_pntr_bin_reg[6]\(5) => \gsync_stage[2].wr_stg_inst_n_2\, \rd_pntr_bin_reg[6]\(4) => \gsync_stage[2].wr_stg_inst_n_3\, \rd_pntr_bin_reg[6]\(3) => \gsync_stage[2].wr_stg_inst_n_4\, \rd_pntr_bin_reg[6]\(2) => \gsync_stage[2].wr_stg_inst_n_5\, \rd_pntr_bin_reg[6]\(1) => \gsync_stage[2].wr_stg_inst_n_6\, \rd_pntr_bin_reg[6]\(0) => \gsync_stage[2].wr_stg_inst_n_7\, wr_clk => wr_clk ); ram_empty_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^wr_pntr_rd\(6), I1 => Q(6), I2 => \^wr_pntr_rd\(1), I3 => Q(1), I4 => Q(0), I5 => \^wr_pntr_rd\(0), O => ram_empty_i_reg_0 ); ram_empty_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^wr_pntr_rd\(4), I1 => Q(4), I2 => \^wr_pntr_rd\(5), I3 => Q(5), I4 => Q(7), I5 => \^wr_pntr_rd\(7), O => ram_empty_i_reg ); ram_full_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"55554000" ) port map ( I0 => rst_full_gen_i, I1 => ram_full_i_i_2_n_0, I2 => \rd_pntr_bin_reg[0]_0\, I3 => ram_full_i_i_4_n_0, I4 => \gntv_or_sync_fifo.gl0.wr/gwas.wsts/comp1\, O => ram_full_i ); ram_full_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_0_out(5), I1 => \gic0.gc0.count_reg[7]\(3), I2 => p_0_out(7), I3 => \gic0.gc0.count_reg[7]\(5), I4 => \gic0.gc0.count_reg[7]\(4), I5 => p_0_out(6), O => ram_full_i_i_2_n_0 ); ram_full_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_0_out(2), I1 => \gic0.gc0.count_reg[7]\(0), I2 => p_0_out(3), I3 => \gic0.gc0.count_reg[7]\(1), I4 => \gic0.gc0.count_reg[7]\(2), I5 => p_0_out(4), O => ram_full_i_i_4_n_0 ); ram_full_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => p_0_out(7), I1 => \gic0.gc0.count_d1_reg[7]\(7), I2 => p_0_out(6), I3 => \gic0.gc0.count_d1_reg[7]\(6), I4 => ram_full_i_i_6_n_0, I5 => ram_full_i_i_7_n_0, O => \gntv_or_sync_fifo.gl0.wr/gwas.wsts/comp1\ ); ram_full_i_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^rd_pntr_wr\(0), I1 => \gic0.gc0.count_d1_reg[7]\(0), I2 => \^rd_pntr_wr\(1), I3 => \gic0.gc0.count_d1_reg[7]\(1), I4 => \gic0.gc0.count_d1_reg[7]\(2), I5 => p_0_out(2), O => ram_full_i_i_6_n_0 ); ram_full_i_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_0_out(3), I1 => \gic0.gc0.count_d1_reg[7]\(3), I2 => p_0_out(4), I3 => \gic0.gc0.count_d1_reg[7]\(4), I4 => \gic0.gc0.count_d1_reg[7]\(5), I5 => p_0_out(5), O => ram_full_i_i_7_n_0 ); \rd_dc_i[7]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(0), I1 => Q(0), O => \rd_dc_i_reg[7]\(0) ); \rd_dc_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(7), I1 => Q(7), O => S(3) ); \rd_dc_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(6), I1 => Q(6), O => S(2) ); \rd_dc_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(5), I1 => Q(5), O => S(1) ); \rd_dc_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(4), I1 => Q(4), O => S(0) ); \rd_dc_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(3), I1 => Q(3), O => \rd_dc_i_reg[7]\(3) ); \rd_dc_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(2), I1 => Q(2), O => \rd_dc_i_reg[7]\(2) ); \rd_dc_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(1), I1 => Q(1), O => \rd_dc_i_reg[7]\(1) ); \rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_7\, Q => \^rd_pntr_wr\(0) ); \rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_6\, Q => \^rd_pntr_wr\(1) ); \rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_5\, Q => p_0_out(2) ); \rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_4\, Q => p_0_out(3) ); \rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_3\, Q => p_0_out(4) ); \rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_2\, Q => p_0_out(5) ); \rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_1\, Q => p_0_out(6) ); \rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_out_0(7), Q => p_0_out(7) ); \rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(0), I1 => Q(1), O => \rd_pntr_gc[0]_i_1_n_0\ ); \rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(1), I1 => Q(2), O => \rd_pntr_gc[1]_i_1_n_0\ ); \rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(2), I1 => Q(3), O => \rd_pntr_gc[2]_i_1_n_0\ ); \rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(3), I1 => Q(4), O => \rd_pntr_gc[3]_i_1_n_0\ ); \rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(4), I1 => Q(5), O => \rd_pntr_gc[4]_i_1_n_0\ ); \rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(5), I1 => Q(6), O => \rd_pntr_gc[5]_i_1_n_0\ ); \rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(6), I1 => Q(7), O => \rd_pntr_gc[6]_i_1_n_0\ ); \rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[0]_i_1_n_0\, Q => rd_pntr_gc(0) ); \rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[1]_i_1_n_0\, Q => rd_pntr_gc(1) ); \rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[2]_i_1_n_0\, Q => rd_pntr_gc(2) ); \rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[3]_i_1_n_0\, Q => rd_pntr_gc(3) ); \rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[4]_i_1_n_0\, Q => rd_pntr_gc(4) ); \rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[5]_i_1_n_0\, Q => rd_pntr_gc(5) ); \rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[6]_i_1_n_0\, Q => rd_pntr_gc(6) ); \rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(7), Q => rd_pntr_gc(7) ); \wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(0), Q => \^wr_pntr_rd\(0) ); \wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(1), Q => \^wr_pntr_rd\(1) ); \wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(2), Q => \^wr_pntr_rd\(2) ); \wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(3), Q => \^wr_pntr_rd\(3) ); \wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(4), Q => \^wr_pntr_rd\(4) ); \wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(5), Q => \^wr_pntr_rd\(5) ); \wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(6), Q => \^wr_pntr_rd\(6) ); \wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_1_out(7), Q => \^wr_pntr_rd\(7) ); \wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(0), I1 => \gic0.gc0.count_d2_reg[7]\(1), O => p_0_in6_out(0) ); \wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(1), I1 => \gic0.gc0.count_d2_reg[7]\(2), O => p_0_in6_out(1) ); \wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(2), I1 => \gic0.gc0.count_d2_reg[7]\(3), O => p_0_in6_out(2) ); \wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(3), I1 => \gic0.gc0.count_d2_reg[7]\(4), O => p_0_in6_out(3) ); \wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(4), I1 => \gic0.gc0.count_d2_reg[7]\(5), O => p_0_in6_out(4) ); \wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(5), I1 => \gic0.gc0.count_d2_reg[7]\(6), O => p_0_in6_out(5) ); \wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(6), I1 => \gic0.gc0.count_d2_reg[7]\(7), O => p_0_in6_out(6) ); \wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(0), Q => wr_pntr_gc(0) ); \wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(1), Q => wr_pntr_gc(1) ); \wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(2), Q => wr_pntr_gc(2) ); \wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(3), Q => wr_pntr_gc(3) ); \wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(4), Q => wr_pntr_gc(4) ); \wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(5), Q => wr_pntr_gc(5) ); \wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(6), Q => wr_pntr_gc(6) ); \wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gic0.gc0.count_d2_reg[7]\(7), Q => wr_pntr_gc(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_logic is port ( empty : out STD_LOGIC; p_18_out : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_en : in STD_LOGIC; \wr_pntr_bin_reg[6]\ : in STD_LOGIC; \wr_pntr_bin_reg[4]\ : in STD_LOGIC; \wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); S : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_logic : entity is "rd_logic"; end dcfifo_32in_32out_8kb_cnt_rd_logic; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_logic is signal p_14_out : STD_LOGIC; signal \^p_18_out\ : STD_LOGIC; signal rpntr_n_8 : STD_LOGIC; begin p_18_out <= \^p_18_out\; \gras.grdc1.rdc\: entity work.dcfifo_32in_32out_8kb_cnt_rd_dc_as port map ( Q(0) => Q(0), S(3 downto 0) => S(3 downto 0), WR_PNTR_RD(6 downto 0) => WR_PNTR_RD(6 downto 0), rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), \wr_pntr_bin_reg[3]\(3 downto 0) => \wr_pntr_bin_reg[3]\(3 downto 0) ); \gras.rsts\: entity work.dcfifo_32in_32out_8kb_cnt_rd_status_flags_as port map ( E(0) => p_14_out, Q(0) => Q(0), empty => empty, p_18_out => \^p_18_out\, rd_clk => rd_clk, rd_en => rd_en, \wr_pntr_bin_reg[6]\ => rpntr_n_8 ); rpntr: entity work.dcfifo_32in_32out_8kb_cnt_rd_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0), E(0) => p_14_out, Q(0) => Q(0), WR_PNTR_RD(7 downto 0) => WR_PNTR_RD(7 downto 0), p_18_out => \^p_18_out\, ram_empty_i_reg => rpntr_n_8, rd_clk => rd_clk, rd_en => rd_en, \wr_pntr_bin_reg[4]\ => \wr_pntr_bin_reg[4]\, \wr_pntr_bin_reg[6]\ => \wr_pntr_bin_reg[6]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_wr_logic is port ( full : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); WEBWE : out STD_LOGIC_VECTOR ( 0 to 0 ); \gic0.gc0.count_d2_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); ram_full_i : in STD_LOGIC; wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; RD_PNTR_WR : in STD_LOGIC_VECTOR ( 1 downto 0 ); wr_en : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_wr_logic : entity is "wr_logic"; end dcfifo_32in_32out_8kb_cnt_wr_logic; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_wr_logic is signal \^webwe\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out : STD_LOGIC; begin WEBWE(0) <= \^webwe\(0); \gwas.wsts\: entity work.dcfifo_32in_32out_8kb_cnt_wr_status_flags_as port map ( E(0) => \^webwe\(0), full => full, p_0_out => p_0_out, ram_full_i => ram_full_i, rst_full_ff_i => rst_full_ff_i, wr_clk => wr_clk, wr_en => wr_en ); wpntr: entity work.dcfifo_32in_32out_8kb_cnt_wr_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0), E(0) => \^webwe\(0), Q(5 downto 0) => Q(5 downto 0), RD_PNTR_WR(1 downto 0) => RD_PNTR_WR(1 downto 0), \gic0.gc0.count_d2_reg[7]_0\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), p_0_out => p_0_out, ram_full_fb_i_reg => ram_full_fb_i_reg, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top : entity is "blk_mem_gen_top"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top is begin \valid.cstr\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 : entity is "blk_mem_gen_v8_2"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 is begin inst_blk_mem_gen: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_memory is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_memory : entity is "memory"; end dcfifo_32in_32out_8kb_cnt_memory; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_memory is begin \gbm.gbmg.gbmga.ngecc.bmg\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo is signal RD_RST : STD_LOGIC; signal \^rst\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_12\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_13\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_14\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_15\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_16\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_17\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_18\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_19\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_1\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_8\ : STD_LOGIC; signal \gwas.wsts/ram_full_i\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal p_18_out : STD_LOGIC; signal p_1_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_20_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_9_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal rst_full_gen_i : STD_LOGIC; signal tmp_ram_rd_en : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 7 downto 2 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.dcfifo_32in_32out_8kb_cnt_clk_x_pntrs port map ( Q(7 downto 0) => p_20_out(7 downto 0), RD_PNTR_WR(1 downto 0) => p_0_out(1 downto 0), S(3) => \gntv_or_sync_fifo.gcx.clkx_n_12\, S(2) => \gntv_or_sync_fifo.gcx.clkx_n_13\, S(1) => \gntv_or_sync_fifo.gcx.clkx_n_14\, S(0) => \gntv_or_sync_fifo.gcx.clkx_n_15\, WR_PNTR_RD(7 downto 0) => p_1_out(7 downto 0), \gic0.gc0.count_d1_reg[7]\(7 downto 0) => p_8_out(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => p_9_out(7 downto 0), \gic0.gc0.count_reg[7]\(5 downto 0) => wr_pntr_plus2(7 downto 2), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_0\, ram_empty_i_reg_0 => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_i => \gwas.wsts/ram_full_i\, rd_clk => rd_clk, \rd_dc_i_reg[7]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_16\, \rd_dc_i_reg[7]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_17\, \rd_dc_i_reg[7]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_18\, \rd_dc_i_reg[7]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_19\, \rd_pntr_bin_reg[0]_0\ => \gntv_or_sync_fifo.gl0.wr_n_1\, rst_full_gen_i => rst_full_gen_i, wr_clk => wr_clk ); \gntv_or_sync_fifo.gl0.rd\: entity work.dcfifo_32in_32out_8kb_cnt_rd_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => p_20_out(7 downto 0), Q(0) => RD_RST, S(3) => \gntv_or_sync_fifo.gcx.clkx_n_12\, S(2) => \gntv_or_sync_fifo.gcx.clkx_n_13\, S(1) => \gntv_or_sync_fifo.gcx.clkx_n_14\, S(0) => \gntv_or_sync_fifo.gcx.clkx_n_15\, WR_PNTR_RD(7 downto 0) => p_1_out(7 downto 0), empty => empty, p_18_out => p_18_out, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, \wr_pntr_bin_reg[3]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_16\, \wr_pntr_bin_reg[3]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_17\, \wr_pntr_bin_reg[3]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_18\, \wr_pntr_bin_reg[3]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_19\, \wr_pntr_bin_reg[4]\ => \gntv_or_sync_fifo.gcx.clkx_n_0\, \wr_pntr_bin_reg[6]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\ ); \gntv_or_sync_fifo.gl0.wr\: entity work.dcfifo_32in_32out_8kb_cnt_wr_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => p_9_out(7 downto 0), Q(5 downto 0) => wr_pntr_plus2(7 downto 2), RD_PNTR_WR(1 downto 0) => p_0_out(1 downto 0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_8\, full => full, \gic0.gc0.count_d2_reg[7]\(7 downto 0) => p_8_out(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \^rst\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_1\, ram_full_i => \gwas.wsts/ram_full_i\, rst_full_ff_i => rst_full_ff_i, wr_clk => wr_clk, wr_en => wr_en ); \gntv_or_sync_fifo.mem\: entity work.dcfifo_32in_32out_8kb_cnt_memory port map ( Q(0) => rd_rst_i(0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_8\, din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => p_20_out(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => p_9_out(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); rstblk: entity work.dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo port map ( Q(2) => RD_RST, Q(1 downto 0) => rd_rst_i(1 downto 0), \gic0.gc0.count_reg[0]\(1) => \^rst\, \gic0.gc0.count_reg[0]\(0) => wr_rst_i(0), p_18_out => p_18_out, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_top is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_top : entity is "fifo_generator_top"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_top; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_top is begin \grf.rf\: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth is begin \gconvfifo.rf\: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_top port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 7 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 253; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 252; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 256; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 256; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "fifo_generator_v12_0"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const1>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const1>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const1>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(10) <= \<const0>\; axi_r_data_count(9) <= \<const0>\; axi_r_data_count(8) <= \<const0>\; axi_r_data_count(7) <= \<const0>\; axi_r_data_count(6) <= \<const0>\; axi_r_data_count(5) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const1>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(10) <= \<const0>\; axi_r_rd_data_count(9) <= \<const0>\; axi_r_rd_data_count(8) <= \<const0>\; axi_r_rd_data_count(7) <= \<const0>\; axi_r_rd_data_count(6) <= \<const0>\; axi_r_rd_data_count(5) <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(10) <= \<const0>\; axi_r_wr_data_count(9) <= \<const0>\; axi_r_wr_data_count(8) <= \<const0>\; axi_r_wr_data_count(7) <= \<const0>\; axi_r_wr_data_count(6) <= \<const0>\; axi_r_wr_data_count(5) <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(10) <= \<const0>\; axi_w_data_count(9) <= \<const0>\; axi_w_data_count(8) <= \<const0>\; axi_w_data_count(7) <= \<const0>\; axi_w_data_count(6) <= \<const0>\; axi_w_data_count(5) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const1>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(10) <= \<const0>\; axi_w_rd_data_count(9) <= \<const0>\; axi_w_rd_data_count(8) <= \<const0>\; axi_w_rd_data_count(7) <= \<const0>\; axi_w_rd_data_count(6) <= \<const0>\; axi_w_rd_data_count(5) <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(10) <= \<const0>\; axi_w_wr_data_count(9) <= \<const0>\; axi_w_wr_data_count(8) <= \<const0>\; axi_w_wr_data_count(7) <= \<const0>\; axi_w_wr_data_count(6) <= \<const0>\; axi_w_wr_data_count(5) <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const1>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; m_axi_araddr(31) <= \<const0>\; m_axi_araddr(30) <= \<const0>\; m_axi_araddr(29) <= \<const0>\; m_axi_araddr(28) <= \<const0>\; m_axi_araddr(27) <= \<const0>\; m_axi_araddr(26) <= \<const0>\; m_axi_araddr(25) <= \<const0>\; m_axi_araddr(24) <= \<const0>\; m_axi_araddr(23) <= \<const0>\; m_axi_araddr(22) <= \<const0>\; m_axi_araddr(21) <= \<const0>\; m_axi_araddr(20) <= \<const0>\; m_axi_araddr(19) <= \<const0>\; m_axi_araddr(18) <= \<const0>\; m_axi_araddr(17) <= \<const0>\; m_axi_araddr(16) <= \<const0>\; m_axi_araddr(15) <= \<const0>\; m_axi_araddr(14) <= \<const0>\; m_axi_araddr(13) <= \<const0>\; m_axi_araddr(12) <= \<const0>\; m_axi_araddr(11) <= \<const0>\; m_axi_araddr(10) <= \<const0>\; m_axi_araddr(9) <= \<const0>\; m_axi_araddr(8) <= \<const0>\; m_axi_araddr(7) <= \<const0>\; m_axi_araddr(6) <= \<const0>\; m_axi_araddr(5) <= \<const0>\; m_axi_araddr(4) <= \<const0>\; m_axi_araddr(3) <= \<const0>\; m_axi_araddr(2) <= \<const0>\; m_axi_araddr(1) <= \<const0>\; m_axi_araddr(0) <= \<const0>\; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const0>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arprot(2) <= \<const0>\; m_axi_arprot(1) <= \<const0>\; m_axi_arprot(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const0>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_arvalid <= \<const0>\; m_axi_awaddr(31) <= \<const0>\; m_axi_awaddr(30) <= \<const0>\; m_axi_awaddr(29) <= \<const0>\; m_axi_awaddr(28) <= \<const0>\; m_axi_awaddr(27) <= \<const0>\; m_axi_awaddr(26) <= \<const0>\; m_axi_awaddr(25) <= \<const0>\; m_axi_awaddr(24) <= \<const0>\; m_axi_awaddr(23) <= \<const0>\; m_axi_awaddr(22) <= \<const0>\; m_axi_awaddr(21) <= \<const0>\; m_axi_awaddr(20) <= \<const0>\; m_axi_awaddr(19) <= \<const0>\; m_axi_awaddr(18) <= \<const0>\; m_axi_awaddr(17) <= \<const0>\; m_axi_awaddr(16) <= \<const0>\; m_axi_awaddr(15) <= \<const0>\; m_axi_awaddr(14) <= \<const0>\; m_axi_awaddr(13) <= \<const0>\; m_axi_awaddr(12) <= \<const0>\; m_axi_awaddr(11) <= \<const0>\; m_axi_awaddr(10) <= \<const0>\; m_axi_awaddr(9) <= \<const0>\; m_axi_awaddr(8) <= \<const0>\; m_axi_awaddr(7) <= \<const0>\; m_axi_awaddr(6) <= \<const0>\; m_axi_awaddr(5) <= \<const0>\; m_axi_awaddr(4) <= \<const0>\; m_axi_awaddr(3) <= \<const0>\; m_axi_awaddr(2) <= \<const0>\; m_axi_awaddr(1) <= \<const0>\; m_axi_awaddr(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const0>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awprot(2) <= \<const0>\; m_axi_awprot(1) <= \<const0>\; m_axi_awprot(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const0>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_awvalid <= \<const0>\; m_axi_bready <= \<const0>\; m_axi_rready <= \<const0>\; m_axi_wdata(63) <= \<const0>\; m_axi_wdata(62) <= \<const0>\; m_axi_wdata(61) <= \<const0>\; m_axi_wdata(60) <= \<const0>\; m_axi_wdata(59) <= \<const0>\; m_axi_wdata(58) <= \<const0>\; m_axi_wdata(57) <= \<const0>\; m_axi_wdata(56) <= \<const0>\; m_axi_wdata(55) <= \<const0>\; m_axi_wdata(54) <= \<const0>\; m_axi_wdata(53) <= \<const0>\; m_axi_wdata(52) <= \<const0>\; m_axi_wdata(51) <= \<const0>\; m_axi_wdata(50) <= \<const0>\; m_axi_wdata(49) <= \<const0>\; m_axi_wdata(48) <= \<const0>\; m_axi_wdata(47) <= \<const0>\; m_axi_wdata(46) <= \<const0>\; m_axi_wdata(45) <= \<const0>\; m_axi_wdata(44) <= \<const0>\; m_axi_wdata(43) <= \<const0>\; m_axi_wdata(42) <= \<const0>\; m_axi_wdata(41) <= \<const0>\; m_axi_wdata(40) <= \<const0>\; m_axi_wdata(39) <= \<const0>\; m_axi_wdata(38) <= \<const0>\; m_axi_wdata(37) <= \<const0>\; m_axi_wdata(36) <= \<const0>\; m_axi_wdata(35) <= \<const0>\; m_axi_wdata(34) <= \<const0>\; m_axi_wdata(33) <= \<const0>\; m_axi_wdata(32) <= \<const0>\; m_axi_wdata(31) <= \<const0>\; m_axi_wdata(30) <= \<const0>\; m_axi_wdata(29) <= \<const0>\; m_axi_wdata(28) <= \<const0>\; m_axi_wdata(27) <= \<const0>\; m_axi_wdata(26) <= \<const0>\; m_axi_wdata(25) <= \<const0>\; m_axi_wdata(24) <= \<const0>\; m_axi_wdata(23) <= \<const0>\; m_axi_wdata(22) <= \<const0>\; m_axi_wdata(21) <= \<const0>\; m_axi_wdata(20) <= \<const0>\; m_axi_wdata(19) <= \<const0>\; m_axi_wdata(18) <= \<const0>\; m_axi_wdata(17) <= \<const0>\; m_axi_wdata(16) <= \<const0>\; m_axi_wdata(15) <= \<const0>\; m_axi_wdata(14) <= \<const0>\; m_axi_wdata(13) <= \<const0>\; m_axi_wdata(12) <= \<const0>\; m_axi_wdata(11) <= \<const0>\; m_axi_wdata(10) <= \<const0>\; m_axi_wdata(9) <= \<const0>\; m_axi_wdata(8) <= \<const0>\; m_axi_wdata(7) <= \<const0>\; m_axi_wdata(6) <= \<const0>\; m_axi_wdata(5) <= \<const0>\; m_axi_wdata(4) <= \<const0>\; m_axi_wdata(3) <= \<const0>\; m_axi_wdata(2) <= \<const0>\; m_axi_wdata(1) <= \<const0>\; m_axi_wdata(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const0>\; m_axi_wstrb(7) <= \<const0>\; m_axi_wstrb(6) <= \<const0>\; m_axi_wstrb(5) <= \<const0>\; m_axi_wstrb(4) <= \<const0>\; m_axi_wstrb(3) <= \<const0>\; m_axi_wstrb(2) <= \<const0>\; m_axi_wstrb(1) <= \<const0>\; m_axi_wstrb(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_rdata(63) <= \<const0>\; s_axi_rdata(62) <= \<const0>\; s_axi_rdata(61) <= \<const0>\; s_axi_rdata(60) <= \<const0>\; s_axi_rdata(59) <= \<const0>\; s_axi_rdata(58) <= \<const0>\; s_axi_rdata(57) <= \<const0>\; s_axi_rdata(56) <= \<const0>\; s_axi_rdata(55) <= \<const0>\; s_axi_rdata(54) <= \<const0>\; s_axi_rdata(53) <= \<const0>\; s_axi_rdata(52) <= \<const0>\; s_axi_rdata(51) <= \<const0>\; s_axi_rdata(50) <= \<const0>\; s_axi_rdata(49) <= \<const0>\; s_axi_rdata(48) <= \<const0>\; s_axi_rdata(47) <= \<const0>\; s_axi_rdata(46) <= \<const0>\; s_axi_rdata(45) <= \<const0>\; s_axi_rdata(44) <= \<const0>\; s_axi_rdata(43) <= \<const0>\; s_axi_rdata(42) <= \<const0>\; s_axi_rdata(41) <= \<const0>\; s_axi_rdata(40) <= \<const0>\; s_axi_rdata(39) <= \<const0>\; s_axi_rdata(38) <= \<const0>\; s_axi_rdata(37) <= \<const0>\; s_axi_rdata(36) <= \<const0>\; s_axi_rdata(35) <= \<const0>\; s_axi_rdata(34) <= \<const0>\; s_axi_rdata(33) <= \<const0>\; s_axi_rdata(32) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_wready <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); inst_fifo_gen: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt is port ( rst : in STD_LOGIC; wr_clk : in STD_LOGIC; rd_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); full : out STD_LOGIC; empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of dcfifo_32in_32out_8kb_cnt : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of dcfifo_32in_32out_8kb_cnt : entity is "dcfifo_32in_32out_8kb_cnt,fifo_generator_v12_0,{}"; attribute core_generation_info : string; attribute core_generation_info of dcfifo_32in_32out_8kb_cnt : entity is "dcfifo_32in_32out_8kb_cnt,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=8,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=1,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=253,C_PROG_FULL_THRESH_NEGATE_VAL=252,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=1,C_RD_DEPTH=256,C_RD_FREQ=1,C_RD_PNTR_WIDTH=8,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=8,C_WR_DEPTH=256,C_WR_FREQ=1,C_WR_PNTR_WIDTH=8,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of dcfifo_32in_32out_8kb_cnt : entity is "yes"; attribute x_core_info : string; attribute x_core_info of dcfifo_32in_32out_8kb_cnt : entity is "fifo_generator_v12_0,Vivado 2015.1"; end dcfifo_32in_32out_8kb_cnt; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt is signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of U0 : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of U0 : label is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of U0 : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of U0 : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of U0 : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of U0 : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of U0 : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of U0 : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of U0 : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of U0 : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of U0 : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of U0 : label is 8; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of U0 : label is 32; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of U0 : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of U0 : label is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of U0 : label is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of U0 : label is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of U0 : label is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of U0 : label is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of U0 : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of U0 : label is 32; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of U0 : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of U0 : label is 1; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of U0 : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of U0 : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of U0 : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of U0 : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of U0 : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of U0 : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of U0 : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of U0 : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of U0 : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of U0 : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of U0 : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of U0 : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of U0 : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of U0 : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of U0 : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of U0 : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of U0 : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of U0 : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of U0 : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of U0 : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of U0 : label is 1; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of U0 : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of U0 : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of U0 : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of U0 : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of U0 : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of U0 : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of U0 : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of U0 : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of U0 : label is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of U0 : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of U0 : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of U0 : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of U0 : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of U0 : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of U0 : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of U0 : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 253; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 252; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of U0 : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of U0 : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of U0 : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 1; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of U0 : label is 256; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of U0 : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of U0 : label is 8; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of U0 : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of U0 : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of U0 : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of U0 : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of U0 : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of U0 : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of U0 : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of U0 : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of U0 : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of U0 : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of U0 : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of U0 : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of U0 : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of U0 : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of U0 : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 8; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of U0 : label is 256; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of U0 : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of U0 : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of U0 : label is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of U0 : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of U0 : label is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of U0 : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of U0 : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of U0 : label is 8; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; begin U0: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 port map ( almost_empty => NLW_U0_almost_empty_UNCONNECTED, almost_full => NLW_U0_almost_full_UNCONNECTED, axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, axi_ar_prog_empty_thresh(3) => '0', axi_ar_prog_empty_thresh(2) => '0', axi_ar_prog_empty_thresh(1) => '0', axi_ar_prog_empty_thresh(0) => '0', axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, axi_ar_prog_full_thresh(3) => '0', axi_ar_prog_full_thresh(2) => '0', axi_ar_prog_full_thresh(1) => '0', axi_ar_prog_full_thresh(0) => '0', axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, axi_aw_prog_empty_thresh(3) => '0', axi_aw_prog_empty_thresh(2) => '0', axi_aw_prog_empty_thresh(1) => '0', axi_aw_prog_empty_thresh(0) => '0', axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, axi_aw_prog_full_thresh(3) => '0', axi_aw_prog_full_thresh(2) => '0', axi_aw_prog_full_thresh(1) => '0', axi_aw_prog_full_thresh(0) => '0', axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, axi_b_prog_empty_thresh(3) => '0', axi_b_prog_empty_thresh(2) => '0', axi_b_prog_empty_thresh(1) => '0', axi_b_prog_empty_thresh(0) => '0', axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, axi_b_prog_full_thresh(3) => '0', axi_b_prog_full_thresh(2) => '0', axi_b_prog_full_thresh(1) => '0', axi_b_prog_full_thresh(0) => '0', axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, axi_r_prog_empty_thresh(9) => '0', axi_r_prog_empty_thresh(8) => '0', axi_r_prog_empty_thresh(7) => '0', axi_r_prog_empty_thresh(6) => '0', axi_r_prog_empty_thresh(5) => '0', axi_r_prog_empty_thresh(4) => '0', axi_r_prog_empty_thresh(3) => '0', axi_r_prog_empty_thresh(2) => '0', axi_r_prog_empty_thresh(1) => '0', axi_r_prog_empty_thresh(0) => '0', axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, axi_r_prog_full_thresh(9) => '0', axi_r_prog_full_thresh(8) => '0', axi_r_prog_full_thresh(7) => '0', axi_r_prog_full_thresh(6) => '0', axi_r_prog_full_thresh(5) => '0', axi_r_prog_full_thresh(4) => '0', axi_r_prog_full_thresh(3) => '0', axi_r_prog_full_thresh(2) => '0', axi_r_prog_full_thresh(1) => '0', axi_r_prog_full_thresh(0) => '0', axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, axi_w_prog_empty_thresh(9) => '0', axi_w_prog_empty_thresh(8) => '0', axi_w_prog_empty_thresh(7) => '0', axi_w_prog_empty_thresh(6) => '0', axi_w_prog_empty_thresh(5) => '0', axi_w_prog_empty_thresh(4) => '0', axi_w_prog_empty_thresh(3) => '0', axi_w_prog_empty_thresh(2) => '0', axi_w_prog_empty_thresh(1) => '0', axi_w_prog_empty_thresh(0) => '0', axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, axi_w_prog_full_thresh(9) => '0', axi_w_prog_full_thresh(8) => '0', axi_w_prog_full_thresh(7) => '0', axi_w_prog_full_thresh(6) => '0', axi_w_prog_full_thresh(5) => '0', axi_w_prog_full_thresh(4) => '0', axi_w_prog_full_thresh(3) => '0', axi_w_prog_full_thresh(2) => '0', axi_w_prog_full_thresh(1) => '0', axi_w_prog_full_thresh(0) => '0', axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, axis_prog_empty_thresh(9) => '0', axis_prog_empty_thresh(8) => '0', axis_prog_empty_thresh(7) => '0', axis_prog_empty_thresh(6) => '0', axis_prog_empty_thresh(5) => '0', axis_prog_empty_thresh(4) => '0', axis_prog_empty_thresh(3) => '0', axis_prog_empty_thresh(2) => '0', axis_prog_empty_thresh(1) => '0', axis_prog_empty_thresh(0) => '0', axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, axis_prog_full_thresh(9) => '0', axis_prog_full_thresh(8) => '0', axis_prog_full_thresh(7) => '0', axis_prog_full_thresh(6) => '0', axis_prog_full_thresh(5) => '0', axis_prog_full_thresh(4) => '0', axis_prog_full_thresh(3) => '0', axis_prog_full_thresh(2) => '0', axis_prog_full_thresh(1) => '0', axis_prog_full_thresh(0) => '0', axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(7 downto 0) => NLW_U0_data_count_UNCONNECTED(7 downto 0), dbiterr => NLW_U0_dbiterr_UNCONNECTED, din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '0', m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => '0', m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => '0', m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, m_axi_bid(0) => '0', m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, m_axi_bresp(1) => '0', m_axi_bresp(0) => '0', m_axi_buser(0) => '0', m_axi_bvalid => '0', m_axi_rdata(63) => '0', m_axi_rdata(62) => '0', m_axi_rdata(61) => '0', m_axi_rdata(60) => '0', m_axi_rdata(59) => '0', m_axi_rdata(58) => '0', m_axi_rdata(57) => '0', m_axi_rdata(56) => '0', m_axi_rdata(55) => '0', m_axi_rdata(54) => '0', m_axi_rdata(53) => '0', m_axi_rdata(52) => '0', m_axi_rdata(51) => '0', m_axi_rdata(50) => '0', m_axi_rdata(49) => '0', m_axi_rdata(48) => '0', m_axi_rdata(47) => '0', m_axi_rdata(46) => '0', m_axi_rdata(45) => '0', m_axi_rdata(44) => '0', m_axi_rdata(43) => '0', m_axi_rdata(42) => '0', m_axi_rdata(41) => '0', m_axi_rdata(40) => '0', m_axi_rdata(39) => '0', m_axi_rdata(38) => '0', m_axi_rdata(37) => '0', m_axi_rdata(36) => '0', m_axi_rdata(35) => '0', m_axi_rdata(34) => '0', m_axi_rdata(33) => '0', m_axi_rdata(32) => '0', m_axi_rdata(31) => '0', m_axi_rdata(30) => '0', m_axi_rdata(29) => '0', m_axi_rdata(28) => '0', m_axi_rdata(27) => '0', m_axi_rdata(26) => '0', m_axi_rdata(25) => '0', m_axi_rdata(24) => '0', m_axi_rdata(23) => '0', m_axi_rdata(22) => '0', m_axi_rdata(21) => '0', m_axi_rdata(20) => '0', m_axi_rdata(19) => '0', m_axi_rdata(18) => '0', m_axi_rdata(17) => '0', m_axi_rdata(16) => '0', m_axi_rdata(15) => '0', m_axi_rdata(14) => '0', m_axi_rdata(13) => '0', m_axi_rdata(12) => '0', m_axi_rdata(11) => '0', m_axi_rdata(10) => '0', m_axi_rdata(9) => '0', m_axi_rdata(8) => '0', m_axi_rdata(7) => '0', m_axi_rdata(6) => '0', m_axi_rdata(5) => '0', m_axi_rdata(4) => '0', m_axi_rdata(3) => '0', m_axi_rdata(2) => '0', m_axi_rdata(1) => '0', m_axi_rdata(0) => '0', m_axi_rid(0) => '0', m_axi_rlast => '0', m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, m_axi_rresp(1) => '0', m_axi_rresp(0) => '0', m_axi_ruser(0) => '0', m_axi_rvalid => '0', m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, m_axi_wready => '0', m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, m_axis_tready => '0', m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, overflow => NLW_U0_overflow_UNCONNECTED, prog_empty => NLW_U0_prog_empty_UNCONNECTED, prog_empty_thresh(7) => '0', prog_empty_thresh(6) => '0', prog_empty_thresh(5) => '0', prog_empty_thresh(4) => '0', prog_empty_thresh(3) => '0', prog_empty_thresh(2) => '0', prog_empty_thresh(1) => '0', prog_empty_thresh(0) => '0', prog_empty_thresh_assert(7) => '0', prog_empty_thresh_assert(6) => '0', prog_empty_thresh_assert(5) => '0', prog_empty_thresh_assert(4) => '0', prog_empty_thresh_assert(3) => '0', prog_empty_thresh_assert(2) => '0', prog_empty_thresh_assert(1) => '0', prog_empty_thresh_assert(0) => '0', prog_empty_thresh_negate(7) => '0', prog_empty_thresh_negate(6) => '0', prog_empty_thresh_negate(5) => '0', prog_empty_thresh_negate(4) => '0', prog_empty_thresh_negate(3) => '0', prog_empty_thresh_negate(2) => '0', prog_empty_thresh_negate(1) => '0', prog_empty_thresh_negate(0) => '0', prog_full => NLW_U0_prog_full_UNCONNECTED, prog_full_thresh(7) => '0', prog_full_thresh(6) => '0', prog_full_thresh(5) => '0', prog_full_thresh(4) => '0', prog_full_thresh(3) => '0', prog_full_thresh(2) => '0', prog_full_thresh(1) => '0', prog_full_thresh(0) => '0', prog_full_thresh_assert(7) => '0', prog_full_thresh_assert(6) => '0', prog_full_thresh_assert(5) => '0', prog_full_thresh_assert(4) => '0', prog_full_thresh_assert(3) => '0', prog_full_thresh_assert(2) => '0', prog_full_thresh_assert(1) => '0', prog_full_thresh_assert(0) => '0', prog_full_thresh_negate(7) => '0', prog_full_thresh_negate(6) => '0', prog_full_thresh_negate(5) => '0', prog_full_thresh_negate(4) => '0', prog_full_thresh_negate(3) => '0', prog_full_thresh_negate(2) => '0', prog_full_thresh_negate(1) => '0', prog_full_thresh_negate(0) => '0', rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rd_rst => '0', rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED, rst => rst, s_aclk => '0', s_aclk_en => '0', s_aresetn => '0', s_axi_araddr(31) => '0', s_axi_araddr(30) => '0', s_axi_araddr(29) => '0', s_axi_araddr(28) => '0', s_axi_araddr(27) => '0', s_axi_araddr(26) => '0', s_axi_araddr(25) => '0', s_axi_araddr(24) => '0', s_axi_araddr(23) => '0', s_axi_araddr(22) => '0', s_axi_araddr(21) => '0', s_axi_araddr(20) => '0', s_axi_araddr(19) => '0', s_axi_araddr(18) => '0', s_axi_araddr(17) => '0', s_axi_araddr(16) => '0', s_axi_araddr(15) => '0', s_axi_araddr(14) => '0', s_axi_araddr(13) => '0', s_axi_araddr(12) => '0', s_axi_araddr(11) => '0', s_axi_araddr(10) => '0', s_axi_araddr(9) => '0', s_axi_araddr(8) => '0', s_axi_araddr(7) => '0', s_axi_araddr(6) => '0', s_axi_araddr(5) => '0', s_axi_araddr(4) => '0', s_axi_araddr(3) => '0', s_axi_araddr(2) => '0', s_axi_araddr(1) => '0', s_axi_araddr(0) => '0', s_axi_arburst(1) => '0', s_axi_arburst(0) => '0', s_axi_arcache(3) => '0', s_axi_arcache(2) => '0', s_axi_arcache(1) => '0', s_axi_arcache(0) => '0', s_axi_arid(0) => '0', s_axi_arlen(7) => '0', s_axi_arlen(6) => '0', s_axi_arlen(5) => '0', s_axi_arlen(4) => '0', s_axi_arlen(3) => '0', s_axi_arlen(2) => '0', s_axi_arlen(1) => '0', s_axi_arlen(0) => '0', s_axi_arlock(0) => '0', s_axi_arprot(2) => '0', s_axi_arprot(1) => '0', s_axi_arprot(0) => '0', s_axi_arqos(3) => '0', s_axi_arqos(2) => '0', s_axi_arqos(1) => '0', s_axi_arqos(0) => '0', s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arregion(3) => '0', s_axi_arregion(2) => '0', s_axi_arregion(1) => '0', s_axi_arregion(0) => '0', s_axi_arsize(2) => '0', s_axi_arsize(1) => '0', s_axi_arsize(0) => '0', s_axi_aruser(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31) => '0', s_axi_awaddr(30) => '0', s_axi_awaddr(29) => '0', s_axi_awaddr(28) => '0', s_axi_awaddr(27) => '0', s_axi_awaddr(26) => '0', s_axi_awaddr(25) => '0', s_axi_awaddr(24) => '0', s_axi_awaddr(23) => '0', s_axi_awaddr(22) => '0', s_axi_awaddr(21) => '0', s_axi_awaddr(20) => '0', s_axi_awaddr(19) => '0', s_axi_awaddr(18) => '0', s_axi_awaddr(17) => '0', s_axi_awaddr(16) => '0', s_axi_awaddr(15) => '0', s_axi_awaddr(14) => '0', s_axi_awaddr(13) => '0', s_axi_awaddr(12) => '0', s_axi_awaddr(11) => '0', s_axi_awaddr(10) => '0', s_axi_awaddr(9) => '0', s_axi_awaddr(8) => '0', s_axi_awaddr(7) => '0', s_axi_awaddr(6) => '0', s_axi_awaddr(5) => '0', s_axi_awaddr(4) => '0', s_axi_awaddr(3) => '0', s_axi_awaddr(2) => '0', s_axi_awaddr(1) => '0', s_axi_awaddr(0) => '0', s_axi_awburst(1) => '0', s_axi_awburst(0) => '0', s_axi_awcache(3) => '0', s_axi_awcache(2) => '0', s_axi_awcache(1) => '0', s_axi_awcache(0) => '0', s_axi_awid(0) => '0', s_axi_awlen(7) => '0', s_axi_awlen(6) => '0', s_axi_awlen(5) => '0', s_axi_awlen(4) => '0', s_axi_awlen(3) => '0', s_axi_awlen(2) => '0', s_axi_awlen(1) => '0', s_axi_awlen(0) => '0', s_axi_awlock(0) => '0', s_axi_awprot(2) => '0', s_axi_awprot(1) => '0', s_axi_awprot(0) => '0', s_axi_awqos(3) => '0', s_axi_awqos(2) => '0', s_axi_awqos(1) => '0', s_axi_awqos(0) => '0', s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awregion(3) => '0', s_axi_awregion(2) => '0', s_axi_awregion(1) => '0', s_axi_awregion(0) => '0', s_axi_awsize(2) => '0', s_axi_awsize(1) => '0', s_axi_awsize(0) => '0', s_axi_awuser(0) => '0', s_axi_awvalid => '0', s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_wdata(63) => '0', s_axi_wdata(62) => '0', s_axi_wdata(61) => '0', s_axi_wdata(60) => '0', s_axi_wdata(59) => '0', s_axi_wdata(58) => '0', s_axi_wdata(57) => '0', s_axi_wdata(56) => '0', s_axi_wdata(55) => '0', s_axi_wdata(54) => '0', s_axi_wdata(53) => '0', s_axi_wdata(52) => '0', s_axi_wdata(51) => '0', s_axi_wdata(50) => '0', s_axi_wdata(49) => '0', s_axi_wdata(48) => '0', s_axi_wdata(47) => '0', s_axi_wdata(46) => '0', s_axi_wdata(45) => '0', s_axi_wdata(44) => '0', s_axi_wdata(43) => '0', s_axi_wdata(42) => '0', s_axi_wdata(41) => '0', s_axi_wdata(40) => '0', s_axi_wdata(39) => '0', s_axi_wdata(38) => '0', s_axi_wdata(37) => '0', s_axi_wdata(36) => '0', s_axi_wdata(35) => '0', s_axi_wdata(34) => '0', s_axi_wdata(33) => '0', s_axi_wdata(32) => '0', s_axi_wdata(31) => '0', s_axi_wdata(30) => '0', s_axi_wdata(29) => '0', s_axi_wdata(28) => '0', s_axi_wdata(27) => '0', s_axi_wdata(26) => '0', s_axi_wdata(25) => '0', s_axi_wdata(24) => '0', s_axi_wdata(23) => '0', s_axi_wdata(22) => '0', s_axi_wdata(21) => '0', s_axi_wdata(20) => '0', s_axi_wdata(19) => '0', s_axi_wdata(18) => '0', s_axi_wdata(17) => '0', s_axi_wdata(16) => '0', s_axi_wdata(15) => '0', s_axi_wdata(14) => '0', s_axi_wdata(13) => '0', s_axi_wdata(12) => '0', s_axi_wdata(11) => '0', s_axi_wdata(10) => '0', s_axi_wdata(9) => '0', s_axi_wdata(8) => '0', s_axi_wdata(7) => '0', s_axi_wdata(6) => '0', s_axi_wdata(5) => '0', s_axi_wdata(4) => '0', s_axi_wdata(3) => '0', s_axi_wdata(2) => '0', s_axi_wdata(1) => '0', s_axi_wdata(0) => '0', s_axi_wid(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(7) => '0', s_axi_wstrb(6) => '0', s_axi_wstrb(5) => '0', s_axi_wstrb(4) => '0', s_axi_wstrb(3) => '0', s_axi_wstrb(2) => '0', s_axi_wstrb(1) => '0', s_axi_wstrb(0) => '0', s_axi_wuser(0) => '0', s_axi_wvalid => '0', s_axis_tdata(7) => '0', s_axis_tdata(6) => '0', s_axis_tdata(5) => '0', s_axis_tdata(4) => '0', s_axis_tdata(3) => '0', s_axis_tdata(2) => '0', s_axis_tdata(1) => '0', s_axis_tdata(0) => '0', s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, s_axis_tstrb(0) => '0', s_axis_tuser(3) => '0', s_axis_tuser(2) => '0', s_axis_tuser(1) => '0', s_axis_tuser(0) => '0', s_axis_tvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', srst => '0', underflow => NLW_U0_underflow_UNCONNECTED, valid => NLW_U0_valid_UNCONNECTED, wr_ack => NLW_U0_wr_ack_UNCONNECTED, wr_clk => wr_clk, wr_data_count(7 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(7 downto 0), wr_en => wr_en, wr_rst => '0', wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED ); end STRUCTURE;
gpl-3.0